;value2500 ;gameid uminekoSaku_when_they_cry_4 *define humanz 900 ;windowback automode automode_time -50 mode_wave_demo nsa errorsave ;エラー時に999番に保存 ;killmenu 7; ; "CD-DA "項目を非表示 ;killmenu 4 ; "ボリューム "項目を非表示 ;killmenu 2 ; "表示形式 "項目を非表示 caption "Umineko When They Cry Saku" versionstr "うみねこのなく頃に咲 ver1.001","制作 07th Expansion" h_rendering none, integer h_mapfont 0, "fonts/face0.otf" h_mapfont 1, "fonts/face1.otf" h_mapfont 2, "fonts/face2.otf" h_mapfont 3, "fonts/face3.otf" h_mapfont 4, "fonts/face4.ttf" h_mapfont 5, "fonts/face5.ttf" h_mapfont 6, "fonts/face6.ttf" h_mapfont 7, "fonts/face7.ttf" ;メニューの内容 ;rmenu "セーブする",save,"ロードする",load,"次の選択肢へスキップする",skip,"文字を消す",windowerase,"回想",lookback,"タイトルに戻る",reset ;メニューウィンドの設定 menusetwindow 20,20,5,3,1,0,#cccccc ;menuselectcolor #aaaaff,#ffffff,#aaaaaa ;セーブファイルの指定 savename "記録を保存する","記録を読み込む","記録" savenumber 18 ;グローバル変数の宣言 globalon ;メッセージスピード defaultspeed 40,20,0 ;defaultfont "MS ゴシック" ;禁則処理 addkinsoku "”","“" pbreakstr " , " mov %0,1 ;エフェクト ;デフォルトスピード ;エフェクト クイック ;エフェクト スロウ ;部活用 クイック ;クロスフェード effect 2,10,1000 effect 22,10,400 effect 42,10,3000 effect 62,10,200 ;表情の変化用 effect 80,10,200 ;左フェード effect 3,18,1300,":c;Bmp\Efe\left.bmp" effect 23,18,300,":c;Bmp\Efe\left.bmp" effect 43,18,3000,":c;Bmp\Efe\left.bmp" effect 63,15,300,":c;Bmp\Efe\left.bmp" effect 83,15,5,":c;Bmp\Efe\left.bmp" ;右フェード effect 4,18,1300,":c;Bmp\Efe\right.bmp" effect 24,18,300,":c;Bmp\Efe\right.bmp" effect 44,18,3000,":c;Bmp\Efe\right.bmp" effect 64,15,300,":c;Bmp\Efe\right.bmp" effect 84,15,5,":c;Bmp\Efe\right.bmp" ;上フェード effect 5,18,1300,":c;Bmp\Efe\down.bmp" effect 25,18,300,":c;Bmp\Efe\down.bmp" effect 45,18,3000,":c;Bmp\Efe\down.bmp" effect 65,15,300,":c;Bmp\Efe\down.bmp" effect 85,15,5,":c;Bmp\Efe\down.bmp" ;下フェード effect 6,18,1300,":c;Bmp\Efe\up.bmp" effect 26,18,300,":c;Bmp\Efe\up.bmp" effect 46,18,3000,":c;Bmp\Efe\up.bmp" effect 66,15,300,":c;Bmp\Efe\up.bmp" effect 86,15,5,":c;Bmp\Efe\up.bmp" ;上面右、下面左フェード effect 7,18,1300,":c;Bmp\Efe\x.bmp" effect 27,18,300,":c;Bmp\Efe\x.bmp" effect 47,18,3000,":c;Bmp\Efe\x.bmp" effect 67,15,300,":c;Bmp\Efe\x.bmp" ;中央からフェード effect 8,18,1300,":c;Bmp\Efe\c.bmp" effect 28,18,300,":c;Bmp\Efe\c.bmp" effect 48,18,3000,":c;Bmp\Efe\c.bmp" effect 68,15,300,":c;Bmp\Efe\c.bmp" effect 88,18,1,":c;Bmp\Efe\c.bmp" effect 9,18,1300,":c;Bmp\Efe\m1.bmp" effect 29,18,300,":c;Bmp\Efe\m1.bmp" effect 49,18,3000,":c;Bmp\Efe\m1.bmp" effect 69,15,300,":c;Bmp\Efe\m1.bmp" ;観音開き effect 10,18,3000,":c;Bmp\Efe\1.bmp" effect 30,18,300,":c;Bmp\Efe\1.bmp" effect 50,18,3000,":c;Bmp\Efe\1.bmp" effect 11,18,3000,":c;Bmp\Efe\2.bmp" effect 31,18,300,":c;Bmp\Efe\2.bmp" ;グローバル変数エリア ; 使用変数名 numalias UMINEKOEND,2901 ;終了フラグ numalias UMINEKOPATCH,2902 ;終了フラグ numalias rroff,2956 numalias ispatched,2957 numalias achieve_51,2958 numalias achieve_52,2959 numalias achieve_53,2960 numalias achieve_54,2961 numalias achieve_55,2962 numalias achieve_61,2963 numalias achieve_62,2964 numalias achieve_63,2965 numalias achieve_64,2966 numalias achieve_65,2967 numalias achieve_71,2968 numalias achieve_72,2969 numalias achieve_73,2970 numalias achieve_74,2971 numalias achieve_75,2972 numalias achieve_81,2973 numalias achieve_82,2974 numalias achieve_83,2975 numalias achieve_84,2976 numalias achieve_85,2977 numalias achieve_86,2978 numalias achieve_87,2979 numalias achieve_88,2980 numalias achieve_89,2981 numalias savemodePage,3001 ;セーブ画面ページ収得エリア numalias savedataTime,3100 ;セーブデータ用時間データ文字エリア numalias savedataComment,3200 ;セーブデータ用コメントエリア numalias savedataflg,3300 ;セーブデータ用グローバルエリア ;追加 numalias catbox_No_flg,3400 ;クリア判定(選択でNOを選んだ時 ;3500以上はあけておく numalias scenario1_1,101 ;キャラクター説明フラグ値 シナリオ1進行度1 numalias scenario1_2,102 ;キャラクター説明フラグ値 シナリオ1進行度2 numalias default_vol,70 ;numalias condition,%0 : inc %0 ;dim ?condition[20] numalias RG_move,%0 : inc %0 dim ?RG_move[20][4] numalias scenario_Number,%0 : inc %0 ;開始シナリオナンバー numalias remnu_data,%0 : inc %0 ;右クリックシステム用資料室解禁フラグ ;numalias data_Number,%0 : inc %0 ;右クリックシステム用資料室フラグ numalias side_flg,%0 : inc %0 ;第三サイド開放フラグ numalias data_pagemax,%0 : inc %0 ;資料閲覧最大数 ;dim ?remnu_data[100] ;資料閲覧フラグ numalias ran_memo,%0 : inc %0 ;ランダム結果メモ用変数 numalias intro_prog_flg,%0 : inc %0 ;キャラクター説明グラフィック終了用フラグ ;numalias introduction_BEA_flg,%0 : inc %0 ;キャラクター説明 ベアトリーチェ表示フラグ numalias monoflg,%0 :inc %0 ;右クリック停止フラグ numalias rmordflg,%0 :inc %0 ;右クリック停止フラグ numalias save_on_flg,%0 :inc %0 ;セーブON停止フラグ numalias introduction_open,%0 : inc %0 ;キャラクター説明システム開放フラグ numalias on,1 numalias off,0 ;bgm関連 numalias BGM_Play,%0 : inc %0 ;曲名のパス収納用 numalias Me_Play,%0 : inc %0 ;ミュージックエフェクトのパス収納用 numalias Se_Play,%0 : inc %0 ;サウンドエフェクトのパス収納用 numalias BGM_Play_Channel,%0 : inc %0 ;前奏BGMの再生チャンネル用 numalias Me_Play_Channel,%0 : inc %0 ;ミュージックエフェクトの再生チャンネル用 numalias Se_Play_Channel,%0 : inc %0 ;サウンドエフェクトの再生チャンネル用 numalias BGM_s_Ch,%0 : inc %0 ; numalias Me_Number,%0 : inc %0 ;再生するミュージックエフェクトのナンバー収納用 numalias Se_Number,%0 : inc %0 ;再生するサウンドエフェクトのナンバー収納用 numalias Me_s_Ch0,%0 : inc %0 ;ミュージックエフェクトのチャンネル使用フラグ numalias Me_s_Ch1,%0 : inc %0 numalias Me_s_Ch2,%0 : inc %0 numalias Me_s_Ch3,%0 : inc %0 numalias Me_s_Ch4,%0 : inc %0 numalias Me_s_Ch5,%0 : inc %0 numalias Set_vol,%0 : inc %0 ;指定ボリューム numalias BGM_v_Ch,%0 : inc %0 ;BGM用ボリュームフラグ numalias Me_v_Ch0,%0 : inc %0 ;ミュージックエフェクトのボリュームフラグ numalias Me_v_Ch1,%0 : inc %0 numalias Me_v_Ch2,%0 : inc %0 numalias Me_v_Ch3,%0 : inc %0 numalias Me_v_Ch4,%0 : inc %0 numalias Me_v_Ch5,%0 : inc %0 numalias Se_v_Ch1,%0 : inc %0 ;サウンド用 numalias Se_v_Ch2,%0 : inc %0 numalias Se_v_Ch3,%0 : inc %0 numalias BGM_v_Chx,%0 : inc %0 ;BGM用ボリュームフラグ numalias Me_v_Chx0,%0 : inc %0 ;ミュージックエフェクトのボリュームフラグ numalias Me_v_Chx1,%0 : inc %0 numalias Me_v_Chx2,%0 : inc %0 numalias Me_v_Chx3,%0 : inc %0 numalias Me_v_Chx4,%0 : inc %0 numalias Me_v_Chx5,%0 : inc %0 numalias Se_v_Chx1,%0 : inc %0 ;サウンド用 numalias Se_v_Chx2,%0 : inc %0 numalias Se_v_Chx3,%0 : inc %0 numalias BGM_v_Chy,%0 : inc %0 ;BGM用ボリュームフラグ numalias Me_v_Chy0,%0 : inc %0 ;ミュージックエフェクトのボリュームフラグ numalias Me_v_Chy1,%0 : inc %0 numalias Me_v_Chy2,%0 : inc %0 numalias Me_v_Chy3,%0 : inc %0 numalias Me_v_Chy4,%0 : inc %0 numalias Me_v_Chy5,%0 : inc %0 numalias Se_v_Chy1,%0 : inc %0 ;サウンド用 numalias Se_v_Chy2,%0 : inc %0 numalias Se_v_Chy3,%0 : inc %0 ;衣装フラグ関連 numalias KIN_Isyou,%0 : inc %0 numalias KLA_Isyou,%0 : inc %0 numalias NAT_Isyou,%0 : inc %0 numalias JES_Isyou,%0 : inc %0 numalias EVA_Isyou,%0 : inc %0 numalias HID_Isyou,%0 : inc %0 numalias GEO_Isyou,%0 : inc %0 numalias RUD_Isyou,%0 : inc %0 numalias KIR_Isyou,%0 : inc %0 numalias BUT_Isyou,%0 : inc %0 numalias ROS_Isyou,%0 : inc %0 numalias MAR_Isyou,%0 : inc %0 numalias NAN_Isyou,%0 : inc %0 numalias GEN_Isyou,%0 : inc %0 numalias KUM_Isyou,%0 : inc %0 numalias GOH_Isyou,%0 : inc %0 numalias SHA_Isyou,%0 : inc %0 numalias KAN_Isyou,%0 : inc %0 numalias BEA_Isyou,%0 : inc %0 numalias BER_Isyou,%0 : inc %0 numalias lam_Isyou,%0 : inc %0 numalias enj_Isyou,%0 : inc %0 numalias WAL_Isyou,%0 : inc %0 numalias EV2_Isyou,%0 : inc %0 numalias RON_Isyou,%0 : inc %0 numalias gap_Isyou,%0 : inc %0 numalias GOA_Isyou,%0 : inc %0 numalias RG1_Isyou,%0 : inc %0 numalias RG2_Isyou,%0 : inc %0 numalias RG3_Isyou,%0 : inc %0 numalias RG4_Isyou,%0 : inc %0 numalias RG5_Isyou,%0 : inc %0 numalias RG6_Isyou,%0 : inc %0 numalias RG7_Isyou,%0 : inc %0 numalias ERI_Isyou,%0 : inc %0 numalias DLA_Isyou,%0 : inc %0 numalias GER_Isyou,%0 : inc %0 numalias COR_Isyou,%0 : inc %0 numalias PRO_Isyou,%0 : inc %0 numalias S00_Isyou,%0 : inc %0 numalias S41_Isyou,%0 : inc %0 numalias S45_Isyou,%0 : inc %0 numalias SAK_Isyou,%0 : inc %0 numalias BE2_Isyou,%0 : inc %0 numalias FEA_Isyou,%0 : inc %0 numalias ZEP_Isyou,%0 : inc %0 numalias FUR_Isyou,%0 : inc %0 numalias AMA_Isyou,%0 : inc %0 numalias KAW_Isyou,%0 : inc %0 numalias OKO_Isyou,%0 : inc %0 numalias KAS_Isyou,%0 : inc %0 numalias RIO_Isyou,%0 : inc %0 numalias WIL_Isyou,%0 : inc %0 numalias CUR_Isyou,%0 : inc %0 numalias KI2_Isyou,%0 : inc %0 numalias EN2_Isyou,%0 : inc %0 numalias BU2_Isyou,%0 : inc %0 ;last note numalias FLA_Isyou,%0 : inc %0 numalias PIE_Isyou,%0 : inc %0 numalias WEL_Isyou,%0 : inc %0 numalias ld_pass,%0 : inc %0 numalias ld_pass_number,%0 : inc %0 mov %1,1001 numalias Fede_bgmv,%1 : inc %1 ;指定数字 numalias Fede_Me1v,%1 : inc %1 numalias Fede_Me2v,%1 : inc %1 numalias Fede_Me3v,%1 : inc %1 numalias Fede_Me4v,%1 : inc %1 numalias Fede_Me5v,%1 : inc %1 numalias Fede_wait,%1 : inc %1 numalias Fede_bgmvflg,%1 : inc %1 ;変動なしチェックフラグ numalias Fede_Me1vflg,%1 : inc %1 numalias Fede_Me2vflg,%1 : inc %1 numalias Fede_Me3vflg,%1 : inc %1 numalias Fede_Me4vflg,%1 : inc %1 numalias Fede_Me5vflg,%1 : inc %1 numalias Fede_bgmv2,%1 : inc %1 ;音量変動値 numalias Fede_Me1v2,%1 : inc %1 numalias Fede_Me2v2,%1 : inc %1 numalias Fede_Me3v2,%1 : inc %1 numalias Fede_Me4v2,%1 : inc %1 numalias Fede_Me5v2,%1 : inc %1 numalias Fede_bgmvflg2,%1 : inc %1 ;アップダウンフラグ numalias Fede_Me1vflg2,%1 : inc %1 numalias Fede_Me2vflg2,%1 : inc %1 numalias Fede_Me3vflg2,%1 : inc %1 numalias Fede_Me4vflg2,%1 : inc %1 numalias Fede_Me5vflg2,%1 : inc %1 numalias Fede_bgmv3,%1 : inc %1 ;10ミリセコンド用現在値 numalias Fede_Me1v3,%1 : inc %1 numalias Fede_Me2v3,%1 : inc %1 numalias Fede_Me3v3,%1 : inc %1 numalias Fede_Me4v3,%1 : inc %1 numalias Fede_Me5v3,%1 : inc %1 numalias Fede_bgmv4,%1 : inc %1 ;10ミリセコンド用変動値 numalias Fede_Me1v4,%1 : inc %1 numalias Fede_Me2v4,%1 : inc %1 numalias Fede_Me3v4,%1 : inc %1 numalias Fede_Me4v4,%1 : inc %1 numalias Fede_Me5v4,%1 : inc %1 numalias tmordflg,%0 :inc %0 ;テキストモードフラグ(0/On 1/off) numalias backlogTotalNum,%0 : inc %0 ;バックログ最大値 numalias jpbacklogTotalNum,%0 : inc %0 ;バックログ最大値 numalias Textlog,2100 ;テキストログの取得番号 numalias backloglook,2000 ;現在表示テキストログ numalias jpbackloglook,2101 ;現在表示テキストログ numalias pose,%0 : inc %0 numalias Fade_back,699 ;フェードアウト用スプライト番号 numalias Fade,%0 : inc %0 ;フェードアウト回数 numalias Fade_wait1,%0 : inc %0 ;フェードアウトウェイト numalias ch_vol_b,%0 : inc %0 ;フェードアウト用ボリューム変動値 numalias ch_vol_0,%0 : inc %0 numalias ch_vol_1,%0 : inc %0 numalias ch_vol_2,%0 : inc %0 numalias ch_vol_3,%0 : inc %0 numalias ch_vol_4,%0 : inc %0 numalias ch_vol_5,%0 : inc %0 intlimit BGM_v_Ch,0,100 ;BGM用ボリュームフラグ intlimit Me_v_Ch0,0,100 ;ミュージックエフェクトのボリュームフラグ intlimit Me_v_Ch1,0,100 intlimit Me_v_Ch2,0,100 intlimit Me_v_Ch3,0,100 intlimit Me_v_Ch4,0,100 intlimit Me_v_Ch5,0,100 intlimit Se_v_Ch1,0,100 ;:サウンド用 intlimit Se_v_Ch2,0,100 intlimit Se_v_Ch3,0,100 add %0,200 numalias Readbacklogpage,%0 : add %0,100 ;バックログの現在表示ページ numalias BacklogNum,%0 : add %0,100 ;バックログの現在取得ページ numalias Backlogpage0,%0 : inc %0 ;バックログの最小ページ数 numalias Backlogpage1,%0 : add %0,10 numalias BacklogpageMax,%0 : inc %0 ;バックログの最大ページ数 numalias jpReadbacklogpage,%0 : add %0,100 ;バックログの現在表示ページ numalias jpBacklogNum,%0 : add %0,100 ;バックログの現在取得ページ numalias jpBacklogpage0,%0 : inc %0 ;バックログの最小ページ数 numalias jpBacklogpage1,%0 : add %0,10 numalias jpBacklogpageMax,%0 : inc %0 ;バックログの最大ページ数 numalias mini,1 numalias MAX,10 numalias savemodePageNow,%0 : inc %0 ;セーブ画面現在表示ページ numalias savescreenshotsp,%0 : inc %0 ;スクリーンショットスプライト番号 numalias savescreenshotspback,%0 : inc %0 ;スクリーンショットスプライト番号 numalias saveTimesp,%0 : inc %0 ;時間スプライト番号 numalias saveTextsp,%0 : inc %0 ;テキストスプライト番号 numalias saveTimesp2,%0 : inc %0 ;時間スプライト表示エリア numalias saveTextsp2,%0 : inc %0 ;テキストスプライト表示エリア numalias SaveNum,%0 : inc %0 ;セーブデータファイル番号 numalias SaveTime,%0 : inc %0 ;セーブデータファイル番号 numalias Morth,%0 : inc %0 ;月 numalias Day,%0 : inc %0 ;日 numalias Hour,%0 : inc %0 ;時 numalias Minute,%0 : inc %0 ;分 numalias Strsp,%0 : inc %0 ;文字列スプライトの設定文字列 numalias SpNum,%0 : inc %0 ;スプライト番号 numalias x1,%0 : inc %0 ;スプライトx軸 numalias y1,%0 : inc %0 ;スプライトy軸 numalias x2,%0 : inc %0 ;スプライトx軸 numalias y2,%0 : inc %0 ;スプライトy軸 numalias x3,%0 : inc %0 ;スプライトx軸 numalias y3,%0 : inc %0 ;スプライトy軸 numalias savedataNowNum,%0 : inc %0 ;セーブデータ番号 numalias Page1,%0 : inc %0 numalias Page2,%0 : inc %0 numalias Page3,%0 : inc %0 numalias Page4,%0 : inc %0 numalias Page5,%0 : inc %0 numalias save,%0 : inc %0 numalias eye_custom1,%0 : inc %0 ;アイキャッチカスタムモードの背景表示フラグ numalias eye_custom2,%0 : inc %0 ;アイキャッチカスタムモードのロゴ表示フラグ numalias eye_efeB1,%0 : inc %0 numalias eye_efeB2,%0 : inc %0 numalias eye_efeB3,%0 : inc %0 numalias eye_efeL1,%0 : inc %0 numalias eye_efeL2,%0 : inc %0 numalias eye_efeL3,%0 : inc %0 numalias eye_waitB,%0 : inc %0 numalias eye_waitL,%0 : inc %0 numalias x,%0 : inc %0 numalias y,%0 : inc %0 numalias cspParam,%0 : inc %0 numalias BtnRes,%0 : inc %0 numalias TextCC12Flug,%0 : inc %0 ;numalias BtnRes,598 ;numalias TextCC12Flug,599 numalias TextCC1SpNum,601 numalias TextCC2SpNum,602 numalias Text_back,603 numalias efespflg,%0 : inc %0 ;雨等Dll演出用管理画像フラグ番号 numalias efespflg2,%0 : inc %0 ;雨等Dll演出用管理表示フラグ番号 numalias efesp,798 ;雨等Dll演出用スプライト番号 numalias efesp2,797 ;雨等Dll演出用スプライト番号 numalias bgsp,799 ;演出背景用スプライト番号 numalias bgsp2,902 ;立ち絵後ろ、背景前 numalias crosstimer,%0 : inc %0 numalias tachispriteone,2345 ;演出背景用スプライト番号 numalias Free1,%0 : inc %0 ;:フリーエリア numalias Free2,%0 : inc %0 numalias Free3,%0 : inc %0 numalias Free4,%0 : inc %0 numalias Free5,%0 : inc %0 numalias Free6,%0 : inc %0 numalias Free7,%0 : inc %0 numalias Free8,%0 : inc %0 numalias Free9,%0 : inc %0 numalias Free11,%0 : inc %0 ;:フリーエリア numalias Free12,%0 : inc %0 numalias Free13,%0 : inc %0 numalias Free14,%0 : inc %0 numalias Free15,%0 : inc %0 numalias Free16,%0 : inc %0 numalias Free17,%0 : inc %0 numalias Free18,%0 : inc %0 numalias Free19,%0 : inc %0 numalias Free21,%0 : inc %0 ;:フリーエリア numalias Free22,%0 : inc %0 numalias Free23,%0 : inc %0 numalias Free24,%0 : inc %0 numalias Free25,%0 : inc %0 numalias Free26,%0 : inc %0 numalias Free27,%0 : inc %0 numalias Free28,%0 : inc %0 numalias Free29,%0 : inc %0 numalias ryuu1,%0 : inc %0 ;:フリーエリア numalias ryuu2,%0 : inc %0 numalias ryuu3,%0 : inc %0 numalias ryuu4,%0 : inc %0 numalias ryuu5,%0 : inc %0 numalias ryuu6,%0 : inc %0 numalias ryuu7,%0 : inc %0 numalias ryuu8,%0 : inc %0 numalias ryuu9,%0 : inc %0 numalias KIN,1 numalias KLA,2 numalias NAT,3 numalias JES,4 numalias EVA,5 numalias HID,6 numalias GEO,7 numalias RUD,8 numalias KIR,9 numalias BUT,10 numalias ROS,11 numalias MAR,12 numalias NAN,13 numalias GEN,14 numalias KUM,15 numalias GOH,16 numalias SHA,17 numalias KAN,18 numalias BEA,19 numalias BER,20 numalias ERI,21 numalias lam,22 numalias enj,23 numalias WAL,24 numalias EV2,25 numalias RON,26 numalias gap,27 numalias s,28 numalias rg,29 numalias DLA,31 numalias GER,32 numalias COR,33 numalias BE2,34 numalias FEA,35 numalias ZEP,36 numalias FUR,37 numalias zf,38 numalias GOA,39 numalias WIL,40 numalias RIO,41 numalias CUR,42 numalias PRO,43 numalias si,44 numalias EN2,45 numalias BU2,46 ;Last note numalias FLA,48 numalias PIE,47 numalias WEL,49 numalias START_bg,%0 :inc %0 numalias title_y,%0 :inc %0 ;タイトルロゴのy座標 numalias title_trap,%0 :inc %0 mov %0,601 numalias Cinema_logo,%0 : inc %0 ;アイキャッチ時のロゴスプライト numalias Cinema_up,%0 : inc %0 ;アイキャッチ時の黒帯スプライト(上) numalias Cinema_down,%0 : inc %0 ;アイキャッチ時の黒帯スプライト(下) numalias intro,%0 : inc %0 ;登場人物紹介告知スプライト numalias left,%0 : inc %0 numalias right,%0 : inc %0 numalias name_W,%0 : inc %0 ;顔ウィンドウ用現在位置枠 numalias name1,%0 : inc %0 numalias name2,%0 : inc %0 numalias name3,%0 : inc %0 numalias name4,%0 : inc %0 numalias name5,%0 : inc %0 numalias name6,%0 : inc %0 numalias name7,%0 : inc %0 numalias name8,%0 : inc %0 numalias name9,%0 : inc %0 numalias name10,%0 : inc %0 numalias name11,%0 : inc %0 numalias name12,%0 : inc %0 numalias name13,%0 : inc %0 numalias name14,%0 : inc %0 numalias name15,%0 : inc %0 numalias name16,%0 : inc %0 numalias name17,%0 : inc %0 numalias name18,%0 : inc %0 numalias name_ch,%0 : inc %0 numalias name_namber,%0 : inc %0 numalias introduction_sp,%0 : inc %0 numalias comment_sp1,%0 : inc %0 numalias comment_sp2,%0 : inc %0 numalias introduction_back,%0 : inc %0 numalias r_back,%0 : inc %0 intlimit name_namber,0,20 numalias backlogupbtn,%0 : inc %0 ;バックログ用上ボタンスプライト番号 numalias backlogdownbtn,%0 : inc %0 ;バックログ用下ボタンスプライト番号 numalias backlogtext,%0 : inc %0 ;バックログ用テキストスプライト番号 numalias backscreen,%0 : inc %0 ;バックログ用背景プライト番号 numalias textlognow,%0 : inc %0 ;セーブデータ用ログエリア numalias flg_sp_x,%0 : inc %0 ;フラグタイトルスプライトのx軸 numalias flg_sp_y,%0 : inc %0 ;y軸 numalias flg_number_tx,%0 : inc %0 ;フラグ十の桁のスプライトのx軸 numalias flg_number_ty,%0 : inc %0 numalias flg_number_ox,%0 : inc %0 ;フラグ一の桁のスフライトのx軸 numalias flg_number_oy,%0 : inc %0 numalias flg_number_t,%0 : inc %0 ;フラグの十桁目の変数 numalias flg_number_o,%0 : inc %0 ;フラグの一桁目の変数 numalias flg_passT,%0 : inc %0 ;フラグタイトルのパス収納変数 numalias flg_pass1,%0 : inc %0 numalias flg_pass10,%0 : inc %0 ;新規追加雨フラグ numalias rain_flg,%0 : inc %0 numalias hikari_flg,%0 : inc %0 numalias hikari2_flg,%0 : inc %0 numalias RG_move_bg,%0 : inc %0 ;七姉妹ジャンプランダムシステム numalias RG_move_jp,%0 : inc %0 numalias RG_move_speed,%0 : inc %0 ;EP8推理ゲームフラグ関連 numalias coins,%0 : inc %0 numalias quiz_num,%0 : inc %0 numalias answers,%0 : inc %0 numalias ivent_coins,%0 : inc %0 numalias murder_check,%0 : inc %0 numalias m_sys,%0 : inc %0 dim ?m_sys[40] numalias murder_s_flg,%0 : inc %0 ;読み直しフラグ numalias p_flg,%0 : inc %0 ;紫文字モード読み直しフラグ numalias hinto_point,%0 : inc %0 ;点数 numalias hinto_title,%0 : inc %0 ;ヒントのタイトルを入れるもの numalias hinto_raberu,%0 : inc %0 ;ヒントのラベルを入れるもの numalias p_page_flg,%0 : inc %0 ;紫発言のページフラグ numalias last_quiz_flg,%0 : inc %0 ;紫発言のページフラグ numalias quiz_pass_flg,%0 : inc %0 ;クイズ大会通過フラグ numalias flg_sp1,894 ;フラグタイトルのスプライト番号 numalias flg_sp2,893 ;フラグ十桁目のスプライト番号 numalias flg_sp3,892 ;フラグ一桁目のスプライト番号 numalias rmenu_back,699 ;右クリックシステム用背景スプライト番号 numalias rmenu_btn1,698 ;右クリックシステム用人物紹介スプライト番号 numalias rmenu_btn2,697 ;右クリックシステム用セーブスプライト番号 numalias rmenu_btn3,696 ;右クリックシステム用ロードスプライト番号 numalias rmenu_databtn,693 ;右クリックシステム用資料室スプライト番号 numalias rmenu_btn_Exit,695 ;右クリックシステム用EXITスプライト番号 numalias Load,694 mov %0,1001 numalias KIN_start_number,%0 ;金蔵の表情の番号の開始 numalias KIN_DefA1,%0 : inc %0 ;金蔵立ち絵デフォ numalias KIN_WaraiA1,%0 :inc %0 ;笑い numalias KIN_IkariA1,%0 :inc %0 ;怒り numalias KIN_MajimeA1,%0 :inc %0 ;真面目 numalias KIN_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias KIN_AkuwaraiA2,%0 :inc %0 ;悪笑い numalias KIN_fumuA1,%0 :inc %0 ;ふーむ numalias KIN_nakuA1,%0 :inc %0 ;泣く numalias KIN_nakuA2,%0 :inc %0 ;泣く2 numalias KIN_WaraiA2,%0 :inc %0 ;笑い2 numalias KIN_fukigenA1,%0 :inc %0 ;不機嫌 numalias KIN_last_number,%0 :inc %0 ;金蔵の表情の番号の終端 numalias KLA_start_number,%0 ;蔵臼の表情の番号の開始 numalias KLA_DefA1,%0 : inc %0 ;蔵臼立ち絵デフォ numalias KLA_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias KLA_KomaruA1,%0 :inc %0 ;困る numalias KLA_KomaruA2,%0 :inc %0 ;困る numalias KLA_KomaruA3,%0 :inc %0 ;困る numalias KLA_KomaruA4,%0 :inc %0 ;困る numalias KLA_MajimeA1,%0 : inc %0 ;真面目 numalias KLA_IkariA1,%0 :inc %0 ;怒り numalias KLA_AkuwaraiA2,%0 :inc %0 ;悪笑い2 numalias KLA_AkuwaraiA3,%0 :inc %0 ;悪笑い3 numalias KLA_MajimeA2,%0 : inc %0 ;真面目2 numalias KLA_NayamuA1,%0 : inc %0 ;悩む numalias KLA_NayamuA2,%0 : inc %0 ;悩む numalias KLA_NayamuA3,%0 : inc %0 ;悩む numalias KLA_DefA1G,%0 : inc %0 ;蔵臼立ち絵デフォ numalias KLA_AkuwaraiA1G,%0 :inc %0 ;悪笑い numalias KLA_KomaruA1G,%0 :inc %0 ;困る numalias KLA_KomaruA2G,%0 :inc %0 ;困る numalias KLA_KomaruA3G,%0 :inc %0 ;困る numalias KLA_KomaruA4G,%0 :inc %0 ;困る numalias KLA_MajimeA1G,%0 : inc %0 ;真面目 numalias KLA_IkariA1G,%0 :inc %0 ;怒り numalias KLA_AkuwaraiA2G,%0 :inc %0 ;悪笑い2 numalias KLA_AkuwaraiA3G,%0 :inc %0 ;悪笑い3 numalias KLA_MajimeA2G,%0 : inc %0 ;真面目2 numalias KLA_NayamuA1G,%0 : inc %0 ;悩む numalias KLA_NayamuA2G,%0 : inc %0 ;悩む numalias KLA_NayamuA3G,%0 : inc %0 ;悩む numalias KLA_last_number,%0 :inc %0 ;蔵臼の表情の番号の終端 numalias NAT_start_number,%0 ;夏妃の表情の番号の開始 numalias NAT_DefA1,%0 : inc %0 ;夏妃立ち絵デフォ numalias NAT_DefB1,%0 : inc %0 ;夏妃立ち絵デフォ numalias NAT_WaraiA1,%0 :inc %0 ;笑い numalias NAT_WaraiB1,%0 :inc %0 ;笑い numalias NAT_WaraiA2,%0 :inc %0 ;笑い2 numalias NAT_WaraiB2,%0 :inc %0 ;笑い2 numalias NAT_IkariA1,%0 :inc %0 ;怒り numalias NAT_IkariB1,%0 :inc %0 ;怒り numalias NAT_IkariA2,%0 :inc %0 ;怒り numalias NAT_IkariA3,%0 :inc %0 ;怒り numalias NAT_OdorokiA1,%0 :inc %0 ;驚き numalias NAT_OdorokiB1,%0 :inc %0 ;驚き numalias NAT_OdorokiA2,%0 :inc %0 ;驚き2 numalias NAT_OdorokiB2,%0 :inc %0 ;驚き2 numalias NAT_OdorokiA3,%0 :inc %0 ;驚き3 numalias NAT_OdorokiA4,%0 :inc %0 ;驚き4 numalias NAT_MajimeA1,%0 :inc %0 ;真面目 numalias NAT_MajimeB1,%0 :inc %0 ;真面目 numalias NAT_MajimeA2,%0 :inc %0 ;真面目 numalias NAT_HisuA1,%0 :inc %0 ;ヒステリー numalias NAT_HisuB1,%0 :inc %0 ;ヒステリー numalias NAT_zutuuA1,%0 :inc %0 ;頭痛 numalias NAT_zutuuB1,%0 :inc %0 ;頭痛 numalias NAT_TukareA1,%0 :inc %0 ;疲れ numalias NAT_TukareB1,%0 :inc %0 ;疲れ numalias NAT_TukareA2,%0 :inc %0 ;疲れ numalias NAT_TukareB2,%0 :inc %0 ;疲れ numalias NAT_NayamuA1,%0 :inc %0 ;悩む numalias NAT_NayamuA2,%0 :inc %0 ;悩む numalias NAT_NayamuA3,%0 :inc %0 ;悩む numalias NAT_NakuA1,%0 :inc %0 ;泣く numalias NAT_NakuA2,%0 :inc %0 ;泣く numalias NAT_NakuA3,%0 :inc %0 ;泣く numalias NAT_NakuA4,%0 :inc %0 ;泣く numalias NAT_KomaruA1,%0 :inc %0 ;困る numalias NAT_DefA1G,%0 : inc %0 ;夏妃立ち絵デフォ numalias NAT_DefB1G,%0 : inc %0 ;夏妃立ち絵デフォ numalias NAT_WaraiA1G,%0 :inc %0 ;笑い numalias NAT_WaraiB1G,%0 :inc %0 ;笑い numalias NAT_IkariA1G,%0 :inc %0 ;怒り numalias NAT_IkariB1G,%0 :inc %0 ;怒り numalias NAT_OdorokiA1G,%0 :inc %0 ;驚き numalias NAT_OdorokiB1G,%0 :inc %0 ;驚き numalias NAT_MajimeA1G,%0 :inc %0 ;真面目 numalias NAT_MajimeB1G,%0 :inc %0 ;真面目 numalias NAT_WaraiA2G,%0 :inc %0 ;笑い2 numalias NAT_WaraiB2G,%0 :inc %0 ;笑い2 numalias NAT_HisuA1G,%0 :inc %0 ;ヒステリー numalias NAT_HisuB1G,%0 :inc %0 ;ヒステリー numalias NAT_OdorokiA2G,%0 :inc %0 ;驚き2 numalias NAT_OdorokiB2G,%0 :inc %0 ;驚き2 numalias NAT_zutuuA1G,%0 :inc %0 ;頭痛 numalias NAT_zutuuB1G,%0 :inc %0 ;頭痛 numalias NAT_TukareA1G,%0 :inc %0 ;疲れ numalias NAT_TukareB1G,%0 :inc %0 ;疲れ numalias NAT_TukareA2G,%0 :inc %0 ;疲れ numalias NAT_TukareB2G,%0 :inc %0 ;疲れ numalias NAT_IkariA2G,%0 :inc %0 ;怒り numalias NAT_IkariB2G,%0 :inc %0 ;怒り numalias NAT_IkariA3G,%0 :inc %0 ;怒り numalias NAT_IkariB3G,%0 :inc %0 ;怒り numalias NAT_KomaruA1G,%0 :inc %0 ;困る numalias NAT_KomaruB1G,%0 :inc %0 ;困る numalias NAT_MajimeA2G,%0 :inc %0 ;真面目 numalias NAT_MajimeB2G,%0 :inc %0 ;真面目 numalias NAT_NakuA1G,%0 :inc %0 ;泣く numalias NAT_NakuA2G,%0 :inc %0 ;泣く numalias NAT_NakuA3G,%0 :inc %0 ;泣く numalias NAT_NakuA4G,%0 :inc %0 ;泣く numalias NAT_NakuB1G,%0 :inc %0 ;泣く numalias NAT_NakuB2G,%0 :inc %0 ;泣く numalias NAT_NakuB3G,%0 :inc %0 ;泣く numalias NAT_NakuB4G,%0 :inc %0 ;泣く numalias NAT_NayamuA1G,%0 :inc %0 ;悩む numalias NAT_NayamuA2G,%0 :inc %0 ;悩む numalias NAT_NayamuA3G,%0 :inc %0 ;悩む numalias NAT_NayamuB1G,%0 :inc %0 ;悩む numalias NAT_NayamuB2G,%0 :inc %0 ;悩む numalias NAT_NayamuB3G,%0 :inc %0 ;悩む numalias NAT_OdorokiA3G,%0 :inc %0 ;驚き3 numalias NAT_OdorokiB3G,%0 :inc %0 ;驚き3 numalias NAT_OdorokiA4G,%0 :inc %0 ;驚き4 numalias NAT_OdorokiB4G,%0 :inc %0 ;驚き4 numalias NAT_N01,%0 :inc %0 ;夏妃・咲新表情1 numalias NAT_N02,%0 :inc %0 ;夏妃・咲新表情2 numalias NAT_N03,%0 :inc %0 ;夏妃・咲新表情3 numalias NAT_N04,%0 :inc %0 ;夏妃・咲新表情4 numalias NAT_N05,%0 :inc %0 ;夏妃・咲新表情5 numalias NAT_N06,%0 :inc %0 ;夏妃・咲新表情6 numalias NAT_N07,%0 :inc %0 ;夏妃・咲新表情7 numalias NAT_last_number,%0 :inc %0 ;夏妃の表情の番号の終端 numalias JES_start_number,%0 ;朱志香の表情の番号の開始 numalias JES_DefA1,%0 : inc %0 ;朱志香立ち絵デフォ numalias JES_DefA1h,%0 : inc %0 ;朱志香立ち絵デフォ numalias JES_DefA2,%0 : inc %0 ;朱志香立ち絵デフォ2 numalias JES_WaraiA1,%0 :inc %0 ;笑い numalias JES_WaraiA1h,%0 :inc %0 ;笑い numalias JES_WaraiA2,%0 :inc %0 ;笑い2 numalias JES_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias JES_IkariA1,%0 :inc %0 ;怒り numalias JES_IkariA1h,%0 :inc %0 ;怒り numalias JES_IkariA1ha,%0 :inc %0 ;怒り numalias JES_IkariA2,%0 :inc %0 ;怒り2 numalias JES_IkariA3,%0 :inc %0 ;怒り3 numalias JES_KomaruA1,%0 :inc %0 ;困る numalias JES_KomaruA2,%0 :inc %0 ;困る2 numalias JES_KomaruA3,%0 :inc %0 ;困る3 numalias JES_OdorokiA1,%0 :inc %0 ;驚き numalias JES_MajimeA1,%0 :inc %0 ;真面目 numalias JES_MajimeA1h,%0 :inc %0 ;真面目 numalias JES_atyaA1,%0 :inc %0 ;あちゃー numalias JES_AtyaA2,%0 :inc %0 numalias JES_AtyaA3,%0 :inc %0 numalias JES_futekiA1,%0 :inc %0 ;不敵 numalias JES_futekiA2,%0 :inc %0 ;不敵2 numalias JES_TohohoA1,%0 :inc %0 ;とほほ numalias JES_TohohoA2,%0 :inc %0 ;とほほ2 numalias JES_TohohoA3,%0 :inc %0 ;とほほ3 numalias JES_TohohoA3h,%0 :inc %0 ;とほほ3 numalias JES_TohohoA4,%0 :inc %0 ;とほほ4 numalias JES_NakuA1,%0 :inc %0 ;泣く numalias JES_NakuA2,%0 :inc %0 ;泣く2(半ベソ numalias JES_NakuA3,%0 :inc %0 numalias JES_NayamuA1,%0 :inc %0 ;悩む numalias JES_NayamuA1h,%0 :inc %0 ;悩む numalias JES_TereruA1,%0 :inc %0 numalias JES_TereruA2,%0 :inc %0 numalias JES_NakiWaraiA1,%0 :inc %0 numalias JES_AisoWaraiA1,%0 :inc %0 numalias JES_last_number,%0 :inc %0 ;朱志香の表情の番号の終端 numalias EVA_start_number,%0 ;絵羽の表情の番号の開始 numalias EVA_DefA1,%0 : inc %0 ;絵羽立ち絵デフォ numalias EVA_DefB1,%0 : inc %0 ;絵羽立ち絵デフォ numalias EVA_WaraiA1,%0 :inc %0 ;笑い numalias EVA_WaraiB1,%0 :inc %0 ;笑い numalias EVA_IkariA1,%0 :inc %0 ;怒り numalias EVA_IkariB1,%0 :inc %0 ;怒り numalias EVA_KomaruA1,%0 :inc %0 ;困る numalias EVA_KomaruB1,%0 :inc %0 ;困る numalias EVA_KomaruA2,%0 :inc %0 ;困る2 numalias EVA_KomaruA3,%0 :inc %0 ;困る3 numalias EVA_KomaruA4,%0 :inc %0 ;呆れ2 numalias EVA_MajimeA1,%0 :inc %0 ;真面目 numalias EVA_MajimeB1,%0 :inc %0 ;真面目 numalias EVA_hohoemiA1,%0 :inc %0 ;微笑み numalias EVA_hohoemiA2,%0 :inc %0 ;微笑み2 numalias EVA_akireA1,%0 :inc %0 ;呆れ numalias EVA_akireA2,%0 :inc %0 ;呆れ2 numalias EVA_IkariA2,%0 :inc %0 ;怒り numalias EVA_futekiA1,%0 :inc %0 ;不敵 numalias EVA_AkuwaraiA1,%0 :inc %0 ;呆れ numalias EVA_NakuA1,%0 :inc %0 ;泣く numalias EVA_NakuA2,%0 :inc %0 ;泣く2 numalias EVA_NakuA3,%0 :inc %0 ;泣く3 numalias EVA_NakuA4,%0 :inc %0 ;泣く4 numalias EVA_NakuA5,%0 :inc %0 ;泣く5 numalias EVA_OdorokiA1,%0 :inc %0 ;驚き numalias EVA_OdorokiA2,%0 :inc %0 ;驚き2 numalias EVA_DefA1G,%0 : inc %0 ;絵羽立ち絵デフォ numalias EVA_WaraiA1G,%0 :inc %0 ;笑い numalias EVA_IkariA1G,%0 :inc %0 ;怒り numalias EVA_IkariA2G,%0 :inc %0 ;怒り numalias EVA_KomaruA1G,%0 :inc %0 ;困る numalias EVA_KomaruA2G,%0 :inc %0 ;困る2 numalias EVA_KomaruA3G,%0 :inc %0 ;困る3 numalias EVA_KomaruA4G,%0 :inc %0 ;呆れ2 numalias EVA_MajimeA1G,%0 :inc %0 ;真面目 numalias EVA_hohoemiA1G,%0 :inc %0 ;微笑み numalias EVA_hohoemiA2G,%0 :inc %0 ;微笑み2 numalias EVA_akireA1G,%0 :inc %0 ;呆れ numalias EVA_akireA2G,%0 :inc %0 ;呆れ2 numalias EVA_futekiA1G,%0 :inc %0 ;不敵 numalias EVA_AkuwaraiA1G,%0 :inc %0 ;呆れ numalias EVA_NakuA1G,%0 :inc %0 ;泣く numalias EVA_NakuA2G,%0 :inc %0 ;泣く2 numalias EVA_OdorokiA1G,%0 :inc %0 ;驚き numalias EVA_OdorokiA2G,%0 :inc %0 ;驚き2 numalias EVA_NakuA3G,%0 :inc %0 ;泣き3 numalias EVA_NakuA4G,%0 :inc %0 ;泣き4 numalias EVA_NakuA5G,%0 :inc %0 ;泣き5 numalias EVA_DefB1G,%0 : inc %0 ;絵羽立ち絵デフォ numalias EVA_WaraiB1G,%0 :inc %0 ;笑い numalias EVA_IkariB1G,%0 :inc %0 ;怒り numalias EVA_IkariB2G,%0 :inc %0 ;怒り numalias EVA_KomaruB1G,%0 :inc %0 ;困る numalias EVA_KomaruB2G,%0 :inc %0 ;困る2 numalias EVA_KomaruB3G,%0 :inc %0 ;困る3 numalias EVA_KomaruB4G,%0 :inc %0 ;困る4 numalias EVA_MajimeB1G,%0 :inc %0 ;真面目 numalias EVA_hohoemiB1G,%0 :inc %0 ;微笑み numalias EVA_hohoemiB2G,%0 :inc %0 ;微笑み2 numalias EVA_akireB1G,%0 :inc %0 ;呆れ numalias EVA_akireB2G,%0 :inc %0 ;呆れ2 numalias EVA_futekiB1G,%0 :inc %0 ;不敵 numalias EVA_AkuwaraiB1G,%0 :inc %0 ;呆れ numalias EVA_NakuB1G,%0 :inc %0 ;泣き numalias EVA_NakuB2G,%0 :inc %0 ;泣き2 numalias EVA_OdorokiB1G,%0 :inc %0 ;驚き numalias EVA_OdorokiB2G,%0 :inc %0 ;驚き2 numalias EVA_NakuB3G,%0 :inc %0 ;泣き3 numalias EVA_NakuB4G,%0 :inc %0 ;泣き4 numalias EVA_NakuB5G,%0 :inc %0 ;泣き5 numalias EVA_last_number,%0 :inc %0 ;絵羽の表情の番号の終端 numalias HID_start_number,%0 ;秀吉の表情の番号の開始 numalias HID_DefA1,%0 : inc %0 ;秀吉立ち絵デフォ numalias HID_WaraiA1,%0 :inc %0 ;笑い numalias HID_WaraiA2,%0 :inc %0 ;笑い2 numalias HID_KomaruA1,%0 :inc %0 ;困る numalias HID_KomaruA2,%0 :inc %0 ;困る2 numalias HID_MajimeA1,%0 :inc %0 ;真面目 numalias HID_MajimeA2,%0 :inc %0 ;真面目2 numalias HID_fumuA1,%0 :inc %0 ;ふーむ numalias HID_NakuA1,%0 :inc %0 ;泣く numalias HID_NakuA2,%0 :inc %0 ;泣く2 numalias HID_NakuA3,%0 :inc %0 ;泣く3 numalias HID_NakuA4,%0 :inc %0 ;泣く4 numalias HID_DefA1G,%0 : inc %0 ;秀吉立ち絵デフォ numalias HID_WaraiA1G,%0 :inc %0 ;笑い numalias HID_WaraiA2G,%0 :inc %0 ;笑い2 numalias HID_KomaruA1G,%0 :inc %0 ;困る numalias HID_KomaruA2G,%0 :inc %0 ;困る2 numalias HID_MajimeA1G,%0 :inc %0 ;真面目 numalias HID_MajimeA2G,%0 :inc %0 ;真面目2 numalias HID_fumuA1G,%0 :inc %0 ;ふーむ numalias HID_NakuA1G,%0 :inc %0 ;泣く numalias HID_NakuA2G,%0 :inc %0 ;泣く2 numalias HID_NakuA3G,%0 :inc %0 ;泣く3 numalias HID_NakuA4G,%0 :inc %0 ;泣く4 numalias HID_last_number,%0 :inc %0 ;秀吉の表情の番号の終端 numalias GEO_start_number,%0 ;譲治の表情の番号の開始 numalias GEO_DefA1,%0 : inc %0 ;譲治立ち絵デフォ numalias GEO_WaraiA1,%0 :inc %0 ;笑い numalias GEO_KomaruA1,%0 :inc %0 ;困る numalias GEO_MajimeA1,%0 :inc %0 ;真面目 numalias GEO_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias GEO_AkuwaraiA1k,%0 :inc %0 ;悪笑い(曇りめがね numalias GEO_DefA1k,%0 : inc %0 ;デフォ(曇りめがね numalias GEO_nakuA1,%0 :inc %0 ;泣く numalias GEO_nakuA1k,%0 :inc %0 ;泣く(曇りめがね numalias GEO_nakuA2,%0 :inc %0 ;泣く2 numalias GEO_nakuA2k,%0 :inc %0 ;泣く2(曇りめがね numalias GEO_HohoemiA1,%0 :inc %0 ;微笑み numalias GEO_HohoemiA1k,%0 :inc %0 ;微笑み(曇りめがね numalias GEO_KomaruA1k,%0 :inc %0 ;困る(曇りめがね numalias GEO_WaraiA1k,%0 :inc %0 ;笑い(曇りめがね numalias GEO_WaraiA2,%0 :inc %0 ;笑い2 numalias GEO_WaraiA2k,%0 :inc %0 ;笑い2(曇りめがね numalias GEO_MajimeA1k,%0 :inc %0 ;真面目(曇りめがね numalias GEO_MajimeA2,%0 :inc %0 ;真面目2 numalias GEO_MajimeA2k,%0 :inc %0 ;真面目2(曇りめがね numalias GEO_MajimeA3,%0 :inc %0 ;真面目3 numalias GEO_MajimeA3k,%0 :inc %0 ;真面目3(曇りめがね numalias GEO_MajimeA4,%0 :inc %0 ;真面目4 numalias GEO_MajimeA4k,%0 :inc %0 ;真面目4(曇りめがね numalias GEO_MajimeA5,%0 :inc %0 ;真面目5 numalias GEO_MajimeA5k,%0 :inc %0 ;真面目5(曇りめがね numalias GEO_KomaruA2,%0 :inc %0 numalias GEO_KomaruA2k,%0 :inc %0 numalias GEO_KomaruA3,%0 :inc %0 numalias GEO_KomaruA3k,%0 :inc %0 numalias GEO_KomaruA4,%0 :inc %0 numalias GEO_KomaruA4k,%0 :inc %0 numalias GEO_KomaruA5,%0 :inc %0 numalias GEO_KomaruA5k,%0 :inc %0 numalias GEO_IkariA1,%0 :inc %0 numalias GEO_IkariA1k,%0 :inc %0 numalias GEO_IkariA2,%0 :inc %0 numalias GEO_IkariA2k,%0 :inc %0 numalias GEO_IkariA3,%0 :inc %0 numalias GEO_IkariA3k,%0 :inc %0 numalias GEO_IkariA4,%0 :inc %0 numalias GEO_IkariA4k,%0 :inc %0 numalias GEO_NiramuA1,%0 :inc %0 numalias GEO_NiramuA1k,%0 :inc %0 numalias GEO_last_number,%0 :inc %0 ;譲治の表情の番号の終端 numalias RUD_start_number,%0 ;留弗夫の表情の番号の開始 numalias RUD_DefA1,%0 : inc %0 ;留弗夫立ち絵デフォ numalias RUD_DefA2,%0 : inc %0 ;留弗夫立ち絵デフォ2 numalias RUD_WaraiA1,%0 :inc %0 ;笑い numalias RUD_WaraiA2,%0 :inc %0 ;笑い2 numalias RUD_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias RUD_AkuwaraiA2,%0 :inc %0 ;悪笑い2 numalias RUD_KomaruA1,%0 :inc %0 ;困る numalias RUD_MajimeA1,%0 :inc %0 ;真面目 numalias RUD_MajimeA2,%0 :inc %0 ;真面目2 numalias RUD_OdorokiA1,%0 :inc %0 ;驚き numalias RUD_NayamuA1,%0 :inc %0 ;悩む numalias RUD_NakuA1,%0 :inc %0 ;泣く numalias RUD_NakuA2,%0 :inc %0 ;泣く2 numalias RUD_NakuA3,%0 :inc %0 ;泣く3 numalias RUD_NakuA4,%0 :inc %0 ;泣く4 numalias RUD_NakuA5,%0 :inc %0 ;泣く5 numalias RUD_NakuA6,%0 :inc %0 ;泣く6 numalias RUD_IkariA1,%0 :inc %0 ;怒り1 numalias RUD_IkariA2,%0 :inc %0 ;怒り2 numalias RUD_DefA1G,%0 : inc %0 ;留弗夫立ち絵デフォ numalias RUD_DefA2G,%0 : inc %0 ;留弗夫立ち絵デフォ2 numalias RUD_WaraiA1G,%0 :inc %0 ;笑い numalias RUD_WaraiA2G,%0 :inc %0 ;笑い2 numalias RUD_AkuwaraiA1G,%0 :inc %0 ;悪笑い numalias RUD_AkuwaraiA2G,%0 :inc %0 ;悪笑い2 numalias RUD_KomaruA1G,%0 :inc %0 ;困る numalias RUD_MajimeA1G,%0 :inc %0 ;真面目 numalias RUD_MajimeA2G,%0 :inc %0 ;真面目2 numalias RUD_OdorokiA1G,%0 :inc %0 ;驚き numalias RUD_NayamuA1G,%0 :inc %0 ;悩む numalias RUD_NakuA1G,%0 :inc %0 ;泣く numalias RUD_NakuA2G,%0 :inc %0 ;泣く2 numalias RUD_NakuA3G,%0 :inc %0 ;泣く3 numalias RUD_NakuA4G,%0 :inc %0 ;泣く4 numalias RUD_NakuA5G,%0 :inc %0 ;泣く5 numalias RUD_NakuA6G,%0 :inc %0 ;泣く6 numalias RUD_IkariA1G,%0 :inc %0 ;怒り1 numalias RUD_IkariA2G,%0 :inc %0 ;怒り2 numalias RUD_DefB1G,%0 : inc %0 ;留弗夫立ち絵デフォ numalias RUD_DefB2G,%0 : inc %0 ;留弗夫立ち絵デフォ2 numalias RUD_WaraiB1G,%0 :inc %0 ;笑い numalias RUD_WaraiB2G,%0 :inc %0 ;笑い2 numalias RUD_AkuwaraiB1G,%0 :inc %0 ;悪笑い numalias RUD_AkuwaraiB2G,%0 :inc %0 ;悪笑い2 numalias RUD_KomaruB1G,%0 :inc %0 ;困る numalias RUD_MajimeB1G,%0 :inc %0 ;真面目 numalias RUD_MajimeB2G,%0 :inc %0 ;真面目2 numalias RUD_OdorokiB1G,%0 :inc %0 ;驚き numalias RUD_NayamuB1G,%0 :inc %0 ;悩む numalias RUD_NakuB1G,%0 :inc %0 ;泣く numalias RUD_NakuB2G,%0 :inc %0 ;泣く2 numalias RUD_NakuB3G,%0 :inc %0 ;泣く3 numalias RUD_NakuB4G,%0 :inc %0 ;泣く4 numalias RUD_NakuB5G,%0 :inc %0 ;泣く5 numalias RUD_NakuB6G,%0 :inc %0 ;泣く6 numalias RUD_IkariB1G,%0 :inc %0 ;怒り1 numalias RUD_IkariB2G,%0 :inc %0 ;怒り2 numalias RUD_last_number,%0 :inc %0 ;留弗夫の表情の番号の終端 numalias KIR_start_number,%0 ;霧江の表情の番号の開始 numalias KIR_DefA1,%0 : inc %0 ;霧江立ち絵デフォ numalias KIR_WaraiA1,%0 :inc %0 ;笑い numalias KIR_MajimeA1,%0 :inc %0 ;真面目 numalias KIR_KomaruA1,%0 :inc %0 ;困る numalias KIR_KomaruA2,%0 :inc %0 ;困る2 numalias KIR_MajimeA2,%0 : inc %0 ;真面目2 numalias KIR_NayamuA1,%0 :inc %0 ;悩む numalias KIR_futekiA1,%0 :inc %0 ;不敵 numalias KIR_WaraiA2,%0 :inc %0 ;笑い2 numalias KIR_SakebuA1,%0 :inc %0 ;叫ぶ numalias KIR_DefB1G,%0 : inc %0 ;霧江立ち絵デフォ numalias KIR_WaraiB1G,%0 :inc %0 ;笑い numalias KIR_MajimeB1G,%0 :inc %0 ;真面目 numalias KIR_KomaruB1G,%0 :inc %0 ;困る numalias KIR_KomaruB2G,%0 :inc %0 ;困る2 numalias KIR_MajimeB2G,%0 : inc %0 ;真面目2 numalias KIR_NayamuB1G,%0 :inc %0 ;悩む numalias KIR_futekiB1G,%0 :inc %0 ;不敵 numalias KIR_WaraiB2G,%0 :inc %0 ;笑い2 numalias KIR_SakebuB1G,%0 :inc %0 ;叫ぶ numalias KIR_last_number,%0 :inc %0 ;霧江の表情の番号の終端 numalias BUT_start_number,%0 ;戦人の表情の番号の開始 numalias BUT_DefA1,%0 : inc %0 ;戦人立ち絵デフォ numalias BUT_DefA2,%0 : inc %0 ;戦人立ち絵デフォ2 numalias BUT_DefA3,%0 : inc %0 ;戦人立ち絵デフォ3 numalias BUT_WaraiA1,%0 :inc %0 ;笑い numalias BUT_WaraiA2,%0 :inc %0 ;笑い2 numalias BUT_WaraiA3,%0 :inc %0 ;笑い3 numalias BUT_KomaruA1,%0 :inc %0 ;困る numalias BUT_KomaruA2,%0 :inc %0 ;困る2 numalias BUT_KomaruA3,%0 :inc %0 ;困る3 numalias BUT_OdorokiA1,%0 :inc %0 ;驚き numalias BUT_OdorokiA2,%0 :inc %0 ;驚き2 numalias BUT_OdorokiA3,%0 :inc %0 ;驚き3 numalias BUT_MajimeA1,%0 :inc %0 ;真面目 numalias BUT_MajimeA2,%0 :inc %0 numalias BUT_MajimeA3,%0 :inc %0 numalias BUT_MajimeA4,%0 :inc %0 numalias BUT_MajimeA5,%0 :inc %0 numalias BUT_MajimeA6,%0 :inc %0 numalias BUT_MajimeA6R,%0 :inc %0 numalias BUT_MajimeA7,%0 :inc %0 numalias BUT_NakuA1,%0 :inc %0 ;泣く numalias BUT_NakuA2,%0 :inc %0 numalias BUT_NakuA3,%0 :inc %0 numalias BUT_NakuA3R,%0 :inc %0 numalias BUT_OyaA1,%0 :inc %0 ;おや? numalias BUT_OyaA2,%0 :inc %0 ;おや?2 numalias BUT_kuyasigaruA1,%0 :inc %0 ;悔しがる numalias BUT_AseruA1,%0 :inc %0 ;焦る numalias BUT_AseruA2,%0 :inc %0 ;焦る2 numalias BUT_AseruA3,%0 :inc %0 ;焦る3 numalias BUT_AseruA4,%0 :inc %0 ;焦る3 numalias BUT_AseruA5,%0 :inc %0 ;焦る3 numalias BUT_AseruA5R,%0 :inc %0 ;焦る3 numalias BUT_AseruA6,%0 :inc %0 ;焦る3 numalias BUT_NayamuA1,%0 :inc %0 ;悩む numalias BUT_NayamuA1R,%0 :inc %0 ;悩む numalias BUT_NayamuA2,%0 :inc %0 ;悩む2 numalias BUT_NayamuA3,%0 :inc %0 numalias BUT_NayamuA4,%0 :inc %0 numalias BUT_NayamuA4R,%0 :inc %0 numalias BUT_NayamuA5,%0 :inc %0 numalias BUT_NiramuA1,%0 :inc %0 numalias BUT_NiramuA2,%0 :inc %0 numalias BUT_NiramuA3,%0 :inc %0 numalias BUT_NiramuA4,%0 :inc %0 numalias BUT_FutekiA1,%0 :inc %0 numalias BUT_FutekiA2,%0 :inc %0 numalias BUT_FutekiA3,%0 :inc %0 numalias BUT_FutekiA4,%0 :inc %0 numalias BUT_FutekiA5,%0 :inc %0 numalias BUT_SakebuA1,%0 :inc %0 numalias BUT_SakebuA2,%0 :inc %0 numalias BUT_DefB1,%0 : inc %0 ;戦人立ち絵デフォ numalias BUT_DefB2,%0 : inc %0 ;戦人立ち絵デフォ2 numalias BUT_DefB3,%0 : inc %0 ;戦人立ち絵デフォ3 numalias BUT_WaraiB1,%0 :inc %0 ;笑い numalias BUT_WaraiB2,%0 :inc %0 ;笑い2 numalias BUT_WaraiB3,%0 :inc %0 ;笑い3 numalias BUT_KomaruB1,%0 :inc %0 ;困る numalias BUT_KomaruB2,%0 :inc %0 ;困る2 numalias BUT_KomaruB3,%0 :inc %0 ;困る3 numalias BUT_OdorokiB1,%0 :inc %0 ;驚き numalias BUT_OdorokiB2,%0 :inc %0 ;驚き2 numalias BUT_OdorokiB3,%0 :inc %0 ;驚き3 numalias BUT_MajimeB1,%0 :inc %0 ;真面目 numalias BUT_MajimeB2,%0 :inc %0 numalias BUT_MajimeB3,%0 :inc %0 numalias BUT_MajimeB4,%0 :inc %0 numalias BUT_MajimeB5,%0 :inc %0 numalias BUT_MajimeB6,%0 :inc %0 numalias BUT_MajimeB7,%0 :inc %0 numalias BUT_NakuB1,%0 :inc %0 numalias BUT_NakuB2,%0 :inc %0 numalias BUT_NakuB3,%0 :inc %0 numalias BUT_OyaB1,%0 :inc %0 ;おや? numalias BUT_OyaB2,%0 :inc %0 ;おや? numalias BUT_kuyasigaruB1,%0 :inc %0 ;悔しがる numalias BUT_AseruB1,%0 :inc %0 ;焦る numalias BUT_AseruB2,%0 :inc %0 ;焦る numalias BUT_AseruB3,%0 :inc %0 ;焦る numalias BUT_AseruB4,%0 :inc %0 ;焦る numalias BUT_AseruB5,%0 :inc %0 ;焦る numalias BUT_NayamuB1,%0 :inc %0 ;悩む numalias BUT_NayamuB2,%0 :inc %0 ;悩む2 numalias BUT_NayamuB3,%0 :inc %0 numalias BUT_NayamuB4,%0 :inc %0 numalias BUT_NayamuB5,%0 :inc %0 numalias BUT_NiramuB1,%0 :inc %0 numalias BUT_NiramuB2,%0 :inc %0 numalias BUT_NiramuB3,%0 :inc %0 numalias BUT_NiramuB4,%0 :inc %0 numalias BUT_FutekiB1,%0 :inc %0 numalias BUT_FutekiB2,%0 :inc %0 numalias BUT_FutekiB3,%0 :inc %0 numalias BUT_FutekiB4,%0 :inc %0 numalias BUT_FutekiB5,%0 :inc %0 numalias BUT_SakebuB1,%0 :inc %0 numalias BUT_SakebuB2,%0 :inc %0 numalias BUT_last_number,%0 :inc %0 ;戦人の表情の番号の終端 numalias ROS_start_number,%0 ;楼座の表情の番号の開始 numalias ROS_DefA1,%0 : inc %0 ;楼座立ち絵デフォ numalias ROS_WaraiA1,%0 :inc %0 ;笑い numalias ROS_IkariA1,%0 :inc %0 ;怒り numalias ROS_KomaruA1,%0 :inc %0 ;困る numalias ROS_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias ROS_MajimeA1,%0 :inc %0 ;真面目 numalias ROS_WaraiA2,%0 :inc %0 ;笑い2 numalias ROS_KomaruA2,%0 :inc %0 ;困る2 numalias ROS_KomaruA3,%0 :inc %0 ;困る3 numalias ROS_NayamuA1,%0 :inc %0 ;悩む numalias ROS_KomaruA4,%0 :inc %0 numalias ROS_OdorokiA1,%0 :inc %0 numalias ROS_IkariA2,%0 :inc %0 numalias ROS_IkariA3,%0 :inc %0 numalias ROS_IkariA4,%0 :inc %0 numalias ROS_AseruA1,%0 :inc %0 numalias ROS_DefA1G,%0 : inc %0 ;楼座立ち絵デフォ numalias ROS_WaraiA1G,%0 :inc %0 ;笑い numalias ROS_IkariA1G,%0 :inc %0 ;怒り numalias ROS_KomaruA1G,%0 :inc %0 ;困る numalias ROS_AkuwaraiA1G,%0 :inc %0 ;悪笑い numalias ROS_MajimeA1G,%0 :inc %0 ;真面目 numalias ROS_WaraiA2G,%0 :inc %0 ;笑い2 numalias ROS_KomaruA2G,%0 :inc %0 ;困る2 numalias ROS_KomaruA3G,%0 :inc %0 ;困る3 numalias ROS_NayamuA1G,%0 :inc %0 ;悩む numalias ROS_KomaruA4G,%0 :inc %0 numalias ROS_OdorokiA1G,%0 :inc %0 numalias ROS_IkariA2G,%0 :inc %0 numalias ROS_IkariA3G,%0 :inc %0 numalias ROS_IkariA4G,%0 :inc %0 numalias ROS_AseruA1G,%0 :inc %0 numalias ROS_DefB1G,%0 : inc %0 ;楼座立ち絵デフォ numalias ROS_WaraiB1G,%0 :inc %0 ;笑い numalias ROS_IkariB1G,%0 :inc %0 ;怒り numalias ROS_KomaruB1G,%0 :inc %0 ;困る numalias ROS_AkuwaraiB1G,%0 :inc %0 ;悪笑い numalias ROS_MajimeB1G,%0 :inc %0 ;真面目 numalias ROS_WaraiB2G,%0 :inc %0 ;笑い2 numalias ROS_KomaruB2G,%0 :inc %0 ;困る2 numalias ROS_KomaruB3G,%0 :inc %0 ;困る3 numalias ROS_NayamuB1G,%0 :inc %0 ;悩む numalias ROS_KomaruB4G,%0 :inc %0 numalias ROS_OdorokiB1G,%0 :inc %0 numalias ROS_IkariB2G,%0 :inc %0 numalias ROS_IkariB3G,%0 :inc %0 numalias ROS_IkariB4G,%0 :inc %0 numalias ROS_AseruB1G,%0 :inc %0 ;numalias ROS_NakuA1,%0 :inc %0 ;EP7 泣き顔 (叫ぶ? ;numalias ROS_NakuA2,%0 :inc %0 ;EP7 泣き顔 (耐える numalias ROS_last_number,%0 :inc %0 ;楼座の表情の番号の終端 numalias MAR_start_number,%0 ;真里亜の表情の番号の開始 numalias MAR_DefA1,%0 : inc %0 ;真里亞立ち絵デフォ numalias MAR_DefA1k,%0 : inc %0 ;真っ黒真里亞立ち絵デフォ numalias MAR_WaraiA1,%0 :inc %0 ;笑い numalias MAR_WaraiA2,%0 :inc %0 ;笑い2 numalias MAR_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias MAR_AkuwaraiA2,%0 :inc %0 ;悪笑い2 numalias MAR_AkuwaraiA3,%0 :inc %0 ;悪笑い3 numalias MAR_IkariA1,%0 :inc %0 ;怒り numalias MAR_IkariA2,%0 :inc %0 ;怒り numalias MAR_IkariA3,%0 :inc %0 ;怒り numalias MAR_KomaruA1,%0 :inc %0 ;困る numalias MAR_OdorokiA1,%0 :inc %0 ;驚き numalias MAR_MajimeA1,%0 :inc %0 ;真面目 numalias MAR_NakuA1,%0 :inc %0 ;泣く numalias MAR_niyariA1,%0 :inc %0 ;ニヤリ numalias MAR_niyariA2,%0 :inc %0 ;ニヤリ2 numalias MAR_fukigenA1,%0 :inc %0 ;不機嫌 numalias MAR_fukigenA2,%0 :inc %0 ;不機嫌2 numalias MAR_SakebuA1,%0 :inc %0 ;叫ぶ numalias MAR_last_number,%0 :inc %0 ;真里亜の表情の番号の終端 numalias NAN_start_number,%0 ;南条の表情の番号の開始 numalias NAN_DefA1,%0 : inc %0 ;南条立ち絵デフォ numalias NAN_KomaruA1,%0 :inc %0 ;困る numalias NAN_MajimeA1,%0 :inc %0 ;真面目 numalias NAN_MajimeA2,%0 :inc %0 ;真面目2 numalias NAN_KomaruA2,%0 :inc %0 ;困る2 numalias NAN_KomaruA3,%0 :inc %0 ;困る3 numalias NAN_KomaruA4,%0 :inc %0 ;困る4 numalias NAN_fumuA1,%0 :inc %0 ;ふーむ numalias NAN_last_number,%0 :inc %0 ;南条の表情の番号の終端 numalias GEN_start_number,%0 ;源次の表情の番号の開始 numalias GEN_DefA1,%0 : inc %0 ;源次立ち絵デフォ numalias GEN_KomaruA1,%0 :inc %0 ;困る numalias GEN_MajimeA1,%0 :inc %0 ;真面目 numalias GEN_OdorokiA1,%0 :inc %0 ;驚き numalias GEN_MajimeA2,%0 :inc %0 ;真面目2 numalias GEN_last_number,%0 :inc %0 ;源次の表情の番号の終端 numalias KUM_start_number,%0 ;熊沢の表情の番号の開始 numalias KUM_DefA1,%0 : inc %0 ;熊沢立ち絵デフォ numalias KUM_DefA2,%0 : inc %0 ;熊沢立ち絵デフォ2 numalias KUM_WaraiA1,%0 :inc %0 ;笑い numalias KUM_KomaruA1,%0 :inc %0 ;困る numalias KUM_OdorokiA1,%0 :inc %0 ;驚き numalias KUM_MajimeA1,%0 :inc %0 ;真面目 numalias KUM_MajimeA2,%0 :inc %0 ;真面目2 numalias KUM_WaraiA2,%0 :inc %0 ;笑い2 numalias KUM_last_number,%0 :inc %0 ;熊沢の表情の番号の終端 numalias GOH_start_number,%0 ;俊郎の表情の番号の開始 numalias GOH_DefA1,%0 : inc %0 ;俊朗立ち絵デフォ numalias GOH_WaraiA1,%0 :inc %0 ;笑い numalias GOH_MajimeA1,%0 :inc %0 ;真面目 numalias GOH_hohoemiA1,%0 :inc %0 ;微笑み numalias GOH_hohoemiA2,%0 :inc %0 ;微笑み2 numalias GOH_omakaseA1,%0 :inc %0 ;お任せください numalias GOH_omakaseA2,%0 :inc %0 ;お任せください2 numalias GOH_omakaseA3,%0 :inc %0 ;お任せください3 numalias GOH_IkariA1,%0 :inc %0 ;怒り numalias GOH_IkariA2,%0 :inc %0 ;怒り2 numalias GOH_OdorokiA1,%0 :inc %0 ;驚き numalias GOH_IiwakeA1,%0 :inc %0 numalias GOH_IiwakeA2,%0 :inc %0 numalias GOH_KomaruA1,%0 :inc %0 numalias GOH_KomaruA2,%0 :inc %0 numalias GOH_KomaruA3,%0 :inc %0 numalias GOH_last_number,%0 :inc %0 ;俊郎の表情の番号の終端 numalias SHA_start_number,%0 ;紗音の表情の番号の開始 numalias SHA_DefA1,%0 : inc %0 ;紗音立ち絵デフォ numalias SHA_DefA1h,%0 : inc %0 ;紗音立ち絵デフォ numalias SHA_WaraiA1,%0 :inc %0 ;笑い numalias SHA_WaraiA1h,%0 :inc %0 ;笑い numalias SHA_KomaruA1,%0 :inc %0 ;困る numalias SHA_OdorokiA1,%0 :inc %0 ;驚き numalias SHA_MajimeA1,%0 :inc %0 ;真面目 numalias SHA_HajiraiA1,%0 :inc %0 ;恥じらい numalias SHA_WaraiA2,%0 :inc %0 ;笑い2 numalias SHA_WaraiA3,%0 :inc %0 ;笑い3 numalias SHA_MajimeA2,%0 :inc %0 ;真面目2 numalias SHA_HajiraiA2,%0 :inc %0 ;恥じらい2 numalias SHA_HajiraiA3,%0 :inc %0 ;恥じらい3 numalias SHA_fumanA1,%0 :inc %0 ;不満 numalias SHA_tokuiA1,%0 :inc %0 ;得意 numalias SHA_OdorokiA2,%0 :inc %0 ;驚き2 numalias SHA_MajimeA3,%0 :inc %0 numalias SHA_IkariA1,%0 :inc %0 numalias SHA_IkariA2,%0 :inc %0 numalias SHA_last_number,%0 :inc %0 ;紗音の表情の番号の終端 numalias KAN_start_number,%0 ;嘉音の表情の番号の開始 numalias KAN_DefA1,%0 : inc %0 ;嘉音立ち絵デフォ numalias KAN_DefA2,%0 : inc %0 ;嘉音立ち絵デフォ2 numalias KAN_IkariA1,%0 :inc %0 ;怒り numalias KAN_KomaruA1,%0 :inc %0 ;困る numalias KAN_KomaruA2,%0 :inc %0 ;困る numalias KAN_KomaruA3,%0 :inc %0 ;困る numalias KAN_OdorokiA1,%0 :inc %0 ;驚き numalias KAN_MajimeA1,%0 :inc %0 ;真面目 numalias KAN_OdorokiA2,%0 :inc %0 ;驚き2 numalias KAN_NayamuA1,%0 : inc %0 ;悩む numalias KAN_FumanA1,%0 : inc %0 numalias KAN_FumanA2,%0 : inc %0 numalias KAN_IkariA2,%0 : inc %0 numalias KAN_IkariA3,%0 : inc %0 numalias KAN_WaraiA1,%0 : inc %0 numalias KAN_WaraiA2,%0 : inc %0 numalias KAN_WaraiA3,%0 : inc %0 numalias KAN_WaraiA4,%0 : inc %0 numalias KAN_DefA1B,%0 : inc %0 ;嘉音立ち絵デフォ 魔法の刃装備 blade numalias KAN_DefA2B,%0 : inc %0 ;嘉音立ち絵デフォ2 numalias KAN_IkariA1B,%0 :inc %0 ;怒り numalias KAN_KomaruA1B,%0 :inc %0 ;困る numalias KAN_OdorokiA1B,%0 :inc %0 ;驚き numalias KAN_MajimeA1B,%0 :inc %0 ;真面目 numalias KAN_OdorokiA2B,%0 :inc %0 ;驚き2 numalias KAN_NayamuA1B,%0 : inc %0 ;悩む numalias KAN_FumanA1B,%0 : inc %0 numalias KAN_FumanA2B,%0 : inc %0 numalias KAN_IkariA2B,%0 : inc %0 numalias KAN_IkariA3B,%0 : inc %0 numalias KAN_last_number,%0 :inc %0 ;嘉音の表情の番号の終端 ;偽嘉音 numalias KA2_start_number,%0 ;偽嘉音の表情の番号の開始 numalias KA2_DefA1,%0 : inc %0 ;嘉音立ち絵デフォ numalias KA2_DefA2,%0 : inc %0 ;嘉音立ち絵デフォ2 numalias KA2_IkariA1,%0 :inc %0 ;怒り numalias KA2_KomaruA1,%0 :inc %0 ;困る numalias KA2_OdorokiA1,%0 :inc %0 ;驚き numalias KA2_MajimeA1,%0 :inc %0 ;真面目 numalias KA2_OdorokiA2,%0 :inc %0 ;驚き2 numalias KA2_NayamuA1,%0 : inc %0 ;悩む numalias KA2_FumanA1,%0 : inc %0 numalias KA2_FumanA2,%0 : inc %0 numalias KA2_IkariA2,%0 : inc %0 numalias KA2_IkariA3,%0 : inc %0 numalias KA2_DefA1B,%0 : inc %0 ;嘉音立ち絵デフォ 魔法の刃装備 blade numalias KA2_DefA2B,%0 : inc %0 ;嘉音立ち絵デフォ2 numalias KA2_IkariA1B,%0 :inc %0 ;怒り numalias KA2_KomaruA1B,%0 :inc %0 ;困る numalias KA2_OdorokiA1B,%0 :inc %0 ;驚き numalias KA2_MajimeA1B,%0 :inc %0 ;真面目 numalias KA2_OdorokiA2B,%0 :inc %0 ;驚き2 numalias KA2_NayamuA1B,%0 : inc %0 ;悩む numalias KA2_FumanA1B,%0 : inc %0 numalias KA2_FumanA2B,%0 : inc %0 numalias KA2_IkariA2B,%0 : inc %0 numalias KA2_IkariA3B,%0 : inc %0 numalias KA2_last_number,%0 :inc %0 ;偽嘉音の表情の番号の終端 numalias BEA_start_number,%0 ;ベアトリーチェの表情の番号の開始 numalias BEA_DefA1,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ numalias BEA_DefA2,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ2 numalias BEA_DefA3,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ3 numalias BEA_WaraiA1,%0 :inc %0 ;笑い numalias BEA_WaraiA2,%0 :inc %0 ;笑い2 numalias BEA_WaraiA3,%0 :inc %0 ;笑い3 numalias BEA_WaraiA4,%0 :inc %0 numalias BEA_WaraiA5,%0 :inc %0 numalias BEA_WaraiA6,%0 :inc %0 numalias BEA_WaraiA7,%0 :inc %0 numalias BEA_WaraiA8,%0 :inc %0 numalias BEA_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias BEA_AkuwaraiA2,%0 :inc %0 ;悪笑い2 numalias BEA_AkuwaraiA3,%0 :inc %0 ;悪笑い3 numalias BEA_AkuwaraiA4,%0 :inc %0 ;悪笑い4 numalias BEA_AkuwaraiA5,%0 :inc %0 ;悪笑い5 numalias BEA_FutekiA1,%0 :inc %0 ;不敵 numalias BEA_FutekiA2,%0 :inc %0 ;不敵 numalias BEA_OdorokiA1,%0 :inc %0 ;驚き numalias BEA_OdorokiA2,%0 :inc %0 ;驚き2 numalias BEA_OdorokiA3,%0 :inc %0 ;驚き3 numalias BEA_OdorokiA4,%0 :inc %0 ;驚き4 numalias BEA_OdorokiA5,%0 :inc %0 ;驚き5 numalias BEA_FukigenA1,%0 :inc %0 ;不機嫌 numalias BEA_FukigenA2,%0 :inc %0 ;不機嫌2 numalias BEA_FukigenA3,%0 :inc %0 ;不機嫌3 numalias BEA_AseruA1,%0 :inc %0 ;焦る numalias BEA_AseruA2,%0 :inc %0 ;焦る numalias BEA_AseruA3,%0 :inc %0 ;焦る numalias BEA_AseruA4,%0 :inc %0 ;焦る numalias BEA_NayamuA1,%0 :inc %0 ;悩む numalias BEA_NayamuA2,%0 :inc %0 ;悩む2 numalias BEA_NayamuA3,%0 :inc %0 ;悩む3 numalias BEA_NayamuA4,%0 :inc %0 ;悩む4 numalias BEA_KomaruA1,%0 :inc %0 ;困る numalias BEA_KomaruA2,%0 :inc %0 ;困る2 numalias BEA_KomaruA3,%0 :inc %0 numalias BEA_KomaruA4,%0 :inc %0 numalias BEA_IiwakeA1,%0 :inc %0 ;良い訳 numalias BEA_IiwakeA2,%0 :inc %0 ;良い訳2 numalias BEA_IiwakeA3,%0 :inc %0 numalias BEA_HanbesoA1,%0 :inc %0 ;半ベソ numalias BEA_HanbesoA2,%0 :inc %0 ;半ベソ2 numalias BEA_HanbesoA3,%0 :inc %0 ;半ベソ3 numalias BEA_HanbesoA4,%0 :inc %0 ;半ベソ4 numalias BEA_HanbesoA5,%0 :inc %0 ;半ベソ5 numalias BEA_HanbesoA6,%0 :inc %0 ;半ベソ6 numalias BEA_GamanA1,%0 :inc %0 numalias BEA_GamanA2,%0 :inc %0 numalias BEA_GamanA3,%0 :inc %0 numalias BEA_GamanA4,%0 :inc %0 numalias BEA_GamanA5,%0 :inc %0 numalias BEA_GamanA6,%0 :inc %0 numalias BEA_GamanA7,%0 :inc %0 numalias BEA_MajimeA1,%0 :inc %0 numalias BEA_MajimeA2,%0 :inc %0 numalias BEA_MajimeA3,%0 :inc %0 numalias BEA_MajimeA4,%0 :inc %0 numalias BEA_MajimeA5,%0 :inc %0 numalias BEA_MajimeA6,%0 :inc %0 numalias BEA_MajimeA7,%0 :inc %0 numalias BEA_FumanA1,%0 :inc %0 numalias BEA_FumanA2,%0 :inc %0 numalias BEA_FumanA3,%0 :inc %0 numalias BEA_IkariA1,%0 :inc %0 numalias BEA_IkariA2,%0 :inc %0 numalias BEA_NakuA1,%0 :inc %0 numalias BEA_NakuA2,%0 :inc %0 numalias BEA_NakuA3,%0 :inc %0 numalias BEA_HousinA1,%0 :inc %0 numalias BEA_HousinA2,%0 :inc %0 numalias BEA_HousinA3,%0 :inc %0 numalias BEA_ArigatouA1,%0 :inc %0 numalias BEA_HajiraiA1,%0 :inc %0 numalias BEA_HajiraiA2,%0 :inc %0 numalias BEA_HajiraiA3,%0 :inc %0 numalias BEA_DefB1,%0 : inc %0 ;ベアトリーチェ腕おろしデフォ numalias BEA_DefB2,%0 : inc %0 ;ベアトリーチェ腕おろしデフォ2 numalias BEA_DefB3,%0 : inc %0 ;ベアトリーチェ腕おろしデフォ3 numalias BEA_WaraiB1,%0 :inc %0 ;笑い numalias BEA_WaraiB2,%0 :inc %0 ;笑い2 numalias BEA_WaraiB3,%0 :inc %0 ;笑い3 numalias BEA_WaraiB4,%0 :inc %0 numalias BEA_WaraiB5,%0 :inc %0 numalias BEA_WaraiB6,%0 :inc %0 numalias BEA_WaraiB7,%0 :inc %0 numalias BEA_WaraiB8,%0 :inc %0 numalias BEA_AkuwaraiB1,%0 :inc %0 ;悪笑い numalias BEA_AkuwaraiB2,%0 :inc %0 ;悪笑い2 numalias BEA_AkuwaraiB3,%0 :inc %0 ;悪笑い3 numalias BEA_AkuwaraiB4,%0 :inc %0 ;悪笑い4 numalias BEA_AkuwaraiB5,%0 :inc %0 ;悪笑い5 numalias BEA_FutekiB1,%0 :inc %0 ;不敵 numalias BEA_FutekiB2,%0 :inc %0 ;不敵 numalias BEA_OdorokiB1,%0 :inc %0 ;驚き numalias BEA_OdorokiB2,%0 :inc %0 ;驚き2 numalias BEA_OdorokiB3,%0 :inc %0 ;驚き3 numalias BEA_OdorokiB4,%0 :inc %0 ;驚き4 numalias BEA_OdorokiB5,%0 :inc %0 ;驚き5 numalias BEA_FukigenB1,%0 :inc %0 ;不機嫌 numalias BEA_FukigenB2,%0 :inc %0 ;不機嫌2 numalias BEA_FukigenB3,%0 :inc %0 ;不機嫌3 numalias BEA_AseruB1,%0 :inc %0 ;焦る numalias BEA_AseruB2,%0 :inc %0 ;焦る numalias BEA_AseruB3,%0 :inc %0 ;焦る numalias BEA_AseruB4,%0 :inc %0 ;焦る numalias BEA_NayamuB1,%0 :inc %0 ;悩む numalias BEA_NayamuB2,%0 :inc %0 ;悩む2 numalias BEA_NayamuB3,%0 :inc %0 ;悩む3 numalias BEA_NayamuB4,%0 :inc %0 ;悩む4 numalias BEA_KomaruB1,%0 :inc %0 ;困る numalias BEA_KomaruB2,%0 :inc %0 ;困る2 numalias BEA_KomaruB3,%0 :inc %0 numalias BEA_KomaruB4,%0 :inc %0 numalias BEA_IiwakeB1,%0 :inc %0 ;良い訳 numalias BEA_IiwakeB2,%0 :inc %0 ;良い訳2 numalias BEA_IiwakeB3,%0 :inc %0 numalias BEA_HanbesoB1,%0 :inc %0 ;半ベソ numalias BEA_HanbesoB2,%0 :inc %0 ;半ベソ2 numalias BEA_HanbesoB3,%0 :inc %0 ;半ベソ3 numalias BEA_HanbesoB4,%0 :inc %0 ;半ベソ4 numalias BEA_HanbesoB5,%0 :inc %0 ;半ベソ5 numalias BEA_HanbesoB6,%0 :inc %0 ;半ベソ6 numalias BEA_GamanB1,%0 :inc %0 numalias BEA_GamanB2,%0 :inc %0 numalias BEA_GamanB3,%0 :inc %0 numalias BEA_GamanB4,%0 :inc %0 numalias BEA_GamanB5,%0 :inc %0 numalias BEA_GamanB6,%0 :inc %0 numalias BEA_GamanB7,%0 :inc %0 numalias BEA_MajimeB1,%0 :inc %0 numalias BEA_MajimeB2,%0 :inc %0 numalias BEA_MajimeB3,%0 :inc %0 numalias BEA_MajimeB4,%0 :inc %0 numalias BEA_MajimeB5,%0 :inc %0 numalias BEA_MajimeB6,%0 :inc %0 numalias BEA_MajimeB7,%0 :inc %0 numalias BEA_FumanB1,%0 :inc %0 numalias BEA_FumanB2,%0 :inc %0 numalias BEA_FumanB3,%0 :inc %0 numalias BEA_IkariB1,%0 :inc %0 numalias BEA_IkariB2,%0 :inc %0 numalias BEA_NakuB1,%0 :inc %0 numalias BEA_NakuB2,%0 :inc %0 numalias BEA_NakuB3,%0 :inc %0 numalias BEA_HousinB1,%0 :inc %0 numalias BEA_HousinB2,%0 :inc %0 numalias BEA_HousinB3,%0 :inc %0 numalias BEA_ArigatouB1,%0 :inc %0 numalias BEA_HajiraiB1,%0 :inc %0 numalias BEA_HajiraiB2,%0 :inc %0 numalias BEA_HajiraiB3,%0 :inc %0 numalias BEA_Va1,%0 :inc %0 ;銃差分 numalias BEA_last_number,%0 :inc %0 ;ベアトの表情の番号の終端 ;ベアト髪降ろし numalias BE2_start_number,%0 ;ベアトリーチェの表情の番号の開始 numalias BE2_DefA1,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ numalias BE2_DefA2,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ2 numalias BE2_DefA3,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ2 numalias BE2_WaraiA1,%0 :inc %0 ;笑い numalias BE2_WaraiA2,%0 :inc %0 ;笑い2 numalias BE2_WaraiA3,%0 :inc %0 ;笑い3 numalias BE2_WaraiA4,%0 :inc %0 numalias BE2_WaraiA5,%0 :inc %0 numalias BE2_WaraiA6,%0 :inc %0 numalias BE2_WaraiA7,%0 :inc %0 numalias BE2_WaraiA8,%0 :inc %0 numalias BE2_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias BE2_AkuwaraiA2,%0 :inc %0 ;悪笑い2 numalias BE2_AkuwaraiA3,%0 :inc %0 ;悪笑い3 numalias BE2_AkuwaraiA4,%0 :inc %0 ;悪笑い4 numalias BE2_AkuwaraiA5,%0 :inc %0 ;悪笑い5 numalias BE2_FutekiA1,%0 :inc %0 ;不敵 numalias BE2_FutekiA2,%0 :inc %0 ;不敵 numalias BE2_OdorokiA1,%0 :inc %0 ;驚き numalias BE2_OdorokiA2,%0 :inc %0 ;驚き2 numalias BE2_OdorokiA3,%0 :inc %0 ;驚き3 numalias BE2_OdorokiA4,%0 :inc %0 ;驚き4 numalias BE2_OdorokiA5,%0 :inc %0 ;驚き5 numalias BE2_FukigenA1,%0 :inc %0 ;不機嫌 numalias BE2_FukigenA2,%0 :inc %0 ;不機嫌2 numalias BE2_FukigenA3,%0 :inc %0 ;不機嫌3 numalias BE2_AseruA1,%0 :inc %0 ;焦る numalias BE2_AseruA2,%0 :inc %0 ;焦る numalias BE2_AseruA3,%0 :inc %0 ;焦る numalias BE2_AseruA4,%0 :inc %0 ;焦る numalias BE2_NayamuA1,%0 :inc %0 ;悩む numalias BE2_NayamuA2,%0 :inc %0 ;悩む2 numalias BE2_NayamuA3,%0 :inc %0 ;悩む3 numalias BE2_NayamuA4,%0 :inc %0 ;悩む4 numalias BE2_KomaruA1,%0 :inc %0 ;困る numalias BE2_KomaruA2,%0 :inc %0 ;困る2 numalias BE2_KomaruA3,%0 :inc %0 numalias BE2_KomaruA4,%0 :inc %0 numalias BE2_IiwakeA1,%0 :inc %0 ;良い訳 numalias BE2_IiwakeA2,%0 :inc %0 ;良い訳2 numalias BE2_IiwakeA3,%0 :inc %0 numalias BE2_HanbesoA1,%0 :inc %0 ;半ベソ numalias BE2_HanbesoA2,%0 :inc %0 ;半ベソ2 numalias BE2_HanbesoA3,%0 :inc %0 ;半ベソ3 numalias BE2_HanbesoA4,%0 :inc %0 ;半ベソ4 numalias BE2_HanbesoA5,%0 :inc %0 ;半ベソ5 numalias BE2_HanbesoA6,%0 :inc %0 ;半ベソ6 numalias BE2_GamanA1,%0 :inc %0 numalias BE2_GamanA2,%0 :inc %0 numalias BE2_GamanA3,%0 :inc %0 numalias BE2_GamanA4,%0 :inc %0 numalias BE2_GamanA5,%0 :inc %0 numalias BE2_GamanA6,%0 :inc %0 numalias BE2_GamanA7,%0 :inc %0 numalias BE2_MajimeA1,%0 :inc %0 numalias BE2_MajimeA2,%0 :inc %0 numalias BE2_MajimeA3,%0 :inc %0 numalias BE2_MajimeA4,%0 :inc %0 numalias BE2_MajimeA5,%0 :inc %0 numalias BE2_MajimeA6,%0 :inc %0 numalias BE2_MajimeA7,%0 :inc %0 numalias BE2_FumanA1,%0 :inc %0 numalias BE2_FumanA2,%0 :inc %0 numalias BE2_FumanA3,%0 :inc %0 numalias BE2_IkariA1,%0 :inc %0 numalias BE2_IkariA2,%0 :inc %0 numalias BE2_NakuA1,%0 :inc %0 numalias BE2_NakuA2,%0 :inc %0 numalias BE2_NakuA3,%0 :inc %0 numalias BE2_HousinA1,%0 :inc %0 numalias BE2_HousinA2,%0 :inc %0 numalias BE2_HousinA3,%0 :inc %0 numalias BE2_ArigatouA1,%0 :inc %0 numalias BE2_HajiraiA1,%0 :inc %0 numalias BE2_HajiraiA2,%0 :inc %0 numalias BE2_HajiraiA3,%0 :inc %0 numalias BE2_DefB1,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ ;numalias BE2_DefB2,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ2 ;numalias BE2_DefB3,%0 : inc %0 ;ベアトリーチェ立ち絵デフォ2 ;numalias BE2_WaraiB1,%0 :inc %0 ;笑い ;numalias BE2_WaraiB2,%0 :inc %0 ;笑い2 ;numalias BE2_WaraiB3,%0 :inc %0 ;笑い3 ;numalias BE2_WaraiB4,%0 :inc %0 ;numalias BE2_WaraiB5,%0 :inc %0 ;numalias BE2_WaraiB6,%0 :inc %0 ;numalias BE2_WaraiB7,%0 :inc %0 ;numalias BE2_WaraiB8,%0 :inc %0 ;numalias BE2_AkuwaraiB1,%0 :inc %0 ;悪笑い ;numalias BE2_AkuwaraiB2,%0 :inc %0 ;悪笑い2 ;numalias BE2_AkuwaraiB3,%0 :inc %0 ;悪笑い3 ;numalias BE2_AkuwaraiB4,%0 :inc %0 ;悪笑い4 ;numalias BE2_AkuwaraiB5,%0 :inc %0 ;悪笑い5 numalias BE2_FutekiB1,%0 :inc %0 ;不敵 numalias BE2_FutekiB2,%0 :inc %0 ;不敵 ;numalias BE2_OdorokiB1,%0 :inc %0 ;驚き ;numalias BE2_OdorokiB2,%0 :inc %0 ;驚き2 ;numalias BE2_OdorokiB3,%0 :inc %0 ;驚き3 ;numalias BE2_OdorokiB4,%0 :inc %0 ;驚き4 ;numalias BE2_OdorokiB5,%0 :inc %0 ;驚き5 ;numalias BE2_FukigenB1,%0 :inc %0 ;不機嫌 ;numalias BE2_FukigenB2,%0 :inc %0 ;不機嫌2 numalias BE2_FukigenB3,%0 :inc %0 ;不機嫌3 ;numalias BE2_AseruB1,%0 :inc %0 ;焦る ;numalias BE2_AseruB2,%0 :inc %0 ;焦る ;numalias BE2_AseruB3,%0 :inc %0 ;焦る ;numalias BE2_AseruB4,%0 :inc %0 ;焦る numalias BE2_NayamuB1,%0 :inc %0 ;悩む ;numalias BE2_NayamuB2,%0 :inc %0 ;悩む2 ;numalias BE2_NayamuB3,%0 :inc %0 ;悩む3 ;numalias BE2_NayamuB4,%0 :inc %0 ;悩む4 numalias BE2_KomaruB1,%0 :inc %0 ;困る ;numalias BE2_KomaruB2,%0 :inc %0 ;困る2 ;numalias BE2_KomaruB3,%0 :inc %0 numalias BE2_KomaruB4,%0 :inc %0 ;numalias BE2_IiwakeB1,%0 :inc %0 ;良い訳 ;numalias BE2_IiwakeB2,%0 :inc %0 ;良い訳2 ;numalias BE2_IiwakeB3,%0 :inc %0 ;numalias BE2_HanbesoB1,%0 :inc %0 ;半ベソ ;numalias BE2_HanbesoB2,%0 :inc %0 ;半ベソ2 numalias BE2_HanbesoB3,%0 :inc %0 ;半ベソ3 ;numalias BE2_HanbesoB4,%0 :inc %0 ;半ベソ4 ;numalias BE2_HanbesoB5,%0 :inc %0 ;半ベソ5 ;numalias BE2_HanbesoB6,%0 :inc %0 ;半ベソ6 ;numalias BE2_GamanB1,%0 :inc %0 ;numalias BE2_GamanB2,%0 :inc %0 ;numalias BE2_GamanB3,%0 :inc %0 ;numalias BE2_GamanB4,%0 :inc %0 ;numalias BE2_GamanB5,%0 :inc %0 ;numalias BE2_GamanB6,%0 :inc %0 ;numalias BE2_GamanB7,%0 :inc %0 ;numalias BE2_MajimeB1,%0 :inc %0 ;numalias BE2_MajimeB2,%0 :inc %0 ;numalias BE2_MajimeB3,%0 :inc %0 numalias BE2_MajimeB4,%0 :inc %0 ;numalias BE2_MajimeB5,%0 :inc %0 ;numalias BE2_MajimeB6,%0 :inc %0 ;numalias BE2_MajimeB7,%0 :inc %0 ;numalias BE2_FumanB1,%0 :inc %0 ;numalias BE2_FumanB2,%0 :inc %0 numalias BE2_FumanB3,%0 :inc %0 ;numalias BE2_IkariB1,%0 :inc %0 ;numalias BE2_IkariB2,%0 :inc %0 numalias BE2_NakuB1,%0 :inc %0 ;numalias BE2_NakuB2,%0 :inc %0 ;numalias BE2_NakuB3,%0 :inc %0 numalias BE2_HousinB1,%0 :inc %0 numalias BE2_HousinB2,%0 :inc %0 numalias BE2_HousinB3,%0 :inc %0 numalias BE2_ArigatouB1,%0 :inc %0 ;numalias BE2_HajiraiB1,%0 :inc %0 ;numalias BE2_HajiraiB2,%0 :inc %0 ;numalias BE2_HajiraiB3,%0 :inc %0 numalias BE2_last_number,%0 :inc %0 ;ベアトの表情の番号の終端 numalias BER_start_number,%0 ;ベルンカステルの表情の番号の開始 numalias BER_DefA1,%0 :inc %0 ;ベルンカステルデフォ numalias BER_DefA2,%0 :inc %0 ;こちらを見る numalias BER_WaraiA1,%0 :inc %0 ;笑い numalias BER_WaraiA2,%0 :inc %0 numalias BER_WaraiA3,%0 :inc %0 numalias BER_WaraiA3a,%0 :inc %0 numalias BER_WaraiA4,%0 :inc %0 numalias BER_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias BER_AkuwaraiA2,%0 :inc %0 numalias BER_AkuwaraiA3,%0 :inc %0 numalias BER_AkuwaraiA4,%0 :inc %0 numalias BER_NayamuA1,%0 :inc %0 numalias BER_NiramuA1,%0 :inc %0 numalias BER_OdorokiA1,%0 :inc %0 numalias BER_OdorokiA1a,%0 :inc %0 numalias BER_OdorokiA2,%0 :inc %0 numalias BER_IkariA1,%0 :inc %0 numalias BER_IkariA2,%0 :inc %0 numalias BER_last_number,%0 :inc %0 ;ベルの表情の番号の終端 numalias LAM_start_number,%0 ;ラムダデルタの表情の番号の開始 numalias LAM_AkuwaraiA1,%0 :inc %0 ;ラムダデルタ numalias LAM_AkuwaraiA2,%0 :inc %0 numalias LAM_AkuwaraiA3,%0 :inc %0 numalias LAM_AkuwaraiA4,%0 :inc %0 numalias LAM_AkuwaraiA5,%0 :inc %0 numalias LAM_AkuwaraiA6,%0 :inc %0 numalias LAM_OdorokiA1,%0 :inc %0 numalias LAM_OdorokiA2,%0 :inc %0 numalias LAM_OdorokiA3,%0 :inc %0 numalias LAM_OdorokiA4,%0 :inc %0 numalias LAM_FutekiA1,%0 :inc %0 numalias LAM_FutekiA2,%0 :inc %0 numalias LAM_FutekiA3,%0 :inc %0 numalias LAM_WaraiA1,%0 :inc %0 numalias LAM_WaraiA2,%0 :inc %0 numalias LAM_MajimeA1,%0 :inc %0 numalias LAM_MajimeA2,%0 :inc %0 numalias LAM_MajimeA3,%0 :inc %0 numalias LAM_FumanA1,%0 :inc %0 numalias LAM_last_number,%0 :inc %0 ;ラムダの表情の番号の終端 numalias GOA_start_number,%0 ;山羊の表情の番号の開始 numalias GOA_DefA0,%0 :inc %0 ;山羊の従者 goat numalias GOA_DefA1,%0 :inc %0 ;山羊の従者 goat numalias GOA_DefA1B,%0 :inc %0 ;刃装備 numalias GOA_DefA2,%0 :inc %0 ;山羊の従者 goat ルチーア制服 numalias GOA_last_number,%0 :inc %0 ;山羊の表情の番号の終端 numalias RG1_start_number,%0 ;ルシファーの表情の番号の開始 numalias RG1_DefA1,%0 :inc %0 ;ルシファー Lucifer numalias RG1_HohoemiA1,%0 :inc %0 numalias RG1_HohoemiA2,%0 :inc %0 numalias RG1_WaraiA1,%0 :inc %0 numalias RG1_WaraiA2,%0 :inc %0 numalias RG1_WaraiA3,%0 :inc %0 numalias RG1_WaraiA4,%0 :inc %0 numalias RG1_AkuwaraiA1,%0 :inc %0 numalias RG1_AkuwaraiA2,%0 :inc %0 numalias RG1_AkuwaraiA3,%0 :inc %0 numalias RG1_AkuwaraiA4,%0 :inc %0 numalias RG1_MajimeA1,%0 :inc %0 numalias RG1_FumanA1,%0 :inc %0 numalias RG1_FumanA2,%0 :inc %0 numalias RG1_KomaruA1,%0 :inc %0 numalias RG1_KomaruA2,%0 :inc %0 numalias RG1_KomaruA3,%0 :inc %0 numalias RG1_NakuA1,%0 :inc %0 numalias RG1_OdorokiA1,%0 :inc %0 numalias RG1_OdorokiA2,%0 :inc %0 numalias RG1_OdorokiA3,%0 :inc %0 numalias RG1_IkariA1,%0 :inc %0 numalias RG1_IkariA2,%0 :inc %0 numalias RG1_last_number,%0 :inc %0 ;ルシファーの表情の番号の終端 numalias RG2_start_number,%0 ;レヴィアタンの表情の番号の開始 numalias RG2_DefA1,%0 :inc %0 ;レヴィアタン Leviathan numalias RG2_HohoemiA1,%0 :inc %0 numalias RG2_HohoemiA2,%0 :inc %0 numalias RG2_WaraiA1,%0 :inc %0 numalias RG2_WaraiA2,%0 :inc %0 numalias RG2_WaraiA3,%0 :inc %0 numalias RG2_WaraiA4,%0 :inc %0 numalias RG2_AkuwaraiA1,%0 :inc %0 numalias RG2_AkuwaraiA2,%0 :inc %0 numalias RG2_AkuwaraiA3,%0 :inc %0 numalias RG2_AkuwaraiA4,%0 :inc %0 numalias RG2_MajimeA1,%0 :inc %0 numalias RG2_FumanA1,%0 :inc %0 numalias RG2_FumanA2,%0 :inc %0 numalias RG2_KomaruA1,%0 :inc %0 numalias RG2_KomaruA2,%0 :inc %0 numalias RG2_KomaruA3,%0 :inc %0 numalias RG2_NakuA1,%0 :inc %0 numalias RG2_OdorokiA1,%0 :inc %0 numalias RG2_OdorokiA2,%0 :inc %0 numalias RG2_OdorokiA3,%0 :inc %0 numalias RG2_IkariA1,%0 :inc %0 numalias RG2_IkariA2,%0 :inc %0 numalias RG2_last_number,%0 :inc %0 ;レヴィアタンの表情の番号の終端 numalias RG3_start_number,%0 ;サタンの表情の番号の開始 numalias RG3_DefA1,%0 :inc %0 ;サタン Satan numalias RG3_HohoemiA1,%0 :inc %0 numalias RG3_HohoemiA2,%0 :inc %0 numalias RG3_WaraiA1,%0 :inc %0 numalias RG3_WaraiA2,%0 :inc %0 numalias RG3_WaraiA3,%0 :inc %0 numalias RG3_WaraiA4,%0 :inc %0 numalias RG3_AkuwaraiA1,%0 :inc %0 numalias RG3_AkuwaraiA2,%0 :inc %0 numalias RG3_AkuwaraiA3,%0 :inc %0 numalias RG3_AkuwaraiA4,%0 :inc %0 numalias RG3_MajimeA1,%0 :inc %0 numalias RG3_FumanA1,%0 :inc %0 numalias RG3_FumanA2,%0 :inc %0 numalias RG3_KomaruA1,%0 :inc %0 numalias RG3_KomaruA2,%0 :inc %0 numalias RG3_KomaruA3,%0 :inc %0 numalias RG3_NakuA1,%0 :inc %0 numalias RG3_OdorokiA1,%0 :inc %0 numalias RG3_OdorokiA2,%0 :inc %0 numalias RG3_OdorokiA3,%0 :inc %0 numalias RG3_IkariA1,%0 :inc %0 numalias RG3_IkariA2,%0 :inc %0 numalias RG3_last_number,%0 :inc %0 ;サタンの表情の番号の終端 numalias RG4_start_number,%0 ;ベルフェゴルの表情の番号の開始 numalias RG4_DefA1,%0 :inc %0 ;ベルフェゴル Belphegor numalias RG4_HohoemiA1,%0 :inc %0 numalias RG4_HohoemiA2,%0 :inc %0 numalias RG4_WaraiA1,%0 :inc %0 numalias RG4_WaraiA2,%0 :inc %0 numalias RG4_WaraiA3,%0 :inc %0 numalias RG4_WaraiA4,%0 :inc %0 numalias RG4_AkuwaraiA1,%0 :inc %0 numalias RG4_AkuwaraiA2,%0 :inc %0 numalias RG4_AkuwaraiA3,%0 :inc %0 numalias RG4_AkuwaraiA4,%0 :inc %0 numalias RG4_MajimeA1,%0 :inc %0 numalias RG4_FumanA1,%0 :inc %0 numalias RG4_FumanA2,%0 :inc %0 numalias RG4_KomaruA1,%0 :inc %0 numalias RG4_KomaruA2,%0 :inc %0 numalias RG4_KomaruA3,%0 :inc %0 numalias RG4_NakuA1,%0 :inc %0 numalias RG4_OdorokiA1,%0 :inc %0 numalias RG4_OdorokiA2,%0 :inc %0 numalias RG4_OdorokiA3,%0 :inc %0 numalias RG4_IkariA1,%0 :inc %0 numalias RG4_IkariA2,%0 :inc %0 numalias RG4_last_number,%0 :inc %0 ;ベルフェゴルの表情の番号の終端 numalias RG5_start_number,%0 ;マモンの表情の番号の開始 numalias RG5_DefA1,%0 :inc %0 ;マモン Mammon numalias RG5_HohoemiA1,%0 :inc %0 numalias RG5_HohoemiA2,%0 :inc %0 numalias RG5_WaraiA1,%0 :inc %0 numalias RG5_WaraiA2,%0 :inc %0 numalias RG5_WaraiA3,%0 :inc %0 numalias RG5_WaraiA4,%0 :inc %0 numalias RG5_AkuwaraiA1,%0 :inc %0 numalias RG5_AkuwaraiA2,%0 :inc %0 numalias RG5_AkuwaraiA3,%0 :inc %0 numalias RG5_AkuwaraiA4,%0 :inc %0 numalias RG5_MajimeA1,%0 :inc %0 numalias RG5_FumanA1,%0 :inc %0 numalias RG5_FumanA2,%0 :inc %0 numalias RG5_KomaruA1,%0 :inc %0 numalias RG5_KomaruA2,%0 :inc %0 numalias RG5_KomaruA3,%0 :inc %0 numalias RG5_NakuA1,%0 :inc %0 numalias RG5_OdorokiA1,%0 :inc %0 numalias RG5_OdorokiA2,%0 :inc %0 numalias RG5_OdorokiA3,%0 :inc %0 numalias RG5_IkariA1,%0 :inc %0 numalias RG5_IkariA2,%0 :inc %0 numalias RG5_last_number,%0 :inc %0 ;マモンの表情の番号の終端 numalias RG6_start_number,%0 ;ベルゼブブの表情の番号の開始 numalias RG6_DefA1,%0 :inc %0 ;ベルゼブブ Beelzebub numalias RG6_HohoemiA1,%0 :inc %0 numalias RG6_HohoemiA2,%0 :inc %0 numalias RG6_WaraiA1,%0 :inc %0 numalias RG6_WaraiA2,%0 :inc %0 numalias RG6_WaraiA3,%0 :inc %0 numalias RG6_WaraiA4,%0 :inc %0 numalias RG6_AkuwaraiA1,%0 :inc %0 numalias RG6_AkuwaraiA2,%0 :inc %0 numalias RG6_AkuwaraiA3,%0 :inc %0 numalias RG6_AkuwaraiA4,%0 :inc %0 numalias RG6_MajimeA1,%0 :inc %0 numalias RG6_FumanA1,%0 :inc %0 numalias RG6_FumanA2,%0 :inc %0 numalias RG6_KomaruA1,%0 :inc %0 numalias RG6_KomaruA2,%0 :inc %0 numalias RG6_KomaruA3,%0 :inc %0 numalias RG6_NakuA1,%0 :inc %0 numalias RG6_OdorokiA1,%0 :inc %0 numalias RG6_OdorokiA2,%0 :inc %0 numalias RG6_OdorokiA3,%0 :inc %0 numalias RG6_IkariA1,%0 :inc %0 numalias RG6_IkariA2,%0 :inc %0 numalias RG6_last_number,%0 :inc %0 ;ベルゼブブの表情の番号の終端 numalias RG7_start_number,%0 ;アスモデウスの表情の番号の開始 numalias RG7_DefA1,%0 :inc %0 ;アスモデウス Asmodeus numalias RG7_HohoemiA1,%0 :inc %0 numalias RG7_HohoemiA2,%0 :inc %0 numalias RG7_WaraiA1,%0 :inc %0 numalias RG7_WaraiA2,%0 :inc %0 numalias RG7_WaraiA3,%0 :inc %0 numalias RG7_WaraiA4,%0 :inc %0 numalias RG7_AkuwaraiA1,%0 :inc %0 numalias RG7_AkuwaraiA2,%0 :inc %0 numalias RG7_AkuwaraiA3,%0 :inc %0 numalias RG7_AkuwaraiA4,%0 :inc %0 numalias RG7_MajimeA1,%0 :inc %0 numalias RG7_FumanA1,%0 :inc %0 numalias RG7_FumanA2,%0 :inc %0 numalias RG7_KomaruA1,%0 :inc %0 numalias RG7_KomaruA2,%0 :inc %0 numalias RG7_KomaruA3,%0 :inc %0 numalias RG7_NakuA1,%0 :inc %0 numalias RG7_OdorokiA1,%0 :inc %0 numalias RG7_OdorokiA2,%0 :inc %0 numalias RG7_OdorokiA3,%0 :inc %0 numalias RG7_IkariA1,%0 :inc %0 numalias RG7_IkariA2,%0 :inc %0 numalias RG7_last_number,%0 :inc %0 ;アスモデウスの表情の番号の終端 numalias WAL_start_number,%0 ;ワルギリアの表情の番号の開始 numalias WAL_DefA1,%0 :inc %0 ;ワルギリア numalias WAL_DefA2,%0 :inc %0 ;ワルギリア numalias WAL_WaraiA1,%0 :inc %0 ;笑い numalias WAL_WaraiA2,%0 :inc %0 numalias WAL_KomaruA1,%0 :inc %0 ;困る numalias WAL_KomaruA2,%0 :inc %0 numalias WAL_MajimeA1,%0 :inc %0 ;真面目 numalias WAL_OdorokiA1,%0 :inc %0 ;驚き numalias WAL_FumanA1,%0 :inc %0 ;不満 numalias WAL_IkariA1,%0 :inc %0 ;怒り numalias WAL_IkariA2,%0 :inc %0 numalias WAL_AkuwaraiA1,%0 :inc %0 ;悪笑い 開眼! numalias WAL_AkuwaraiA2,%0 :inc %0 numalias WAL_last_number,%0 :inc %0 ;ワルギリアの表情の番号の終端 numalias EV2_start_number,%0 ;魔女絵羽の表情の番号の開始 numalias EV2_DefA1,%0 :inc %0 ;魔女絵羽 numalias EV2_WaraiA1,%0 :inc %0 numalias EV2_WaraiA2,%0 :inc %0 numalias EV2_HohoemiA1,%0 :inc %0 numalias EV2_HohoemiA2,%0 :inc %0 numalias EV2_TokuiA1,%0 :inc %0 numalias EV2_AkuwaraiA1,%0 :inc %0 numalias EV2_AkuwaraiA2,%0 :inc %0 numalias EV2_AkuwaraiA3,%0 :inc %0 numalias EV2_AkuwaraiA4,%0 :inc %0 numalias EV2_AkuwaraiA5,%0 :inc %0 numalias EV2_AkuwaraiA6,%0 :inc %0 numalias EV2_AkuwaraiA7,%0 :inc %0 numalias EV2_AkuwaraiA7k,%0 :inc %0 numalias EV2_AkuwaraiA8,%0 :inc %0 numalias EV2_NiramuA1,%0 :inc %0 numalias EV2_NiramuA2,%0 :inc %0 numalias EV2_NiramuA3,%0 :inc %0 numalias EV2_KomaruA1,%0 :inc %0 numalias EV2_OdorokiA1,%0 :inc %0 numalias EV2_OdorokiA2,%0 :inc %0 numalias EV2_FukigenA1,%0 :inc %0 numalias EV2_FukigenA2,%0 :inc %0 numalias EV2_FukigenA3,%0 :inc %0 numalias EV2_IkariA1,%0 :inc %0 numalias EV2_IkariA2,%0 :inc %0 numalias EV2_MajimeA1,%0 :inc %0 numalias EV2_last_number,%0 :inc %0 ;魔女絵羽の表情の番号の終端 numalias RON_start_number,%0 ;ロノウェの表情の番号の開始 numalias RON_DefA1,%0 :inc %0 ;ロノウェ numalias RON_DefA2,%0 :inc %0 numalias RON_WaraiA1,%0 :inc %0 numalias RON_WaraiA2,%0 :inc %0 numalias RON_AkuwaraiA1,%0 :inc %0 numalias RON_MajimeA1,%0 :inc %0 numalias RON_MajimeA2,%0 :inc %0 numalias RON_OdorokiA1,%0 :inc %0 numalias RON_OdorokiA2,%0 :inc %0 numalias RON_last_number,%0 :inc %0 ;ロノウェの表情の番号の終端 numalias S45_start_number,%0 ;シエスタ姉妹(45)の表情の番号の開始 numalias S45_DefA1,%0 :inc %0 ;シエスタ姉妹(45) numalias S45_DefA2,%0 :inc %0 ;シエスタ姉妹(45) numalias S45_OdorokiA1,%0 :inc %0 ;シエスタ姉妹(45) numalias S45_KomaruA1,%0 :inc %0 ;シエスタ姉妹(45) numalias S45_MajimeA1,%0 :inc %0 ;シエスタ姉妹(45) numalias S45_NakuA1,%0 :inc %0 ;シエスタ姉妹(45) numalias S45_last_number,%0 :inc %0 ;45の表情の番号の終端 numalias S41_start_number,%0 ;シエスタ姉妹(410)の表情の番号の開始 numalias S41_DefA1,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_AkuwaraiA1,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_AkuwaraiA2,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_MajimeA1,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_OdorokiA1,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_NakuA1,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_NakuA2,%0 :inc %0 ;シエスタ姉妹(410) numalias S41_last_number,%0 :inc %0 ;41の表情の番号の終端 numalias ENJ_start_number,%0 ;縁寿の表情の番号の開始 numalias ENJ_DefA1,%0 :inc %0 ;縁寿 numalias ENJ_DefA2,%0 :inc %0 ;縁寿 numalias ENJ_WaraiA1,%0 :inc %0 ;縁寿 numalias ENJ_WaraiA2,%0 :inc %0 ;縁寿 numalias ENJ_WaraiA3,%0 :inc %0 ;縁寿 numalias ENJ_FumanA1,%0 :inc %0 ;縁寿 numalias ENJ_FumanA2,%0 :inc %0 ;縁寿 numalias ENJ_MajimeA1,%0 :inc %0 ;縁寿 numalias ENJ_NayamuA1,%0 :inc %0 ;縁寿 numalias ENJ_NayamuA2,%0 :inc %0 ;縁寿 numalias ENJ_KomaruA1,%0 :inc %0 ;縁寿 numalias ENJ_KomaruA2,%0 :inc %0 ;縁寿 numalias ENJ_KomaruA3,%0 :inc %0 ;縁寿 numalias ENJ_KomaruA4,%0 :inc %0 ;縁寿 numalias ENJ_KomaruA5,%0 :inc %0 ;縁寿 numalias ENJ_IkariA1,%0 :inc %0 ;縁寿 numalias ENJ_IkariA2,%0 :inc %0 ;縁寿 numalias ENJ_HanbesoA1,%0 :inc %0 ;縁寿 numalias ENJ_HanbesoA2,%0 :inc %0 ;縁寿 numalias ENJ_HanbesoA3,%0 :inc %0 ;縁寿 numalias ENJ_NakuA1,%0 :inc %0 ;縁寿 numalias ENJ_NakuA2,%0 :inc %0 ;縁寿 numalias ENJ_last_number,%0 :inc %0 ;縁寿の表情の番号の終端 numalias KAS_start_number,%0 ;須磨寺霞の表情の番号の開始 numalias KAS_DefA1,%0 :inc %0 ;須磨寺霞 numalias KAS_last_number,%0 :inc %0 ;須磨寺霞の表情の番号の終端 numalias OKO_start_number,%0 ;小此木の表情の番号の開始 numalias OKO_DefA1,%0 :inc %0 ;小此木 numalias OKO_WaraiA1,%0 :inc %0 ;笑い numalias OKO_WaraiA2,%0 :inc %0 numalias OKO_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias OKO_last_number,%0 :inc %0 ;小此木の表情の番号の終端 numalias AMA_start_number,%0 ;天草十三の表情の番号の開始 numalias AMA_DefA1,%0 :inc %0 ;天草十三 numalias AMA_DefA2,%0 :inc %0 ;天草十三 numalias AMA_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias AMA_MajimeA1,%0 :inc %0 ;真面目 numalias AMA_last_number,%0 :inc %0 ;天草十三の表情の番号の終端 numalias SAK_start_number,%0 ;さくたろの表情の番号の開始 numalias SAK_DefA1,%0 :inc %0 ;さくたろ numalias SAK_WaraiA1,%0 :inc %0 ;笑い numalias SAK_WaraiA2,%0 :inc %0 numalias SAK_WaraiA3,%0 :inc %0 numalias SAK_OdorokiA1,%0 :inc %0 ;驚き numalias SAK_KomaruA1,%0 :inc %0 ;困る numalias SAK_KomaruA2,%0 :inc %0 numalias SAK_NakuA1,%0 :inc %0 ;泣く numalias SAK_NakuA2,%0 :inc %0 numalias SAK_NakuA3,%0 :inc %0 numalias SAK_last_number,%0 :inc %0 ;さくたろの表情の番号の終端 numalias PRO_start_number,%0 ;教授の表情の番号の開始 numalias PRO_DefA1,%0 :inc %0 ;教授 numalias PRO_WaraiA1,%0 :inc %0 ;笑い numalias PRO_MajimeA1,%0 :inc %0 ;真面目 numalias PRO_MajimeA2,%0 :inc %0 numalias PRO_KomaruA1,%0 :inc %0 ;困る numalias PRO_OdorokiA1,%0 :inc %0 ;驚き numalias PRO_last_number,%0 :inc %0 ;教授の表情の番号の終端 numalias S00_start_number,%0 ;シエスタ00の表情の番号の開始 numalias S00_DefA1,%0 :inc %0 ;シエスタ00 numalias S00_MajimeA1,%0 :inc %0 ;真面目 numalias S00_MajimeA2,%0 :inc %0 numalias S00_OdorokiA1,%0 :inc %0 ;驚き numalias S00_OdorokiA2,%0 :inc %0 numalias S00_KomaruA1,%0 :inc %0 ;困る numalias S00_NakuA1,%0 :inc %0 ;泣く numalias S00_NakuA2,%0 :inc %0 numalias S00_last_number,%0 :inc %0 ;00の表情の番号の終端 numalias GAP_start_number,%0 ;ガァプの表情の番号の開始 numalias GAP_DefA1,%0 :inc %0 ;ガァプ numalias GAP_DefA1a,%0 :inc %0 ;ガァプ 汗 numalias GAP_DefA2,%0 :inc %0 numalias GAP_DefA2a,%0 :inc %0 numalias GAP_DefA3,%0 :inc %0 numalias GAP_DefA3a,%0 :inc %0 numalias GAP_WaraiA1,%0 :inc %0 ;笑い numalias GAP_WaraiA1a,%0 :inc %0 ;笑い 汗 numalias GAP_WaraiA2,%0 :inc %0 numalias GAP_WaraiA2a,%0 :inc %0 numalias GAP_WaraiA3,%0 :inc %0 numalias GAP_WaraiA3a,%0 :inc %0 numalias GAP_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias GAP_AkuwaraiA1a,%0 :inc %0 ;悪笑い 汗 numalias GAP_AkuwaraiA2,%0 :inc %0 numalias GAP_AkuwaraiA2a,%0 :inc %0 numalias GAP_AkuwaraiA3,%0 :inc %0 numalias GAP_AkuwaraiA3a,%0 :inc %0 numalias GAP_AkuwaraiA4,%0 :inc %0 numalias GAP_AkuwaraiA4a,%0 :inc %0 numalias GAP_AkuwaraiA5,%0 :inc %0 numalias GAP_AseruA1,%0 :inc %0 ;焦る numalias GAP_AseruA1a,%0 :inc %0 ;焦る 汗 numalias GAP_IkariA1,%0 :inc %0 ;怒り numalias GAP_IkariA1a,%0 :inc %0 ;怒り 汗 numalias GAP_last_number,%0 :inc %0 ;ガァプの表情の番号の終端 numalias NA2_start_number,%0 ;南条息子の表情の番号の開始 numalias NA2_DefA1,%0 :inc %0 ;南条息子 numalias NA2_DefA2,%0 :inc %0 ;南条息子 numalias NA2_DefA3,%0 :inc %0 ;南条息子 numalias NA2_MajimeA1,%0 :inc %0 ;真面目 numalias NA2_MajimeA2,%0 :inc %0 numalias NA2_MajimeA3,%0 :inc %0 numalias NA2_last_number,%0 :inc %0 ;南条息子の表情の番号の終端 numalias KU2_start_number,%0 ;熊沢息子の表情の番号の開始 numalias KU2_DefA1,%0 :inc %0 ;熊沢息子 numalias KU2_WaraiA1,%0 :inc %0 ;笑い numalias KU2_MajimeA1,%0 :inc %0 ;真面目 numalias KU2_last_number,%0 :inc %0 ;熊沢息子の表情の番号の終端 numalias KAW_start_number,%0 ;川端船長の表情の番号の開始 numalias KAW_DefA1,%0 :inc %0 ;川畑船長 numalias KAW_DefA2,%0 :inc %0 ;川畑船長 numalias KAW_WaraiA1,%0 :inc %0 ;笑い numalias KAW_NayamuA1,%0 :inc %0 ;悩む numalias KAW_KomaruA1,%0 :inc %0 ;困る numalias KAW_last_number,%0 :inc %0 ;川畑船長の表情の番号の終端 ;古戸エリカ numalias ERI_start_number,%0 ;古戸ヱリカの表情の番号の開始 numalias ERI_DefA1,%0 :inc %0 ;古戸ヱリカ numalias ERI_DefA2,%0 :inc %0 numalias ERI_WaraiA1,%0 :inc %0 numalias ERI_WaraiA2,%0 :inc %0 numalias ERI_WaraiA3,%0 :inc %0 numalias ERI_WaraiA4,%0 :inc %0 numalias ERI_WaraiA5,%0 :inc %0 numalias ERI_AkuwaraiA1,%0 :inc %0 numalias ERI_AkuwaraiA2,%0 :inc %0 numalias ERI_AkuwaraiA3,%0 :inc %0 numalias ERI_AkuwaraiA4,%0 :inc %0 numalias ERI_AkuwaraiA5,%0 :inc %0 numalias ERI_AkuwaraiA6,%0 :inc %0 numalias ERI_KomaruA1,%0 :inc %0 numalias ERI_KomaruA1a,%0 :inc %0 numalias ERI_AkireA1,%0 :inc %0 numalias ERI_AkireA2,%0 :inc %0 numalias ERI_IkariA1,%0 :inc %0 numalias ERI_IkariA1a,%0 :inc %0 numalias ERI_FutekiA1,%0 :inc %0 numalias ERI_FutekiA1a,%0 :inc %0 numalias ERI_GamanA1,%0 :inc %0 numalias ERI_GamanA2,%0 :inc %0 numalias ERI_OdorokiA1,%0 :inc %0 numalias ERI_OdorokiA2,%0 :inc %0 numalias ERI_NiramuA1,%0 :inc %0 numalias ERI_NiramuA1a,%0 :inc %0 numalias ERI_NiramuA2,%0 :inc %0 numalias ERI_NiramuA2a,%0 :inc %0 numalias ERI_MajimeA1,%0 :inc %0 numalias ERI_MajimeA1a,%0 :inc %0 numalias ERI_MajimeA2,%0 :inc %0 numalias ERI_MajimeA3,%0 :inc %0 numalias ERI_MajimeA4,%0 :inc %0 numalias ERI_HanbesoA1,%0 :inc %0 numalias ERI_HanbesoA2,%0 :inc %0 numalias ERI_last_number,%0 :inc %0 ;ヱリカの表情の番号の終端 ;ドラノール Dlanor numalias DLA_start_number,%0 ;ドラノールの表情の番号の開始 numalias DLA_DefA1,%0 :inc %0 ;ドラノール numalias DLA_DefA2,%0 :inc %0 numalias DLA_DefA3,%0 :inc %0 numalias DLA_MajimeA1,%0 :inc %0 ;真面目 numalias DLA_MajimeA2,%0 :inc %0 numalias DLA_MajimeA2a,%0 :inc %0 numalias DLA_MajimeA3,%0 :inc %0 numalias DLA_WaraiA1,%0 :inc %0 ;笑い numalias DLA_WaraiA2,%0 :inc %0 numalias DLA_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias DLA_KomaruA1,%0 :inc %0 ;困る numalias DLA_KomaruA1a,%0 :inc %0 ;困る numalias DLA_IkariA1,%0 :inc %0 ;怒り numalias DLA_IkariA1a,%0 :inc %0 ;怒り numalias DLA_DefA1b,%0 :inc %0 ;ドラノール ブレート装備 numalias DLA_DefA2b,%0 :inc %0 : numalias DLA_DefA3b,%0 :inc %0 numalias DLA_MajimeA1b,%0 :inc %0 numalias DLA_MajimeA2b,%0 :inc %0 numalias DLA_MajimeA3b,%0 :inc %0 numalias DLA_WaraiA1b,%0 :inc %0 numalias DLA_WaraiA2b,%0 :inc %0 numalias DLA_AkuwaraiA1b,%0 :inc %0 numalias DLA_KomaruA1b,%0 :inc %0 numalias DLA_IkariA1b,%0 :inc %0 numalias DLA_last_number,%0 :inc %0 ;ドラノールの表情の番号の終端 ;ガートルート Gertrude numalias GER_start_number,%0 ;ガートルートの表情の番号の開始 numalias GER_DefA1,%0 :inc %0 ;ガートルート numalias GER_DefA2,%0 :inc %0 numalias GER_KomaruA1,%0 :inc %0 ;困る numalias GER_OdorokiA1,%0 :inc %0 ;驚き numalias GER_last_number,%0 :inc %0 ;ガートルートの表情の番号の終端 ;コーネリア Cornelis numalias COR_start_number,%0 ;コーネリアの表情の番号の開始 numalias COR_DefA1,%0 :inc %0 ;コーネリア numalias COR_OdorokiA1,%0 :inc %0 ;驚き numalias COR_OdorokiA2,%0 :inc %0 numalias COR_KomaruA1,%0 :inc %0 ;困る numalias COR_KomaruA2,%0 :inc %0 numalias COR_MajimeA1,%0 :inc %0 ;真面目 numalias COR_MajimeA2,%0 :inc %0 numalias COR_last_number,%0 :inc %0 ;コーネリアの表情の番号の終端 numalias FEA_start_number,%0 ;フェザリーヌの表情の番号の開始 numalias FEA_DefA1,%0 :inc %0 ;フェザリーヌ numalias FEA_DefA2,%0 :inc %0 ;フェザリーヌ numalias FEA_DefA3,%0 :inc %0 ;フェザリーヌ numalias FEA_DefA4,%0 :inc %0 ;フェザリーヌ numalias FEA_DefA5,%0 :inc %0 ;フェザリーヌ numalias FEA_WaraiA1,%0 :inc %0 ;フェザリーヌ numalias FEA_WaraiA2,%0 :inc %0 ;フェザリーヌ numalias FEA_AkuwaraiA1,%0 :inc %0 numalias FEA_NayamuA1,%0 :inc %0 ;フェザリーヌ numalias FEA_MajimeA1,%0 :inc %0 ;フェザリーヌ numalias FEA_last_number,%0 :inc %0 ;フェザリーヌの表情の番号の終端 numalias ZEP_start_number,%0 ;ゼパルの表情の番号の開始 numalias ZEP_DefA1,%0 :inc %0 ; numalias ZEP_MajimeA1,%0 :inc %0 ; numalias ZEP_MajimeA2,%0 :inc %0 ; numalias ZEP_MajimeA3,%0 :inc %0 ; numalias ZEP_MajimeA4,%0 :inc %0 ; numalias ZEP_AkireA1,%0 :inc %0 ; numalias ZEP_WaraiA1,%0 :inc %0 ; numalias ZEP_WaraiA2,%0 :inc %0 ; numalias ZEP_WaraiA3,%0 :inc %0 ; numalias ZEP_WaraiA4,%0 :inc %0 ; numalias ZEP_WaraiA5,%0 :inc %0 ; numalias ZEP_WaraiA6,%0 :inc %0 ; numalias ZEP_WaraiA7,%0 :inc %0 ; numalias ZEP_WaraiA8,%0 :inc %0 ; numalias ZEP_last_number,%0 :inc %0 ;ゼパルの表情の番号の終端 numalias FUR_start_number,%0 ;フルフルの表情の番号の開始 numalias FUR_DefA1,%0 :inc %0 ; numalias FUR_MajimeA1,%0 :inc %0 ; numalias FUR_MajimeA2,%0 :inc %0 ; numalias FUR_MajimeA3,%0 :inc %0 ; numalias FUR_MajimeA4,%0 :inc %0 ; numalias FUR_AkireA1,%0 :inc %0 ; numalias FUR_WaraiA1,%0 :inc %0 ; numalias FUR_WaraiA2,%0 :inc %0 ; numalias FUR_WaraiA3,%0 :inc %0 ; numalias FUR_WaraiA4,%0 :inc %0 ; numalias FUR_WaraiA5,%0 :inc %0 ; numalias FUR_WaraiA6,%0 :inc %0 ; numalias FUR_WaraiA7,%0 :inc %0 ; numalias FUR_WaraiA8,%0 :inc %0 ; numalias FUR_last_number,%0 :inc %0 ;フルフルの表情の番号の終端 numalias WIL_start_number,%0 ;ライトの表情の番号の開始 numalias WIL_DefA1,%0 :inc %0 ; numalias WIL_NiramuA1,%0 :inc %0 ; numalias WIL_IkariA1,%0 :inc %0 ; numalias WIL_KomaruA1,%0 :inc %0 ; numalias WIL_NayamuA1,%0 :inc %0 ; numalias WIL_MajimeA1,%0 :inc %0 ; numalias WIL_MajimeA2,%0 :inc %0 ; numalias WIL_FumanA1,%0 :inc %0 ; numalias WIL_NiyariA1,%0 :inc %0 ; numalias WIL_NiyariA1a,%0 :inc %0 ; numalias WIL_ItaiA1,%0 :inc %0 ; numalias WIL_last_number,%0 :inc %0 ;ライトの表情の番号の終端 numalias RIO_start_number,%0 ;理御の表情の番号の開始 numalias RIO_DefA1,%0 :inc %0 ; numalias RIO_DefA2,%0 :inc %0 ; numalias RIO_DefA3,%0 :inc %0 ; numalias RIO_WaraiA1,%0 :inc %0 ; numalias RIO_MajimeA1,%0 :inc %0 ; numalias RIO_FumanA1,%0 :inc %0 ; numalias RIO_OdorokiA1,%0 :inc %0 ; numalias RIO_AkireA1,%0 :inc %0 ; numalias RIO_AkireA1a,%0 :inc %0 ; numalias RIO_AkireA2,%0 :inc %0 ; numalias RIO_KomaruA1,%0 :inc %0 ; numalias RIO_KomaruA1a,%0 :inc %0 ; numalias RIO_ItaiA1,%0 :inc %0 ; numalias RIO_SakebuA1,%0 :inc %0 ; numalias RIO_SakebuA2,%0 :inc %0 ; numalias RIO_IkariA1,%0 :inc %0 ; numalias RIO_IkariA1a,%0 :inc %0 ; numalias RIO_last_number,%0 :inc %0 ;理御の表情の番号の終端 numalias KI2_start_number,%0 ;若い金蔵の表情の番号の開始 numalias KI2_DefA1,%0 :inc %0 ; numalias KI2_DefA2,%0 :inc %0 ; numalias KI2_AseruA1,%0 :inc %0 ;焦る numalias KI2_AseruA2,%0 :inc %0 ; numalias KI2_AseruA3,%0 :inc %0 ; numalias KI2_FutekiA1,%0 :inc %0 ;不敵 numalias KI2_FutekiA2,%0 :inc %0 ; numalias KI2_FutekiA3,%0 :inc %0 ; numalias KI2_FutekiA4,%0 :inc %0 ; numalias KI2_KomaruA1,%0 :inc %0 ;困る numalias KI2_KomaruA2,%0 :inc %0 ; numalias KI2_MajimeA1,%0 :inc %0 ;真面目 numalias KI2_NayamuA1,%0 :inc %0 ;悩む numalias KI2_NayamuA2,%0 :inc %0 ; numalias KI2_NayamuA3,%0 :inc %0 ; numalias KI2_NayamuA4,%0 :inc %0 ; numalias KI2_NayamuA5,%0 :inc %0 ; numalias KI2_NiramuA1,%0 :inc %0 ;睨みつける numalias KI2_NiramuA2,%0 :inc %0 ; numalias KI2_OdorokiA1,%0 :inc %0 ;驚く numalias KI2_OdorokiA2,%0 :inc %0 ; numalias KI2_OyaA1,%0 :inc %0 ;おや? numalias KI2_WaraiA1,%0 :inc %0 ;笑う numalias KI2_last_number,%0 :inc %0 ;若い金蔵の表情の番号の終端 numalias CUR_start_number,%0 ;クレルの表情の番号の開始 numalias CUR_DefA1,%0 : inc %0 ;クレル立ち絵デフォ numalias CUR_DefA2,%0 : inc %0 ;クレル立ち絵デフォ2 ;numalias CUR_DefA3,%0 : inc %0 ;クレル立ち絵デフォ2 numalias CUR_WaraiA1,%0 :inc %0 ;笑い numalias CUR_WaraiA2,%0 :inc %0 ;笑い2 numalias CUR_WaraiA3,%0 :inc %0 ;笑い3 numalias CUR_WaraiA4,%0 :inc %0 ;numalias CUR_WaraiA5,%0 :inc %0 numalias CUR_WaraiA6,%0 :inc %0 numalias CUR_WaraiA7,%0 :inc %0 numalias CUR_WaraiA8,%0 :inc %0 numalias CUR_AkuwaraiA1,%0 :inc %0 ;悪笑い numalias CUR_AkuwaraiA2,%0 :inc %0 ;悪笑い2 numalias CUR_AkuwaraiA3,%0 :inc %0 ;悪笑い3 numalias CUR_AkuwaraiA4,%0 :inc %0 ;悪笑い4 ;numalias CUR_AkuwaraiA5,%0 :inc %0 ;悪笑い5 numalias CUR_FutekiA1,%0 :inc %0 ;不敵 numalias CUR_FutekiA2,%0 :inc %0 ;不敵 numalias CUR_OdorokiA1,%0 :inc %0 ;驚き numalias CUR_OdorokiA2,%0 :inc %0 ;驚き2 numalias CUR_OdorokiA3,%0 :inc %0 ;驚き3 numalias CUR_OdorokiA4,%0 :inc %0 ;驚き4 ;numalias CUR_OdorokiA5,%0 :inc %0 ;驚き5 ;numalias CUR_FukigenA1,%0 :inc %0 ;不機嫌 numalias CUR_FukigenA2,%0 :inc %0 ;不機嫌2 numalias CUR_FukigenA3,%0 :inc %0 ;不機嫌3 numalias CUR_AseruA1,%0 :inc %0 ;焦る numalias CUR_AseruA2,%0 :inc %0 ;焦る ;numalias CUR_AseruA3,%0 :inc %0 ;焦る numalias CUR_AseruA4,%0 :inc %0 ;焦る numalias CUR_NayamuA1,%0 :inc %0 ;悩む ;numalias CUR_NayamuA2,%0 :inc %0 ;悩む2 numalias CUR_NayamuA3,%0 :inc %0 ;悩む3 numalias CUR_NayamuA4,%0 :inc %0 ;悩む4 numalias CUR_KomaruA1,%0 :inc %0 ;困る ;numalias CUR_KomaruA2,%0 :inc %0 ;困る2 numalias CUR_KomaruA3,%0 :inc %0 numalias CUR_KomaruA4,%0 :inc %0 numalias CUR_IiwakeA1,%0 :inc %0 ;良い訳 ;numalias CUR_IiwakeA2,%0 :inc %0 ;良い訳2 ;numalias CUR_IiwakeA3,%0 :inc %0 ;numalias CUR_HanbesoA1,%0 :inc %0 ;半ベソ ;numalias CUR_HanbesoA2,%0 :inc %0 ;半ベソ2 numalias CUR_HanbesoA3,%0 :inc %0 ;半ベソ3 numalias CUR_HanbesoA4,%0 :inc %0 ;半ベソ4 ;numalias CUR_HanbesoA5,%0 :inc %0 ;半ベソ5 ;numalias CUR_HanbesoA6,%0 :inc %0 ;半ベソ6 ;numalias CUR_GamanA1,%0 :inc %0 ;numalias CUR_GamanA2,%0 :inc %0 numalias CUR_GamanA3,%0 :inc %0 ;numalias CUR_GamanA4,%0 :inc %0 ;numalias CUR_GamanA5,%0 :inc %0 ;numalias CUR_GamanA6,%0 :inc %0 ;numalias CUR_GamanA7,%0 :inc %0 numalias CUR_MajimeA1,%0 :inc %0 numalias CUR_MajimeA2,%0 :inc %0 numalias CUR_MajimeA3,%0 :inc %0 numalias CUR_MajimeA4,%0 :inc %0 numalias CUR_MajimeA5,%0 :inc %0 numalias CUR_MajimeA6,%0 :inc %0 numalias CUR_MajimeA7,%0 :inc %0 numalias CUR_FumanA1,%0 :inc %0 numalias CUR_FumanA2,%0 :inc %0 numalias CUR_FumanA3,%0 :inc %0 ;numalias CUR_IkariA1,%0 :inc %0 ;numalias CUR_IkariA2,%0 :inc %0 ;numalias CUR_NakuA1,%0 :inc %0 ;numalias CUR_NakuA2,%0 :inc %0 ;numalias CUR_NakuA3,%0 :inc %0 numalias CUR_HousinA1,%0 :inc %0 numalias CUR_HousinA2,%0 :inc %0 numalias CUR_HousinA3,%0 :inc %0 numalias CUR_ArigatouA1,%0 :inc %0 ;numalias CUR_HajiraiA1,%0 :inc %0 ;numalias CUR_HajiraiA2,%0 :inc %0 ;numalias CUR_HajiraiA3,%0 :inc %0 numalias CUR_last_number,%0 :inc %0 ;クレルの表情の番号の終端 ;EP8追加分 ;子供縁寿 numalias EN2_start_number,%0 ;子供縁寿の表情の番号の開始 numalias EN2_DefA1,%0 :inc %0 ;子供縁寿 立ち絵 デフォ numalias EN2_DefA2,%0 :inc %0 ;子供縁寿 numalias EN2_DefA1a,%0 :inc %0 ;子供縁寿 立ち絵 笑い numalias EN2_DefA1b,%0 :inc %0 ;子供縁寿 立ち絵 笑い numalias EN2_DefA1c,%0 :inc %0 ;子供縁寿 立ち絵 笑い numalias EN2_DefA1d,%0 :inc %0 ;子供縁寿 立ち絵 笑い numalias EN2_DefA1e,%0 :inc %0 ;子供縁寿 立ち絵 笑い numalias EN2_DefA1f,%0 :inc %0 ;子供縁寿 立ち絵 笑い numalias EN2_DefA2a,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA2b,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA2c,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA3,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA3a,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA3b,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA3c,%0 :inc %0 ;子供縁寿 立ち絵 真面目 numalias EN2_DefA4a,%0 :inc %0 ;子供縁寿 立ち絵 怒り numalias EN2_DefA4b,%0 :inc %0 ;子供縁寿 立ち絵 怒り numalias EN2_last_number,%0 :inc %0 ;子供縁寿の表情の番号の終端 ;未来戦人 numalias BU2_start_number,%0 ;未来戦人の表情の番号の開始 numalias BU2_DefA1,%0 :inc %0 ;未来戦人 立ち絵 デフォ numalias BU2_DefA2,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA3,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA4,%0 :inc %0 ;未来戦人 立ち絵 ;numalias BU2_DefA5,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA6,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA7,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA8,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA9,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA10,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA11,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA12,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_DefA13,%0 :inc %0 ;未来戦人 立ち絵 numalias BU2_last_number,%0 :inc %0 ;未来戦人の表情の番号の終端 ;フラウロス numalias FLA_start_number,%0 ;フラウロスの表情の番号の開始 numalias FLA_DefA1,%0 :inc %0 ;フラウロス 立ち絵 デフォ1 numalias FLA_DefA2,%0 :inc %0 ;フラウロス 立ち絵 デフォ2 numalias FLA_DefA3,%0 :inc %0 ;フラウロス 立ち絵 デフォ3 numalias FLA_DefA4,%0 :inc %0 ;フラウロス 立ち絵 デフォ4 numalias FLA_DefA5,%0 :inc %0 ;フラウロス 立ち絵 デフォ5 numalias FLA_DefA6,%0 :inc %0 ;フラウロス 立ち絵 デフォ6 numalias FLA_DefA7,%0 :inc %0 ;フラウロス 立ち絵 デフォ7 numalias FLA_DefA1a,%0 :inc %0 ;フラウロス 立ち絵 デフォ1汗 numalias FLA_DefA2a,%0 :inc %0 ;フラウロス 立ち絵 デフォ2汗 numalias FLA_DefA3a,%0 :inc %0 ;フラウロス 立ち絵 デフォ3汗 numalias FLA_DefA4a,%0 :inc %0 ;フラウロス 立ち絵 デフォ4汗 numalias FLA_DefA5a,%0 :inc %0 ;フラウロス 立ち絵 デフォ5汗 numalias FLA_DefA6a,%0 :inc %0 ;フラウロス 立ち絵 デフォ6汗 numalias FLA_DefA7a,%0 :inc %0 ;フラウロス 立ち絵 デフォ7汗 numalias FLA_last_number,%0 :inc %0 ;ピースの表情の番号の終端 ;ピース numalias PIE_start_number,%0 ;ピースの表情の番号の開始 numalias PIE_DefA1,%0 :inc %0 ;ピース 立ち絵 デフォ1(通常) numalias PIE_DefA2,%0 :inc %0 ;ピース 立ち絵 デフォ2(通常) numalias PIE_DefA3,%0 :inc %0 ;ピース 立ち絵 デフォ3(通常) numalias PIE_DefA4,%0 :inc %0 ;ピース 立ち絵 デフォ4(通常) numalias PIE_DefA5,%0 :inc %0 ;ピース 立ち絵 デフォ5(通常) numalias PIE_DefA6,%0 :inc %0 ;ピース 立ち絵 デフォ6(通常) numalias PIE_DefA7,%0 :inc %0 ;ピース 立ち絵 デフォ7(通常) numalias PIE_DefA8,%0 :inc %0 ;ピース 立ち絵 デフォ8(通常) numalias PIE_DefA9,%0 :inc %0 ;ピース 立ち絵 デフォ9(通常) numalias PIE_DefA10,%0 :inc %0 ;ピース 立ち絵 デフォ10(通常) numalias PIE_DefA11,%0 :inc %0 ;ピース 立ち絵 デフォ11(通常) numalias PIE_DefA12,%0 :inc %0 ;ピース 立ち絵 デフォ12(通常) numalias PIE_DefA13,%0 :inc %0 ;ピース 立ち絵 デフォ13(通常) numalias PIE_DefA14,%0 :inc %0 ;ピース 立ち絵 デフォ14(通常) numalias PIE_DefA15,%0 :inc %0 ;ピース 立ち絵 デフォ15(通常) numalias PIE_DefA16,%0 :inc %0 ;ピース 立ち絵 デフォ16(通常) numalias PIE_DefA17,%0 :inc %0 ;ピース 立ち絵 デフォ17(通常) numalias PIE_DefA18,%0 :inc %0 ;ピース 立ち絵 デフォ18(通常) numalias PIE_DefA19,%0 :inc %0 ;ピース 立ち絵 デフォ19(通常) ;---------------------------- numalias PIE_DefA1a,%0 :inc %0 ;ピース 立ち絵 デフォ1(通常)汗 numalias PIE_DefA2a,%0 :inc %0 ;ピース 立ち絵 デフォ2(通常)汗 numalias PIE_DefA3a,%0 :inc %0 ;ピース 立ち絵 デフォ3(通常)汗 numalias PIE_DefA4a,%0 :inc %0 ;ピース 立ち絵 デフォ4(通常)汗 numalias PIE_DefA5a,%0 :inc %0 ;ピース 立ち絵 デフォ5(通常)汗 numalias PIE_DefA6a,%0 :inc %0 ;ピース 立ち絵 デフォ6(通常)汗 numalias PIE_DefA7a,%0 :inc %0 ;ピース 立ち絵 デフォ7(通常)汗 numalias PIE_DefA8a,%0 :inc %0 ;ピース 立ち絵 デフォ8(通常)汗 numalias PIE_DefA9a,%0 :inc %0 ;ピース 立ち絵 デフォ9(通常)汗 numalias PIE_DefA10a,%0 :inc %0 ;ピース 立ち絵 デフォ10(通常)汗 numalias PIE_DefA11a,%0 :inc %0 ;ピース 立ち絵 デフォ11(通常)汗 numalias PIE_DefA12a,%0 :inc %0 ;ピース 立ち絵 デフォ12(通常)汗 numalias PIE_DefA13a,%0 :inc %0 ;ピース 立ち絵 デフォ13(通常)汗 numalias PIE_DefA14a,%0 :inc %0 ;ピース 立ち絵 デフォ14(通常)汗 numalias PIE_DefA15a,%0 :inc %0 ;ピース 立ち絵 デフォ15(通常)汗 numalias PIE_DefA16a,%0 :inc %0 ;ピース 立ち絵 デフォ16(通常)汗 numalias PIE_DefA17a,%0 :inc %0 ;ピース 立ち絵 デフォ17(通常)汗 numalias PIE_DefA18a,%0 :inc %0 ;ピース 立ち絵 デフォ18(通常)汗 numalias PIE_DefA19a,%0 :inc %0 ;ピース 立ち絵 デフォ19(通常)汗 ;============================ numalias PIE_DefB1,%0 :inc %0 ;ピース 立ち絵 デフォ1(Wピース) numalias PIE_DefB2,%0 :inc %0 ;ピース 立ち絵 デフォ2(Wピース) numalias PIE_DefB3,%0 :inc %0 ;ピース 立ち絵 デフォ3(Wピース) numalias PIE_DefB4,%0 :inc %0 ;ピース 立ち絵 デフォ4(Wピース) numalias PIE_DefB5,%0 :inc %0 ;ピース 立ち絵 デフォ5(Wピース) numalias PIE_DefB6,%0 :inc %0 ;ピース 立ち絵 デフォ6(Wピース) numalias PIE_DefB7,%0 :inc %0 ;ピース 立ち絵 デフォ7(Wピース) numalias PIE_DefB8,%0 :inc %0 ;ピース 立ち絵 デフォ8(Wピース) numalias PIE_DefB9,%0 :inc %0 ;ピース 立ち絵 デフォ9(Wピース) numalias PIE_DefB10,%0 :inc %0 ;ピース 立ち絵 デフォ10(Wピース) numalias PIE_DefB11,%0 :inc %0 ;ピース 立ち絵 デフォ11(Wピース) numalias PIE_DefB12,%0 :inc %0 ;ピース 立ち絵 デフォ12(Wピース) numalias PIE_DefB13,%0 :inc %0 ;ピース 立ち絵 デフォ13(Wピース) numalias PIE_DefB14,%0 :inc %0 ;ピース 立ち絵 デフォ14(Wピース) numalias PIE_DefB15,%0 :inc %0 ;ピース 立ち絵 デフォ15(Wピース) numalias PIE_DefB16,%0 :inc %0 ;ピース 立ち絵 デフォ16(Wピース) numalias PIE_DefB17,%0 :inc %0 ;ピース 立ち絵 デフォ17(Wピース) numalias PIE_DefB18,%0 :inc %0 ;ピース 立ち絵 デフォ18(Wピース) numalias PIE_DefB19,%0 :inc %0 ;ピース 立ち絵 デフォ19(Wピース) ;---------------------------- numalias PIE_DefB1a,%0 :inc %0 ;ピース 立ち絵 デフォ1(Wピース)汗 numalias PIE_DefB2a,%0 :inc %0 ;ピース 立ち絵 デフォ2(Wピース)汗 numalias PIE_DefB3a,%0 :inc %0 ;ピース 立ち絵 デフォ3(Wピース)汗 numalias PIE_DefB4a,%0 :inc %0 ;ピース 立ち絵 デフォ4(Wピース)汗 numalias PIE_DefB5a,%0 :inc %0 ;ピース 立ち絵 デフォ5(Wピース)汗 numalias PIE_DefB6a,%0 :inc %0 ;ピース 立ち絵 デフォ6(Wピース)汗 numalias PIE_DefB7a,%0 :inc %0 ;ピース 立ち絵 デフォ7(Wピース)汗 numalias PIE_DefB8a,%0 :inc %0 ;ピース 立ち絵 デフォ8(Wピース)汗 numalias PIE_DefB9a,%0 :inc %0 ;ピース 立ち絵 デフォ9(Wピース)汗 numalias PIE_DefB10a,%0 :inc %0 ;ピース 立ち絵 デフォ10(Wピース)汗 numalias PIE_DefB11a,%0 :inc %0 ;ピース 立ち絵 デフォ11(Wピース)汗 numalias PIE_DefB12a,%0 :inc %0 ;ピース 立ち絵 デフォ12(Wピース)汗 numalias PIE_DefB13a,%0 :inc %0 ;ピース 立ち絵 デフォ13(Wピース)汗 numalias PIE_DefB14a,%0 :inc %0 ;ピース 立ち絵 デフォ14(Wピース)汗 numalias PIE_DefB15a,%0 :inc %0 ;ピース 立ち絵 デフォ15(Wピース)汗 numalias PIE_DefB16a,%0 :inc %0 ;ピース 立ち絵 デフォ16(Wピース)汗 numalias PIE_DefB17a,%0 :inc %0 ;ピース 立ち絵 デフォ17(Wピース)汗 numalias PIE_DefB18a,%0 :inc %0 ;ピース 立ち絵 デフォ18(Wピース)汗 numalias PIE_DefB19a,%0 :inc %0 ;ピース 立ち絵 デフォ19(Wピース)汗 ;============================ numalias PIE_Hair1A1,%0 :inc %0 ;ピース 髪1差分1(通常) numalias PIE_Hair1A2,%0 :inc %0 ;ピース 髪1差分2(通常) numalias PIE_Hair1A3,%0 :inc %0 ;ピース 髪1差分3(通常) numalias PIE_Hair1A4,%0 :inc %0 ;ピース 髪1差分4(通常) numalias PIE_Hair1A5,%0 :inc %0 ;ピース 髪1差分5(通常) numalias PIE_Hair1A6,%0 :inc %0 ;ピース 髪1差分6(通常) numalias PIE_Hair1A7,%0 :inc %0 ;ピース 髪1差分7(通常) numalias PIE_Hair1A8,%0 :inc %0 ;ピース 髪1差分8(通常) numalias PIE_Hair1A9,%0 :inc %0 ;ピース 髪1差分9(通常) numalias PIE_Hair1A10,%0 :inc %0 ;ピース 髪1差分10(通常) numalias PIE_Hair1A11,%0 :inc %0 ;ピース 髪1差分11(通常) numalias PIE_Hair1A12,%0 :inc %0 ;ピース 髪1差分12(通常) numalias PIE_Hair1A13,%0 :inc %0 ;ピース 髪1差分13(通常) numalias PIE_Hair1A14,%0 :inc %0 ;ピース 髪1差分14(通常) numalias PIE_Hair1A15,%0 :inc %0 ;ピース 髪1差分15(通常) numalias PIE_Hair1A16,%0 :inc %0 ;ピース 髪1差分16(通常) numalias PIE_Hair1A17,%0 :inc %0 ;ピース 髪1差分17(通常) numalias PIE_Hair1A18,%0 :inc %0 ;ピース 髪1差分18(通常) numalias PIE_Hair1A19,%0 :inc %0 ;ピース 髪1差分19(通常) ;---------------------------- numalias PIE_Hair1A1a,%0 :inc %0 ;ピース 髪1差分1(通常)汗 numalias PIE_Hair1A2a,%0 :inc %0 ;ピース 髪1差分2(通常)汗 numalias PIE_Hair1A3a,%0 :inc %0 ;ピース 髪1差分3(通常)汗 numalias PIE_Hair1A4a,%0 :inc %0 ;ピース 髪1差分4(通常)汗 numalias PIE_Hair1A5a,%0 :inc %0 ;ピース 髪1差分5(通常)汗 numalias PIE_Hair1A6a,%0 :inc %0 ;ピース 髪1差分6(通常)汗 numalias PIE_Hair1A7a,%0 :inc %0 ;ピース 髪1差分7(通常)汗 numalias PIE_Hair1A8a,%0 :inc %0 ;ピース 髪1差分8(通常)汗 numalias PIE_Hair1A9a,%0 :inc %0 ;ピース 髪1差分9(通常)汗 numalias PIE_Hair1A10a,%0 :inc %0 ;ピース 髪1差分10(通常)汗 numalias PIE_Hair1A11a,%0 :inc %0 ;ピース 髪1差分11(通常)汗 numalias PIE_Hair1A12a,%0 :inc %0 ;ピース 髪1差分12(通常)汗 numalias PIE_Hair1A13a,%0 :inc %0 ;ピース 髪1差分13(通常)汗 numalias PIE_Hair1A14a,%0 :inc %0 ;ピース 髪1差分14(通常)汗 numalias PIE_Hair1A15a,%0 :inc %0 ;ピース 髪1差分15(通常)汗 numalias PIE_Hair1A16a,%0 :inc %0 ;ピース 髪1差分16(通常)汗 numalias PIE_Hair1A17a,%0 :inc %0 ;ピース 髪1差分17(通常)汗 numalias PIE_Hair1A18a,%0 :inc %0 ;ピース 髪1差分18(通常)汗 numalias PIE_Hair1A19a,%0 :inc %0 ;ピース 髪1差分19(通常)汗 ;============================ numalias PIE_Hair1B1,%0 :inc %0 ;ピース 髪1差分1(Wピース) numalias PIE_Hair1B2,%0 :inc %0 ;ピース 髪1差分2(Wピース) numalias PIE_Hair1B3,%0 :inc %0 ;ピース 髪1差分3(Wピース) numalias PIE_Hair1B4,%0 :inc %0 ;ピース 髪1差分4(Wピース) numalias PIE_Hair1B5,%0 :inc %0 ;ピース 髪1差分5(Wピース) numalias PIE_Hair1B6,%0 :inc %0 ;ピース 髪1差分6(Wピース) numalias PIE_Hair1B7,%0 :inc %0 ;ピース 髪1差分7(Wピース) numalias PIE_Hair1B8,%0 :inc %0 ;ピース 髪1差分8(Wピース) numalias PIE_Hair1B9,%0 :inc %0 ;ピース 髪1差分9(Wピース) numalias PIE_Hair1B10,%0 :inc %0 ;ピース 髪1差分10(Wピース) numalias PIE_Hair1B11,%0 :inc %0 ;ピース 髪1差分11(Wピース) numalias PIE_Hair1B12,%0 :inc %0 ;ピース 髪1差分12(Wピース) numalias PIE_Hair1B13,%0 :inc %0 ;ピース 髪1差分13(Wピース) numalias PIE_Hair1B14,%0 :inc %0 ;ピース 髪1差分14(Wピース) numalias PIE_Hair1B15,%0 :inc %0 ;ピース 髪1差分15(Wピース) numalias PIE_Hair1B16,%0 :inc %0 ;ピース 髪1差分16(Wピース) numalias PIE_Hair1B17,%0 :inc %0 ;ピース 髪1差分17(Wピース) numalias PIE_Hair1B18,%0 :inc %0 ;ピース 髪1差分18(Wピース) numalias PIE_Hair1B19,%0 :inc %0 ;ピース 髪1差分19(Wピース) ;---------------------------- numalias PIE_Hair1B1a,%0 :inc %0 ;ピース 髪1差分1(Wピース)汗 numalias PIE_Hair1B2a,%0 :inc %0 ;ピース 髪1差分2(Wピース)汗 numalias PIE_Hair1B3a,%0 :inc %0 ;ピース 髪1差分3(Wピース)汗 numalias PIE_Hair1B4a,%0 :inc %0 ;ピース 髪1差分4(Wピース)汗 numalias PIE_Hair1B5a,%0 :inc %0 ;ピース 髪1差分5(Wピース)汗 numalias PIE_Hair1B6a,%0 :inc %0 ;ピース 髪1差分6(Wピース)汗 numalias PIE_Hair1B7a,%0 :inc %0 ;ピース 髪1差分7(Wピース)汗 numalias PIE_Hair1B8a,%0 :inc %0 ;ピース 髪1差分8(Wピース)汗 numalias PIE_Hair1B9a,%0 :inc %0 ;ピース 髪1差分9(Wピース)汗 numalias PIE_Hair1B10a,%0 :inc %0 ;ピース 髪1差分10(Wピース)汗 numalias PIE_Hair1B11a,%0 :inc %0 ;ピース 髪1差分11(Wピース)汗 numalias PIE_Hair1B12a,%0 :inc %0 ;ピース 髪1差分12(Wピース)汗 numalias PIE_Hair1B13a,%0 :inc %0 ;ピース 髪1差分13(Wピース)汗 numalias PIE_Hair1B14a,%0 :inc %0 ;ピース 髪1差分14(Wピース)汗 numalias PIE_Hair1B15a,%0 :inc %0 ;ピース 髪1差分15(Wピース)汗 numalias PIE_Hair1B16a,%0 :inc %0 ;ピース 髪1差分16(Wピース)汗 numalias PIE_Hair1B17a,%0 :inc %0 ;ピース 髪1差分17(Wピース)汗 numalias PIE_Hair1B18a,%0 :inc %0 ;ピース 髪1差分18(Wピース)汗 numalias PIE_Hair1B19a,%0 :inc %0 ;ピース 髪1差分19(Wピース)汗 ;============================ numalias PIE_Hair2A1,%0 :inc %0 ;ピース 髪2差分1(通常) numalias PIE_Hair2A2,%0 :inc %0 ;ピース 髪2差分2(通常) numalias PIE_Hair2A3,%0 :inc %0 ;ピース 髪2差分3(通常) numalias PIE_Hair2A4,%0 :inc %0 ;ピース 髪2差分4(通常) numalias PIE_Hair2A5,%0 :inc %0 ;ピース 髪2差分5(通常) numalias PIE_Hair2A6,%0 :inc %0 ;ピース 髪2差分6(通常) numalias PIE_Hair2A7,%0 :inc %0 ;ピース 髪2差分7(通常) numalias PIE_Hair2A8,%0 :inc %0 ;ピース 髪2差分8(通常) numalias PIE_Hair2A9,%0 :inc %0 ;ピース 髪2差分9(通常) numalias PIE_Hair2A10,%0 :inc %0 ;ピース 髪2差分10(通常) numalias PIE_Hair2A11,%0 :inc %0 ;ピース 髪2差分11(通常) numalias PIE_Hair2A12,%0 :inc %0 ;ピース 髪2差分12(通常) numalias PIE_Hair2A13,%0 :inc %0 ;ピース 髪2差分13(通常) numalias PIE_Hair2A14,%0 :inc %0 ;ピース 髪2差分14(通常) numalias PIE_Hair2A15,%0 :inc %0 ;ピース 髪2差分15(通常) numalias PIE_Hair2A16,%0 :inc %0 ;ピース 髪2差分16(通常) numalias PIE_Hair2A17,%0 :inc %0 ;ピース 髪2差分17(通常) numalias PIE_Hair2A18,%0 :inc %0 ;ピース 髪2差分18(通常) numalias PIE_Hair2A19,%0 :inc %0 ;ピース 髪2差分19(通常) ;---------------------------- numalias PIE_Hair2A1a,%0 :inc %0 ;ピース 髪2差分1(通常)汗 numalias PIE_Hair2A2a,%0 :inc %0 ;ピース 髪2差分2(通常)汗 numalias PIE_Hair2A3a,%0 :inc %0 ;ピース 髪2差分3(通常)汗 numalias PIE_Hair2A4a,%0 :inc %0 ;ピース 髪2差分4(通常)汗 numalias PIE_Hair2A5a,%0 :inc %0 ;ピース 髪2差分5(通常)汗 numalias PIE_Hair2A6a,%0 :inc %0 ;ピース 髪2差分6(通常)汗 numalias PIE_Hair2A7a,%0 :inc %0 ;ピース 髪2差分7(通常)汗 numalias PIE_Hair2A8a,%0 :inc %0 ;ピース 髪2差分8(通常)汗 numalias PIE_Hair2A9a,%0 :inc %0 ;ピース 髪2差分9(通常)汗 numalias PIE_Hair2A10a,%0 :inc %0 ;ピース 髪2差分10(通常)汗 numalias PIE_Hair2A11a,%0 :inc %0 ;ピース 髪2差分11(通常)汗 numalias PIE_Hair2A12a,%0 :inc %0 ;ピース 髪2差分12(通常)汗 numalias PIE_Hair2A13a,%0 :inc %0 ;ピース 髪2差分13(通常)汗 numalias PIE_Hair2A14a,%0 :inc %0 ;ピース 髪2差分14(通常)汗 numalias PIE_Hair2A15a,%0 :inc %0 ;ピース 髪2差分15(通常)汗 numalias PIE_Hair2A16a,%0 :inc %0 ;ピース 髪2差分16(通常)汗 numalias PIE_Hair2A17a,%0 :inc %0 ;ピース 髪2差分17(通常)汗 numalias PIE_Hair2A18a,%0 :inc %0 ;ピース 髪2差分18(通常)汗 numalias PIE_Hair2A19a,%0 :inc %0 ;ピース 髪2差分19(通常)汗 ;============================ numalias PIE_Hair2B1,%0 :inc %0 ;ピース 髪2差分1(Wピース) numalias PIE_Hair2B2,%0 :inc %0 ;ピース 髪2差分2(Wピース) numalias PIE_Hair2B3,%0 :inc %0 ;ピース 髪2差分3(Wピース) numalias PIE_Hair2B4,%0 :inc %0 ;ピース 髪2差分4(Wピース) numalias PIE_Hair2B5,%0 :inc %0 ;ピース 髪2差分5(Wピース) numalias PIE_Hair2B6,%0 :inc %0 ;ピース 髪2差分6(Wピース) numalias PIE_Hair2B7,%0 :inc %0 ;ピース 髪2差分7(Wピース) numalias PIE_Hair2B8,%0 :inc %0 ;ピース 髪2差分8(Wピース) numalias PIE_Hair2B9,%0 :inc %0 ;ピース 髪2差分9(Wピース) numalias PIE_Hair2B10,%0 :inc %0 ;ピース 髪2差分10(Wピース) numalias PIE_Hair2B11,%0 :inc %0 ;ピース 髪2差分11(Wピース) numalias PIE_Hair2B12,%0 :inc %0 ;ピース 髪2差分12(Wピース) numalias PIE_Hair2B13,%0 :inc %0 ;ピース 髪2差分13(Wピース) numalias PIE_Hair2B14,%0 :inc %0 ;ピース 髪2差分14(Wピース) numalias PIE_Hair2B15,%0 :inc %0 ;ピース 髪2差分15(Wピース) numalias PIE_Hair2B16,%0 :inc %0 ;ピース 髪2差分16(Wピース) numalias PIE_Hair2B17,%0 :inc %0 ;ピース 髪2差分17(Wピース) numalias PIE_Hair2B18,%0 :inc %0 ;ピース 髪2差分18(Wピース) numalias PIE_Hair2B19,%0 :inc %0 ;ピース 髪2差分19(Wピース) ;---------------------------- numalias PIE_Hair2B1a,%0 :inc %0 ;ピース 髪2差分1(Wピース)汗 numalias PIE_Hair2B2a,%0 :inc %0 ;ピース 髪2差分2(Wピース)汗 numalias PIE_Hair2B3a,%0 :inc %0 ;ピース 髪2差分3(Wピース)汗 numalias PIE_Hair2B4a,%0 :inc %0 ;ピース 髪2差分4(Wピース)汗 numalias PIE_Hair2B5a,%0 :inc %0 ;ピース 髪2差分5(Wピース)汗 numalias PIE_Hair2B6a,%0 :inc %0 ;ピース 髪2差分6(Wピース)汗 numalias PIE_Hair2B7a,%0 :inc %0 ;ピース 髪2差分7(Wピース)汗 numalias PIE_Hair2B8a,%0 :inc %0 ;ピース 髪2差分8(Wピース)汗 numalias PIE_Hair2B9a,%0 :inc %0 ;ピース 髪2差分9(Wピース)汗 numalias PIE_Hair2B10a,%0 :inc %0 ;ピース 髪2差分10(Wピース)汗 numalias PIE_Hair2B11a,%0 :inc %0 ;ピース 髪2差分11(Wピース)汗 numalias PIE_Hair2B12a,%0 :inc %0 ;ピース 髪2差分12(Wピース)汗 numalias PIE_Hair2B13a,%0 :inc %0 ;ピース 髪2差分13(Wピース)汗 numalias PIE_Hair2B14a,%0 :inc %0 ;ピース 髪2差分14(Wピース)汗 numalias PIE_Hair2B15a,%0 :inc %0 ;ピース 髪2差分15(Wピース)汗 numalias PIE_Hair2B16a,%0 :inc %0 ;ピース 髪2差分16(Wピース)汗 numalias PIE_Hair2B17a,%0 :inc %0 ;ピース 髪2差分17(Wピース)汗 numalias PIE_Hair2B18a,%0 :inc %0 ;ピース 髪2差分18(Wピース)汗 numalias PIE_Hair2B19a,%0 :inc %0 ;ピース 髪2差分19(Wピース)汗 ;============================ numalias PIE_Hair3A1,%0 :inc %0 ;ピース 髪3差分1(通常) numalias PIE_Hair3A2,%0 :inc %0 ;ピース 髪3差分2(通常) numalias PIE_Hair3A3,%0 :inc %0 ;ピース 髪3差分3(通常) numalias PIE_Hair3A4,%0 :inc %0 ;ピース 髪3差分4(通常) numalias PIE_Hair3A5,%0 :inc %0 ;ピース 髪3差分5(通常) numalias PIE_Hair3A6,%0 :inc %0 ;ピース 髪3差分6(通常) numalias PIE_Hair3A7,%0 :inc %0 ;ピース 髪3差分7(通常) numalias PIE_Hair3A8,%0 :inc %0 ;ピース 髪3差分8(通常) numalias PIE_Hair3A9,%0 :inc %0 ;ピース 髪3差分9(通常) numalias PIE_Hair3A10,%0 :inc %0 ;ピース 髪3差分10(通常) numalias PIE_Hair3A11,%0 :inc %0 ;ピース 髪3差分11(通常) numalias PIE_Hair3A12,%0 :inc %0 ;ピース 髪3差分12(通常) numalias PIE_Hair3A13,%0 :inc %0 ;ピース 髪3差分13(通常) numalias PIE_Hair3A14,%0 :inc %0 ;ピース 髪3差分14(通常) numalias PIE_Hair3A15,%0 :inc %0 ;ピース 髪3差分15(通常) numalias PIE_Hair3A16,%0 :inc %0 ;ピース 髪3差分16(通常) numalias PIE_Hair3A17,%0 :inc %0 ;ピース 髪3差分17(通常) numalias PIE_Hair3A18,%0 :inc %0 ;ピース 髪3差分18(通常) numalias PIE_Hair3A19,%0 :inc %0 ;ピース 髪3差分19(通常) ;---------------------------- numalias PIE_Hair3A1a,%0 :inc %0 ;ピース 髪3差分1(通常)汗 numalias PIE_Hair3A2a,%0 :inc %0 ;ピース 髪3差分2(通常)汗 numalias PIE_Hair3A3a,%0 :inc %0 ;ピース 髪3差分3(通常)汗 numalias PIE_Hair3A4a,%0 :inc %0 ;ピース 髪3差分4(通常)汗 numalias PIE_Hair3A5a,%0 :inc %0 ;ピース 髪3差分5(通常)汗 numalias PIE_Hair3A6a,%0 :inc %0 ;ピース 髪3差分6(通常)汗 numalias PIE_Hair3A7a,%0 :inc %0 ;ピース 髪3差分7(通常)汗 numalias PIE_Hair3A8a,%0 :inc %0 ;ピース 髪3差分8(通常)汗 numalias PIE_Hair3A9a,%0 :inc %0 ;ピース 髪3差分9(通常)汗 numalias PIE_Hair3A10a,%0 :inc %0 ;ピース 髪3差分10(通常)汗 numalias PIE_Hair3A11a,%0 :inc %0 ;ピース 髪3差分11(通常)汗 numalias PIE_Hair3A12a,%0 :inc %0 ;ピース 髪3差分12(通常)汗 numalias PIE_Hair3A13a,%0 :inc %0 ;ピース 髪3差分13(通常)汗 numalias PIE_Hair3A14a,%0 :inc %0 ;ピース 髪3差分14(通常)汗 numalias PIE_Hair3A15a,%0 :inc %0 ;ピース 髪3差分15(通常)汗 numalias PIE_Hair3A16a,%0 :inc %0 ;ピース 髪3差分16(通常)汗 numalias PIE_Hair3A17a,%0 :inc %0 ;ピース 髪3差分17(通常)汗 numalias PIE_Hair3A18a,%0 :inc %0 ;ピース 髪3差分18(通常)汗 numalias PIE_Hair3A19a,%0 :inc %0 ;ピース 髪3差分19(通常)汗 ;============================ numalias PIE_Hair3B1,%0 :inc %0 ;ピース 髪3差分1(Wピース) numalias PIE_Hair3B2,%0 :inc %0 ;ピース 髪3差分2(Wピース) numalias PIE_Hair3B3,%0 :inc %0 ;ピース 髪3差分3(Wピース) numalias PIE_Hair3B4,%0 :inc %0 ;ピース 髪3差分4(Wピース) numalias PIE_Hair3B5,%0 :inc %0 ;ピース 髪3差分5(Wピース) numalias PIE_Hair3B6,%0 :inc %0 ;ピース 髪3差分6(Wピース) numalias PIE_Hair3B7,%0 :inc %0 ;ピース 髪3差分7(Wピース) numalias PIE_Hair3B8,%0 :inc %0 ;ピース 髪3差分8(Wピース) numalias PIE_Hair3B9,%0 :inc %0 ;ピース 髪3差分9(Wピース) numalias PIE_Hair3B10,%0 :inc %0 ;ピース 髪3差分10(Wピース) numalias PIE_Hair3B11,%0 :inc %0 ;ピース 髪3差分11(Wピース) numalias PIE_Hair3B12,%0 :inc %0 ;ピース 髪3差分12(Wピース) numalias PIE_Hair3B13,%0 :inc %0 ;ピース 髪3差分13(Wピース) numalias PIE_Hair3B14,%0 :inc %0 ;ピース 髪3差分14(Wピース) numalias PIE_Hair3B15,%0 :inc %0 ;ピース 髪3差分15(Wピース) numalias PIE_Hair3B16,%0 :inc %0 ;ピース 髪3差分16(Wピース) numalias PIE_Hair3B17,%0 :inc %0 ;ピース 髪3差分17(Wピース) numalias PIE_Hair3B18,%0 :inc %0 ;ピース 髪3差分18(Wピース) numalias PIE_Hair3B19,%0 :inc %0 ;ピース 髪3差分19(Wピース) ;---------------------------- numalias PIE_Hair3B1a,%0 :inc %0 ;ピース 髪3差分1(Wピース)汗 numalias PIE_Hair3B2a,%0 :inc %0 ;ピース 髪3差分2(Wピース)汗 numalias PIE_Hair3B3a,%0 :inc %0 ;ピース 髪3差分3(Wピース)汗 numalias PIE_Hair3B4a,%0 :inc %0 ;ピース 髪3差分4(Wピース)汗 numalias PIE_Hair3B5a,%0 :inc %0 ;ピース 髪3差分5(Wピース)汗 numalias PIE_Hair3B6a,%0 :inc %0 ;ピース 髪3差分6(Wピース)汗 numalias PIE_Hair3B7a,%0 :inc %0 ;ピース 髪3差分7(Wピース)汗 numalias PIE_Hair3B8a,%0 :inc %0 ;ピース 髪3差分8(Wピース)汗 numalias PIE_Hair3B9a,%0 :inc %0 ;ピース 髪3差分9(Wピース)汗 numalias PIE_Hair3B10a,%0 :inc %0 ;ピース 髪3差分10(Wピース)汗 numalias PIE_Hair3B11a,%0 :inc %0 ;ピース 髪3差分11(Wピース)汗 numalias PIE_Hair3B12a,%0 :inc %0 ;ピース 髪3差分12(Wピース)汗 numalias PIE_Hair3B13a,%0 :inc %0 ;ピース 髪3差分13(Wピース)汗 numalias PIE_Hair3B14a,%0 :inc %0 ;ピース 髪3差分14(Wピース)汗 numalias PIE_Hair3B15a,%0 :inc %0 ;ピース 髪3差分15(Wピース)汗 numalias PIE_Hair3B16a,%0 :inc %0 ;ピース 髪3差分16(Wピース)汗 numalias PIE_Hair3B17a,%0 :inc %0 ;ピース 髪3差分17(Wピース)汗 numalias PIE_Hair3B18a,%0 :inc %0 ;ピース 髪3差分18(Wピース)汗 numalias PIE_Hair3B19a,%0 :inc %0 ;ピース 髪3差分19(Wピース)汗 numalias PIE_Hair1,%0 :inc %0 ;ピース 立ち絵 髪の毛演出1 numalias PIE_Hair2,%0 :inc %0 ;ピース 立ち絵 髪の毛演出2 numalias PIE_Hair3,%0 :inc %0 ;ピース 立ち絵 髪の毛演出3 numalias PIE_Hair4,%0 :inc %0 ;ピース 立ち絵 髪の毛演出4 ;memo numalias PIE_Hair1A1,%0 :inc %0 ;ピース 立ち絵 髪の毛演出1 numalias PIE_Hair1A1a,%0 :inc %0 ;ピース 立ち絵 髪の毛演出1 numalias PIE_Hair1B1,%0 :inc %0 ;ピース 立ち絵 髪の毛演出1 numalias PIE_Hair1B1a,%0 :inc %0 ;ピース 立ち絵 髪の毛演出1 numalias PIE_last_number,%0 :inc %0 ;ピースの表情の番号の終端 ;ウェルギリアス numalias WEL_start_number,%0 ;ウェルギリアスの表情の番号の開始 numalias WEL_Def1,%0 :inc %0 ;ウェルギリアス 立ち絵 デフォ1 numalias WEL_Def2,%0 :inc %0 ;ウェルギリアス 立ち絵 デフォ2 numalias WEL_Def3,%0 :inc %0 ;ウェルギリアス 立ち絵 デフォ3 numalias WEL_Def4,%0 :inc %0 ;ウェルギリアス 立ち絵 デフォ4 numalias WEL_Def5,%0 :inc %0 ;ウェルギリアス 立ち絵 デフォ5 numalias WEL_Def6,%0 :inc %0 ;ウェルギリアス 立ち絵 デフォ6 numalias WEL_last_number,%0 :inc %0 ;ウェルギリアスの表情の番号の終端 ;EP8追加 stralias kakera_memory8,"BMP\background\efe\kakera_memory8.bmp" ;2018使用 stralias e2,"BMP\background\efe\e2.bmp" ;2018使用 stralias ep4last03,"BMP\background\efe\ep4last03.bmp" ;2018使用 stralias ep4last05,"BMP\background\efe\ep4last05.bmp" ;2018使用 stralias ep4last06,"BMP\background\efe\ep4last06.bmp" ;2018使用 stralias bullet3,"BMP\background\efe\bullet3.bmp" ;弾幕 ラムダの金平糖 stralias M_door3,"BMP\background\garden\M_door3.bmp" ;黄金郷の扉 stralias M_door4,"BMP\background\garden\M_door4.bmp" ;黄金郷の扉 ;stralias years_1998,"BMP\background\efe\years_1998.bmp" ;1998年 書き文字 ;stralias medaru_1,"BMP\background\efe\medaru_1.bmp" ;猫の目の宝石 ;stralias medaru_2,"BMP\background\efe\medaru_2.bmp" ;猫の目の宝石 stralias Mhal_1bN,"BMP\background\mainbuilding\Mhal_1bN.bmp" ;本館1F 大広間1 2枚目 夜 stralias Mhal_1cN,"BMP\background\mainbuilding\Mhal_1cN.bmp" ;本館1F 大広間1 3枚目 夜 stralias FEA_R1f,"BMP\background\FEA\FEA_R1f.bmp" ;八城邸 stralias FEA_R1fN,"BMP\background\FEA\FEA_R1fN.bmp" ;八城邸 stralias FEA_R1g,"BMP\background\FEA\FEA_R1g.bmp" ;八城邸 stralias FEA_R1gN,"BMP\background\FEA\FEA_R1gN.bmp" ;八城邸 stralias FEA_R1gR,"BMP\background\FEA\FEA_R1gR.bmp" ;八城邸 stralias FEA_R1h,"BMP\background\FEA\FEA_R1h.bmp" ;八城邸 stralias FEA_R1i,"BMP\background\FEA\FEA_R1i.bmp" ;八城邸 stralias FEA_R1iN,"BMP\background\FEA\FEA_R1iN.bmp" ;八城邸 stralias FEA_R1iR,"BMP\background\FEA\FEA_R1iR.bmp" ;八城邸 stralias FEA_R1j,"BMP\background\FEA\FEA_R1j.bmp" ;八城邸 stralias FEA_R1jR,"BMP\background\FEA\FEA_R1jR.bmp" ;八城邸 stralias FEA_R2,"BMP\background\FEA\FEA_R2.bmp" ;林道 stralias BUI_H1a,"BMP\background\city\BUI_H1a.bmp" ;記者会見会場 stralias BUI_H1b,"BMP\background\city\BUI_H1b.bmp" ;記者会見会場 stralias BUI_H1c,"BMP\background\city\BUI_H1c.bmp" ;記者会見会場 stralias BUI_H1d,"BMP\background\city\BUI_H1d.bmp" ;記者会見会場 stralias BUI_H1e,"BMP\background\city\BUI_H1e.bmp" ;バンケットホール stralias BUI_H1f,"BMP\background\city\BUI_H1f.bmp" ;バンケットホール stralias BUI_H1g,"BMP\background\city\BUI_H1g.bmp" ;バンケットホール stralias Ship_S4,"BMP\background\ship\Ship_S4.bmp" ;海賊船 stralias Ship_S5,"BMP\background\ship\Ship_S5.bmp" ;海賊船 stralias Ship_S6,"BMP\background\ship\Ship_S6.bmp" ;海賊船 stralias Sky_5,"BMP\background\ship\Sky_5.bmp" ;海賊船 stralias Sky_6,"BMP\background\ship\Sky_6.bmp" ;海賊船 stralias FEA_L1,"BMP\background\FEA\FEA_L1.bmp" ;無限図書館 stralias FEA_L2,"BMP\background\FEA\FEA_L2.bmp" ;無限図書館 stralias FEA_L3,"BMP\background\FEA\FEA_L3.bmp" ;無限図書館 stralias FEA_L4,"BMP\background\FEA\FEA_L4.bmp" ;無限図書館 stralias FEA_L5,"BMP\background\FEA\FEA_L5.bmp" ;無限図書館 stralias FEA_L6,"BMP\background\FEA\FEA_L6.bmp" ;無限図書館 stralias FEA_L7,"BMP\background\FEA\FEA_L7.bmp" ;無限図書館 stralias FEA_L8,"BMP\background\FEA\FEA_L8.bmp" ;無限図書館 stralias FEA_K1,"BMP\background\FEA\FEA_K1.bmp" ;鍵の間 stralias FEA_K2,"BMP\background\FEA\FEA_K2.bmp" ;鍵の間 stralias FEA_K3,"BMP\background\FEA\FEA_K3.bmp" ;鍵の間 stralias FEA_K4,"BMP\background\FEA\FEA_K4.bmp" ;鍵の間 stralias FEA_F1N,"BMP\background\FEA\FEA_F1N.bmp" ;新福音の家 外観 夜 stralias FEA_F2N,"BMP\background\FEA\FEA_F2N.bmp" ;鍵福音の家 内部 夜 stralias FEA_F3N,"BMP\background\FEA\FEA_F3N.bmp" ;鍵福音の家 内部 夜 ;stralias FEA_F4N,"BMP\background\FEA\FEA_F4N.bmp" ;鍵福音の家 内部 夜 stralias cof_2a,"BMP\background\city\cof_2a.bmp" ;喫茶店 1枚目 stralias cof_2b,"BMP\background\city\cof_2b.bmp" ;喫茶店 1枚目 stralias cof_2c,"BMP\background\city\cof_2c.bmp" ;喫茶店 1枚目 ;EP7追加 stralias cats_eye1a,"BMP\background\efe\cats_eye1a.bmp" ;猫の目の宝石 stralias cats_eye1b,"BMP\background\efe\cats_eye1b.bmp" ;猫の目の宝石 stralias cats_eye1c,"BMP\background\efe\cats_eye1c.bmp" ;猫の目の宝石 stralias cats_eye1d,"BMP\background\efe\cats_eye1d.bmp" ;猫の目の宝石 stralias cats_eye2a,"BMP\background\efe\cats_eye2a.bmp" ;猫の目の宝石 stralias cats_eye2b,"BMP\background\efe\cats_eye2b.bmp" ;猫の目の宝石 stralias cats_eye2c,"BMP\background\efe\cats_eye2c.bmp" ;猫の目の宝石 stralias cats_mouth,"BMP\background\efe\cats_mouth.bmp" ;猫の目の宝石 stralias Gsta_1aN,"BMP\background\guesthouse\Gsta_1aN.bmp" ;階段(最上階) 1枚目 staircase 夜 stralias TEXT008,"BMP\background\efe\TEXT008.bmp" stralias sub_clock1a,"BMP\background\subway\sub_clock1a.bmp" ;黄金部屋の時計 stralias sub_clock1b,"BMP\background\subway\sub_clock1b.bmp" ;黄金部屋の時計 stralias sub_clock1c,"BMP\background\subway\sub_clock1c.bmp" ;黄金部屋の時計 stralias sub_clock1d,"BMP\background\subway\sub_clock1d.bmp" ;黄金部屋の時計 stralias forest_P3a,"BMP\background\forest\forest_P3a.bmp" ;森の道 stralias forest_P3b,"BMP\background\forest\forest_P3b.bmp" ;森の道 stralias Sword_1aB,"BMP\background\efe\Sword_1aB.bmp" ;ウィルの黒い刃 stralias BLADE1W,"BMP\background\efe\BLADE1W.bmp" ;剣戟 白1 stralias BLADE2W,"BMP\background\efe\BLADE2W.bmp" ;剣戟 白2 stralias BLADE3W,"BMP\background\efe\BLADE3W.bmp" ;剣戟 白3 stralias BLADE4W,"BMP\background\efe\BLADE4W.bmp" ;剣戟 白4 stralias BLADE5W,"BMP\background\efe\BLADE5W.bmp" ;剣戟 白5 stralias BLADE6_1W,"BMP\background\efe\BLADE6_1W.bmp";剣戟 白6-1:振り上げ stralias BLADE6_2W,"BMP\background\efe\BLADE6_2W.bmp";剣戟 白6-2:大斬り! stralias BLADE7_1W,"BMP\background\efe\BLADE7_1W.bmp";剣戟 白7-1:振り上げ stralias BLADE7_2W,"BMP\background\efe\BLADE7_2W.bmp";剣戟 白7-2:大斬り! stralias BLADE8_1W,"BMP\background\efe\BLADE8_1W.bmp";剣戟 白8-1:太刀右下 stralias BLADE8_2W,"BMP\background\efe\BLADE8_2W.bmp";剣戟 白8-2:太刀右上 stralias BLADE8_3W,"BMP\background\efe\BLADE8_3W.bmp";剣戟 白8-3:太刀左下 stralias BLADE8_4W,"BMP\background\efe\BLADE8_4W.bmp";剣戟 白8-4:太刀左上 stralias Glib_1bN,"BMP\background\guesthouse\Glib_1bN.bmp" ;別館1F 書庫 夜 stralias Glib_1cN,"BMP\background\guesthouse\Glib_1cN.bmp" ;別館1F 書庫 上段 夜 stralias Glib_1bR,"BMP\background\guesthouse\Glib_1bR.bmp" ;別館1F 書庫 夜 stralias Glib_1cR,"BMP\background\guesthouse\Glib_1cR.bmp" ;別館1F 書庫 上段 夜 stralias story_list0,"BMP\background\efe\story_list0.bmp" ;告白章の描き文字 stralias story_list1,"BMP\background\efe\story_list1.bmp" ;告白章の描き文字 stralias story_list2,"BMP\background\efe\story_list2.bmp" ;告白章の描き文字 stralias story_list3,"BMP\background\efe\story_list3.bmp" ;告白章の描き文字 stralias story_list4,"BMP\background\efe\story_list4.bmp" ;告白章の描き文字 stralias story_list5,"BMP\background\efe\story_list5.bmp" ;告白章の描き文字 stralias story_list6,"BMP\background\efe\story_list6.bmp" ;告白章の描き文字 stralias story_list7,"BMP\background\efe\story_list7.bmp" ;告白章の描き文字 stralias story_list8,"BMP\background\efe\story_list8.bmp" ;告白章の描き文字 stralias story_list9,"BMP\background\efe\story_list9.bmp" ;告白章の描き文字 stralias text13,"BMP\background\efe\text13.bmp" ;謎解き用描き文字 stralias text14,"BMP\background\efe\text14.bmp" ;謎解き用描き文字 stralias text15,"BMP\background\efe\text15.bmp" ;謎解き用描き文字 stralias text16,"BMP\background\efe\text16.bmp" ;謎解き用描き文字 stralias text17,"BMP\background\efe\text17.bmp" ;謎解き用描き文字 stralias text18,"BMP\background\efe\text18.bmp" ;謎解き用描き文字 stralias text19,"BMP\background\efe\text19.bmp" ;謎解き用描き文字 stralias text20,"BMP\background\efe\text20.bmp" ;謎解き用描き文字 stralias text21,"BMP\background\efe\text21.bmp" ;謎解き用描き文字 stralias text21b,"BMP\background\efe\text21b.bmp" ;謎解き用描き文字 stralias text22,"BMP\background\efe\text22.bmp" ;謎解き用描き文字 stralias text23,"BMP\background\efe\text23.bmp" ;謎解き用描き文字 stralias text24,"BMP\background\efe\text24.bmp" ;謎解き用描き文字 stralias text25,"BMP\background\efe\text25.bmp" ;謎解き用描き文字 stralias text26,"BMP\background\efe\text26.bmp" ;謎解き用描き文字 stralias text27,"BMP\background\efe\text27.bmp" ;謎解き用描き文字 stralias text28,"BMP\background\efe\text28.bmp" ;謎解き用描き文字 stralias text29,"BMP\background\efe\text29.bmp" ;謎解き用描き文字 ;dormitory 寮 stralias dor_R1a,"BMP\background\city\dor_R1a.bmp" ;ヤスの部屋 stralias dor_R1aN,"BMP\background\city\dor_R1aN.bmp" ;ヤスの部屋 夜 stralias dor_R1aR,"BMP\background\city\dor_R1aR.bmp" ;ヤスの部屋 雨 stralias dor_R1b,"BMP\background\city\dor_R1b.bmp" ;ヤスの部屋 stralias dor_R1bN,"BMP\background\city\dor_R1bN.bmp" ;ヤスの部屋 夜 stralias dor_R1bR,"BMP\background\city\dor_R1bR.bmp" ;ヤスの部屋 雨 stralias dor_R1c,"BMP\background\city\dor_R1c.bmp" ;ヤスの部屋 stralias dor_R1cN,"BMP\background\city\dor_R1cN.bmp" ;ヤスの部屋 夜 stralias dor_R1cR,"BMP\background\city\dor_R1cR.bmp" ;ヤスの部屋 雨 stralias dor_R1d,"BMP\background\city\dor_R1d.bmp" ;ヤスの部屋 天井 stralias dor_R1dN,"BMP\background\city\dor_R1dN.bmp" ;ヤスの部屋 天井 夜 stralias dor_R1dR,"BMP\background\city\dor_R1dR.bmp" ;ヤスの部屋 天井 夜 stralias dor_P1a,"BMP\background\city\dor_P1a.bmp" ;寮の廊下 stralias dor_P1b,"BMP\background\city\dor_P1b.bmp" ;寮の廊下 stralias Mhal_3a,"BMP\background\mainbuilding\Mhal_3a.bmp" ;どこかの大広間 室内 stralias Mhal_3b,"BMP\background\mainbuilding\Mhal_3b.bmp" ;どこかの大広間 室内 stralias Mhal_3c,"BMP\background\mainbuilding\Mhal_3c.bmp" ;どこかの大広間 室内 stralias Mhal_3d,"BMP\background\mainbuilding\Mhal_3d.bmp" ;どこかの大広間 室内 天井 stralias one_year_later,"BMP\background\efe\one_year_later.bmp" ;一年後 書き文字 stralias years_1980,"BMP\background\efe\years_1980.bmp" ;1980年 書き文字 stralias years_1981,"BMP\background\efe\years_1981.bmp" ;1981年 書き文字 stralias years_1982,"BMP\background\efe\years_1982.bmp" ;1982年 書き文字 stralias years_1983,"BMP\background\efe\years_1983.bmp" ;1983年 書き文字 stralias years_1984,"BMP\background\efe\years_1984.bmp" ;1984年 書き文字 stralias years_1985,"BMP\background\efe\years_1985.bmp" ;1985年 書き文字 stralias cit_5a,"BMP\background\city\cit_5a.bmp" ;どこかの町並み stralias cit_5aY,"BMP\background\city\cit_5aY.bmp" ;どこかの町並み 夕暮れ stralias cit_5aN,"BMP\background\city\cit_5aN.bmp" ;どこかの町並み 夜 stralias cit_5b,"BMP\background\city\cit_5b.bmp" ;どこかの町並み 街灯 stralias cit_5bN,"BMP\background\city\cit_5bN.bmp" ;どこかの町並み 街灯 夜 stralias cit_5c,"BMP\background\city\cit_5c.bmp" ;どこかの町並み stralias cit_5cN,"BMP\background\city\cit_5cN.bmp" ;どこかの町並み 夜 stralias Oda_R1a,"BMP\background\city\Oda_R1a.bmp" ;小田原の長老達の部屋(畳?) stralias Submarine_o1a,"BMP\background\subway\Submarine_o1a.bmp" ;潜水艦 外見 stralias Submarine_i1a,"BMP\background\subway\Submarine_i1a.bmp" ;潜水艦 内面 stralias sub_P2a,"BMP\background\subway\sub_P2a.bmp" ;地下洞窟の通路 stralias sub_P2b,"BMP\background\subway\sub_P2b.bmp" ;地下洞窟の通路 stralias sub_P2c,"BMP\background\subway\sub_P2c.bmp" ;地下洞窟の通路 stralias sub_P3a,"BMP\background\subway\sub_P3a.bmp" ;地下秘密基地の通路 stralias sub_P3b,"BMP\background\subway\sub_P3b.bmp" ;地下秘密基地の通路 stralias sub_P3c,"BMP\background\subway\sub_P3c.bmp" ;地下秘密基地の通路(天井) stralias sub_R2a,"BMP\background\subway\sub_R2a.bmp" ;秘密基地 室内 stralias sub_R2b,"BMP\background\subway\sub_R2b.bmp" ; stralias sub_R2c,"BMP\background\subway\sub_R2c.bmp" ; stralias sub_R2d,"BMP\background\subway\sub_R2d.bmp" ;秘密基地 室内 天井 stralias sub_R3a,"BMP\background\subway\sub_R3a.bmp" ;秘密基地 室内 stralias sub_R3b,"BMP\background\subway\sub_R3b.bmp" ; stralias cha_i1j,"BMP\background\chapel\cha_i1j.bmp" ;礼拝堂 内部 天井 10枚目 stralias cha_i1l,"BMP\background\chapel\cha_i1l.bmp" ;礼拝堂 内部 天井 12枚目 stralias cha_i1m,"BMP\background\chapel\cha_i1m.bmp" ;礼拝堂 内部 天井 13枚目 stralias cha_i1n,"BMP\background\chapel\cha_i1n.bmp" ;礼拝堂 内部 天井 14枚目 stralias cha_i1o,"BMP\background\chapel\cha_i1o.bmp" ;礼拝堂 内部 正面 15枚目 stralias cha_i1p,"BMP\background\chapel\cha_i1p.bmp" ;礼拝堂 内部 16枚目 stralias cha_i1q,"BMP\background\chapel\cha_i1q.bmp" ;礼拝堂 内部 17枚目 stralias cha_i1r,"BMP\background\chapel\cha_i1r.bmp" ;礼拝堂 内部 18枚目 stralias cha_i1aP,"BMP\background\chapel\cha_i1aP.bmp" ;礼拝堂 内部 正面 メタ 1枚目 chapel stralias cha_i1bP,"BMP\background\chapel\cha_i1bP.bmp" ;礼拝堂 内部 メタ 2枚目 stralias cha_i1cP,"BMP\background\chapel\cha_i1cP.bmp" ;礼拝堂 内部 メタ 3枚目 stralias cha_i1eP,"BMP\background\chapel\cha_i1eP.bmp" ;礼拝堂 内部 天井 メタ 5枚目 stralias cha_i1jP,"BMP\background\chapel\cha_i1jP.bmp" ;礼拝堂 内部 天井 メタ 10枚目 stralias cha_i1lP,"BMP\background\chapel\cha_i1lP.bmp" ;礼拝堂 内部 天井 メタ 12枚目 stralias cha_i1mP,"BMP\background\chapel\cha_i1mP.bmp" ;礼拝堂 内部 天井 メタ 13枚目 stralias cha_i1nP,"BMP\background\chapel\cha_i1nP.bmp" ;礼拝堂 内部 正面 メタ 14枚目 stralias cha_i1oP,"BMP\background\chapel\cha_i1oP.bmp" ;礼拝堂 内部 正面 メタ 15枚目 stralias cha_i1pP,"BMP\background\chapel\cha_i1pP.bmp" ;礼拝堂 内部 メタ 16枚目 stralias cha_i1qP,"BMP\background\chapel\cha_i1qP.bmp" ;礼拝堂 内部 メタ 17枚目 stralias cha_i1rP,"BMP\background\chapel\cha_i1rP.bmp" ;礼拝堂 内部 メタ 18枚目 stralias cha_i1f,"BMP\background\chapel\cha_i1f.bmp" ;礼拝堂 内部 祭壇前 6枚目 stralias cha_i1g,"BMP\background\chapel\cha_i1g.bmp" ;礼拝堂 内部 祭壇前 7枚目 stralias cha_i1h,"BMP\background\chapel\cha_i1h.bmp" ;礼拝堂 内部 祭壇前 8枚目 stralias cha_i1i,"BMP\background\chapel\cha_i1i.bmp" ;礼拝堂 内部 祭壇前 9枚目 stralias cha_i1k,"BMP\background\chapel\cha_i1k.bmp" ;礼拝堂 内部 祭壇前 11枚目 stralias cha_i1fP,"BMP\background\chapel\cha_i1fP.bmp" ;礼拝堂 内部 祭壇前 メタ 6枚目 stralias cha_i1gP,"BMP\background\chapel\cha_i1gP.bmp" ;礼拝堂 内部 祭壇前 メタ 7枚目 stralias cha_i1hP,"BMP\background\chapel\cha_i1hP.bmp" ;礼拝堂 内部 祭壇前 メタ 8枚目 stralias cha_i1iP,"BMP\background\chapel\cha_i1iP.bmp" ;礼拝堂 内部 祭壇前 メタ 9枚目 stralias cha_i1kP,"BMP\background\chapel\cha_i1kP.bmp" ;礼拝堂 内部 祭壇前 メタ 11枚目 stralias cha_i2a,"BMP\background\chapel\cha_i2a.bmp" ;礼拝堂 内部 受付 stralias cha_i2aP,"BMP\background\chapel\cha_i2aP.bmp" ;礼拝堂 内部 受付 メタ stralias cha_i3a,"BMP\background\chapel\cha_i3a.bmp" ;礼拝堂 内部 ロビー stralias cha_i3aP,"BMP\background\chapel\cha_i3aP.bmp" ;礼拝堂 内部 ロビー メタ stralias cha_P1a,"BMP\background\chapel\cha_P1a.bmp" ;礼拝堂 廊下 stralias cha_P1aP,"BMP\background\chapel\cha_P1aP.bmp" ;礼拝堂 廊下 メタ stralias cha_P1b,"BMP\background\chapel\cha_P1b.bmp" ;礼拝堂 廊下 stralias cha_P1bP,"BMP\background\chapel\cha_P1bP.bmp" ;礼拝堂 廊下 メタ stralias cha_o1ap,"BMP\background\chapel\cha_o1aP.bmp" ;礼拝堂 外部 メタ stralias cha_o2aP,"BMP\background\chapel\cha_o2aP.bmp" ;礼拝堂 外部 メタ stralias cha_o3a,"BMP\background\chapel\cha_o3a.bmp" ;礼拝堂 外部 メタ stralias cha_o3aP,"BMP\background\chapel\cha_o3aP.bmp" ;礼拝堂 外部 メタ stralias cha_o1aN,"BMP\background\chapel\cha_o1aN.bmp" ;礼拝堂 外観 1枚目 chapel 夜 stralias cha_o2bN,"BMP\background\chapel\cha_o2bN.bmp" ;礼拝堂 入り口 2枚目 夜 stralias cha_R1a,"BMP\background\chapel\cha_R1a.bmp" ;礼拝堂 内部 控え室 1枚目 大人 stralias cha_R1b,"BMP\background\chapel\cha_R1b.bmp" ;礼拝堂 内部 控え室 2枚目 大人 stralias cha_R1c,"BMP\background\chapel\cha_R1c.bmp" ;礼拝堂 内部 控え室 3枚目 大人 stralias cha_R1d,"BMP\background\chapel\cha_R1d.bmp" ;礼拝堂 内部 控え室 4枚目 天井 stralias cha_R1e,"BMP\background\chapel\cha_R1e.bmp" ;礼拝堂 内部 控え室 5枚目 いとこ stralias cha_R1f,"BMP\background\chapel\cha_R1f.bmp" ;礼拝堂 内部 控え室 6枚目 いとこ stralias cha_R1g,"BMP\background\chapel\cha_R1g.bmp" ;礼拝堂 内部 控え室 7枚目 いとこ stralias cha_R2a,"BMP\background\chapel\cha_R2a.bmp" ;礼拝堂 内部 貴賓室 1枚目 stralias cha_R2b,"BMP\background\chapel\cha_R2b.bmp" ;礼拝堂 内部 貴賓室 2枚目 stralias cha_R2c,"BMP\background\chapel\cha_R2c.bmp" ;礼拝堂 内部 貴賓室 3枚目 stralias cha_R2d,"BMP\background\chapel\cha_R2d.bmp" ;礼拝堂 内部 貴賓室 4枚目 天井 stralias doll_1a,"BMP\background\efe\doll_1a.bmp" ;人形 stralias doll_1b,"BMP\background\efe\doll_1b.bmp" ;人形 stralias rose_t1a,"BMP\background\efe\rose_t1a.bmp" ;薔薇 一輪 stralias sea_4a,"BMP\background\ship\sea_4a.bmp" ;船 海 ボート ;Theater 劇場 stralias The_1a,"BMP\background\efe\The_1a.bmp" ;舞台側 stralias The_1b,"BMP\background\efe\The_1b.bmp" ;側面 stralias The_1c,"BMP\background\efe\The_1c.bmp" ;側面 stralias The_1d,"BMP\background\efe\The_1d.bmp" ;舞台手前 stralias The_1e,"BMP\background\efe\The_1e.bmp" ;劇場の照明 stralias The_1f,"BMP\background\efe\The_1f.bmp" ;舞台側 stralias The_2a,"BMP\background\efe\The_2a.bmp" ;舞台側 stralias The_2b,"BMP\background\efe\The_2b.bmp" ;劇場の観客席側全景 stralias The_3a,"BMP\background\efe\The_3a.bmp" ;劇場の照明 効果 stralias The_3b,"BMP\background\efe\The_3b.bmp" ;劇場の照明 効果 stralias The_3c,"BMP\background\efe\The_3c.bmp" ;劇場の照明 効果 stralias The_3d,"BMP\background\efe\The_3d.bmp" ;劇場の照明 効果 The_1e と同じ stralias The_3e,"BMP\background\efe\The_3e.bmp" ;劇場の照明 効果 ;EP6追加 stralias FEA_P1a,"BMP\background\FEA\FEA_P1a.bmp" ;八城邸 廊下 stralias FEA_R1a,"BMP\background\FEA\FEA_R1a.bmp" ;八城邸 室内 stralias FEA_R1b,"BMP\background\FEA\FEA_R1b.bmp" ;八城邸 室内 stralias FEA_R1c,"BMP\background\FEA\FEA_R1c.bmp" ;八城邸 室内 stralias FEA_R1d,"BMP\background\FEA\FEA_R1d.bmp" ;八城邸 室内 stralias FEA_R1e,"BMP\background\FEA\FEA_R1e.bmp" ;八城邸 天井 stralias FEA_R1ap,"BMP\background\FEA\FEA_R1ap.bmp" ;八城邸 室内 stralias FEA_R1bp,"BMP\background\FEA\FEA_R1bp.bmp" ;八城邸 室内 stralias FEA_R1cp,"BMP\background\FEA\FEA_R1cp.bmp" ;八城邸 室内 stralias FEA_R1dp,"BMP\background\FEA\FEA_R1dp.bmp" ;八城邸 室内 stralias FEA_R1ep,"BMP\background\FEA\FEA_R1ep.bmp" ;八城邸 天井 stralias zf_r1aP,"BMP\background\efe\zf_r1aP.bmp" ;ゼパル・フルフル空間 stralias zf_r1bP,"BMP\background\efe\zf_r1bP.bmp" ;ゼパル・フルフル空間 stralias zf_r1cP,"BMP\background\efe\zf_r1cP.bmp" ;ゼパル・フルフル空間 stralias zf_r1dP,"BMP\background\efe\zf_r1dP.bmp" ;ゼパル・フルフル空間 stralias zf_r1eP,"BMP\background\efe\zf_r1eP.bmp" ;ゼパル・フルフル空間 stralias TELBOX_1a,"BMP\background\city\TELBOX_1a.bmp" ;公衆電話 stralias TELBOX_1b,"BMP\background\city\TELBOX_1b.bmp" ;公衆電話 stralias cateye_1,"BMP\background\efe\cateye_1.bmp" ;公衆電話 ;EP5追加 stralias comment1,"BMP\background\efe\comment1.bmp" ;告発 stralias comment2,"BMP\background\efe\comment2.bmp" ;告発 stralias comment3,"BMP\background\efe\comment3.bmp" ;告発 stralias comment4,"BMP\background\efe\comment4.bmp" ;告発 stralias comment5,"BMP\background\efe\comment5.bmp" ;告発 stralias comment6,"BMP\background\efe\comment6.bmp" ;告発 stralias comment7,"BMP\background\efe\comment7.bmp" ;告発 stralias comment8,"BMP\background\efe\comment8.bmp" ;告発 stralias comment9,"BMP\background\efe\comment9.bmp" ;告発 stralias comment10,"BMP\background\efe\comment10.bmp" ;告発 stralias comment11,"BMP\background\efe\comment11.bmp" ;告発 stralias comment12,"BMP\background\efe\comment12.bmp" ;告発 stralias comment13,"BMP\background\efe\comment13.bmp" ;告発 stralias comment14,"BMP\background\efe\comment14.bmp" ;告発 stralias comment15,"BMP\background\efe\comment15.bmp" ;告発 stralias comment16,"BMP\background\efe\comment16.bmp" ;告発 stralias comment17,"BMP\background\efe\comment17.bmp" ;告発 stralias comment18,"BMP\background\efe\comment18.bmp" ;告発 stralias comment19,"BMP\background\efe\comment19.bmp" ;告発 stralias comment20,"BMP\background\efe\comment20.bmp" ;告発 stralias comment21,"BMP\background\efe\comment21.bmp" ;告発 stralias comment22,"BMP\background\efe\comment22.bmp" ;告発 stralias comment23,"BMP\background\efe\comment23.bmp" ;告発 stralias comment24,"BMP\background\efe\comment24.bmp" ;告発 stralias comment25,"BMP\background\efe\comment25.bmp" ;告発 ;外国 foreign stralias for_o1a,"BMP\background\foreign\for_o1a.bmp" ;外国の町並み(屋台) stralias for_o1b,"BMP\background\foreign\for_o1b.bmp" ;外国の町並み(屋台) stralias for_o1c,"BMP\background\foreign\for_o1c.bmp" ;外国の町並み(屋台) stralias bus_i1a,"BMP\background\foreign\bus_i1a.bmp" ;観光バス車内 ;水族館 aquarium stralias aqu_i1a,"BMP\background\aquarium\aqu_i1a.bmp" ;水族館 室内 1枚目 stralias aqu_i1b,"BMP\background\aquarium\aqu_i1b.bmp" ;水族館 室内 2枚目 stralias aqu_i1c,"BMP\background\aquarium\aqu_i1c.bmp" ;水族館 室内 3枚目 stralias aqu_i2a,"BMP\background\aquarium\aqu_i2a.bmp" ;水族館 室内 大水槽1枚目 stralias aqu_i2b,"BMP\background\aquarium\aqu_i2b.bmp" ;水族館 室内 大水槽2枚目(至近) stralias aqu_i2c,"BMP\background\aquarium\aqu_i2c.bmp" ;水族館 室内 大水槽3枚目 ;レストラン restaurant stralias res_i1a,"BMP\background\restaurant\res_i1a.bmp" ;レストラン テーブル席 1枚目 stralias res_i1b,"BMP\background\restaurant\res_i1b.bmp" ;レストラン テーブル席 2枚目 stralias res_i1c,"BMP\background\restaurant\res_i1c.bmp" ;レストラン テーブル席 3枚目 stralias res_i2a,"BMP\background\restaurant\res_i2a.bmp" ;レストラン カウンター 1枚目 stralias res_i2b,"BMP\background\restaurant\res_i2b.bmp" ;レストラン カウンター 2枚目 stralias res_i2c,"BMP\background\restaurant\res_i2c.bmp" ;レストラン 天井 stralias res_o1a,"BMP\background\restaurant\res_o1a.bmp" ;ファミレス 夜外観 stralias res_i3a,"BMP\background\restaurant\res_i3a.bmp" ;ファミレス テーブル席 1枚目 stralias res_i3b,"BMP\background\restaurant\res_i3b.bmp" ;ファミレス テーブル席 2枚目 stralias res_i3c,"BMP\background\restaurant\res_i3c.bmp" ;ファミレス テーブル席 3枚目 stralias res_i3d,"BMP\background\restaurant\res_i3d.bmp" ;ファミレス メニュー stralias res_i4a,"BMP\background\restaurant\res_i4a.bmp" ;レストラン stralias res_i4b,"BMP\background\restaurant\res_i4b.bmp" ;席 stralias res_i4c,"BMP\background\restaurant\res_i4c.bmp" ;席 stralias PRO_o1a,"BMP\background\city\PRO_o1a.bmp" ;教授宅前 ; amusement 遊園地 stralias amu_o1a,"BMP\background\city\amu_o1a.bmp" ;遊園地 外(空) stralias amu_i1a,"BMP\background\city\amu_i1a.bmp" ;遊園地 stralias amu_i1b,"BMP\background\city\amu_i1b.bmp" ;遊園地 ;沖縄の海岸 stralias o_beach_1a,"BMP\background\forest\o_beach_1a.bmp" ;砂浜(沖縄) 1枚目 stralias o_beach_1b,"BMP\background\forest\o_beach_1b.bmp" ;砂浜(沖縄) 2枚目 stralias o_beach_1c,"BMP\background\forest\o_beach_1c.bmp" ;砂浜(沖縄) 3枚目 stralias o_Sky_1a,"BMP\background\forest\o_Sky_1a.bmp" ;砂浜(沖縄 空) 1枚目 ;文化祭 (school) festival stralias schF_R1a,"BMP\background\school\schF_R1a.bmp" ;文化祭 室内1枚目 stralias schF_R1b,"BMP\background\school\schF_R1b.bmp" ;文化祭 室内2枚目 stralias schF_R1c,"BMP\background\school\schF_R1c.bmp" ;文化祭 室内3枚目 stralias schF_P1a,"BMP\background\school\schF_P1a.bmp" ;文化祭 廊下 1枚目 stralias schF_P1b,"BMP\background\school\schF_P1b.bmp" ;文化祭 廊下 2枚目 stralias schF_P2a,"BMP\background\school\schF_P2a.bmp" ;文化祭 廊下2 1枚目 stralias schF_P3a,"BMP\background\school\schF_P3a.bmp" ;文化祭 廊下(掲示板) 1枚目 stralias schF_S1a,"BMP\background\school\schF_S1a.bmp" ;文化祭 出店 1枚目 stralias schF_S1b,"BMP\background\school\schF_S1b.bmp" ;文化祭 出店 2枚目 stralias schF_S1c,"BMP\background\school\schF_S1c.bmp" ;文化祭 出店 3枚目 stralias schF_S1d,"BMP\background\school\schF_S1d.bmp" ;文化祭 出店 4枚目 stralias schF_C1a,"BMP\background\school\schF_C1a.bmp" ;文化祭 コンサート 1枚目 concert stralias schF_C1b,"BMP\background\school\schF_C1b.bmp" ;文化祭 コンサート 2枚目 concert stralias schF_C1c,"BMP\background\school\schF_C1c.bmp" ;文化祭 コンサート 3枚目 concert stralias schF_C2a,"BMP\background\school\schF_C2a.bmp" ;文化祭 コンサート(暗い) 1枚目 concert stralias schF_C2b,"BMP\background\school\schF_C2b.bmp" ;文化祭 コンサート(暗い) 2枚目 concert ;(school) ルチーア学園 stralias schR_o1a,"BMP\background\school\schR_o1a.bmp" ;聖ルチーア学園校門 stralias schR_o2a,"BMP\background\school\schR_o2a.bmp" ;聖ルチーア学園敷地内(エンジェの隠れ家 stralias schR_o3a,"BMP\background\school\schR_o3a.bmp" ;聖ルチーア学園敷地内 stralias schR_o3b,"BMP\background\school\schR_o3b.bmp" ;聖ルチーア学園敷地内 stralias schR_P1a,"BMP\background\school\schR_P1a.bmp" ;聖ルチーア学園 廊下 stralias schR_P1b,"BMP\background\school\schR_P1b.bmp" ;聖ルチーア学園 廊下 stralias schR_R1a,"BMP\background\school\schR_R1a.bmp" ;聖ルチーア学園 教室1 stralias schR_R1b,"BMP\background\school\schR_R1b.bmp" ;聖ルチーア学園 教室2 stralias schR_R1c,"BMP\background\school\schR_R1c.bmp" ;聖ルチーア学園 教室3 stralias schR_R1d,"BMP\background\school\schR_R1d.bmp" ;聖ルチーア学園 天井 stralias schR_R1aN,"BMP\background\school\schR_R1aN.bmp" ; 夜教室1 stralias schR_R1bN,"BMP\background\school\schR_R1bN.bmp" ; 夜教室2 stralias schR_R1cN,"BMP\background\school\schR_R1cN.bmp" ; 夜教室3 stralias schD_P1a,"BMP\background\school\schD_P1a.bmp" ;学園寮 廊下 dormitory stralias schD_P1b,"BMP\background\school\schD_P1b.bmp" ;学園寮 廊下 stralias TOI_1a,"BMP\background\school\TOI_1a.bmp" ;トイレ個室内 stralias ROS_o1aN,"BMP\background\rosehouse\ROS_o1aN.bmp" ;楼座宅 外 夜 stralias ROS_R1a,"BMP\background\rosehouse\ROS_R1a.bmp" ;楼座宅リビング stralias ROS_R1aN,"BMP\background\rosehouse\ROS_R1aN.bmp" ;楼座宅リビング stralias ROS_R1aR,"BMP\background\rosehouse\ROS_R1aR.bmp" ;楼座宅リビング stralias ROS_R1b,"BMP\background\rosehouse\ROS_R1b.bmp" stralias ROS_R1bN,"BMP\background\rosehouse\ROS_R1bN.bmp" stralias ROS_R1bR,"BMP\background\rosehouse\ROS_R1bR.bmp" stralias ROS_P1a,"BMP\background\rosehouse\ROS_P1a.bmp" ;廊下 stralias ROS_P1aN,"BMP\background\rosehouse\ROS_P1aN.bmp" ;廊下 stralias ROS_Ro1a,"BMP\background\rosehouse\ROS_Ro1a.bmp" ;楼座寝室 stralias ROS_M1a,"BMP\background\rosehouse\ROS_M1a.bmp" ;マリアの部屋 stralias ROS_M1b,"BMP\background\rosehouse\ROS_M1b.bmp" stralias ROS_M1c,"BMP\background\rosehouse\ROS_M1c.bmp" stralias ROS_M1aN,"BMP\background\rosehouse\ROS_M1aN.bmp" ;マリアの部屋 夜 stralias ROS_M1bN,"BMP\background\rosehouse\ROS_M1bN.bmp" stralias ROS_M1cN,"BMP\background\rosehouse\ROS_M1cN.bmp" stralias NAN_R1a,"BMP\background\nanclinic\nan_R1a.bmp" ;南条診療所 室内 stralias NAN_R1b,"BMP\background\nanclinic\nan_R1b.bmp" ;南条診療所 室内 stralias NAN_R1c,"BMP\background\nanclinic\nan_R1c.bmp" ;南条診療所 室内 stralias KUM_R1a,"BMP\background\kumhouse\KUM_R1a.bmp" ;熊沢宅 室内 stralias KUM_R1b,"BMP\background\kumhouse\KUM_R1b.bmp" ;熊沢宅 室内 stralias KUM_R1c,"BMP\background\kumhouse\KUM_R1c.bmp" ;熊沢宅 室内 stralias KAW_R1aN,"BMP\background\Kawhouse\KAW_R1aN.bmp" ;川畑宅 室内 stralias KAW_R1bN,"BMP\background\kawhouse\KAW_R1bN.bmp" ;川畑宅 室内 stralias KAW_R1cN,"BMP\background\kawhouse\KAW_R1cN.bmp" ;川畑宅 室内 stralias KAW_R2a,"BMP\background\kawhouse\KAW_R2a.bmp" ;川畑宅 室内 stralias KAW_R3a,"BMP\background\kawhouse\KAW_R3a.bmp" ;川畑宅 昼間 店 stralias KAW_R3aN,"BMP\background\kawhouse\KAW_R3aN.bmp" ;川畑宅 夜 店 stralias KAW_R3b,"BMP\background\kawhouse\KAW_R3b.bmp" ;川畑宅 昼間 店 stralias KAW_R3bN,"BMP\background\kawhouse\KAW_R3bN.bmp" ;川畑宅 夜 店 stralias KAW_R3c,"BMP\background\kawhouse\KAW_R3c.bmp" ;川畑宅 昼間 店 stralias KAW_R3cN,"BMP\background\kawhouse\KAW_R3cN.bmp" ;川畑宅 夜 店 stralias cit_1a,"BMP\background\city\cit_1a.bmp" ;街の風景 1枚目 city sky stralias cit_2a,"BMP\background\city\cit_2a.bmp" ;街の夜景 1枚目 city sky stralias cit_2b,"BMP\background\city\cit_2b.bmp" ;街の夜景 2枚目 city sky stralias cit_3a,"BMP\background\city\cit_3a.bmp" ;街の夜道 1枚目 stralias cit_4a,"BMP\background\city\cit_4a.bmp" ;街の風景 stralias par_1a,"BMP\background\city\par_1a.bmp" ; stralias par_1b,"BMP\background\city\par_1b.bmp" ; stralias par_1c,"BMP\background\city\par_1c.bmp" ; stralias con_i1a,"BMP\background\city\con_i1a.bmp" ;コンビニ 店内 stralias cof_1a,"BMP\background\city\cof_1a.bmp" ;喫茶店 1枚目 coffee shop stralias BUI_o1a,"BMP\background\city\BUI_o1a.bmp" ;右代宮ビル 全景 stralias BUI_o2a,"BMP\background\city\BUI_o2a.bmp" ;右代宮ビル 外階段 stralias BUI_o2b,"BMP\background\city\BUI_o2b.bmp" ;右代宮ビル 外階段前 stralias BUI_R1a,"BMP\background\city\BUI_R1a.bmp" ;右代宮ビル 部屋1 stralias BUI_R1b,"BMP\background\city\BUI_R1b.bmp" ;右代宮ビル 部屋2 stralias BUI_R1c,"BMP\background\city\BUI_R1c.bmp" ;右代宮ビル 部屋3 stralias BUI_R1d,"BMP\background\city\BUI_R1d.bmp" ;右代宮ビル 部屋4 stralias BUI_E1a,"BMP\background\city\BUI_E1a.bmp" ;エレベーターホール stralias BUI_inf1a,"BMP\background\city\BUI_inf1a.bmp" ;受付 information stralias BUI_inf1b,"BMP\background\city\BUI_inf1b.bmp" ;受付 stralias BUI_inf1c,"BMP\background\city\BUI_inf1c.bmp" ;受付 stralias HOT_i1a,"BMP\background\city\HOT_i1a.bmp" ;ホテル内(見上げる構図 stralias HOT_i1b,"BMP\background\city\HOT_i1b.bmp" ;エレベーターホール(スイートルーム stralias HOT_R1a,"BMP\background\city\HOT_R1a.bmp" ;ホテル 室内 stralias HOT_R1b,"BMP\background\city\HOT_R1b.bmp" ; stralias HOT_R1c,"BMP\background\city\HOT_R1c.bmp" ; stralias sta_1a,"BMP\background\city\sta_1a.bmp" ;駅 1枚目 station stralias Hos_P1a,"BMP\background\city\Hos_P1a.bmp" ;病院 通路 1枚目 stralias Hos_P1b,"BMP\background\city\Hos_P1b.bmp" ;病院 通路 2枚目 stralias Hos_R1a,"BMP\background\city\Hos_R1a.bmp" ;病院 病室 1枚目 stralias Hos_R1b,"BMP\background\city\Hos_R1b.bmp" ;病院 病室 2枚目 stralias Hos_R1c,"BMP\background\city\Hos_R1c.bmp" ;病院 病室 3枚目 stralias off_1a,"BMP\background\city\off_1a.bmp" ;オフィス電話 1枚目 office stralias tra_1a,"BMP\background\city\tra_1a.bmp" ;電車 (車内) 1枚目 train stralias tra_1b,"BMP\background\city\tra_1b.bmp" ;電車 (車内) 2枚目 stralias tra_1c,"BMP\background\city\tra_1c.bmp" ;電車 (車内) 3枚目 ;空港 stralias air_o1a,"BMP\background\airport\air_out1a.bmp" ;空港 外 1枚目 stralias air_i1a,"BMP\background\airport\air_in1a.bmp" ;空港 室内 1枚目 stralias air_i1b,"BMP\background\airport\air_in1b.bmp" ;空港 室内 2枚目 stralias air_i1c,"BMP\background\airport\air_in1c.bmp" ;空港 室内 3枚目 stralias air_i1d,"BMP\background\airport\air_in1d.bmp" ;空港 室内 4枚目 stralias air_i1e,"BMP\background\airport\air_in1e.bmp" ;空港 室内 5枚目(カウンター前) stralias air_T1a,"BMP\background\airport\air_T1a.bmp" ;空港トイレ 個室 1枚目 stralias air_T2a,"BMP\background\airport\air_T2a.bmp" ;空港トイレ 1枚目 ;新島空港 stralias air_o2a,"BMP\background\airport\air_out2a.bmp" ;新島空港 外 1枚目 stralias air_o2b,"BMP\background\airport\air_out2b.bmp" ;新島空港 外 1枚目 stralias air_o2bR,"BMP\background\airport\air_out2bR.bmp" ;新島空港 外 反転 stralias air_i2a,"BMP\background\airport\air_in2a.bmp" ;新島空港 室内 1枚目 stralias air_i2b,"BMP\background\airport\air_in2b.bmp" ;新島空港 室内 2枚目 ;飛行機 stralias airp_o1a,"BMP\background\airport\airp_out1a.bmp" ;飛行機 外1 1枚目airplane stralias airp_o2a,"BMP\background\airport\airp_out2a.bmp" ;飛行機 外2 1枚目(遠め) stralias airp_o2b,"BMP\background\airport\airp_out2b.bmp" ;飛行機 外2 2枚目(至近) stralias airp_i1a,"BMP\background\airport\airp_in1a.bmp" ;飛行機 機内 1枚目 stralias airp_i1b,"BMP\background\airport\airp_in1b.bmp" ;飛行機 機内 2枚目 stralias airp_w1a,"BMP\background\airport\airp_w1a.bmp" ;飛行機 窓 1枚目 stralias airp_w1b,"BMP\background\airport\airp_w1b.bmp" ;飛行機 窓 2枚目 stralias airp_w1c,"BMP\background\airport\airp_w1c.bmp" ;飛行機 窓 3枚目 stralias airp_w1d,"BMP\background\airport\airp_w1d.bmp" ;飛行機 窓 4枚目 stralias airp_w1e,"BMP\background\airport\airp_w1e.bmp" ;飛行機 窓 5枚目 stralias airp_w1f,"BMP\background\airport\airp_w1f.bmp" ;飛行機 窓 6枚目 stralias airp_w1g,"BMP\background\airport\airp_w1g.bmp" ;飛行機 窓 7枚目 stralias airp_w1h,"BMP\background\airport\airp_w1h.bmp" ;飛行機 窓 8枚目 stralias airp_w1i,"BMP\background\airport\airp_w1i.bmp" ;飛行機 窓 9枚目 stralias airp_w1j,"BMP\background\airport\airp_w1j.bmp" ;飛行機 窓 10枚目 stralias airp_w1k,"BMP\background\airport\airp_w1k.bmp" ;飛行機 窓 11枚目 stralias car_i1a,"BMP\background\airport\car_i1a.bmp" ;車 車内 1枚目 stralias car_o2a,"BMP\background\airport\car_o2a.bmp" ; stralias car_o2b,"BMP\background\airport\car_o2b.bmp" ; stralias car_o2c,"BMP\background\airport\car_o2c.bmp" ; stralias car_i3a,"BMP\background\airport\car_i3a.bmp" ;車 車内 レンタカー stralias car_i3b,"BMP\background\airport\car_i3b.bmp" ;車 車内 stralias car_i3c,"BMP\background\airport\car_i3c.bmp" ;車 車内 ;港 stralias ship_P1a,"BMP\background\ship\ship_P1a.bmp" ;港 埠頭 1枚目 stralias ship_P1b,"BMP\background\ship\ship_P1b.bmp" ;港 埠頭 2枚目 stralias ship_P1c,"BMP\background\ship\ship_P1c.bmp" ;港 埠頭 3枚目 ;船 stralias ship_S1a,"BMP\background\ship\ship_S1a.bmp" ;船 接岸 1枚目 stralias ship_S1b,"BMP\background\ship\ship_S1b.bmp" ;船 接岸 2枚目 stralias ship_S1c,"BMP\background\ship\ship_S1c.bmp" ;船 接岸 3枚目 stralias ship_S2a,"BMP\background\ship\ship_S2a.bmp" ;船 甲板 1枚目 stralias ship_S2b,"BMP\background\ship\ship_S2b.bmp" ;船 甲板 2枚目 stralias ship_S2c,"BMP\background\ship\ship_S2c.bmp" ;船 甲板 3枚目 stralias ship_S3a,"BMP\background\ship\ship_S3a.bmp" ;船 船内 1枚目 stralias ship_S3b,"BMP\background\ship\ship_S3b.bmp" ;船 船内 1枚目 stralias ship_S3c,"BMP\background\ship\ship_S3c.bmp" ;船 船内 1枚目 stralias sea_1a,"BMP\background\ship\sea_1a.bmp" ;船 海 1枚目 stralias sea_1b,"BMP\background\ship\sea_1b.bmp" ;船 海 2枚目 stralias sea_1c,"BMP\background\ship\sea_1c.bmp" ;船 海 3枚目 stralias sea_1d,"BMP\background\ship\sea_1d.bmp" ;船 海 4枚目 stralias sea_2a,"BMP\background\ship\sea_2a.bmp" ;船 荒波 1枚目 stralias sea_2b,"BMP\background\ship\sea_2b.bmp" ;船 荒波 2枚目 stralias sea_2c,"BMP\background\ship\sea_2c.bmp" ;船 荒波 3枚目 stralias sea_3a,"BMP\background\ship\sea_3a.bmp" ;海 海岸 見下ろし stralias sea_3b,"BMP\background\ship\sea_3b.bmp" ;海 水面 stralias shr_1a,"BMP\background\ship\shr_1a.bmp" ;船 祠 1枚目 shrine stralias Outdoor_1aN,"BMP\background\forest\Outdoor_1aN.bmp" ;屋外のどこか(夜 ;森から庭園への道 stralias forest_P1a,"BMP\background\forest\forest_P1a.bmp" ;庭園への道 1枚目 stralias forest_P1aN,"BMP\background\forest\forest_P1aN.bmp" ;庭園への道 1枚目 stralias forest_P1aR,"BMP\background\forest\forest_P1aR.bmp" ;庭園への道 1枚目 stralias forest_P1b,"BMP\background\forest\forest_P1b.bmp" ;庭園への道 2枚目 stralias forest_P1bN,"BMP\background\forest\forest_P1bN.bmp" ;庭園への道 2枚目 stralias forest_P1bR,"BMP\background\forest\forest_P1bR.bmp" ;庭園への道 2枚目 stralias forest_S1a,"BMP\background\forest\forest_S1a.bmp" ;庭園への階段 1枚目 stralias forest_S1b,"BMP\background\forest\forest_S1b.bmp" ;庭園への階段 2枚目 ;獣道 stralias forest_P2a,"BMP\background\forest\forest_P2a.bmp" ; stralias forest_P2aN,"BMP\background\forest\forest_P2aN.bmp" ; stralias forest_P2aR,"BMP\background\forest\forest_P2aR.bmp" ; stralias forest_P2b,"BMP\background\forest\forest_P2b.bmp" ; stralias forest_P2bN,"BMP\background\forest\forest_P2bN.bmp" ; stralias forest_P2bR,"BMP\background\forest\forest_P2bR.bmp" ; ;崖 stralias cliff_1a,"BMP\background\forest\cliff_1a.bmp" ; stralias cliff_1b,"BMP\background\forest\cliff_1b.bmp" ; stralias hill_1a,"BMP\background\forest\Hill_1a.bmp" ;丘 stralias hill_1b,"BMP\background\forest\Hill_1b.bmp" ; stralias hill_1c,"BMP\background\forest\Hill_1c.bmp" ; stralias hill_1aR,"BMP\background\forest\Hill_1aR.bmp" ; stralias hill_1bR,"BMP\background\forest\Hill_1bR.bmp" ; stralias hill_1cR,"BMP\background\forest\Hill_1cR.bmp" ; stralias hill_1aN,"BMP\background\forest\Hill_1aN.bmp" ; stralias hill_1bN,"BMP\background\forest\Hill_1bN.bmp" ; stralias hill_1cN,"BMP\background\forest\Hill_1cN.bmp" ; ;砂浜から森へ stralias beach_1a,"BMP\background\forest\beach_1a.bmp" ;船着場から砂浜 1枚目 stralias beach_1aN,"BMP\background\forest\beach_1aN.bmp" ;船着場から砂浜 1枚目 stralias beach_1b,"BMP\background\forest\beach_1b.bmp" ;船着場から砂浜 2枚目 stralias beach_2a,"BMP\background\forest\beach_2a.bmp" ;砂浜 1枚目 stralias beach_2b,"BMP\background\forest\beach_2b.bmp" ;砂浜 2枚目 stralias beach_3a,"BMP\background\forest\beach_3a.bmp" ;砂浜 荒れ模様1枚目 stralias beach_L1a,"BMP\background\forest\beach_L1a.bmp" ;砂浜空ロング昼1 stralias beach_L2a,"BMP\background\forest\beach_L2a.bmp" ;砂浜空ロング夕1 stralias beach_S1a,"BMP\background\forest\beach_S1a.bmp" ;砂浜からの階段 1枚目 stralias beach_S1b,"BMP\background\forest\beach_S1b.bmp" ;砂浜からの階段 2枚目 stralias beach_S1c,"BMP\background\forest\beach_S1c.bmp" ;砂浜からの階段 3枚目 stralias beach_S2a,"BMP\background\forest\beach_S2a.bmp" ;砂浜からの階段2 1枚目 stralias beach_S2b,"BMP\background\forest\beach_S2b.bmp" ;砂浜からの階段2 2枚目 stralias well_1a,"BMP\background\forest\well_1a.bmp" ;森の中の井戸1 stralias well_1b,"BMP\background\forest\well_1b.bmp" ;森の中の井戸2 stralias well_1c,"BMP\background\forest\well_1c.bmp" ;森の中の井戸3 stralias well_1aR,"BMP\background\forest\well_1aR.bmp" ;森の中の井戸1 stralias well_1bR,"BMP\background\forest\well_1bR.bmp" ;森の中の井戸2 stralias well_1cR,"BMP\background\forest\well_1cR.bmp" ;森の中の井戸3 stralias well_1aN,"BMP\background\forest\well_1aN.bmp" ;森の中の井戸1 stralias well_1bN,"BMP\background\forest\well_1bN.bmp" ;森の中の井戸2 stralias well_1cN,"BMP\background\forest\well_1cN.bmp" ;森の中の井戸3 stralias Sky_1a,"BMP\background\forest\Sky_1a.bmp" ;青空 1枚目 stralias Sky_2a,"BMP\background\forest\Sky_2a.bmp" ;夕焼け 1枚目 stralias Sky_2b,"BMP\background\forest\Sky_2b.bmp" ;夕焼け 2枚目 stralias Sky_3a,"BMP\background\forest\Sky_3a.bmp" ;青空 1枚目 stralias Sky_4a,"BMP\background\forest\Sky_4a.bmp" ;空 stralias Sky_4b,"BMP\background\forest\Sky_4b.bmp" ;空 stralias moon_1a,"BMP\background\forest\moon_1a.bmp" ;満月 1枚目 stralias moon_2a,"BMP\background\forest\moon_2a.bmp" ;満月 都市部 stralias OBS_1a,"BMP\background\forest\OBS_1a.bmp" ;(心象世界の)展望台 observatory stralias Different_garden1a,"BMP\background\garden\Different_garden1a.bmp" ;不思議な森の道 1枚目 stralias Different_garden1b,"BMP\background\garden\Different_garden1b.bmp" ;不思議な森の道 2枚目 ;薔薇庭園 stralias rose_G1a,"BMP\background\garden\rose_G1a.bmp" ;庭園 館外観正面 1枚目 stralias rose_G1aR,"BMP\background\garden\rose_G1aR.bmp" ;庭園 館外観正面 1枚目の雨 stralias rose_G1aN,"BMP\background\garden\rose_G1aN.bmp" ;庭園 館外観正面 1枚目の夜 stralias rose_G1b,"BMP\background\garden\rose_G1b.bmp" ;庭園 館外観正面 2枚目 stralias rose_G1c,"BMP\background\garden\rose_G1c.bmp" ;庭園 館外観正面 3枚目 stralias rose_G1d,"BMP\background\garden\rose_G1d.bmp" ;庭園 館外観正面 4枚目 stralias warehous_o1a,"BMP\background\garden\warehous_o1a.bmp" ;庭園 倉庫外観 1枚目 stralias warehous_o2a,"BMP\background\garden\warehous_o2a.bmp" ;庭園 倉庫外観 1枚目 シャッターが開く stralias warehous_i1a,"BMP\background\garden\warehous_i1a.bmp" ;庭園 倉庫内部 1枚目 stralias warehous_i1b,"BMP\background\garden\warehous_i1b.bmp" ;庭園 倉庫内部 2枚目 stralias warehous_i1c,"BMP\background\garden\warehous_i1c.bmp" ;庭園 倉庫内部 3枚目 stralias warehous_i1d,"BMP\background\garden\warehous_i1d.bmp" ;庭園 倉庫内部 4枚目 stralias warehous_i1e,"BMP\background\garden\warehous_i1e.bmp" ;庭園 倉庫内部 5枚目 天井 stralias garden_1a,"BMP\background\garden\garden_1a.bmp" ;庭園への道 1枚目 stralias garden_1aR,"BMP\background\garden\garden_1aR.bmp" ;雨庭園への道 1枚目 stralias garden_1aN,"BMP\background\garden\garden_1aN.bmp" ;夜庭園への道 1枚目 stralias garden_1b,"BMP\background\garden\garden_1b.bmp" ;庭園への道 2枚目 stralias garden_1bR,"BMP\background\garden\garden_1bR.bmp" ;雨庭園への道 2枚目 stralias garden_1bN,"BMP\background\garden\garden_1bN.bmp" ;夜庭園への道 2枚目 stralias garden_1c,"BMP\background\garden\garden_1c.bmp" ;庭園への道 3枚目 stralias garden_1cR,"BMP\background\garden\garden_1cR.bmp";庭園への道3枚目の雨 stralias garden_1cN,"BMP\background\garden\garden_1cN.bmp";庭園への道3枚目の夜 stralias garden_R1a,"BMP\background\garden\garden_R1a.bmp" ;庭園 昼間 東屋 1枚目 stralias garden_R1aN,"BMP\background\garden\garden_R1aN.bmp" ;庭園 夜 東屋 1枚目 stralias garden_R1aR,"BMP\background\garden\garden_R1aR.bmp" ;庭園 雨 東屋 1枚目 stralias garden_R1b,"BMP\background\garden\garden_R1b.bmp" ;庭園 昼間 東屋 2枚目 stralias garden_R1bN,"BMP\background\garden\garden_R1bN.bmp" ;庭園 夜 東屋 2枚目 stralias garden_R1bR,"BMP\background\garden\garden_R1bR.bmp" ;庭園 雨 東屋 2枚目 stralias garden_R1c,"BMP\background\garden\garden_R1c.bmp" ;庭園 昼間 東屋 3枚目 stralias garden_R1cN,"BMP\background\garden\garden_R1cN.bmp" ;庭園 夜 東屋 3枚目 stralias garden_R1cR,"BMP\background\garden\garden_R1cR.bmp" ;庭園 雨 東屋 3枚目 stralias garden_R1d,"BMP\background\garden\garden_R1d.bmp" ;庭園 昼間 東屋 4枚目 stralias garden_R1dN,"BMP\background\garden\garden_R1dN.bmp" ;庭園 夜 東屋 4枚目 stralias garden_R1dR,"BMP\background\garden\garden_R1dR.bmp" ;庭園 雨 東屋 4枚目 stralias rose_P1a,"BMP\background\garden\rose_P1a.bmp" ;庭園の道 1枚目 stralias rose_P1aR,"BMP\background\garden\rose_P1aR.bmp" ;雨庭園の道 1枚目 stralias rose_P1aN,"BMP\background\garden\rose_P1aN.bmp" ;夜庭園の道 1枚目 stralias rose_P1aP,"BMP\background\garden\rose_P1aP.bmp" ;庭園の道 1枚目 stralias rose_1a,"BMP\background\garden\rose_1a.bmp" ;庭園の薔薇 1枚目 stralias rose_1aR,"BMP\background\garden\rose_1aR.bmp" ;雨庭園の薔薇 1枚目 stralias rose_1aN,"BMP\background\garden\rose_1aN.bmp" ;夜庭園の薔薇 1枚目 stralias rose_1b,"BMP\background\garden\rose_1b.bmp" ;庭園の薔薇 2枚目 stralias rose_1bR,"BMP\background\garden\rose_1bR.bmp" ;雨庭園の薔薇 2枚目 stralias rose_1bN,"BMP\background\garden\rose_1bN.bmp" ;夜庭園の薔薇 2枚目 stralias rose_1c,"BMP\background\garden\rose_1c.bmp" ;庭園の薔薇 3枚目 stralias rose_1cR,"BMP\background\garden\rose_1cR.bmp" ;雨庭園の薔薇 3枚目 stralias rose_1cN,"BMP\background\garden\rose_1cN.bmp" ;夜庭園の薔薇 3枚目 stralias rose_1cP,"BMP\background\garden\rose_1cP.bmp" ;庭園の薔薇 3枚目 stralias rose_1d,"BMP\background\garden\rose_1d.bmp" ;庭園の薔薇 4枚目 stralias rose_1dR,"BMP\background\garden\rose_1dR.bmp" ;雨庭園の薔薇 4枚目 stralias rose_1dN,"BMP\background\garden\rose_1dN.bmp" ;夜庭園の薔薇 4枚目 stralias rose_1dP,"BMP\background\garden\rose_1dP.bmp" ;雨庭園の薔薇 4枚目 stralias rose_1e,"BMP\background\garden\rose_1e.bmp" ;庭園の薔薇 5枚目 stralias rose_1eR,"BMP\background\garden\rose_1eR.bmp" ;雨庭園の薔薇 5枚目 stralias rose_1eN,"BMP\background\garden\rose_1eN.bmp" ;夜庭園の薔薇 5枚目 stralias rose_1eP,"BMP\background\garden\rose_1eP.bmp" ;雨庭園の薔薇 5枚目 stralias rose_2a,"BMP\background\garden\rose_2a.bmp" ;魔女空間薔薇庭園 1枚目 stralias rose_2b,"BMP\background\garden\rose_2b.bmp" ;魔女空間薔薇庭園 2枚目 stralias rose_2c,"BMP\background\garden\rose_2c.bmp" ;魔女空間薔薇庭園 3枚目 stralias rose_2aN,"BMP\background\garden\rose_2aN.bmp" ;夜魔女空間薔薇庭園 1枚目 stralias rose_2bN,"BMP\background\garden\rose_2bN.bmp" ;夜魔女空間薔薇庭園 2枚目 stralias rose_2cN,"BMP\background\garden\rose_2cN.bmp" ;夜魔女空間薔薇庭園 3枚目 stralias rose_2aP,"BMP\background\garden\rose_2aP.bmp" ;魔女空間薔薇庭園 1枚目 stralias rose_2bP,"BMP\background\garden\rose_2bP.bmp" ;魔女空間薔薇庭園 2枚目 stralias rose_2cP,"BMP\background\garden\rose_2cP.bmp" ;魔女空間薔薇庭園 3枚目 stralias rose_3a,"BMP\background\garden\rose_3a.bmp" ;魔女空間薔薇庭園 1枚目 stralias rose_3b,"BMP\background\garden\rose_3b.bmp" ;魔女空間薔薇庭園 2枚目 stralias rose_3c,"BMP\background\garden\rose_3c.bmp" ;魔女空間薔薇庭園 3枚目 stralias rose_3aN,"BMP\background\garden\rose_3aN.bmp" ;夜魔女空間薔薇庭園 1枚目 stralias rose_3bN,"BMP\background\garden\rose_3bN.bmp" ;夜魔女空間薔薇庭園 2枚目 stralias rose_3cN,"BMP\background\garden\rose_3cN.bmp" ;夜魔女空間薔薇庭園 3枚目 stralias rose_3aP,"BMP\background\garden\rose_3aP.bmp" ;魔女空間薔薇庭園 1枚目 stralias rose_3bP,"BMP\background\garden\rose_3bP.bmp" ;魔女空間薔薇庭園 2枚目 stralias rose_3cP,"BMP\background\garden\rose_3cP.bmp" ;魔女空間薔薇庭園 3枚目 ;本館 stralias M_o1a,"BMP\background\mainbuilding\M_o1a.bmp" ;本館 外見 1枚目 stralias M_o1aR,"BMP\background\mainbuilding\M_o1aR.bmp";本館 外見 1枚目の雨 stralias M_o1aN,"BMP\background\mainbuilding\M_o1aN.bmp";本館 外見 1枚目の夜 stralias M_o1b,"BMP\background\mainbuilding\M_o1b.bmp" ;本館 外見 2枚目 stralias M_o1bR,"BMP\background\mainbuilding\M_o1bR.bmp";本館 外見 2枚目の雨 stralias M_o1bN,"BMP\background\mainbuilding\M_o1bN.bmp";本館 外見 2枚目の夜 ;ドア。本館以外でも使用可能 stralias M_door1,"BMP\background\mainbuilding\M_door1.bmp";ドア stralias M_door2,"BMP\background\mainbuilding\M_door2.bmp";ドアノブ stralias TEL1a,"BMP\background\efe\TEL1a.bmp" ;白電話 stralias TEL2a,"BMP\background\efe\TEL2a.bmp" ;別の白電話(左右反転色加工) ;通路(P)は階段とする ;食堂 stralias Mdin_1a,"BMP\background\mainbuilding\Mdin_1a.bmp" ;本館1F 食堂1 1枚目 dining stralias Mdin_1aR,"BMP\background\mainbuilding\Mdin_1aR.bmp" ;本館1F 食堂1 1枚目 diningの雨 stralias Mdin_1aN,"BMP\background\mainbuilding\Mdin_1aN.bmp" ;本館1F 食堂1 1枚目 diningの夜 stralias Mdin_1b,"BMP\background\mainbuilding\Mdin_1b.bmp" ;本館1F 食堂1 2枚目 stralias Mdin_1bR,"BMP\background\mainbuilding\Mdin_1bR.bmp" ;本館1F 食堂1 2枚目の雨 stralias Mdin_1bN,"BMP\background\mainbuilding\Mdin_1bN.bmp" ;本館1F 食堂1 2枚目の夜 stralias Mdin_1c,"BMP\background\mainbuilding\Mdin_1c.bmp" ;本館1F 食堂1 3枚目 stralias Mdin_1cR,"BMP\background\mainbuilding\Mdin_1cR.bmp" ;本館1F 食堂1 3枚目の雨 stralias Mdin_1cN,"BMP\background\mainbuilding\Mdin_1cN.bmp" ;本館1F 食堂1 3枚目の夜 stralias Mdin_1d,"BMP\background\mainbuilding\Mdin_1d.bmp" ;本館1F 食堂1 4枚目 stralias Mdin_1dR,"BMP\background\mainbuilding\Mdin_1dR.bmp" ;本館1F 食堂1 4枚目の雨 stralias Mdin_1dN,"BMP\background\mainbuilding\Mdin_1dN.bmp" ;本館1F 食堂1 4枚目の夜 stralias Mdin_1e,"BMP\background\mainbuilding\Mdin_1e.bmp" ;本館1F 食堂1 5枚目 stralias Mdin_1eR,"BMP\background\mainbuilding\Mdin_1eR.bmp" ;本館1F 食堂1 5枚目の雨 stralias Mdin_1eN,"BMP\background\mainbuilding\Mdin_1eN.bmp" ;本館1F 食堂1 5枚目の夜 stralias Mdin_1f,"BMP\background\mainbuilding\Mdin_1f.bmp" ;本館1F 食堂1 6枚目 stralias Mdin_1fR,"BMP\background\mainbuilding\Mdin_1fR.bmp" ;本館1F 食堂1 6枚目の雨 stralias Mdin_1fN,"BMP\background\mainbuilding\Mdin_1fN.bmp" ;本館1F 食堂1 6枚目の夜 stralias Mdin_1g,"BMP\background\mainbuilding\Mdin_1g.bmp" ;本館1F 食堂1 7枚目 天井 stralias Mdin_1gR,"BMP\background\mainbuilding\Mdin_1gR.bmp" ;本館1F 食堂1 7枚目 天井の雨 stralias Mdin_1gN,"BMP\background\mainbuilding\Mdin_1gN.bmp" ;本館1F 食堂1 7枚目 天井の夜 ;大広間 stralias Mhal_1a,"BMP\background\mainbuilding\Mhal_1a.bmp" ;本館1F 大広間1 1枚目 hall stralias Mhal_1aR,"BMP\background\mainbuilding\Mhal_1aR.bmp" ;本館1F 大広間1 1枚目 hallの雨 stralias Mhal_1aN,"BMP\background\mainbuilding\Mhal_1aN.bmp" ;本館1F 大広間1 1枚目 hallの夜 stralias Mhal_1b,"BMP\background\mainbuilding\Mhal_1b.bmp" ;本館1F 大広間1 2枚目 stralias Mhal_1c,"BMP\background\mainbuilding\Mhal_1c.bmp" ;本館1F 大広間1 3枚目 stralias Mhal_2a,"BMP\background\mainbuilding\Mhal_2a.bmp" ;本館1F 大広間2 1枚目 stralias Mhal_2aR,"BMP\background\mainbuilding\Mhal_2aR.bmp" ;本館1F 大広間2 1枚目の雨 stralias Mhal_2aN,"BMP\background\mainbuilding\Mhal_2aN.bmp" ;本館1F 大広間2 1枚目の夜 stralias Mhal_2b,"BMP\background\mainbuilding\Mhal_2b.bmp" ;本館1F 大広間2 2枚目 stralias Mhal_2bR,"BMP\background\mainbuilding\Mhal_2bR.bmp" ;本館1F 大広間2 2枚目の雨 stralias Mhal_2bN,"BMP\background\mainbuilding\Mhal_2bN.bmp" ;本館1F 大広間2 2枚目の夜 stralias Mhal_2c,"BMP\background\mainbuilding\Mhal_2c.bmp" ;本館1F 大広間2 3枚目 肖像画が在る窓 stralias Mhal_2cR,"BMP\background\mainbuilding\Mhal_2cR.bmp" ;本館1F 大広間2 3枚目 肖像画が在る窓の雨 stralias Mhal_2cN,"BMP\background\mainbuilding\Mhal_2cN.bmp" ;本館1F 大広間2 3枚目 肖像画が在る窓の夜 stralias Mhal_2co,"BMP\background\mainbuilding\Mhal_2co.bmp" ;本館1F 大広間2 3枚目 肖像画が無い窓の昼間 stralias Mhal_2con,"BMP\background\mainbuilding\Mhal_2con.bmp" ;本館1F 大広間2 3枚目 肖像画が無い窓の夜 stralias Mhal_2d,"BMP\background\mainbuilding\Mhal_2d.bmp" ;本館1F 大広間2 シャンデリア stralias Mhal_2dP,"BMP\background\mainbuilding\Mhal_2dP.bmp" ;本館1F 大広間2 シャンデリア ;サロン stralias M1F_S1a,"BMP\background\mainbuilding\M1F_S1a.bmp" ;本館1F 広間1 1枚目 saloon stralias M1F_S1aR,"BMP\background\mainbuilding\M1F_S1aR.bmp" ;本館1F 広間1 1枚目 saloonの雨 stralias M1F_S1aN,"BMP\background\mainbuilding\M1F_S1aN.bmp" ;本館1F 広間1 1枚目 saloonの夜 stralias M1F_S1b,"BMP\background\mainbuilding\M1F_S1b.bmp" ;本館1F 広間1 2枚目 stralias M1F_S1bR,"BMP\background\mainbuilding\M1F_S1bR.bmp" ;本館1F 広間1 2枚目の雨 stralias M1F_S1bN,"BMP\background\mainbuilding\M1F_S1bN.bmp" ;本館1F 広間1 2枚目の夜 stralias M1F_S1c,"BMP\background\mainbuilding\M1F_S1c.bmp" ;本館1F 広間1 3枚目 stralias M1F_S1cR,"BMP\background\mainbuilding\M1F_S1cR.bmp" ;本館1F 広間1 3枚目の雨 stralias M1F_S1cN,"BMP\background\mainbuilding\M1F_S1cN.bmp" ;本館1F 広間1 3枚目の夜 stralias M1F_S1d,"BMP\background\mainbuilding\M1F_S1d.bmp" ;本館1F 広間1 4枚目 天井 stralias M1F_S1dR,"BMP\background\mainbuilding\M1F_S1dR.bmp" ;本館1F 広間1 4枚目 天井の雨 stralias M1F_S1dN,"BMP\background\mainbuilding\M1F_S1dN.bmp" ;本館1F 広間1 4枚目 天井の夜 stralias M1F_S2a,"BMP\background\mainbuilding\M1F_S2a.bmp" ;本館1F 広間2 1枚目 stralias M1F_P1a,"BMP\background\mainbuilding\M1F_P1a.bmp" ;本館1F 通路1 1枚目 stralias M1F_P1aR,"BMP\background\mainbuilding\M1F_P1aR.bmp" ;本館1F 通路1 1枚目の雨 stralias M1F_P1aN,"BMP\background\mainbuilding\M1F_P1aN.bmp" ;本館1F 通路1 1枚目の夜 stralias M1F_P1b,"BMP\background\mainbuilding\M1F_P1b.bmp" ;本館1F 通路1 2枚目 stralias M1F_P1bR,"BMP\background\mainbuilding\M1F_P1bR.bmp" ;本館1F 通路1 2枚目の雨 stralias M1F_P1bN,"BMP\background\mainbuilding\M1F_P1bN.bmp" ;本館1F 通路1 2枚目の夜 stralias M1F_P1c,"BMP\background\mainbuilding\M1F_P1c.bmp" ;本館1F 通路1 3枚目 天井 stralias M1F_P1cR,"BMP\background\mainbuilding\M1F_P1cR.bmp" ;本館1F 通路1 3枚目 天井の雨 stralias M1F_P1cN,"BMP\background\mainbuilding\M1F_P1cN.bmp" ;本館1F 通路1 3枚目 天井の夜 stralias M1F_P1d,"BMP\background\mainbuilding\M1F_P1d.bmp" ;本館1F 通路1 3枚目 廊下窓 stralias M1F_P1dR,"BMP\background\mainbuilding\M1F_P1dR.bmp" ;本館1F 通路1 3枚目 廊下窓の雨 stralias M1F_P1dN,"BMP\background\mainbuilding\M1F_P1dN.bmp" ;本館1F 通路1 3枚目 廊下窓の夜 stralias M1F_P2a,"BMP\background\mainbuilding\M1F_P2a.bmp" ;本館1F 通路1 1枚目 stralias M1F_P2b,"BMP\background\mainbuilding\M1F_P2b.bmp" ;本館1F 通路1 2枚目 stralias M1F_P2bR,"BMP\background\mainbuilding\M1F_P2bR.bmp" ;本館1F 通路1 2枚目の雨 stralias M1F_P2bN,"BMP\background\mainbuilding\M1F_P2bN.bmp" ;本館1F 通路1 2枚目の夜 stralias M1F_P2c,"BMP\background\mainbuilding\M1F_P2c.bmp" ;本館1F 通路1 3枚目 stralias Ment_1a,"BMP\background\mainbuilding\Ment_1a.bmp" ;本館1F 玄関(階段前)1 1枚目 entrance stralias Ment_1aR,"BMP\background\mainbuilding\Ment_1aR.bmp" ;本館1F 玄関(階段前)1 1枚目 entrance stralias Ment_1aN,"BMP\background\mainbuilding\Ment_1aN.bmp" ;本館1F 玄関(階段前)1 1枚目 entrance stralias Ment_1b,"BMP\background\mainbuilding\Ment_1b.bmp" ;本館1F 玄関(階段前)1 2枚目 stralias Ment_1bR,"BMP\background\mainbuilding\Ment_1bR.bmp" ;本館1F 玄関(階段前)1 2枚目 stralias Ment_1bN,"BMP\background\mainbuilding\Ment_1bN.bmp" ;本館1F 玄関(階段前)1 2枚目 stralias Msta_1a,"BMP\background\mainbuilding\Msta_1a.bmp" ;階段(最上階) 1枚目 staircase stralias Msta_1b,"BMP\background\mainbuilding\Msta_1b.bmp" ;階段(踊り場) 2枚目 stralias Msta_1c,"BMP\background\mainbuilding\Msta_1c.bmp" ;階段(見下ろす) 3枚目 stralias Msta_2a,"BMP\background\mainbuilding\Msta_2a.bmp" ;階段(地下室) 1枚目 stralias Mcou_1a,"BMP\background\mainbuilding\Mcou_1a.bmp" ;中庭 1枚目 cour stralias Mcou_1aR,"BMP\background\mainbuilding\Mcou_1aR.bmp" ;中庭 1枚目 courの雨 stralias Mcou_1aN,"BMP\background\mainbuilding\Mcou_1aN.bmp" ;中庭 1枚目 courtの夜 stralias Mcou_1b,"BMP\background\mainbuilding\Mcou_1b.bmp" ;中庭 2枚目 cour stralias Mcou_1bR,"BMP\background\mainbuilding\Mcou_1bR.bmp" ;中庭 2枚目 courの雨 stralias Mcou_1bN,"BMP\background\mainbuilding\Mcou_1bN.bmp" ;中庭 2枚目 courtの夜 stralias M2F_P1a,"BMP\background\mainbuilding\M2F_P1a.bmp" ;本館2F 通路1 1枚目 stralias M2F_P1aR,"BMP\background\mainbuilding\M2F_P1aR.bmp" ;本館2F 通路1 1枚目 stralias M2F_P1aN,"BMP\background\mainbuilding\M2F_P1aN.bmp" ;本館2F 通路1 1枚目 stralias M2F_P1b,"BMP\background\mainbuilding\M2F_P1b.bmp" ;本館2F 通路1 2枚目 stralias M2F_P1bR,"BMP\background\mainbuilding\M2F_P1bR.bmp" ;本館2F 通路1 2枚目の雨 stralias M2F_P1bN,"BMP\background\mainbuilding\M2F_P1bN.bmp" ;本館2F 通路1 2枚目の夜 stralias M2F_P1c,"BMP\background\mainbuilding\M2F_P1c.bmp" ;本館2F 通路1 3枚目 stralias M2F_P1cR,"BMP\background\mainbuilding\M2F_P1cR.bmp" ;本館2F 通路1 3枚目の雨 stralias M2F_P1cN,"BMP\background\mainbuilding\M2F_P1cN.bmp" ;本館2F 通路1 3枚目の夜 stralias M2F_P1d,"BMP\background\mainbuilding\M2F_P1d.bmp" ;本館2F 通路1 4枚目 階段前 stralias M2F_P1dR,"BMP\background\mainbuilding\M2F_P1dR.bmp" ;本館2F 通路1 4枚目 階段前 stralias M2F_P1dN,"BMP\background\mainbuilding\M2F_P1dN.bmp" ;本館2F 通路1 4枚目 階段前 stralias Mlib_1a,"BMP\background\mainbuilding\Mlib_1a.bmp" ;本館 金蔵の書斎 1枚目 stralias Mlib_1aR,"BMP\background\mainbuilding\Mlib_1aR.bmp" ;本館 金蔵の書斎 1枚目の雨 stralias Mlib_1aN,"BMP\background\mainbuilding\Mlib_1aN.bmp" ;本館 金蔵の書斎 1枚目の夜 stralias Mlib_1ap,"BMP\background\mainbuilding\Mlib_1ap.bmp" ;本館 金蔵の書斎 1枚目のメタ stralias Mlib_1b,"BMP\background\mainbuilding\Mlib_1b.bmp" ;本館 金蔵の書斎 2枚目 stralias Mlib_1bR,"BMP\background\mainbuilding\Mlib_1bR.bmp" ;本館 金蔵の書斎 2枚目の雨 stralias Mlib_1bN,"BMP\background\mainbuilding\Mlib_1bN.bmp" ;本館 金蔵の書斎 2枚目の夜 stralias Mlib_1bp,"BMP\background\mainbuilding\Mlib_1bp.bmp" ;本館 金蔵の書斎 2枚目のメタ stralias Mlib_1c,"BMP\background\mainbuilding\Mlib_1c.bmp" ;本館 金蔵の書斎 3枚目 stralias Mlib_1cR,"BMP\background\mainbuilding\Mlib_1cR.bmp" ;本館 金蔵の書斎 3枚目の雨 stralias Mlib_1cN,"BMP\background\mainbuilding\Mlib_1cN.bmp" ;本館 金蔵の書斎 3枚目の夜 stralias Mlib_1cp,"BMP\background\mainbuilding\Mlib_1cp.bmp" ;本館 金蔵の書斎 3枚目のメタ stralias Mlib_1d,"BMP\background\mainbuilding\Mlib_1d.bmp" ;本館 金蔵の書斎 4枚目 stralias Mlib_1dR,"BMP\background\mainbuilding\Mlib_1dR.bmp" ;本館 金蔵の書斎 4枚目の雨 stralias Mlib_1dN,"BMP\background\mainbuilding\Mlib_1dN.bmp" ;本館 金蔵の書斎 4枚目の夜 stralias Mlib_1dp,"BMP\background\mainbuilding\Mlib_1dp.bmp" ;本館 金蔵の書斎 4枚目のメタ stralias Mlib_1e,"BMP\background\mainbuilding\Mlib_1e.bmp" ;本館 金蔵の書斎 天井 5枚目 stralias Mlib_1ep,"BMP\background\mainbuilding\Mlib_1ep.bmp" ;本館 金蔵の書斎 天井 5枚目のメタ stralias MNAT_1a,"BMP\background\mainbuilding\MNAT_1a.bmp" ;本館 夏妃の部屋 1枚目 stralias MNAT_1b,"BMP\background\mainbuilding\MNAT_1b.bmp" ;本館 夏妃の部屋 2枚目 stralias MNAT_1c,"BMP\background\mainbuilding\MNAT_1c.bmp" ;本館 夏妃の部屋 3枚目 stralias MNAT_2a,"BMP\background\mainbuilding\MNAT_2a.bmp" ;本館 夏妃の部屋 1枚目 stralias MNAT_2b,"BMP\background\mainbuilding\MNAT_2b.bmp" ;本館 夏妃の部屋 2枚目 stralias MNAT_2c,"BMP\background\mainbuilding\MNAT_2c.bmp" ;本館 夏妃の部屋 3枚目 stralias MNAT_2d,"BMP\background\mainbuilding\MNAT_2d.bmp" ;本館 夏妃の部屋 4枚目 stralias MNAT_2e,"BMP\background\mainbuilding\MNAT_2e.bmp" ;本館 夏妃の部屋 5枚目 stralias MNAT_2aR,"BMP\background\mainbuilding\MNAT_2aR.bmp" ;本館 夏妃の部屋 1枚目 stralias MNAT_2bR,"BMP\background\mainbuilding\MNAT_2bR.bmp" ;本館 夏妃の部屋 2枚目 stralias MNAT_2cR,"BMP\background\mainbuilding\MNAT_2cR.bmp" ;本館 夏妃の部屋 3枚目 stralias MNAT_2dR,"BMP\background\mainbuilding\MNAT_2dR.bmp" ;本館 夏妃の部屋 4枚目 stralias MNAT_2eR,"BMP\background\mainbuilding\MNAT_2eR.bmp" ;本館 夏妃の部屋 5枚目 stralias MNAT_2aN,"BMP\background\mainbuilding\MNAT_2aN.bmp" ;本館 夏妃の部屋 1枚目 stralias MNAT_2bN,"BMP\background\mainbuilding\MNAT_2bN.bmp" ;本館 夏妃の部屋 2枚目 stralias MNAT_2cN,"BMP\background\mainbuilding\MNAT_2cN.bmp" ;本館 夏妃の部屋 3枚目 stralias MNAT_2dN,"BMP\background\mainbuilding\MNAT_2dN.bmp" ;本館 夏妃の部屋 4枚目 stralias MNAT_2eN,"BMP\background\mainbuilding\MNAT_2eN.bmp" ;本館 夏妃の部屋 5枚目 stralias MNAT_2f,"BMP\background\mainbuilding\MNAT_2f.bmp" ;本館 夏妃の部屋 燭台 stralias MJES_1a,"BMP\background\mainbuilding\MJES_1a.bmp" ;本館 朱志香の部屋 1枚目 stralias MJES_1b,"BMP\background\mainbuilding\MJES_1b.bmp" ;本館 朱志香の部屋 2枚目 stralias MJES_1c,"BMP\background\mainbuilding\MJES_1c.bmp" ;本館 朱志香の部屋 3枚目 stralias MJES_1d,"BMP\background\mainbuilding\MJES_1d.bmp" ;本館 朱志香の部屋 4枚目 stralias MJES_1e,"BMP\background\mainbuilding\MJES_1e.bmp" ;本館 朱志香の部屋 5枚目 stralias MJES_1aR,"BMP\background\mainbuilding\MJES_1aR.bmp" ;本館 朱志香の部屋 1枚目 stralias MJES_1bR,"BMP\background\mainbuilding\MJES_1bR.bmp" ;本館 朱志香の部屋 2枚目 stralias MJES_1cR,"BMP\background\mainbuilding\MJES_1cR.bmp" ;本館 朱志香の部屋 3枚目 stralias MJES_1dR,"BMP\background\mainbuilding\MJES_1dR.bmp" ;本館 朱志香の部屋 4枚目 stralias MJES_1aN,"BMP\background\mainbuilding\MJES_1aN.bmp" ;本館 朱志香の部屋 1枚目 stralias MJES_1bN,"BMP\background\mainbuilding\MJES_1bN.bmp" ;本館 朱志香の部屋 2枚目 stralias MJES_1cN,"BMP\background\mainbuilding\MJES_1cN.bmp" ;本館 朱志香の部屋 3枚目 stralias MJES_1dN,"BMP\background\mainbuilding\MJES_1dN.bmp" ;本館 朱志香の部屋 4枚目 ;書斎もどき stralias M2F_R1a,"BMP\background\mainbuilding\M2F_R1a.bmp" ;本館2F 室内1 1枚目 stralias M2F_R1aN,"BMP\background\mainbuilding\M2F_R1aN.bmp" ;本館2F 室内1 1枚目 stralias M2F_R1b,"BMP\background\mainbuilding\M2F_R1b.bmp" ;本館2F 室内1 2枚目 stralias M2F_R1bN,"BMP\background\mainbuilding\M2F_R1bN.bmp" ;本館2F 室内1 2枚目 stralias M2F_R1c,"BMP\background\mainbuilding\M2F_R1c.bmp" ;本館2F 室内1 3枚目 stralias M2F_R1cN,"BMP\background\mainbuilding\M2F_R1cN.bmp" ;本館2F 室内1 3枚目 stralias M2F_R1d,"BMP\background\mainbuilding\M2F_R1d.bmp" ;本館2F 室内1 4枚目 天井 stralias M2F_R1dR,"BMP\background\mainbuilding\M2F_R1dR.bmp" ;本館2F 室内1 4枚目 天井 stralias M2F_R1dN,"BMP\background\mainbuilding\M2F_R1dN.bmp" ;本館2F 室内1 4枚目 天井 ;二人用のテーブルのある部屋 stralias M2F_R2a,"BMP\background\mainbuilding\M2F_R2a.bmp" ;本館2F 室内2 1枚目 stralias M2F_R2b,"BMP\background\mainbuilding\M2F_R2b.bmp" ;本館2F 室内2 2枚目 stralias M2F_R2c,"BMP\background\mainbuilding\M2F_R2c.bmp" ;本館2F 室内2 3枚目 ;揺り椅子のある部屋 stralias M2F_R3a,"BMP\background\mainbuilding\M2F_R3a.bmp" ;本館2F 室内3 1枚目 stralias M2F_R3b,"BMP\background\mainbuilding\M2F_R3b.bmp" ;本館2F 室内3 2枚目 stralias M2F_R3c,"BMP\background\mainbuilding\M2F_R3c.bmp" ;本館2F 室内3 3枚目 ;ベッドのある部屋 stralias M2F_R4a,"BMP\background\mainbuilding\M2F_R4a.bmp" ;本館2F 室内4 1枚目 stralias M2F_R4aR,"BMP\background\mainbuilding\M2F_R4aR.bmp" ;本館2F 室内4 1枚目の雨 stralias M2F_R4aN,"BMP\background\mainbuilding\M2F_R4aN.bmp" ;本館2F 室内4 1枚目の夜 stralias M2F_R4ap,"BMP\background\mainbuilding\M2F_R4ap.bmp" ;本館2F 室内4 1枚目のメタ stralias M2F_R4b,"BMP\background\mainbuilding\M2F_R4b.bmp" ;本館2F 室内4 2枚目 stralias M2F_R4bR,"BMP\background\mainbuilding\M2F_R4bR.bmp" ;本館2F 室内4 2枚目の雨 stralias M2F_R4bN,"BMP\background\mainbuilding\M2F_R4bN.bmp" ;本館2F 室内4 2枚目の夜 stralias M2F_R4bp,"BMP\background\mainbuilding\M2F_R4bp.bmp" ;本館2F 室内4 2枚目のメタ stralias M2F_R4c,"BMP\background\mainbuilding\M2F_R4c.bmp" ;本館2F 室内4 3枚目 stralias M2F_R4cR,"BMP\background\mainbuilding\M2F_R4cR.bmp" ;本館2F 室内4 3枚目 stralias M2F_R4cN,"BMP\background\mainbuilding\M2F_R4cN.bmp" ;本館2F 室内4 3枚目 stralias M2F_R4cp,"BMP\background\mainbuilding\M2F_R4cp.bmp" ;本館2F 室内4 3枚目 ;小会議室 stralias M2F_R5a,"BMP\background\mainbuilding\M2F_R5a.bmp" ;本館2F 室内5 1枚目 stralias M2F_R5b,"BMP\background\mainbuilding\M2F_R5b.bmp" ;本館2F 室内5 2枚目 stralias M2F_R5c,"BMP\background\mainbuilding\M2F_R5c.bmp" ;本館2F 室内5 3枚目 stralias M2F_R5d,"BMP\background\mainbuilding\M2F_R5d.bmp" ;本館2F 室内5 4枚目 stralias M2F_R5e,"BMP\background\mainbuilding\M2F_R5e.bmp" ;本館2F 室内5 5枚目 stralias M2F_R5f,"BMP\background\mainbuilding\M2F_R5f.bmp" ;本館2F 室内5 6枚目 stralias M2F_R6a,"BMP\background\mainbuilding\M2F_R6a.bmp" ;本館2F 室内6 1枚目 ;台所 stralias Mkit_1a,"BMP\background\mainbuilding\Mkit_1a.bmp" ;本館 台所 1枚目 kitchen stralias Mkit_1aR,"BMP\background\mainbuilding\Mkit_1aR.bmp" ;本館 台所 1枚目 kitchenの雨 stralias Mkit_1aN,"BMP\background\mainbuilding\Mkit_1aN.bmp" ;本館 台所 1枚目 kitchenの夜 stralias Mkit_1b,"BMP\background\mainbuilding\Mkit_1b.bmp" ;本館 台所 2枚目 stralias Mkit_1bR,"BMP\background\mainbuilding\Mkit_1bR.bmp" ;本館 台所 2枚目の雨 stralias Mkit_1bN,"BMP\background\mainbuilding\Mkit_1bN.bmp" ;本館 台所 2枚目の夜 stralias Mkit_1c,"BMP\background\mainbuilding\Mkit_1c.bmp" ;本館 台所 3枚目 stralias Mkit_1cR,"BMP\background\mainbuilding\Mkit_1cR.bmp" ;本館 台所 3枚目の雨 stralias Mkit_1cN,"BMP\background\mainbuilding\Mkit_1cN.bmp" ;本館 台所 3枚目の夜 ;浴室 stralias Mbat_1a,"BMP\background\mainbuilding\Mbat_1a.bmp" ;本館 浴室 1枚目 bathroom stralias Mbat_1b,"BMP\background\mainbuilding\Mbat_1b.bmp" ;本館 浴室 2枚目 ;トイレ stralias Mbat_2a,"BMP\background\mainbuilding\Mbat_2a.bmp" ;本館 トイレ 1枚目 ;ボイラー室 stralias Mboi_1a,"BMP\background\mainbuilding\Mboi_1a.bmp" ;本館 ボイラー室 1枚目 boiler stralias Mboi_1b,"BMP\background\mainbuilding\Mboi_1b.bmp" ;本館 ボイラー室 2枚目 boiler stralias Mboi_1c,"BMP\background\mainbuilding\Mboi_1c.bmp" ;本館 ボイラー室 3枚目 boiler stralias Mboi_1d,"BMP\background\mainbuilding\Mboi_1d.bmp" ;本館 ボイラー室 4枚目 boiler stralias Mvip_1a,"BMP\background\mainbuilding\Mvip_1a.bmp" ;本館 貴賓室 1枚目 vip room stralias Mvip_1aR,"BMP\background\mainbuilding\Mvip_1aR.bmp" ;本館 貴賓室 1枚目 vip room雨 stralias Mvip_1aN,"BMP\background\mainbuilding\Mvip_1aN.bmp" ;本館 貴賓室 1枚目 vip room夜 stralias Mvip_1b,"BMP\background\mainbuilding\Mvip_1b.bmp" ;本館 貴賓室 2枚目 stralias Mvip_1bR,"BMP\background\mainbuilding\Mvip_1bR.bmp" ;本館 貴賓室 2枚目雨 stralias Mvip_1bN,"BMP\background\mainbuilding\Mvip_1bN.bmp" ;本館 貴賓室 2枚目夜 stralias Mvip_1c,"BMP\background\mainbuilding\Mvip_1c.bmp" ;本館 貴賓室 3枚目 stralias Mvip_1cR,"BMP\background\mainbuilding\Mvip_1cR.bmp" ;本館 貴賓室 3枚目雨 stralias Mvip_1cN,"BMP\background\mainbuilding\Mvip_1cN.bmp" ;本館 貴賓室 3枚目夜 stralias Mvip_1d,"BMP\background\mainbuilding\Mvip_1d.bmp" ;本館 貴賓室 窓 4枚目 stralias Mvip_1dR,"BMP\background\mainbuilding\Mvip_1dR.bmp" ;本館 貴賓室 窓 4枚目雨 stralias Mvip_1dN,"BMP\background\mainbuilding\Mvip_1dN.bmp" ;本館 貴賓室 窓 4枚目夜 stralias Mvip_1e,"BMP\background\mainbuilding\Mvip_1e.bmp" ;本館 貴賓室 天井 5枚目 stralias Mvip_2a,"BMP\background\mainbuilding\Mvip_2a.bmp" ;本館 貴賓室 1枚目 stralias Mvip_2aR,"BMP\background\mainbuilding\Mvip_2aR.bmp" ;本館 貴賓室 1枚目雨 stralias Mvip_2aN,"BMP\background\mainbuilding\Mvip_2aN.bmp" ;本館 貴賓室 1枚目夜 stralias portrait1,"BMP\background\mainbuilding\portrait1.bmp" ;本館 ベアトリーチェの肖像画全体図 stralias portrait2,"BMP\background\mainbuilding\portrait2.bmp" ;本館 ベアトリーチェの肖像画 上 stralias portrait3,"BMP\background\mainbuilding\portrait3.bmp" ;本館 ベアトリーチェの肖像画 上(EP2の私服仕様) stralias portrait4,"BMP\background\mainbuilding\portrait4.bmp" ;本館 ベアトリーチェの肖像画 上(EP3の魔女絵羽仕様) stralias portrait5,"BMP\background\mainbuilding\portrait5.bmp" ;本館 ベアトリーチェの肖像画 上(EP5) stralias portrait6,"BMP\background\mainbuilding\portrait6.bmp" ;本館 ベアトリーチェの肖像画 上(EP6) ;ゲストハウス ;外観 stralias G_o1a,"BMP\background\guesthouse\G_o1a.bmp" ;別館外観 1枚目 stralias G_o1aR,"BMP\background\guesthouse\G_o1aR.bmp";別館外観 1枚目の雨 stralias G_o1aN,"BMP\background\guesthouse\G_o1aN.bmp";別館外観 1枚目の夜 stralias G_o1b,"BMP\background\guesthouse\G_o1b.bmp" ;別館外観 2枚目 stralias G_o1bR,"BMP\background\guesthouse\G_o1bR.bmp";別館外観 2枚目の雨 stralias G_o1bN,"BMP\background\guesthouse\G_o1bN.bmp";別館外観 2枚目の夜 stralias G_o1c,"BMP\background\guesthouse\G_o1c.bmp" ;別館外観(見上げる) 3枚目 stralias G_o1cR,"BMP\background\guesthouse\G_o1cR.bmp" ;別館外観(見上げる) 3枚目 stralias G_o1cN,"BMP\background\guesthouse\G_o1cN.bmp" ;別館外観(見上げる) 3枚目 ;ロビー lobby stralias Glob_1a,"BMP\background\guesthouse\Glob_1a.bmp" ;別館1F ロビー カウンター lobby stralias Glob_1b,"BMP\background\guesthouse\Glob_1b.bmp" ;別館1F ロビー カウンター stralias Glob_1c,"BMP\background\guesthouse\Glob_1c.bmp" ;別館1F ロビー テーブル stralias Glob_1d,"BMP\background\guesthouse\Glob_1d.bmp" ;別館1F ロビー テーブル stralias Glob_1e,"BMP\background\guesthouse\Glob_1e.bmp" ;別館1F ロビー 天井 stralias Glob_1aR,"BMP\background\guesthouse\Glob_1aR.bmp" ;別館1F ロビー カウンター lobby stralias Glob_1bR,"BMP\background\guesthouse\Glob_1bR.bmp" ;別館1F ロビー カウンター stralias Glob_1cR,"BMP\background\guesthouse\Glob_1cR.bmp" ;別館1F ロビー テーブル stralias Glob_1dR,"BMP\background\guesthouse\Glob_1dR.bmp" ;別館1F ロビー テーブル stralias Glob_1eR,"BMP\background\guesthouse\Glob_1eR.bmp" ;別館1F ロビー 天井 stralias Glob_1aN,"BMP\background\guesthouse\Glob_1aN.bmp" ;別館1F ロビー カウンター lobby stralias Glob_1bN,"BMP\background\guesthouse\Glob_1bN.bmp" ;別館1F ロビー カウンター stralias Glob_1cN,"BMP\background\guesthouse\Glob_1cN.bmp" ;別館1F ロビー テーブル stralias Glob_1dN,"BMP\background\guesthouse\Glob_1dN.bmp" ;別館1F ロビー テーブル stralias Glob_1eN,"BMP\background\guesthouse\Glob_1eN.bmp" ;別館1F ロビー 天井 stralias Glib_1a,"BMP\background\guesthouse\Glib_1a.bmp" ;別館1F 書庫 stralias Glib_1b,"BMP\background\guesthouse\Glib_1b.bmp" ;別館1F 書庫 stralias Glib_1c,"BMP\background\guesthouse\Glib_1c.bmp" ;別館1F 書庫 上段 ;食堂 stralias Gdin_1a,"BMP\background\guesthouse\Gdin_1a.bmp" ;別館1F 食堂1 1枚目 dining stralias Gdin_1b,"BMP\background\guesthouse\Gdin_1b.bmp" ;別館1F 食堂1 2枚目 stralias Gdin_1c,"BMP\background\guesthouse\Gdin_1c.bmp" ;別館1F 食堂1 3枚目 ;サンルーフ stralias Gsun_1a,"BMP\background\guesthouse\Gsun_1a.bmp" ;別館1F サンルーフ1 1枚目 stralias Gsun_1b,"BMP\background\guesthouse\Gsun_1b.bmp" ;別館1F サンルーフ1 2枚目 stralias Gsun_1c,"BMP\background\guesthouse\Gsun_1c.bmp" ;別館1F サンルーフ1 3枚目 ;サロン1 stralias G1F_S1a,"BMP\background\guesthouse\G1F_S1a.bmp" ;別館1F 広間1 1枚目 stralias G1F_S1b,"BMP\background\guesthouse\G1F_S1b.bmp" ;別館1F 広間1 2枚目 stralias G1F_S1c,"BMP\background\guesthouse\G1F_S1c.bmp" ;別館1F 広間1 3枚目 ;ベアトリーチェ空間化したサロン1 stralias G1F_S1aP,"BMP\background\guesthouse\G1F_S1aP.bmp" ;別館1F 広間1 1枚目 stralias G1F_S1bP,"BMP\background\guesthouse\G1F_S1bP.bmp" ;別館1F 広間1 2枚目 stralias G1F_S1cP,"BMP\background\guesthouse\G1F_S1cP.bmp" ;別館1F 広間1 3枚 ;サロン 2 stralias G1F_S2a,"BMP\background\guesthouse\G1F_S2a.bmp" ;別館1F 広間2 1枚目 stralias G1F_S2b,"BMP\background\guesthouse\G1F_S2b.bmp" ;別館1F 広間2 2枚目 stralias G1F_S2c,"BMP\background\guesthouse\G1F_S2c.bmp" ;別館1F 広間2 3枚目 ;サロン 2 stralias G1F_S2aR,"BMP\background\guesthouse\G1F_S2aR.bmp" ;別館1F 広間2 1枚目 stralias G1F_S2bR,"BMP\background\guesthouse\G1F_S2bR.bmp" ;別館1F 広間2 2枚目 stralias G1F_S2cR,"BMP\background\guesthouse\G1F_S2cR.bmp" ;別館1F 広間2 3枚目 ;サロン 2 stralias G1F_S2aN,"BMP\background\guesthouse\G1F_S2aN.bmp" ;別館1F 広間2 1枚目 stralias G1F_S2bN,"BMP\background\guesthouse\G1F_S2bN.bmp" ;別館1F 広間2 2枚目 stralias G1F_S2cN,"BMP\background\guesthouse\G1F_S2cN.bmp" ;別館1F 広間2 3枚目 ;通路 stralias G1F_P1a,"BMP\background\guesthouse\G1F_P1a.bmp" ;別館1F 通路1 1枚目 stralias G1F_P1aR,"BMP\background\guesthouse\G1F_P1aR.bmp" ;別館1F 通路1 1枚目の雨 stralias G1F_P1aN,"BMP\background\guesthouse\G1F_P1aN.bmp" ;別館1F 通路1 1枚目の夜 stralias G1F_P1b,"BMP\background\guesthouse\G1F_P1b.bmp" ;別館1F 通路1 2枚目 stralias G1F_P1bR,"BMP\background\guesthouse\G1F_P1bR.bmp" ;別館1F 通路1 2枚目の雨 stralias G1F_P1bN,"BMP\background\guesthouse\G1F_P1bN.bmp" ;別館1F 通路1 2枚目の夜 stralias G1F_P1c,"BMP\background\guesthouse\G1F_P1c.bmp" ;別館1F 通路1 3枚目 stralias G1F_P1d,"BMP\background\guesthouse\G1F_P1d.bmp" ;別館1F 通路1 4枚目 stralias G1F_P1e,"BMP\background\guesthouse\G1F_P1e.bmp" ;別館1F 通路1 5枚目 stralias G1F_P1eN,"BMP\background\guesthouse\G1F_P1eN.bmp" ;別館1F 通路1 5枚目 stralias G1F_P2a,"BMP\background\guesthouse\G1F_P2a.bmp" ;別館1F 通路2 1枚目 stralias G1F_R1a,"BMP\background\guesthouse\G1F_R1a.bmp" ;別館1F 室内2 1枚目 使用人部屋(予定) stralias G1F_R1aR,"BMP\background\guesthouse\G1F_R1aR.bmp" ;別館1F 室内2 1枚目 使用人部屋(予定)の雨 stralias G1F_R1aN,"BMP\background\guesthouse\G1F_R1aN.bmp" ;別館1F 室内2 1枚目 使用人部屋(予定)の夜 stralias G1F_R1b,"BMP\background\guesthouse\G1F_R1b.bmp" ;別館1F 室内2 2枚目 使用人部屋(予定) stralias G1F_R1bR,"BMP\background\guesthouse\G1F_R1bR.bmp" ;別館1F 室内2 2枚目 使用人部屋(予定)の雨 stralias G1F_R1bN,"BMP\background\guesthouse\G1F_R1bN.bmp" ;別館1F 室内2 2枚目 使用人部屋(予定)の夜 stralias G1F_R2a,"BMP\background\guesthouse\G1F_R2a.bmp" ;別館1F 室内2 1枚目 部屋(EP3予定) stralias G1F_R2b,"BMP\background\guesthouse\G1F_R2b.bmp" ;別館1F 室内2 2枚目 部屋(EP3予定) stralias G1F_R2c,"BMP\background\guesthouse\G1F_R2c.bmp" ;別館1F 室内2 3枚目 部屋(EP3予定) stralias G1F_R2aR,"BMP\background\guesthouse\G1F_R2aR.bmp" ;別館1F 室内2 1枚目 部屋(EP3予定) stralias G1F_R2bR,"BMP\background\guesthouse\G1F_R2bR.bmp" ;別館1F 室内2 2枚目 部屋(EP3予定) stralias G1F_R2cR,"BMP\background\guesthouse\G1F_R2cR.bmp" ;別館1F 室内2 3枚目 部屋(EP3予定) stralias G1F_R2aN,"BMP\background\guesthouse\G1F_R2aN.bmp" ;別館1F 室内2 1枚目 部屋(EP3予定) stralias G1F_R2bN,"BMP\background\guesthouse\G1F_R2bN.bmp" ;別館1F 室内2 2枚目 部屋(EP3予定) stralias G1F_R2cN,"BMP\background\guesthouse\G1F_R2cN.bmp" ;別館1F 室内2 3枚目 部屋(EP3予定) stralias G2F_P1a,"BMP\background\guesthouse\G2F_P1a.bmp" ;別館2F 通路1 1枚目 stralias G2F_P1aN,"BMP\background\guesthouse\G2F_P1aN.bmp" ;別館2F 通路1 1枚目 stralias G2F_P1b,"BMP\background\guesthouse\G2F_P1b.bmp" ;別館2F 通路1 2枚目 stralias G2F_P1c,"BMP\background\guesthouse\G2F_P1c.bmp" ;別館2F 通路1 3枚目 stralias G2F_P1cN,"BMP\background\guesthouse\G2F_P1cN.bmp" ;別館2F 通路1 3枚目 stralias G2F_P1bN,"BMP\background\guesthouse\G2F_P1bN.bmp" ;別館2F 通路1 2枚目 stralias G2F_R1a,"BMP\background\guesthouse\G2F_R1a.bmp" ;別館2F 室内1 1枚目 stralias G2F_R1aR,"BMP\background\guesthouse\G2F_R1aR.bmp" ;別館2F 室内1 1枚目の雨 stralias G2F_R1aN,"BMP\background\guesthouse\G2F_R1aN.bmp" ;別館2F 室内1 1枚目の夜 stralias G2F_R1b,"BMP\background\guesthouse\G2F_R1b.bmp" ;別館2F 室内1 2枚目 stralias G2F_R1bR,"BMP\background\guesthouse\G2F_R1bR.bmp" ;別館2F 室内1 2枚目の雨 stralias G2F_R1bN,"BMP\background\guesthouse\G2F_R1bN.bmp" ;別館2F 室内1 2枚目の夜 stralias G2F_R1c,"BMP\background\guesthouse\G2F_R1c.bmp" ;別館2F 室内1 3枚目 stralias G2F_R1cR,"BMP\background\guesthouse\G2F_R1cR.bmp" ;別館2F 室内1 3枚目の雨 stralias G2F_R1cN,"BMP\background\guesthouse\G2F_R1cN.bmp" ;別館2F 室内1 3枚目の夜 stralias Gsta_1a,"BMP\background\guesthouse\Gsta_1a.bmp" ;階段(最上階) 1枚目 staircase stralias Gsta_1b,"BMP\background\guesthouse\Gsta_1c.bmp" ;階段(踊り場) 2枚目(現在欠番) stralias Gsta_1c,"BMP\background\guesthouse\Gsta_1c.bmp" ;階段(見下ろす) 3枚目 stralias cha_o1a,"BMP\background\chapel\cha_o1a.bmp" ;礼拝堂 外観 1枚目 chapel stralias cha_o2a,"BMP\background\chapel\cha_o2a.bmp" ;礼拝堂 入り口 1枚目 chapel stralias cha_o2aN,"BMP\background\chapel\cha_o2aN.bmp" ;礼拝堂 入り口 1枚目 夜 stralias cha_o2b,"BMP\background\chapel\cha_o2b.bmp" ;礼拝堂 入り口 2枚目 stralias cha_i1a,"BMP\background\chapel\cha_i1a.bmp" ;礼拝堂 内部 正面 1枚目 chapel stralias cha_i1b,"BMP\background\chapel\cha_i1b.bmp" ;礼拝堂 内部 2枚目 stralias cha_i1c,"BMP\background\chapel\cha_i1c.bmp" ;礼拝堂 内部 3枚目 stralias cha_i1d,"BMP\background\chapel\cha_i1d.bmp" ;礼拝堂 内部 4枚目 stralias cha_i1dP,"BMP\background\chapel\cha_i1dP.bmp" ;礼拝堂 内部 4枚目 メタ stralias cha_i1e,"BMP\background\chapel\cha_i1e.bmp" ;礼拝堂 天井 4枚目 stralias Se_o1a,"BMP\background\secrethouse\Se_o1a.bmp" ;隠れ家 外観 stralias Se_o1aP,"BMP\background\secrethouse\Se_o1aP.bmp" ;隠れ家 外観 stralias fence_1a,"BMP\background\secrethouse\fence_1a.bmp" ;隠れ家 フェンス stralias Se1F_S1a,"BMP\background\secrethouse\Se1F_S1a.bmp" ;サロン 1枚目 stralias Se1F_S1aN,"BMP\background\secrethouse\Se1F_S1aN.bmp" ;サロン 夜 1枚目 stralias Se1F_S1aR,"BMP\background\secrethouse\Se1F_S1aR.bmp" ;サロン 雨 1枚目 stralias Se1F_S1aP,"BMP\background\secrethouse\Se1F_S1aP.bmp" ;サロン メタ 1枚目 stralias Se1F_S1b,"BMP\background\secrethouse\Se1F_S1b.bmp" ;サロン 2枚目 stralias Se1F_S1bN,"BMP\background\secrethouse\Se1F_S1bN.bmp" ;サロン 夜 2枚目 stralias Se1F_S1bR,"BMP\background\secrethouse\Se1F_S1bR.bmp" ;サロン 雨 2枚目 stralias Se1F_S1bP,"BMP\background\secrethouse\Se1F_S1bP.bmp" ;サロン メタ 2枚目 stralias Se1F_S1c,"BMP\background\secrethouse\Se1F_S1c.bmp" ;サロン 3枚目 天井 stralias Se1F_S1cN,"BMP\background\secrethouse\Se1F_S1cN.bmp" ;サロン 3枚目 夜 天井 stralias Se1F_S1cR,"BMP\background\secrethouse\Se1F_S1cR.bmp" ;サロン 3枚目 雨 天井 stralias Se1F_S1cP,"BMP\background\secrethouse\Se1F_S1cP.bmp" ;サロン 3枚目 メタ 天井 stralias Sehal_1a,"BMP\background\secrethouse\Sehal_1a.bmp" ;ホール 1枚目 stralias Sehal_1ap,"BMP\background\secrethouse\Sehal_1ap.bmp" ;ホール 1枚目 stralias Sehal_1b,"BMP\background\secrethouse\Sehal_1b.bmp" ;ホール 2枚目 stralias Sehal_1bp,"BMP\background\secrethouse\Sehal_1bp.bmp" ;ホール 2枚目 stralias garden_Se1a,"BMP\background\garden\garden_Se1a.bmp" ;庭 1枚目 stralias garden_Se1aP,"BMP\background\garden\garden_Se1aP.bmp" ;庭 1枚目 stralias garden_Se1b,"BMP\background\garden\garden_Se1b.bmp" ;庭 2枚目 stralias garden_Se1c,"BMP\background\garden\garden_Se1c.bmp" ;庭 3枚目 stralias garden_Se2a,"BMP\background\garden\garden_Se2a.bmp" ;ガーデンチェア 1枚目 stralias garden_Se2aP,"BMP\background\garden\garden_Se2aP.bmp" ;ガーデンチェア 1枚目 stralias garden_Se2b,"BMP\background\garden\garden_Se2b.bmp" ;ガーデンチェア 2枚目 stralias garden_Se2bP,"BMP\background\garden\garden_Se2bP.bmp" ;ガーデンチェア 2枚目 stralias garden_Se2c,"BMP\background\garden\garden_Se2c.bmp" ;ガーデンチェア 3枚目 stralias garden_Se2cP,"BMP\background\garden\garden_Se2cP.bmp" ;ガーデンチェア 3枚目 stralias garden_Se3a,"BMP\background\garden\garden_Se3a.bmp" ;花畑 1枚目 stralias sub_sta1a,"BMP\background\subway\sub_sta1a.bmp" ;地下道 階段 stralias sub_P1a,"BMP\background\subway\sub_P1a.bmp" ;地下道 通路 subway stralias sub_P1b,"BMP\background\subway\sub_P1b.bmp" ;地下道 通路 subway stralias sub_P1c,"BMP\background\subway\sub_P1c.bmp" ;地下道 通路 subway stralias sub_D1a,"BMP\background\subway\sub_D1a.bmp" ;地下道 ドア stralias sub_R1a,"BMP\background\subway\sub_R1a.bmp" ;地下道 stralias sub_R1aP,"BMP\background\subway\sub_R1aP.bmp" ;地下道 stralias sub_R1b,"BMP\background\subway\sub_R1b.bmp" ;地下道 stralias sub_R1bP,"BMP\background\subway\sub_R1bP.bmp" ;地下道 stralias sub_R1c,"BMP\background\subway\sub_R1c.bmp" ;地下道 stralias sub_R1cP,"BMP\background\subway\sub_R1cP.bmp" ;地下道 stralias sub_R1d,"BMP\background\subway\sub_R1d.bmp" ;地下道 stralias PRI_o1a,"BMP\background\subway\PRI_o1a.bmp" ;地下牢 外側 stralias PRI_i1a,"BMP\background\subway\PRI_i1a.bmp" ;地下牢 内側 stralias PRI_i1b,"BMP\background\subway\PRI_i1b.bmp" ;地下牢 内側 stralias PRI_i1c,"BMP\background\subway\PRI_i1c.bmp" ;地下牢 内側 stralias PRI_efe,":a;BMP\background\subway\PRI_efe.bmp" ;地下牢 格子スプライト stralias butterfly_1a,"BMP\background\efe\butterfly_1a.bmp" ;輝く蝶 1枚目 遠め stralias butterfly_2a,"BMP\background\efe\butterfly_2a.bmp" ;輝く蝶 1枚目 近め stralias butterfly_3a,"BMP\background\efe\butterfly_3a.bmp" ;輝く蝶 1枚目 群れ stralias butterfly_3x,":a;BMP\background\efe\butterfly_3x.bmp" ;輝く蝶 2枚目 群れ スプライト用 stralias butterfly_4a,":a;BMP\background\efe\butterfly_4a.bmp" ;輝く蝶 2枚目 群れ stralias butterfly_4sp1,":a;BMP\background\efe\butterfly_4sp1.bmp" ;輝く蝶の群れ 2枚目 stralias butterfly_4sp2,":a;BMP\background\efe\butterfly_4sp2.bmp" ;輝く蝶 2枚目 stralias butterfly_4sp1R,":a;BMP\background\efe\butterfly_4sp1R.bmp" ;輝く蝶 (反転) 2枚目 stralias butterfly_4sp2R,":a;BMP\background\efe\butterfly_4sp2R.bmp" ;輝く蝶 (反転)2枚目 群れ stralias chess1,"BMP\background\efe\chess1.bmp" ;チェス stralias chess2,"BMP\background\efe\chess2.bmp" ;チェス stralias oct_4_1986,"BMP\background\efe\oct_4_1986.bmp" ;日付表記 stralias oct_5_1986,"BMP\background\efe\oct_5_1986.bmp" ;日付表記 stralias oct_4_1998,"BMP\background\efe\oct_4_1998.bmp" ;日付表記 stralias oct_4_1998b,"BMP\background\efe\oct_4_1998b.bmp" ;12年後 stralias oct_1998,"BMP\background\efe\oct_1998.bmp" ;1998年表記 stralias oct_5_1985,"BMP\background\efe\oct_5_1985.bmp" ;1年前の親族会議 stralias purgatorio,"BMP\background\efe\purgatorio.bmp" ;お茶会看板 stralias sweet1,"BMP\background\efe\sweet1.bmp" ;かぼちゃ菓子 stralias sweet2,"BMP\background\efe\sweet2.bmp" ;かぼちゃ菓子いろいろ stralias magicsquare_sun7,"BMP\background\efe\magicsquare_sun7.bmp" ;太陽の7の魔法陣 stralias magicsquare_moon1,"BMP\background\efe\magicsquare_moon1.bmp" ;月の1の魔法陣 stralias magicsquare_mars3,"BMP\background\efe\magicsquare_mars3.bmp" ;火星の3の魔法陣 stralias magicsquare_mars5,"BMP\background\efe\magicsquare_mars5.bmp" ;火星の5の魔法陣 stralias magicsquare_GAP,"BMP\background\efe\magicsquare_GAP.bmp" ;ガァプの魔法陣 stralias view_efe,":a;BMP\background\efe\view_efe.bmp" ;隙間から見る(エフェクト専用) stralias blood_1a,"BMP\background\efe\blood_1a.bmp" ;流血1 stralias blood_1aR,"BMP\background\efe\blood_1aR.bmp";流血1のリバース stralias blood_1b,"BMP\background\efe\blood_1b.bmp" ;流血2 stralias blood_2a,"BMP\background\efe\blood_2a.bmp" ;血ぼた 少ない stralias blood_2b,"BMP\background\efe\blood_2b.bmp" ;血ぼた stralias blood_2c,"BMP\background\efe\blood_2c.bmp" ;血ぼた stralias blood_2d,"BMP\background\efe\blood_2d.bmp" ;血ぼた stralias blood_2e,"BMP\background\efe\blood_2e.bmp" ;血ぼた 多い stralias bullet_1a,"BMP\background\efe\bullet_1a.bmp" stralias bullet_1b,"BMP\background\efe\bullet_1b.bmp" stralias bullet_1c,"BMP\background\efe\bullet_1c.bmp" stralias bullet_1d,"BMP\background\efe\bullet_1d.bmp" stralias bullet_1e,"BMP\background\efe\bullet_1e.bmp" stralias cut_1a,"BMP\background\efe\cut_1a.bmp" ;剣戟 左 stralias cut_1b,"BMP\background\efe\cut_1b.bmp" ;剣戟 左 stralias cut_1c,"BMP\background\efe\cut_1c.bmp" ;剣戟 左 複合 stralias cut_2a,"BMP\background\efe\cut_2a.bmp" ;剣戟 右 stralias cut_2b,"BMP\background\efe\cut_2b.bmp" ;剣戟 右 stralias cut_3a,"BMP\background\efe\cut_3a.bmp" ;剣戟 左三連斬1 stralias cut_3b,"BMP\background\efe\cut_3b.bmp" ;剣戟 左三連斬2 stralias cut_3c,"BMP\background\efe\cut_3c.bmp" ;剣戟 左三連斬3 stralias nails1,"BMP\background\efe\nails1.bmp" ; ;竜騎士版剣戟 stralias BLADE1R,"BMP\background\efe\BLADE1R.bmp" ;剣戟 赤1 stralias BLADE2R,"BMP\background\efe\BLADE2R.bmp" ;剣戟 赤2 stralias BLADE3R,"BMP\background\efe\BLADE3R.bmp" ;剣戟 赤3 stralias BLADE4R,"BMP\background\efe\BLADE4R.bmp" ;剣戟 赤4 stralias BLADE5R,"BMP\background\efe\BLADE5R.bmp" ;剣戟 赤5 stralias BLADE6_1R,"BMP\background\efe\BLADE6_1R.bmp";剣戟 赤6-1:振り上げ stralias BLADE6_2R,"BMP\background\efe\BLADE6_2R.bmp";剣戟 赤6-2:大斬り! stralias BLADE7_1R,"BMP\background\efe\BLADE7_1R.bmp";剣戟 赤7-1:振り上げ stralias BLADE7_2R,"BMP\background\efe\BLADE7_2R.bmp";剣戟 赤7-2:大斬り! stralias BLADE8_1R,"BMP\background\efe\BLADE8_1R.bmp";剣戟 赤8-1:太刀右下 stralias BLADE8_2R,"BMP\background\efe\BLADE8_2R.bmp";剣戟 赤8-2:太刀右上 stralias BLADE8_3R,"BMP\background\efe\BLADE8_3R.bmp";剣戟 赤8-3:太刀左下 stralias BLADE8_4R,"BMP\background\efe\BLADE8_4R.bmp";剣戟 赤8-4:太刀左上 stralias BLADE9R,"BMP\background\efe\BLADE9R.bmp" ;剣戟 赤1 stralias BLADE1P,"BMP\background\efe\BLADE1P.bmp" ;剣戟 紫1 stralias BLADE2P,"BMP\background\efe\BLADE2P.bmp" ;剣戟 紫2 stralias BLADE3P,"BMP\background\efe\BLADE3P.bmp" ;剣戟 紫3 stralias BLADE4P,"BMP\background\efe\BLADE4P.bmp" ;剣戟 紫4 stralias BLADE5P,"BMP\background\efe\BLADE5P.bmp" ;剣戟 紫5 stralias BLADE6_1P,"BMP\background\efe\BLADE6_1P.bmp";剣戟 紫6-1:振り上げ stralias BLADE6_2P,"BMP\background\efe\BLADE6_2P.bmp";剣戟 紫6-2:大斬り! stralias BLADE1B,"BMP\background\efe\BLADE1B.bmp" ;剣戟 青1 stralias BLADE2B,"BMP\background\efe\BLADE2B.bmp" ;剣戟 青2 stralias BLADE3B,"BMP\background\efe\BLADE3B.bmp" ;剣戟 青3 stralias BLADE4B,"BMP\background\efe\BLADE4B.bmp" ;剣戟 青4 stralias BLADE5B,"BMP\background\efe\BLADE5B.bmp" ;剣戟 青5 stralias BLADE6_1B,"BMP\background\efe\BLADE6_1B.bmp";剣戟 青6-1:振り上げ stralias BLADE6_2B,"BMP\background\efe\BLADE6_2B.bmp";剣戟 青6-2:大斬り! stralias BLADE7_1B,"BMP\background\efe\BLADE7_1B.bmp";剣戟 青7-1:振り上げ stralias BLADE7_2B,"BMP\background\efe\BLADE7_2B.bmp";剣戟 青7-2:大斬り! stralias BLADE8_1B,"BMP\background\efe\BLADE8_1B.bmp";剣戟 青8-1:太刀右下 stralias BLADE8_2B,"BMP\background\efe\BLADE8_2B.bmp";剣戟 青8-2:太刀右上 ;stralias BLADE8_3B,"BMP\background\efe\BLADE8_3B.bmp";剣戟 青8-3:太刀左下 ;stralias BLADE8_4B,"BMP\background\efe\BLADE8_4B.bmp";剣戟 青8-4:太刀左上 stralias BLADE7_1G,"BMP\background\efe\BLADE7_1G.bmp";剣戟 黄金7-1:振り上げ stralias BLADE7_2G,"BMP\background\efe\BLADE7_2G.bmp";剣戟 黄金7-2:大斬り! stralias BLADE8_1G,"BMP\background\efe\BLADE8_1G.bmp";剣戟 黄金8-1:太刀右下 stralias barrier1,"BMP\background\efe\barrier1.bmp" ;バリア stralias barrier1b,"BMP\background\efe\barrier1b.bmp" ;青バリア stralias barrier,":a;BMP\background\efe\barrier.bmp" ;バリア stralias barrier1p,":a;BMP\background\efe\barrier1p.bmp" ;紫バリア stralias barrierbrake1,":a;BMP\background\efe\barrierbrake1.bmp" ;バリアの波紋 stralias barrierbrake2,":a;BMP\background\efe\barrierbrake2.bmp" ;バリアの波紋 stralias chain1aS,"BMP\background\efe\chain1aS.bmp" ;魔法の鎖 直線 stralias chain2aS,"BMP\background\efe\chain2aS.bmp" ;魔法の鎖 蛇行 stralias chain2bS,"BMP\background\efe\chain2bS.bmp" ; stralias chain2cS,"BMP\background\efe\chain2cS.bmp" ; stralias chain2dS,"BMP\background\efe\chain2dS.bmp" ; stralias chain2eS,"BMP\background\efe\chain2eS.bmp" ; stralias chain3aS,"BMP\background\efe\chain3aS.bmp" ;魔法の鎖 曲線 stralias homing1,"BMP\background\efe\homing1.bmp" ;黄金誘導弾:多層 stralias homing2,"BMP\background\efe\homing2.bmp" ; stralias homing3,"BMP\background\efe\homing3.bmp" ; stralias homing4,"BMP\background\efe\homing4.bmp" ; stralias homing5,"BMP\background\efe\homing5.bmp" ; stralias homing6,"BMP\background\efe\homing6.bmp" ; stralias homing7,"BMP\background\efe\homing7.bmp" ; stralias homing1R,"BMP\background\efe\homing1R.bmp" ;黄金誘導弾:多層:反転 stralias homing2R,"BMP\background\efe\homing2R.bmp" ; stralias homing3R,"BMP\background\efe\homing3R.bmp" ; stralias homing4R,"BMP\background\efe\homing4R.bmp" ; stralias homing5R,"BMP\background\efe\homing5R.bmp" ; stralias homing6R,"BMP\background\efe\homing6R.bmp" ; stralias homing7R,"BMP\background\efe\homing7R.bmp" ; stralias homing1S,"BMP\background\efe\homing1S.bmp" ;黄金誘導弾:単線 stralias homing2S,"BMP\background\efe\homing2S.bmp" ; stralias homing3S,"BMP\background\efe\homing3S.bmp" ; stralias homing4S,"BMP\background\efe\homing4S.bmp" ; stralias homing5S,"BMP\background\efe\homing5S.bmp" ; stralias homing6S,"BMP\background\efe\homing6S.bmp" ; stralias homing7S,"BMP\background\efe\homing7S.bmp" ; stralias homing1SR,"BMP\background\efe\homing1SR.bmp" ;黄金誘導弾:単線:反転 stralias homing2SR,"BMP\background\efe\homing2SR.bmp" ; stralias homing3SR,"BMP\background\efe\homing3SR.bmp" ; stralias homing4SR,"BMP\background\efe\homing4SR.bmp" ; stralias homing5SR,"BMP\background\efe\homing5SR.bmp" ; stralias homing6SR,"BMP\background\efe\homing6SR.bmp" ; stralias homing7SR,"BMP\background\efe\homing7SR.bmp" ; stralias homing1B,"BMP\background\efe\homing1B.bmp" ;黄金誘導弾:多層 stralias homing2B,"BMP\background\efe\homing2B.bmp" ; stralias homing3B,"BMP\background\efe\homing3B.bmp" ; stralias homing4B,"BMP\background\efe\homing4B.bmp" ; stralias homing5B,"BMP\background\efe\homing5B.bmp" ; stralias homing6B,"BMP\background\efe\homing6B.bmp" ; stralias homing7B,"BMP\background\efe\homing7B.bmp" ; stralias homing6BS,"BMP\background\efe\homing6BS.bmp" ;青の誘導弾:単線 stralias homing7BS,"BMP\background\efe\homing7BS.bmp" ; stralias homing6BSR,"BMP\background\efe\homing6BSR.bmp" ;青の誘導弾:単線:反転 stralias homing7BSR,"BMP\background\efe\homing7BSR.bmp" ; stralias homing7RS,"BMP\background\efe\homing7RS.bmp" ; stralias homing7RSR,"BMP\background\efe\homing7RSR.bmp" ; stralias system1,"BMP\background\efe\system1.bmp" ;射撃誘導システム起動 stralias system2,"BMP\background\efe\system2.bmp" ;データ収集開始… stralias system3,"BMP\background\efe\system3.bmp" ;射撃曲線形成中… stralias system4,"BMP\background\efe\system4.bmp" ;射撃準備完了 stralias system5,"BMP\background\efe\system5.bmp" ;命中率97.89% stralias shotgun1B,":a;BMP\background\efe\shotgun1B.bmp" ;青き散弾右上(注意:スプライト) stralias shotgun2B,":a;BMP\background\efe\shotgun2B.bmp" ;青き散弾右下(注意:スプライト) stralias shotgun3B,":a;BMP\background\efe\shotgun3B.bmp" ;青き散弾左上(注意:スプライト) stralias shotgun4B,":a;BMP\background\efe\shotgun4B.bmp" ;青き散弾左上(注意:スプライト) stralias barrierbrake1p1,":a;BMP\background\efe\barrierbrake1p1.bmp" ;紫バリアの剣戟の波紋 stralias barrierbrake1p2,":a;BMP\background\efe\barrierbrake1p2.bmp" ;紫バリアの剣戟の波紋 stralias barrierbrake1p3,":a;BMP\background\efe\barrierbrake1p3.bmp" ;紫バリアの剣戟の波紋 stralias barrierbrake1p4,":a;BMP\background\efe\barrierbrake1p4.bmp" ;紫バリアの剣戟の波紋 ;5は欠番 stralias barrierbrake1p6,":a;BMP\background\efe\barrierbrake1p6.bmp" ;紫バリアの剣戟の波紋 stralias barrierbrake2p1,":a;BMP\background\efe\barrierbrake2p1.bmp" ;紫バリアの波紋 stralias barrierbrake2p2,":a;BMP\background\efe\barrierbrake2p2.bmp" ;紫バリアの波紋 stralias barrierbrake2p3,":a;BMP\background\efe\barrierbrake2p3.bmp" ;紫バリアの波紋 stralias barrierbrake2p4,":a;BMP\background\efe\barrierbrake2p4.bmp" ;紫バリアの波紋 stralias barrierbrake2p5,":a;BMP\background\efe\barrierbrake2p5.bmp" ;紫バリアの波紋 stralias tower1,":c;BMP\background\efe\tower1.bmp";魔法の戦塔 縦長 夜 スプライト専用 stralias tower2,":a;BMP\background\efe\tower2.bmp" ;双子の戦塔 stralias tower2R,":a;BMP\background\efe\tower2R.bmp" ;双子の戦塔(赤窓) stralias tower3,":a;BMP\background\efe\tower3.bmp" ;墜落する戦塔 stralias tower4,":a;BMP\background\efe\tower4.bmp" ;一本の戦塔 stralias bullet1a,":c;BMP\background\efe\bullet1a.bmp" ;弾幕 薄(赤) stralias bullet1b,":c;BMP\background\efe\bullet1b.bmp" ;弾幕 中(赤) ;stralias bullet1c,":a;BMP\background\efe\bullet1c.bmp" ;弾幕 厚 stralias bullet2a,":c;BMP\background\efe\bullet2a.bmp" ;弾幕 薄(青) stralias bullet2b,":c;BMP\background\efe\bullet2b.bmp" ;弾幕 中(青) stralias javelin1,":a;BMP\background\efe\javelin1.bmp" ;グングニル stralias javelin1a,":a;BMP\background\efe\javelin1a.bmp" ;槍 stralias javelin1b,":a;BMP\background\efe\javelin1b.bmp" ;槍 stralias javelin1c,":a;BMP\background\efe\javelin1c.bmp" ;槍 stralias javelin1d,":a;BMP\background\efe\javelin1d.bmp" ;槍 stralias javelin1Ba,":c;BMP\background\efe\javelin1Ba.bmp" ;槍 青 stralias javelin1Bb,":c;BMP\background\efe\javelin1Bb.bmp" ;槍 stralias javelin1Bc,":c;BMP\background\efe\javelin1Bc.bmp" ;槍 stralias javelin1Bd,":c;BMP\background\efe\javelin1Bd.bmp" ;槍 stralias sword_1aR,"BMP\background\efe\sword_1aR.bmp" ;赤い剣 stralias sword_1aG,"BMP\background\efe\sword_1aG.bmp" ;黄金の剣 stralias Armor1a,":a;BMP\background\efe\Armor1a.bmp" ;魔法甲冑 stralias Armor1b,":a;BMP\background\efe\Armor1b.bmp" ; stralias Armor1c,":a;BMP\background\efe\Armor1c.bmp" ;電源ON stralias Armor1d,":a;BMP\background\efe\Armor1d.bmp" ;魔法付与中 stralias Armor0,":a;BMP\background\efe\Armor0.bmp" ;巨人兵のいない背景 stralias Armor1,":a;BMP\background\efe\Armor1.bmp" ;巨人兵1人(中央) stralias Armor2,":a;BMP\background\efe\Armor2.bmp" ;巨人兵2人 stralias Armor3,":a;BMP\background\efe\Armor3.bmp" ;巨人兵3人 stralias Armor4,":a;BMP\background\efe\Armor4.bmp" ;巨人兵4人 stralias Armor5,":a;BMP\background\efe\Armor5.bmp" ;巨人兵5人 stralias Armor6,":a;BMP\background\efe\Armor6.bmp" ;巨人兵6人 stralias Armor7,":a;BMP\background\efe\Armor7.bmp" ;巨人兵7人(戦列) stralias Armor7b,":a;BMP\background\efe\Armor7b.bmp";巨人兵7人(黄金の輝き) stralias stake1a,":c;BMP\background\efe\stake1a.bmp" ;蒼き杭 stralias stake1b,":c;BMP\background\efe\stake1b.bmp" ; stralias stake1c,":c;BMP\background\efe\stake1c.bmp" ; stralias stake1d,":c;BMP\background\efe\stake1d.bmp" ; ;stralias tower1a,":c;BMP\background\garden\tower1a.bmp";魔法の戦塔 縦長 夜 スプライト専用 ;stralias tower1b,":c;BMP\background\garden\tower1b.bmp";魔法の戦塔 縦長 夜 スプライト専用 銃座 stralias white1,":a;BMP\background\efe\white1.bmp" ;真っ白画面 stralias white_Waku,":a;BMP\background\efe\white_Waku.bmp" ;白枠 ;状況説明の図面 stralias MAP01,"BMP\background\efe\MAP01.bmp" ;シャッター小屋の鍵 stralias MAP02,"BMP\background\efe\MAP02.bmp" ;書斎のレシート stralias MAP03,"BMP\background\efe\MAP03.bmp" ;書斎のレシート(戦人案) stralias MAP04,"BMP\background\efe\MAP04.bmp" ;チェーンの客間 ;EP2 stralias MAP05,"BMP\background\efe\MAP05.bmp" ;礼拝堂の鍵の謎 stralias MAP06,"BMP\background\efe\MAP06.bmp" ;礼拝堂の鍵の謎:戦人解答 stralias MAP07,"BMP\background\efe\MAP07.bmp" ;朱志香の鍵の謎 stralias MAP08,"BMP\background\efe\MAP08.bmp" ;朱志香の鍵の謎:戦人解答 stralias MAP09,"BMP\background\efe\MAP09.bmp" ;狼と羊のパズル stralias MAP10,"BMP\background\efe\MAP10.bmp" ;5つの連鎖密室 stralias MAP10b,"BMP\background\efe\MAP10b.bmp" ;5つの連鎖密室(黄色い矢印) stralias MAP10c,"BMP\background\efe\MAP10c.bmp" ;5つの連鎖密室(それをバツ) stralias MAP11,"BMP\background\efe\MAP11.bmp" ;EP5客間 stralias MAP12,"BMP\background\efe\MAP12.bmp" ;EP6 八等分の図 stralias MAP12b,"BMP\background\efe\MAP12b.bmp" ;EP6 八等分の図 stralias MAP12c,"BMP\background\efe\MAP12c.bmp" ;EP6 八等分の図 stralias MAP13,"BMP\background\efe\MAP13.bmp" ;EP6 カップとコイン stralias MAP13b,"BMP\background\efe\MAP13b.bmp" ;EP6 カップとコイン stralias MAP13c,"BMP\background\efe\MAP13c.bmp" ;EP6 カップとコイン stralias gold1,"BMP\background\efe\gold1.bmp" ;黄金のインゴット stralias gold2,"BMP\background\efe\gold2.bmp" ;黄金のインゴットの山:壁 stralias gold3,"BMP\background\efe\gold3.bmp" ;黄金のインゴットの山:敷き詰め stralias key1,"BMP\background\efe\key1.bmp" ;礼拝堂の鍵 stralias key2,"BMP\background\efe\key2.bmp" ;マスターキーの鍵束 stralias key3,"BMP\background\efe\key3.bmp" ;マスターキーの鍵束 stralias Pumpkin1,"BMP\background\efe\Pumpkin1.bmp" ;ハロウィン飾り1 stralias Pumpkin2,"BMP\background\efe\Pumpkin2.bmp" ;ハロウィン飾り2 stralias bite,"BMP\background\efe\bite.bmp" ;ガブリ!! stralias note1,"BMP\background\efe\note1.bmp" ;メッセージボトルのノート片 stralias Book1,"BMP\background\efe\Book1.bmp" ;マリアの日記帳 stralias Letter1,"BMP\background\efe\Letter1.bmp" ;当主の封筒 stralias number,"BMP\background\efe\number.bmp" ;謎の数字:07151129 ;この物語は架空です。 stralias text001,"BMP\background\efe\text001.bmp" ;架空の物語のお断り stralias text002,"BMP\background\efe\text002.bmp" ;右クリックでTIPSモードのお知らせ stralias text003,"BMP\background\efe\text003.BMP" ;右クリックでTIPSモードのお知らせ+左クリックでゲームを開始します。 stralias text004,"BMP\background\efe\text004.BMP" ;新要素が追加されました。 stralias text005,"BMP\background\efe\text005.BMP" ;新要素が追加されました(裏お茶会バージョン) stralias text006,"BMP\background\efe\text006.BMP" ;エピソードロックの解除、新要素の追加告知 stralias text007,"BMP\background\efe\text007.bmp" ;右クリックで碑文が見れるよ~ stralias text010,"BMP\background\efe\text010.bmp" ;碑文の全文 stralias text010a,"BMP\background\efe\text010a.bmp" ;碑文の全文:三区分1 stralias text010b,"BMP\background\efe\text010b.bmp" ;碑文の全文:三区分2 stralias text010c,"BMP\background\efe\text010c.bmp" ;碑文の全文:三区分3 stralias text011,"BMP\background\efe\text011.bmp" ;碑文三区分1のみ stralias text012,"BMP\background\efe\text012.bmp" ;碑文三区分2のみ ;飛行機シーンのキャスト紹介 stralias OP0101,"BMP\background\efe\OP0101.bmp" ;第1話OPクレジット1 stralias OP0102,"BMP\background\efe\OP0102.bmp" ;第1話OPクレジット2 stralias OP0103,"BMP\background\efe\OP0103.bmp" ;第1話OPクレジット3 stralias OP0104,"BMP\background\efe\OP0104.bmp" ;第1話OPクレジット4 stralias OP0105,"BMP\background\efe\OP0105.bmp" ;第1話OPクレジット5 stralias OP0106,"BMP\background\efe\OP0106.bmp" ;第1話OPクレジット6 stralias OP0107,"BMP\background\efe\OP0107.bmp" ;第1話OPクレジット7 stralias OP0108,"BMP\background\efe\OP0108.bmp" ;第1話OPクレジット8 ;沖縄シーン、キャスト紹介 stralias OP0201,"BMP\background\efe\OP0201.bmp" ;第2話OPクレジット1 stralias OP0202,"BMP\background\efe\OP0202.bmp" ;第2話OPクレジット2 stralias OP0203,"BMP\background\efe\OP0203.bmp" ;第2話OPクレジット3 stralias OP0204,"BMP\background\efe\OP0204.bmp" ;第2話OPクレジット4 stralias OP0205,"BMP\background\efe\OP0205.bmp" ;第2話OPクレジット5 stralias OP0206,"BMP\background\efe\OP0206.bmp" ;第2話OPクレジット6 stralias OP0207,"BMP\background\efe\OP0207.bmp" ;第2話OPクレジット7 stralias OP0208,"BMP\background\efe\OP0208.bmp" ;第2話OPクレジット8 stralias end_1b,"BMP\background\efe\end_1b.bmp" ;第1話ED最後の画面 stralias ep2_text,"BMP\background\efe\ep2_text.bmp" ;第2話最後の画面 stralias Different_space_1a,"BMP\background\efe\Different_space_1a.bmp" ;カケラ空間 stralias Different_space_1b,"BMP\background\efe\Different_space_1b.bmp" ;カケラ空間 stralias Different_space_1c,"BMP\background\efe\Different_space_1c.bmp" ;カケラ空間 stralias Different_space_2a,"BMP\background\efe\Different_space_2a.bmp" ;カケラ空間 stralias Different_space_2b,"BMP\background\efe\Different_space_2b.bmp" ;カケラ空間 stralias Different_space_2c,"BMP\background\efe\Different_space_2c.bmp" ;カケラ空間 stralias Different_space_2d,"BMP\background\efe\Different_space_2d.bmp" ;カケラ空間 stralias Different_spiral_1a,"BMP\background\efe\Different_spiral_1a.bmp" ;カケラ空間 渦巻き stralias Different_spiral_1b,"BMP\background\efe\Different_spiral_1b.bmp" ;カケラ空間 渦巻き stralias Different_space_P1a,"BMP\background\efe\Different_space_P1a.bmp" ;カケラ空間 通路 stralias Different_space_P1b,"BMP\background\efe\Different_space_P1b.bmp" ;カケラ空間 通路 stralias Different_space_P1c,"BMP\background\efe\Different_space_P1c.bmp" ;カケラ空間 通路 stralias Different_space_P1d,"BMP\background\efe\Different_space_P1d.bmp" ;カケラ空間 通路 ;sanctuary stralias Wsan_1L,":c;BMP\background\efe\Wsan_1L.bmp" ;魔女聖堂 ロングバージョン stralias Wsan_1a,"BMP\background\efe\Wsan_1a.bmp" ;魔女聖堂 正面天井 stralias Wsan_1b,"BMP\background\efe\Wsan_1b.bmp" ;魔女聖堂 中空 三魔女席 stralias Wsan_1c,"BMP\background\efe\Wsan_1c.bmp" ;魔女聖堂 地面 人間席 stralias Wsan_2L,":c;BMP\background\efe\Wsan_2L.bmp" ;魔女聖堂 ロングバージョン stralias Wsan_2a,"BMP\background\efe\Wsan_2a.bmp" ;魔女聖堂 裏面天井 stralias Wsan_2b,"BMP\background\efe\Wsan_2b.bmp" ;魔女聖堂 中空 三魔女席 stralias Wsan_2c,"BMP\background\efe\Wsan_2c.bmp" ;魔女聖堂 地面 人間席 ;cloisters stralias Wclo_1L,":c;BMP\background\efe\Wclo_1L.bmp" ;魔女回廊 ロングバージョン stralias Wclo_1a,"BMP\background\efe\Wclo_1a.bmp" ;魔女回廊 左 stralias Wclo_1b,"BMP\background\efe\Wclo_1b.bmp" ;魔女回廊 中央 stralias Wclo_1c,"BMP\background\efe\Wclo_1c.bmp" ;魔女回廊 右 stralias Sakutaro1a,"BMP\background\efe\Sakutaro1a.bmp" ;さくたろ 背景1 stralias Sakutaro1b,"BMP\background\efe\Sakutaro1b.bmp" ;さくたろ 背景2 stralias Sakutaro2a,"BMP\background\efe\Sakutaro2a.bmp" ;さくたろ マフラーあり 背景1 stralias Sakutaro2b,"BMP\background\efe\Sakutaro2b.bmp" ;さくたろ マフラーあり 背景2 stralias GOA_memory1,":c;BMP\background\efe\GOA_memory1.bmp" ;ヤギさんの思いで stralias GOA_memory2,":c;BMP\background\efe\GOA_memory2.bmp" ;ヤギさんの思いで stralias GOA_memory3,":c;BMP\background\efe\GOA_memory3.bmp" ;ヤギさんの思いで stralias GOA_memory4,":c;BMP\background\efe\GOA_memory4.bmp" ;ヤギさんの思いで stralias Kakera_memory1,":c;BMP\background\efe\Kakera_memory1.bmp" ;カケラの記憶 stralias Kakera_memory2,":c;BMP\background\efe\Kakera_memory2.bmp" ;カケラの記憶 stralias Kakera_memory3,":c;BMP\background\efe\Kakera_memory3.bmp" ;カケラの記憶 stralias Kakera_memory4,":c;BMP\background\efe\Kakera_memory4.bmp" ;カケラの記憶 stralias Kakera_memory5,":c;BMP\background\efe\Kakera_memory5.bmp" ;カケラの記憶 stralias Kakera_memory6,":c;BMP\background\efe\Kakera_memory6.bmp" ;カケラの記憶 stralias Kakera_memory7,":c;BMP\background\efe\Kakera_memory7.bmp" ;カケラの記憶 stralias Kakera_memory8,":c;BMP\background\efe\Kakera_memory8.bmp" ;カケラの記憶 stralias Kakera_memory9,":c;BMP\background\efe\Kakera_memory9.bmp" ;カケラの記憶 stralias Kakera_memory10,":c;BMP\background\efe\Kakera_memory10.bmp" ;カケラの記憶 stralias Kakera_memory11,":c;BMP\background\efe\Kakera_memory11.bmp" ;カケラの記憶 stralias alibi0,"BMP\background\efe\alibi0.bmp" ;ep5アリバイ一覧 stralias alibi1,"BMP\background\efe\alibi1.bmp" ;ep5アリバイ一覧 stralias alibi2,"BMP\background\efe\alibi2.bmp" ;ep5アリバイ一覧 stralias alibi3,"BMP\background\efe\alibi3.bmp" ;ep5アリバイ一覧 stralias alibi4,"BMP\background\efe\alibi4.bmp" ;ep5アリバイ一覧 stralias alibi5,"BMP\background\efe\alibi5.bmp" ;ep5アリバイ一覧 stralias alibi6,"BMP\background\efe\alibi6.bmp" ;ep5アリバイ一覧 stralias alibi7,"BMP\background\efe\alibi7.bmp" ;ep5アリバイ一覧 stralias alibi8,"BMP\background\efe\alibi8.bmp" ;ep5アリバイ一覧 stralias alibi9,"BMP\background\efe\alibi9.bmp" ;ep5アリバイ一覧 stralias alibi10,"BMP\background\efe\alibi10.bmp" ;ep5アリバイ一覧 stralias alibi11,"BMP\background\efe\alibi11.bmp" ;ep5アリバイ一覧 stralias alibi12,"BMP\background\efe\alibi12.bmp" ;ep5アリバイ一覧 stralias alibi13,"BMP\background\efe\alibi13.bmp" ;ep5アリバイ一覧 stralias punch_l1,"BMP\background\efe\punch_l1.bmp" ;パンチ残影1 stralias punch_l2,"BMP\background\efe\punch_l2.bmp" ;パンチ残影2 stralias punch_l3,"BMP\background\efe\punch_l3.bmp" ;パンチ残影3 stralias punch_r1,"BMP\background\efe\punch_r1.bmp" ;パンチ残影1 stralias punch_r2,"BMP\background\efe\punch_r2.bmp" ;パンチ残影2 stralias punch_r3,"BMP\background\efe\punch_r3.bmp" ;パンチ残影3 stralias Gun_1a,"BMP\background\efe\Gun_1a.bmp" ;銃 全体図 stralias Gun_2a,"BMP\background\efe\Gun_2a.bmp" ;銃口(右) stralias Gun_2b,"BMP\background\efe\Gun_2b.bmp" ;銃口(左) stralias cut_h,"BMP\background\efe\cut_h.bmp" ;切断切り縦 stralias cut_w,"BMP\background\efe\cut_w.bmp" ;切断切り横 stralias cut_x,"BMP\background\efe\cut_x.bmp" ;切断切り十字 stralias cut_efe1,":a;BMP\background\efe\cut_efe1.bmp" ;切断切り右上 stralias cut_efe2,":a;BMP\background\efe\cut_efe2.bmp" ;切断切り左上 stralias cut_efe3,":a;BMP\background\efe\cut_efe3.bmp" ;切断切り右下 stralias cut_efe4,":a;BMP\background\efe\cut_efe4.bmp" ;切断切り左下 ;stralias Different_space_2a,"BMP\background\efe\Different_space_2a.bmp" ;カケラ空間 ;stralias Different_spiral_2a,"BMP\background\efe\Different_spiral_2a.bmp" ;カケラ空間 渦巻き stralias staff_1a,"BMP\background\staff\staff_1a.bmp" ;スタッフルーム stralias staff_1b,"BMP\background\staff\staff_1b.bmp" ; stralias ENJ_mirai01,"BMP\background\efe\ENJ_mirai01.bmp" ;聖ルチーア学園、と出るタイトル ;以下、咲(2018)制作時に新規追加 stralias hair,"BMP\background\2018\hair.bmp" ;ピースの髪の毛・内部 stralias hair2,"BMP\background\2018\hair2.bmp" ;ピースの髪の毛・内部2 stralias hair3,"Bmp\Efe\uzumaki.jpg" ;ピースの髪の毛・内部3 stralias hair_efe1,":c;BMP\background\2018\pie_hair_efe1.png" ;ピース髪エフェクト stralias hair_efe2,":c;BMP\background\2018\pie_hair_efe2.png" ;ピース髪エフェクト stralias kaisou_ep8ber,":c;BMP\background\2018\kaisou_ep8ber.bmp" ;メタ空間考察 "ラムダデルタ卿のご友人にはとんでもないバケモノが… " stralias raf,"BMP\background\2018\raf.bmp" ;没キャラのラフ画像 stralias wk_bg,"BMP\background\2018\bb_quiz.bmp" ;我らの告白用選択肢 猫を殺すか否か-BG stralias wk_a1,"BMP\background\2018\wk_a1.bmp" ;我らの告白用選択肢 猫を殺すか否か-選択肢1ON stralias wk_a1,"BMP\background\2018\wk_a2.bmp" ;我らの告白用選択肢 猫を殺すか否か-選択肢1OFF stralias wk_b1,"BMP\background\2018\wk_b1.bmp" ;我らの告白用選択肢 猫を殺すか否か-選択肢2ON stralias wk_b2,"BMP\background\2018\wk_b2.bmp" ;我らの告白用選択肢 猫を殺すか否か-選択肢2OFF stralias note3,"BMP\background\efe\note3.bmp" ;我らの告白用ノート(汎用) stralias note4,"BMP\background\efe\note4.bmp" ;我らの告白用ノート(フラウロス) stralias gakubutsha,"BMP\background\2018\gakubutsha.bmp" ;我らの告白_学生戦人紗音 roff defsub ld defsub ld_old defsub ld4 defsub ld5 defsub changeSprites defsub toggleSprites defsub showchartiptachi defsub changeLanguage defsub toggleLanguage defsub setLangString defsub setLangPrefix defsub setKakeraMemoryString defsub setRLangString defsub setLangStringC defsub lsphnewold defsub lsphnewold_trans defsub lsphnewold_trans_diff defsub lspnewold defsub lspnewold_trans defsub lspnewold_trans_diff defsub lsp_var_inner defsub lsp_var_center defsub lsp_var_trans_center defsub lsp_var_inner_diff defsub lsp2manual defsub lsp_var defsub lsp_var_trans defsub csp_var defsub blade_draw defsub set_achievement defsub bcl_bladesonly defsub set_text_bg defsub clr_text_bg defsub set_oldnew_bg defsub clr_oldnew_bg defsub mbg_special defsub ld_p defsub ld defsub me1 defsub me1v defsub me2 defsub me2v defsub me3 defsub me3v defsub me4 defsub me4v defsub me5 defsub me5v defsub bgm1 defsub bgm1v defsub meplay defsub meplay2 defsub bgmplay defsub bgmplay2 defsub se1 defsub se2 defsub se3 defsub se1v defsub se2v defsub se3v defsub seplay defsub seplay2 defsub mevol defsub bgmvol defsub e_ defsub silent defsub E_B defsub E_M0 defsub E_M1 defsub E_M2 defsub E_M3 defsub E_M4 defsub E_M5 defsub E_A defsub E_MA defsub Fede defsub FedeXX defsub cross1 defsub cross2 defsub introduction_flg defsub dllefe defsub dllefe_off defsub csp defsub mono defsub flg_set defsub flg_p defsub clock defsub eye1 defsub eye2 defsub eye11 defsub eye12 ;メタ世界関連の命令 defsub meta_set defsub meta_set2 defsub meta_set_ef defsub meta_set2_ef defsub meta_end defsub meta_end_ef defsub meta_ld defsub meta_cl defsub meta_bg defsub meta_cbg defsub mset defsub mset2 defsub msetef defsub mset2ef defsub mend defsub mendef defsub mld defsub mldt defsub mcl defsub mbg defsub mcbg defsub mchana defsub mhana1 defsub mhana2 defsub mld2 defsub mldg ;煉獄の七姉妹、ブレードのON、OFF defsub bld defsub bcl ;画像の上に蝶を被せる命令 defsub bfly1 defsub bfly1R defsub bfly2 defsub bfly2R defsub cbfly ;七姉妹ジャンプランダムシステム defsub RG_set defsub RG_start defsub RG_start_f ;ジャンプシステム defsub jump_set defsub jump_start ;立ち位置の座標を取得する命令 defsub tati_x_get ;defsub texton ;defsub textoff pretextgosub *pretext textgosub *text_cw loadgosub *Coll_system usewheel useescspc maxkaisoupage 100 setlayer 0,50,"snow.dll" ;setlayer 10,50,"NShake.dll" ;setlayer 10,20,"MSpDraw.dll" rubyon 17,17 gosub *ep5_95 ;暫定 gosub *bt_def game *Start showlangjp langjp^ saveoff ;csp -1 mov %cur_language,1 ;日本語で。どこかで英語になったりしてしまう、根本解決できる if %UMINEKOEND < 60 mov %UMINEKOEND,60 : mov %UMINEKOEND_FLG,60 changeSprites 1 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph TextCC1SpNum,":l/3,160,2;bmp\cursor0.bmp",0,0 lsph TextCC2SpNum,":l/3,160,2;bmp\cursor1.bmp",0,0 ;lsph TextCC1SpNum,":l/3,160,2;bmp\cursor0.bmp",0,0 ;lsph TextCC2SpNum,":l/3,160,2;bmp\cursor1.bmp",0,0 ;lsph text_back,":a;bmp\introduction_back1.bmp",0,0 ;setcursor 0,":l/3,160,2;Bmp\cursor0.bmp",0,0 ;setcursor 1,":l/3,160,2;Bmp\cursor1.bmp",0,0 notif %cur_language = 1 jumpf showlangjp ~ if %cur_language = 1 jumpf showlangen ~ ;アイキャッチ表示速度基本設定 mov %eye_waitB,2000 mov %eye_waitL,2000 mov %Set_vol,50 ;gosub *check_game gosub *DATA_SET gosub *YATA_r_def texton mov %backlogTotalNum,%BacklogNum mov %BacklogNum,Backlogpage1 mov %jpbacklogTotalNum,%jpBacklogNum mov %jpBacklogNum,jpBacklogpage1 ;dec %backlogTotalNum mov $START_bg,Sea_1a gosub *bt_def2 mov %save_on_flg,on ;セーブON命令を動作させる。 mov %cur_language,1 ;日本語で始める goto *b_sakuru_logo *wareranokokuhaku *warera01 ;シナリオジャンプ用 autoclick 0 mov %scenario_Number,5 mov %play_scene,50000 ;右クリック用 mov %r_hyouji_side,0 mov %r_hyouji_cha,BUT mov %dla_Isyou,1 ;衣装変化後バグ対策 ;セーブデータ表記用 "我らの告白 " csp -1 mono 0 textshow texton E_A bg black,22 se1v 19,90 wait 2000 se2 17 bg white,43 bgm1 12 bg mlib_1bp,0 mld c,BEA_housinb1,42 wait 2000 ld r ,RON_defa2,22 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ld r ,RON_defa1,22 langjp^ "Milady, Lady Dlanor has arrived."^\^ mcl c,0 mld l,BEA_akuwaraia1,80 langjp^ "Oh, what is this! The Inquisition has come just to check the outline, and what's more, it's the head Inquisitor herself!^\^ The Great Court seems to have a lot of free time, or a surplus of manpower..."^\^ mld c,DLA_defa1,22 langjp^ "...By my presiding witness, I expect your game will be unfathomably difficult and SPLENDID."^\^ mld l,BEA_waraia2,80 langjp^ "No need to flatter; have a seat. Ronove, prepare some tasty tea and cookies for the head inquisitor."^\^ ld r,RON_waraia2,80 langjp^ "Certainly."^\^ mcl c,0 ld r,DLA_defa2,80 langjp^ "No, that's ALRIGHT. More importantly, I would like to see it right AWAY. ...The outline of a new game you are SPINNING."^\^ mld l,BEA_defa1,80 langjp^ "Very well. The outline is complete. But I'm still in the middle of decorating it as a story."^\^ mcl l,0 bg note1,26 ^Beato pushed her way through a pile of manuscripts on top of her desk and pulled out a single bundle. ^\ ^It was the manuscript for the new game. ^\ br ^Revised countless times with various notes and tabs attached, it emanated a kind of dignified presence.^\ bg black,22 bg mlib_1ap,0 ld c,DLA_defa1,25 ^Dlanor gave a bow, and accepted it. ^\ ^Then amidst the tea vapors as Ronove set the table, she turned over the front cover.^\ ld c,DLA_majimea1,80 langjp^ "What is the name of the game this TIME?"^\^ ld l,BEA_defa2,23 langjp^ "There isn't one yet. I always wait until I have completely finished writing the story before thinking of a name."^\^ cl c,0 ld r,DLA_defa2,23 langjp^ "UNDERSTOOD. ...Then with no delay, I shall begin the READING.^\^ Although if I may say, it is disappointing that my first reading will be before it has become a beatifully arranged STORY."^\^ ld l,BEA_waraia1,80 langjp^ "*cackle* That is the unfortunate side of being a playtester."^\^ ld r,DLA_defa1,80 langjp^ "...It seems that this time, too, it will be a truly complex and mysterious INCIDENT.^\^ ...I am really looking forward to seeing just how this will be elevated to 'story' STATUS."^\^ ld l,BEA_akuwaraia1,80 langjp^ "No need to flatter. Hurry and turn the page. I feel as if I am the newbie mangaka putting my manuscript in front of the editor."^\^ bg mlib_1ep,22 ^Beato made a roguish smile, and Dlanor returned the same expression.^\ br ^Dlanor had in her hands, ...the so-called unfinished manuscript.^\ ^No, it was truly an unformed thing on its way to becoming a manuscript, with a plot in the middle of its construction mixed in.^\ bg Different_spiral_1a,22 ^If we were to use Beato's style of speech, ......it's just as if it was the milky form of ice cream before it was frozen. ^\ ^No, it's probably even less complete than that.^\^ It's still egg yolk, milk, and raw cream cast into a bowl and at the height of its mixing stage.^\ bg mlib_1dp,22 ;ld r,BEA_defa1,0 mov %dla_Isyou,2 ld c,DLA_majimea3,22 cl c,0 ld l,DLA_defa1,80 langjp^ "It really is just like the egg of a TALE. You carefully put time into keeping it warm and cause it to HATCH."^\^ ld r,BEA_waraia1,80 langjp^ "If I could, I would love to show it to you as magnificent ice cream, since I wanted to allow you to fully appreciate it."^\^ ld l,DLA_majimea3,80 langjp^ "Of course, that would be IDEAL. ...However, I think this makes for the next best THING."^\^ ^Because, even if it's in an incomplete state now, the recipe is still exposed. ^\ ^We can see the precious other side of it; the side we could never see from a completed story. ^\ ^Those able to see this are...^\ ^Only the witch who wrote the tale herself, and... the very few observers she allows.^\ bg note1,22 ^This is the unfinished manuscript, in a muddy chaos soup state before it becomes ice cream... ^\ ^In fact, it's missing the narrative development characteristic of a story; it's not even complete as any kind of reading material. ^\ ^However, even so, ^ ^The Endless Witch Beatrice's secret recipe, yet discerned by no one, is recorded upon it. ^\ ^To a chef, her recipes and her kitchen are sacred ground. ^\ ^To desecrate that is the greatest sacrilege. ^\ ^However, for those who admire delicacies, it is also the forbidden honey that no one can resist. ^\ bg black,22 fede 0,3000 bgm1 177 mono 1 print 1 mov %fea_Isyou,2 ld c,fea_defa1,22 ^This is not an example of one of Beato's interests, ... but we could instead say it's an example of one of Featherine's. ^\ ^From here on, what is recorded is... the cat's... guts. ^\ ^From here on, turning the pages means splitting the cat's stomach open and killing it. ^\ ^To love the cat, then kill it and enjoy it again. ^\ ^Those were Bernkastel's words, but they were originally uttered by Featherine.^\ ld c,fea_waraia1,22 ^So I inquire of thee. ^\ ^Doth thou kill the cat? Or not?^\ bg black,22 mono 0 bg moon_1a,22 ^The ancient Featherine has regretted splitting the stomachs of and killing thousands of tales. ^\ ^And, in the relationship of irreversibility between knowing and not knowing, she even likened not knowing to that of noble virginity.\ ld c,fea_waraia2,22 ^Thus, I ask you again.^\ br se1 20 mcl a,0 bg blade9r,1 quakex 3,300 se1 36 bg cateye_1,22 bg blood_1ar,1 quakey 3,300 wait 600 texthide textoff autoclick 1 !s0 ^Doth thou kill the cat? Or not?^\ !s0 ^Beyond lies... the inside of the cat's stomach.^\ texec2 !sd lsp 8,":s#FFFFFF#FFFFFF#FFFFFF ^Doth thou kill the cat? Or not?^",140,100 print 22 delay 1500 lsp 9,":s#FFFFFF#FFFFFF#FFFFFF ^Beyond lies... the inside of the cat's stomach.^",140,150 print 22 ; 汝は、猫を殺すか、否か。@ ; これより先は、猫の腸の中……。\ wait 1000 *wk_select ;----------ボタン用の画像(今回は手抜きですが、普通に画像使った方が見栄えします) lsp 11,":c/2,0,3;BMP\background\2018\wk_b3.png",355,225 lsp 12,":c/2,0,3;BMP\background\2018\wk_a3.png",105,225 ;----------描画 print 2 ;seplay 9,17 ; bg white,18,1200,":c;Bmp\Efe\1.bmp" ; wait 500 *wk_select_loop btndef clear exbtn 11,11:exbtn 12,12 ;autoclick 0 ;textshow ;texton btnwait2 %0 if %0 == 12 btndef clear:csp 8:csp 9:for %0=11 to 12:vsp %0,0:next:goto *wk_go:goto *wk_select_loop ;if %0 == 11 btndef clear:csp 8:csp 9:E_B:E_MA:for %0=11 to 12:vsp %0,0:next:mov %dla_Isyou,1 : seplay 9,17 : bg black,18,1200,":c;Bmp\Efe\1.bmp" : mov %catbox_No_flg,1 : wait 500:autoclick 0:textshow:texton:reset: goto *wk_select_loop if %0 == 11 btndef clear:csp 8:csp 9: for %0=11 to 12:vsp %0,0:next:mov %dla_Isyou,1 : mov %catbox_No_flg,1 : autoclick 0:textshow:texton: bg white,2 : wait 1000 : bg black,4 : wait 1000 : se2v 82,100 : fedexx 0,0,0,0,0,0,4000 : bg "bmp\background\efe\warera_arigatou.bmp",2 : wait 3000 : bg black,2 : E_A :reset : goto *wk_select_loop wait 6000 if %0 == -1 btndef clear:for %0=11 to 12:vsp %0,0:next:goto *wk_select_loop goto *wk_select_loop *wk_go *warera02 ;シナリオジャンプ用 textoff bg cats_eye2a,1 wait 100 bg cats_eye2b,1 wait 200 bg cats_eye2c,1 wait 300 E_A se3v 37,100 se1v 37,100 bg black,28 bg "bmp\background\efe\ep4last00.bmp",8 bg black,8 wait 1000 fileexist %9000,"movie\umineko_op2.mpg" ; Skip Entergram OP for potato users if %9000==1 movie "movie\umineko_op2.mpg",click autoclick 0 textshow texton bg blak,22 me1 15 se2v 1010,50 bg note1,23 ■ACCOMPLICES@ ^This time, let's make Krauss and Natsuhi into accomplices.^\ br ld l,kla_defa1,0 ld r,nat_defa1,22 ^But... Natsuhi has a lot of pride, so even if I flashed an enormous sum of money in front of her, ^\^it's hard to imagine that she'd easily be partner to a dreadful incident.^\ ^With regards to that problem, I'll have to to corner her so she has no place to run and no margin for options.^\ ^After the typhoon starts, I'll secretly guide Krauss and Natsuhi to the underground VIP room, reveal the bomb trigger, and threaten them. ^\ bg Different_spiral_1a,22 ^If they refuse, everyone dies. If they cooperate, those not sacrificed to the epitaph can come back alive.^\ ^All means to connect the island to the outside have already been completely cut off. They have no way to resist.^\ textoff bg black,22 fede 0,3000 gosub *rain_on se1 21 wait 3000 se2v 27,100 bg white,1 bg black,2 ;gosub *rain_off ;me1v 5,70 bg M_o1aR,6 ^October 4. Past dusk. ^\ ^The rain and wind are getting stronger. ^\ ^The typhoon is already enveloping the island in earnest.^\ bg black,25 gosub *rain_off me1 26 bg sub_sta1a,42 ld r,nat_odorokia2,22 langjp^ "...Wh... What on Earth is this...?"^\^ ^Natsuhi stood frozen in front of the wide-open entrance to the secret underground staircase and the darkness beyond.^\ ld l,BEA_akuwaraia1,23 langjp^ "There is nothing to be afraid of. We should advance."^@ br ^...says Beato, with a composed smile.^\ ld c,KLA_majimea1,22 langjp^ "...This is... Fa... Father's secret passageway... isn't it?"^\^ mono 1 bg sub_p2c,22 ^Ever since his childhood, Krauss believed in the existence of a secret passage and secret room that only Kinzo knew about.^\ ^But even so, when it's brazenly right before his eyes like this, he can't enter without hesitation.^\ bg black,22 mono 0 bg sub_sta1a,22 ;ld r,KLA_defa1,0 ld c,BEA_nayamua1,22 cl c,0 ld r,BEA_akuwaraia5,22 langjp^ "Krauss, you aren't afraid of the dark, are you...?^\^ Or is it that you'd prefer to expose your body to the wind and rain here behind the chapel...?"^\^ ld l,KLA_majimea1,22 langjp^ "...Up ahead... What could be awaiting us?"^\^ ld r,BEA_waraia1,80 langjp^ "You should see it with your own eyes... But you're already imagining it, aren't you? *cackle*..."^\^ bg sub_p2c,0 ld r,nat_odorokia2,23 langjp^ "Dear, please stop. This is definitely some kind of trap..."^\^ ld l,kla_komarua1,23 langjp^ "...I wouldn't go down these foreboding stairs either, if I could. ...But it seems we have no other choice."^\^ mld c,BEA_va1,22 ^While grinning broadly, Beato playfully spun the sawed-off rifle held in her hand.^\ ^...Indeed, Krauss and Natsuhi had been threatened with that gun and forced here.^\ mcl c,0 bg black,22 fede 0,3000 bgm1 175 mono 1 bg cha_i1a,0 mld c,bea_futekia1,0 ld r,kla_komarua2,0 ld l,nat_odorokia3,22 ^They had thought for certain that when they were forced here, it was to kill them in a place where no one would notice.^\ ^However, now that they've been shown this secret staircase, they were beginning to think that maybe she wasn't planning to kill them.^\ mcl a,0 bg black,22 mono 0 ^That she knew this secret underground staircase is the cause of Beatrice being a witch.^\ ^And she went out of her way to show it, so she probably has some other motive than to kill them; nay, she must.^\ bg sub_sta1a,0 ld c,nat_nayamua2,0 ld r,kla_majimea2,22 wait 500 ld r,kla_komarua1,22 cl r,3 ^Krauss gulped deeply, and they began to slowly decend the underground stairs.^\ cl c,3 ^Natsuhi followed behind her husband.^\ ld c,BEA_majimea1,22 wait 500 cl c,0 ld l,BEA_akuwaraia1,22 wait 1000 cl l,3 ^And Beato, grinning confidently, followed after them...^\ bg black,2 wait 2000 se2v 1010,50 bg note1,22 ^The enormous pile of gold in the underground VIP room...^\ ^Of course it makes Krauss and Natsuhi's hearts jump, but just that wouldn't make them accomplices to some kind of dreadful incident.^\ ^And so, the bomb trigger was explained.^\ ^Even the embellishment that Kinzo amused himself with the trigger that would blow up the whole island... wasn't something that could just be laughed off.^\ ^Understanding Beatrice's threat with the bomb, and the authority that comes with it, was something that they must be made to appreciate.^\ bg sub_R1b,0 ld c,nat_nayamua3,22 ld c,nat_ikaria1,80 langjp^ "T-that's...! W-why would Father set up such a bomb device on the island he lives on...!?"^\^ ld r,kla_komarua1,24 langjp^ "Rokkenjima once had a secret naval base, and it had an enormous amount of explosives stored on it for the last-ditch war effort...... or so I've heard many times.^\^ And I vaguely remember that long ago Father said he would, when his luck came to an end,^\^ cleanly erase himself along with the island... or something like that..."^\^ mld l,BEA_futekia2,22 langjp^ "Precisely. And that device is... a device inherited by the true Head of the Ushiromiya family. In other words, only I can control it."^\^ mcl a,0 bg black,22 se2v 1010,50 mono 1 ;bg note1,23 bg sub_clock1a,22 ^By activating it, the device can at any time cause a giant explosion...^\ br ^Beato's explanation may not be convincing enough.^\ ^In that case, Genji will be there too.^\ ^If the same story also comes from Genji's mouth, it's credibility will sharply rise.^\ bg black,22 mono 0 se2v 1010,50 wait 1000 bg sub_R1b,0 ;ld r,nat_defa1,0 ld c,GEN_majimea2,22 ld c,GEN_majimea1,80 langjp^ "...Yes. The time bomb device created by the former head now belongs to a new master, Beatrice-sama."^\^ ld r,nat_odorokia2,22 langjp^ "Th... That's..."^\^ ^Hearing the same story from Genji, Natsuhi was at a loss for words.^\ ^And understandably so.^\ ^On the island she had spent so many years... was buried an enormous amount of explosives.^\ bg Different_spiral_1a,22 ^They could have, at Kinzo's slightest whim...... or by some kind of coincidental accident, massively exploded.^\ ^Natsuhi was petrified at the reality... that she was still living on such an island even now.^\ bg black,22 bg sub_R1b,0 ld l,GEN_majimea2,22 ld c,KLA_nayamua3,24 ld c,KLA_komarua1,80 langjp^ "...I, I see. It's a frightening story. But, aren't those explosives from the war era? I don't think they'd explode now..."^\^ ;ld r,kla_defa1,23 cl l,0 mld l,GEN_defa1,22 langjp^ "There are no problems. The Head had periodic inspections carried out."^\^ ld r,BEA_akuwaraia2,23 langjp^ "*cackle* We also tested them, of course.^\^ There was nothing to criticize about their power. ^\^......With that kind of amount, they demonstrated such power.^\^ At the very least, easily everything within several hundred meters of the mansion will be completely blown away."^\^ cl c,0 mld c,KLA_komarua4,80 langjp^ "An explosives test...?"^\^ ld r,BEA_futekia2,80 langjp^ "Indeed. To assess the power of the explosives, I blew up a certain object. It’s something you two are quite familiar with.”^\^ mcl a,0 bg black,22 ^Krauss and Natsuhi looked at each other.^\ ^They didn't remember there being any kind of big explosion anywhere nearby.^\ bg sub_R1c,0 ld r,nat_komarua1,0 ld l,KLA_nayamua3,22 wait 2000 bg black,0 bg sub_R1b,0 ld l,GEN_majimea2,22 ld r,bea_futekia2,24 ld r,bea_waraia1,80 ^Shrugging her shoulders with a smile, Beato signaled to Genji to explain it to them.^\ cl l,0 mld c,GEN_defa1,80 langjp^ "......Master, Madam. Have you forgotten? ...About the local shrine?"^\^ ld l,KLA_komarua4,22 langjp^ "The local... shrine...?"^\^ mcl a,0 bg black,22 bg sea_2a,22 ^The local shrine built on the reef just a little ways out from Rokkenjima's port.^\ ^Last year it was demolished by some large wave or lightning, or something...^\ bg sea_2b,22 ^...They hadn't really thought about it much.^\ ^But, there's no way some large wave would wash away the whole shrine, let alone the reef.^\ ^There was a group among the servants who said purple lightning fell from the sky and smashed the shrine... or some such rumor. ^\^But there's no such thing as purple lightning, much less lightning that could smash a shrine and even destroy the reef.^\ bg sub_R1b,0 ld r,nat_ikaria2,22 ;ld l,bea_defa1,22 ;ld r,nat_defa1,80 langjp^ "......You don't mean...... No........."^\^ ld l,bea_futekia1,22 langjp^ "*cackle* Yes, that's how it is.^\^ To celebrate my resurrection I had that shrine and the reef blown away!^\^ But to think they'd have that much power! I thought it would be great if they blew up the shrine,^\^ but I never imagined they would blow up the reef as well!^\^ The weapons of humans are truly dreadful."^\^ ld c,kla_komarua1,24 langjp^ "......Okay. We understand the situation. ...So...? You invited us here and had us listen to your story.^\^ I'm trying to think of what you seek to get out of that.^\^ Normally I’d think you would demand money, but with all that gold piled there...^\^ I can't fathom you having want for money. And if it was about my life, you surely would’ve snatched it away quickly.^\^ You couldn't be telling us Rokkenjima's secret as if you’d had a change of heart, could you...?"^\^ bg black,22 ^Krauss tried to act as calm as possible.^\ ^Certainly, as his every word slightly quivered, his nervousness and agitation still hadn’t cooled down.^\ bg gold2,22 ^However, the mountain of gold piled there and the story about a massive amount of explosives that could blow up the whole island, ^\^that way too abnormal reality,^\^ made the scene before his eyes also feel more like an event happening on the other side of the TV screen.^\ ^In some sense that might have let him regain his composure.^\ bg sub_clock1c,22 ^......Moreover, thinking more calmly...^\ ^It had been declared that the means of contacting the outside world had already been severed,^\^ furthermore,^\^ that it’s also understood that the typhoon would isolate the island for a period of two days.^\ bg portrait1,22 ^.........There was nowhere to run.^\ br ^On the whim of this Golden Witch worthy of inheriting Ushiromiya Kinzo’s madness,^\^ ............everyone on Rokkenjima could be blown to smithereens.^\ ^For Natsuhi to understand this she would probably need to cool her head for a while more.^\ ^However, Krauss was already starting to calmly accept it.^\ bg black,22 fede 0,2000 bg sub_R1c,0 bgm1 171 ld r,KLA_komarua1,0 ;ld l,bea_defa1,22 cl r,0 ld c,KLA_majimea1,80 langjp^ "...Now you're going to strong-arm us into an exchange for something.^\^ ...I can't even imagine what that something is, but as long as it's possible, negotiations are open."^\^ ld r,bea_defa2,22 langjp^ "Hoh. Your surprisingly quick uptake saves me some trouble."^\^ ld c,KLA_komarua1,80 langjp^ "Likely it's something we can't refuse. After all, right now you can take everyone on the island hostage."^\^ ld r,bea_akuwaraia1,80 langjp^ "Exactly. There are only two ways to escape from the explosion. One is a way to abort the timer that only I know of.^\^ The other is to go down the underground passage beyond this grating to the other side of the island to flee the explosion.^\^ As you can see, this grating's lock is under my control.^\^ You cannot refuse me given either intended method of survival."^\^ cl c,0 ld l,KLA_majimea2,80 langjp^ "We get it. We value our lives. ...But at the same time, it seems you require some kind of cooperation from us.^\^ You're carrying the two incredible trump cards of the time bomb and the gold, so it seems to be your good fortune that we must merely nod our heads."^\^ cl r,0 mld c,bea_futekia2,22 langjp^ "*cackle*cackle*...! Wonderful, Krauss. If that's the way you wish it, let's do it that way.^\^ ...This isn't a threat. It's a trade. I wish for your cooperation."^\^ cl l,0 mld l,KLA_majimea1,80 langjp^ "Let's hear what we get out of it."^\^ mcl a,0 bg black,0 bg gold2,26 ld c,BEA_majimeb2,22 langjp^ "Let's make it this entire mountain of gold, and the guarantee of your family's life. However, you'll have to give up on everything else."^\^ textoff E_A ld c,BEA_akuwaraia2,80 se3v 37,100 se1v 37,100 wait 500 bg black,0 bg sub_R1b,22 bgm1 166 ld r,KLA_komarua4,0 cl r,0 ;ld c,KLA_komarua1,22 ld c,KLA_majimea1,22 langjp^ "...May I ask for a little more detail on what you mean by 'everything else'?"^\^ mld l,bea_akuwaraia5,22 langjp^ "It means exactly that. Since this entire island is going to blow up...!!"^\^ mcl l,0 bg sub_R1c,0 ld r,KLA_ikaria1,0 ld c,nat_odorokia4,24 ^Krauss and Natsuhi both were shocked at such an outrageous proposition.^\ ^For a time they lost their composure, but even still it was an offer that couldn't be refused.^\ cl c,0 ld l,nat_ikaria1,24 ld r,KLA_komarua3,80 ld l,nat_nayamua3,80 ^Krauss calmed Natsuhi and regained his cool...^\ bg sub_clock1b,22 ;ld r,KLA_defa1,80 ^He retorted that if the island were to blow up, there wouldn't be enough time to carry out the gold.^\ br ;mld c,bea_defa1,23 ^Beato held out the card to an underground safe at a bank.^\ ^This was what Beato had turned into cash so far.^\ ;mcl a,0 bg black,22 ^In an underground vault beneath the bank's head office slept one billion yen stuffed in an attache case.^\ ^By holding out that card, she guaranteed Krauss one billion yen.^\ bg sub_R1b,0 ld r,KLA_nayamua3,22 ;ld r,KLA_defa1,80 ^But Krauss found it hard to believe that there really was one billion yen in that safe.^\ ^But, money can't buy the lives of his family.^\ ;cl c,0 ld l,nat_ikaria1,23 ;論争 ld r,KLA_ikaria1,80 ;論争 wait 500 ld r,KLA_komarua3,80 ;がっくり憔悴 cl r,25 se1 13 ^Once again, after disputing with Natsuhi, he was dispirited and worn.^\ mld c,KLA_komarua4,42 ;しぶしぶ協力を申し出る ^In exchange for his family's absolute safety, Krauss reluctantly offered up his cooperation.^\ mcl c,0 bg sub_R1a,26 ^Of course, there was self-interest too.^\ ^The island being blown away would probably be treated as an accident.^\ br ^And along with that, without any relatives the investigation directed at him would become unresolvable.^\ ^Of course this includes Kinzo's death, too; nearly all the troubles they bear would be gone.^\ br ^And even supposing there isn't one billion yen in the safe, there's a lot of money on Kinzo's life insurance plan.^\ bg black,22 ^...Natsuhi was hesitant ...but to Krauss, it wasn't a bad deal.^\ ^Suppressing the guilty part of his conscience, Krauss argued that there was no other way to protect Jessica.^\ bg sub_R1c,0 ld l,nat_nayamua3,22 ld l,nat_majimea2,80 langjp^ "...So, what will you have us do?"^\^ ld r,KLA_majimea1,24 langjp^ "We said we'd cooperate but... We won't partner with you for some weird crime."^\^ ^Krauss and Natsuhi said, completely drained.^\ bg sub_R1b,0 ld c,BEA_futekia2,24 langjp^ "I want to perform a play to welcome a certain person."^\^ mld l,nat_defa1,23 langjp^ "......A play......?"^\^ cl c,0 mld r,BEA_defa2,23 langjp^ "That guy and I have a taste for mystery novels; it's a relationship of exchanging contesting ideas.^\^ Therefore, to commemorate his return after six years, I wish to welcome him with a first-rate mystery."^\^ mcl a,0 bg sea_1b,22 ^It would seem that, on this island shut off by a typhoon, Beato wants to put on some kind of bizarre play.^\ ^Their role is to obey the scenario she prepared, so that the story will stay consistent.^\ bg chess1,22 ^In other words, to become characters in her play...^\ ^To act and speak only in accordance with her scenario.^\ ^There apparently was nothing more required of them than that.^\ bg Different_spiral_1a,22 ^Except, all other things are forbidden.^\ ^In the event that her story is interfered with, the safety of Krauss's family is not guaranteed.^\ ^The only way to escape the giant explosion is to get far away from the mansion grounds.^\ ^The only way to do that is to escape to the opposite side of the island by the tunnel out from the underground VIP room.^\ se1 31 bg black,0 bg key1,22 ^And, that underground tunnel is blocked by a locked metal grate.^\ ^Only Beatrice holds a key.^\ ^And Beatrice promises that after everything is over, she will hand that key over to Krauss.^\ bg black,22 ^The whole story was dangerous and shady all over...^\ ^...No, perhaps this was a necessary aspect for a play.^\ br ^She, in exchange for all the gold, was saying she wanted help just for one last play, or perhaps side show.^\ bg portrait2,22 ^The dress of the witch in the portrait that she wore, and her whimsical smiling...^\^ are perhaps joys only permitted to those who solved the mystery of the epitaph.^\ bg sub_R1b,0 ;ld r,KLA_defa1,0 ;ld l,BEA_defa1,22 ld r,KLA_majimea1,22 ^Krauss continued to press for his family's safety, that when that promise was broken all cooperation ceases.^\ ld l,BEA_akuwaraia1,22 ^And to that Beatrice would once again...^\ ^...scowl with a crazy laugh, that if they didn't follow the scenario provided not a single person would survive.^\ bg black,1 se2v 1010,50 bg note1,23 ^And with that, Krauss and Natsuhi had been made complacent.^\ ^The cooperation of all the servants and of Nanjo had already been arranged.^\ br ^The preparations for the game board were now complete.^\ ^And finally, the story begins.^\ bg black,23 textoff fede 0,3000 wait 2000 gosub *rain_on se1 21 wait 3000 se2v 27,100 bg white,1 bg black,2 me1v 12,70 bg M_o1aR,6 wait 2000 bg black,22 gosub *rain_off ;fedexx 0,50,0,0,0,0,1000 bg m1f_s1cn,0 ;ld l,KLA_defa1,0 ld c,eva_majimea1,0 ld r,RUD_nayamua1,22 ld r,RUD_majimea2,80 langjp^ "In any case, nothing happens if Dad doesn't come down."^\^ cl c,0 ld l,eva_akirea1,80 langjp^ "You've got that right. We didn't come to speak to our brother. We came to speak to Father."^\^ ld c,KLA_komarua1,22 langjp^ "..........."^\^ br ^Krauss quietly bore all the harsh words of the siblings. He couldn't do anything extraneous.^\^ ...He must behave in accordance with the scenario provided to him by the witch.^\ ^...In the scenario, he was to wait until 24:00.^\ bg sub_clock1c,22 ^Which... was soon to be.^\ ^Then, they heard the chime of the banquet hall's grandfather clock.^\ bg sub_clock1d,22 se1 71 ^It's 24:00.^\ ^It's time for that witch's scenario... to take motion.^\ bg black,22 ^'At 24:00, there will be a knock on the door of the dining hall.'^\ br se1v 19,90 ^Knock-knock.^\ ^The door was knocked.^\ bg m1f_s1an,0 ld c,KLA_komarua1,22 ld c,KLA_majimea1,80 langjp^ "...Who is it?"^\^ br ^He meant to say it nonchalantly, but it came out slightly nervous.^\ ld r,gen_defa1,24 langjp^ "...Forgive the intrusion. It's Genji."^\^ br ^He is also a person following Beatrice's scenario.^\ bg m1f_s1cn,0 ld l,eva_hohoemia1,23 langjp^ "Oh, Genji-san. ...What is it at such an hour?"^\^ ld r,hid_waraia2,24 langjp^ "This is perfect. I'm kinda thirsty. Should we take a little break for now and have some tea?"^\^ mld c,gen_majimea2,24 wait 500 mld c,gen_defa1,80 ^Genji, after signalling to Krauss with a look, deeply lowered his head to everyone and made the announcement.^\ mld c,gen_majimea1,80 langjp^ "...Ladies and gentlemen, the Head summons you to his study."^\^ E_A bgm1 55 mcl a,0 bg m1f_s1dn,22 ^Everyone stirred at those words.^\ ^Eva doubted even the existence of Kinzo... but that very person was summoning everyone to his study.^\ bg m1f_s1cn,0 ld r,KLA_majimea1,0 ld l,eva_odorokia1,22 cl l,0 ld c,eva_futekia1,80 langjp^ "...Wh ...What could this mean...?"^\^ ld r,KLA_nayamua1,80 langjp^ "I... I don't know. ...I've never been able to understand Father's whims."^\^ bg black,1 se2v 1010,50 bg note1,23 ^All according to plan.^\ ^...Everyone was summoned to the study.^\ br ^And then in front of the study he and Natsuhi will specifically be told to wait......^\ bg black,22 bg M_door1,0 ld l,nat_tukarea1,0 ld c,kla_komarua1,22 ld r,GEN_majimea2,24 ld r,GEN_majimea1,80 langjp^ "Please wait. ...The Master and Madam are to wait here."^\^ br ^In front of the study... Genji made the pronouncement.^\ ^Natsuhi didn't say a thing, silently casting her eyes at the floor.^\ ^Seeing this, Eva smiled triumphantly.^\ ld r,eva_akirea2,80 langjp^ "Ohhh, what could this be? What on earth could he want to say to us first, without the two of you?"^\^ cl c,0 cl l,0 ld l,kla_majimea1,80 langjp^ "No idea... I never know what Father is thinking."^\^ ;ld c,BEA_defa1,23 mcl a,0 bg m_door2,22 se1v 19,90 wait 1000 langjp^ "Master, it is Genji. I have brought all of your relatives."^\^ br ^Genji said this through the door after knocking.^\ bg black,22 ^A farce. Beyond that door lies only a deserted room that has lost its master.^\ ;ld c,BEA_defa1,23 bg M_door1,0 ld c,GEN_majimea2,24 ld c,GEN_defa1,80 langjp^ "Ladies and gentlemen. ...it is the study of the glorious Ushiromiya family Head, Kinzo-sama. I implore you not to make any careless mistakes."^\^ ld r,rud_akuwaraia1,24 langjp^ "...Genji-san. Dad's in a good mood... right?"^\^ cl c,0 mld l,GEN_komarua1,80 langjp^ "Indeed. The Master is in a very good mood."^\^ mcl l,0 bg m2f_p1dn,0 ld l,eva_waraia1,0 ld r,ros_waraia2,22 ^Both Eva and Rosa were visibly relieved.^\ br ^...But Krauss and Natsuhi knew.^\ ^The master Genji spoke of... was a new master. It was that witch.^\ bg black,0 bg portrait1,22 ^Right now, awaiting them inside the study... was the Golden Witch, Beatrice.^\ ^The island, the mansion he lived in... was, at some point unbeknownst, granted to the witch of the portrait as its new master.^\ bg Different_spiral_1b,22 ^This mansion Krauss had come to believe was his home... had quickly became a very different place for him.^\ bg black,22 se1v 17,85 bg white,10 wait 1000 se2v 2,100 bg black,1 ;bg mlib_1d,22 ;wait 1000 ;bg mlib_1d ^With Genji leading, Eva and the other relatives were... swallowed up by the pungently poison-scented study.^\ ^Slowly the door closed... and with the heavy sound of the auto-lock, their existences disappeared.^\ bg M_door1,0 ld l,nat_tukarea1,0 ld r,kla_nayamua2,2 ld r,kla_komarua1,80 langjp^ "..............."^\^ ld l,nat_majimea1,80 langjp^ "...Does this mean... our job is over?"^\^ ld r,kla_majimea1,80 langjp^ "......Well, at least for tonight..."^\^ cl l,0 ld c,nat_nayamua1,80 langjp^ "That woman is ominous. ...She gives me the terrible feeling that she's capable of destroying herself and everyone else if we're careless in dealing with her."^\^ ld r,kla_komarua1,80 langjp^ "You think so too then. ...Yeah, me too. That's why I think we should do exactly what she says.^\^ I think... if we didn't just nod our heads to her she would have killed us on the spot."^\^ cl c,0 ld l,nat_nayamua3,80 langjp^ "...All the servants are already that woman's henchmen, too."^\^ cl r,0 mld c,kla_nayamua1,80 langjp^ "Well, no one wants to die. ...Also, they may have the same reward promised to them. ...She's got 20 billion in gold, after all."^\^ ;ld l,nat_defa1,0 ;ld r,kla_defa1,80 mcl c,0 bg black,22 ^Suddenly hearing unexpected footsteps, Krauss and Natsuhi went quiet.^\ ^They looked and saw Kumasawa coming up the stairs.^\ ^Kumasawa was also one of the witch's henchmen.^\ ^They can't afford to lower their guard, but still they did view her as someone they could better relate to than Genji.^\ bg m2f_p1dn,0 ld c,KUM_majimeA1,24 ld c,KUM_majimeA2,80 langjp^ "...Well done. Master and Madam's parts are complete for tonight."^\^ ld r,kla_majimea1,24 langjp^ "......What is the witch saying to them...?"^\^ cl c,0 ld l,KUM_defA1,24 langjp^ "Who knows... I don't know how Beatrice-sama thinks."^\^ ld r,kla_akuwaraia1,80 langjp^ "I see. Both the witch and Father truly resemble each other in their whimsicalness."^\^ mld c,nat_majimea1,24 langjp^ "What... should we do now?"^\^ cl l,0 mld l,KUM_WaraiA2,80 langjp^ "...Tonight you shall return to your rooms and rest. ...Starting tomorrow you are to follow tomorrow's scenario..."^\^ mcl a,0 bg black,0 bg M_door1,22 ;ld c,nat_defa1,0 ld r,kla_majimea2,22 langjp^ "Kumasawa-san. Have you... also been bought out by that witch?"^\^ mld c,KUM_majimeA2,22 langjp^ ".........I also value my life, so..."^\^ ld r,kla_nayamua2,80 langjp^ "About that bomb... Is that really true, I wonder...? Under this island, is there really a bomb triggered to explode...?"^\^ mcl c,0 mld l,KUM_majimeA1,80 langjp^ "...It's probably true ...since the local shrine has really been blown up with explosives."^\^ ld r,kla_komarua1,80 langjp^ "................."^\^ mcl l,0 bg black,0 bg m2f_p1dn,22 ;ld l,KUM_WaraiA2,0 :cl c,0 ld r,nat_nayamua1,22 langjp^ "Kumasawa, have you heard what will happen next...?"^\^ ;cl l,0 mld c,KUM_majimeA2,80 langjp^ "......All I've heard is that it's a big show meant to surprise a certain person. ...Please let me off at that.^\^ ...I've also been threatened with my life if I say anything unnecessary......"^\^ br ^When Kumasawa meekly said this, she lowered her head very deeply.^\ mcl a,0 bg black,22 bg Different_spiral_1a,22 ^The buyouts from the giant pile of gold and the threat from the bomb.^\ ^They really have... no way to resist.^\ ^Is this all just a giant farce? ...Or is it the opening to some ghastly celebration of the of the golden witch's resurrection?^\ ^Despite the ominous feeling they got from the thunder outside, Krauss and Natsuhi could only head to their respective rooms.^\ bg letter1,22 ^But, in their bedrooms were the new scenarios, already delivered.^\ ^By reading them they knew... tonight's farce will continue...^\ bg black,22 fede 0,3000 *warera03 ;シナリオジャンプ用 bg M_door1,42 wait 1000 bg mlib_1an,0 me1v 12,70 ld l,eva_majimea1,0 ld r,hid_majimea2,22 wait 2000 bg mlib_1bn,0 ld l,rud_majimea1,0 ld c,kir_nayamua1,0 ld r,ros_komarua2,22 ^The five invited into the study; Eva, Hideyoshi, Rudolf, Kyrie, and Rosa; stood firmly at attention like children called to ceremony.^\ bg black,0 bg mlib_1cn,0 ld r,gen_majimea2,23 ld r,gen_defa1,80 langjp^ "...Master, I have brought five members of the family."^\^ ;ld c,bea_waraia2,80 langjp^ "Good. Thank you, Genji."^\^ se1 27 E_MA wait 1000 mbg white,1 ld c,bea_akuwaraia1,0 mcbg 22 bgm1 172 ^Everyone was surprised at hearing a woman's voice.^\ ^A flash of lightning illuminated a woman's figure for an instant, which... was the very figure of the person whose portrait hung in the reception hall.^\ ld r,gen_majimea2,80 langjp^ "Ladies and gentlemen. You are visiting the glorious Ushiromiya family Head, Beatrice-sama."^\^ bg mlib_1an,0 ld r,eva_odorokia1,0 ld c,hid_majimea1,22 ^So overwhelmed by the sight of the witch, they forgot to even close their gaping mouths.^\ ^Eva was the first to come to her senses.^\ ld r,eva_odorokia2,80 langjp^ "......Wh......what kind of joke is this? Genji-san, what's the meaning of this?!"^\^ cl c,0 ld l,hid_waraia1,24 langjp^ "H...hey I'm impressed... She really looks just like the witch of the portrait...... Is that dress a custom order...?"^\^ mld c,gen_majimea1,3 langjp^ "Quiet, please. You are in the presence of the Master. Please refrain from such murmuring."^\^ ld r,eva_komarua4,0 ld l,hid_komarua1,80 ;閉口イメージ ^Being scolded to earnestly by Genji returned everyone to confusion.^\ mcl a,0 bg Different_spiral_1a,22 ^No, that's not right.^\ br ^It was because the more familiar family Head had casually appeared from the darkness.^\ se1 27 wait 1000 mbg white,1 bg mlib_1an,0 ld c,kin_fumua1,0 mcbg 22 ld c,kin_waraia1,80 langjp^ "The day that I return everything to my beloved witch has finally come. Tonight, I have turned over the ring and all the assets of the Ushiromiya family to her."^\^ br ^Kinzo made the announcement in boisterously high spirits.^\ bg black,1 se2v 1010,50 bg note1,23 ^Of course, Kinzo never really appeared.^\ ^To those who already know that Kinzo has left this world, this illusion is nothing more than a farce.^\ bg "bmp\background\efe\note2.bmp",28 ^Because illusions are... an embellishment of the result's cause.^\ ^They are merely a creative production behind known results.^\ ^The results are... that five people die in the study.^\ bg black,22 ^And along with their miserable final moments comes its own new production.^\ se2v 1010,50 wait 2000 bg mlib_1an,0 ld c,bea_nayamub1,22 ld c,bea_futekia1,80 langjp^ "Alright! So, there is a reason behind you all being gathered here tonight!^\^ Might there be someone who can figure it out? *cackle*^\^ You won't if I just ask you out of the blue like that... so I'll give you a hint:^\^ There's significance behind Krauss and Natsuhi not being called in.^\^ Oh, and don't count Genji, since he still has his uses."^\^ ^Suddenly declaring this, Beato had a mischievous smile creep upon her face.^\ ^Of course there really was no way for any of them to answer such a question.^\ ^Even Kinzo tilted his head.^\ ld r,kin_waraia1,24 langjp^ "...Beatrice. To have prepared a question that even I do not know the answer, is truly something astounding.^\^ Why? Why is it that you do not have Krauss and Natsuhi here to celebrate your resurrection?"^\^ cl c,0 mld c,BEA_akuwaraia1,80 langjp^ "You haven't realized it, Kinzo? ... *cackle*.^\^ I'll give another hint: It's something that was signaled by the grandfather clock in the entrance hall, just a little while ago.^\^ mcl a,0 bg mlib_1cn,22 ^Getting more and more confused, the relatives muttered amongst themselves.^\ ^They really had no idea what was happening.^\ bg mlib_1an,0 ld c,bea_futekia2,22 ld c,bea_akuwaraia3,80 langjp^ "Hmpf! To think Kinzo wouldn't realize either! I am disappointed, humans...!^\^ Fine, I shall tell you the answer.^\^ Let's see... how shall I do it this time?...^\^ The Seven Sisters lack manners...^\^ people are getting too accustomed to the Chiester Sisters...^\^ Gaap might be good...^\^ but actually... I think it's about time to call in a new guest!"^\^ bg black,22 se2v 1010,50 bg book1,23 ^Which new demon shall I call this time?^\ ^Opening her grimoire she picks randomly from the 72 noble demons.^\ se2v 1010,50 ^...Mm, causually flipping through the pages...^\ ^The number that appears is 64.^\ ^Who was number 64 of the 72 again?^\ bg note1,23 ^Rank number 64. フラウロス.^\ ^Flauros. ...Yes, it has a nice ring to it. Let's go with this one.^\ ^Alright, what kind of character design shall we do...^\ ^Gaap and Ronove and everyone have an adult-like design, so this time I'd like try the opposite with a more child-like design.^\ bg black,22 ^A killer with childlike innocence... or something...^\ ^Maybe it could be expressed through character design. Let's look up more about Flauros.^\ ^Flauros. Fla-chan. Yes, I like the sound of it.^\ bg note3,22 ^...What? Has the appearance of a Leopard?^\ ^...Has the appearance of a man when taking human form?... Whaaat? A maaaaaan? A cute girl would be so much better.^\ ^Amended. Let's make her a cute girl with matching animal ears.^\ ^Sakutarou had cute ears... something like his...^\ bg note4,42 wait 500 bg black,22 se2v 1010,50 wait 1000 bg mlib_1an,0 ld c,bea_akuwaraia2,22 ;ld c,bea_defa1,80 langjp^ “Well then, who shall answer my summons? Anyone is fine, though the only requirement is to not let me get bored!^\ ^Come, demon of the contract! I don’t care who it is, come and cure my boredom!”^\^ se2 22 lsp 849,":c;bmp\r_click\white.bmp",0,0,155 print 0 bfly2 8 se2 22 monocro #F0E68C bfly1 2 ^As Beatrice raised her hands up, the floor of the study shone golden, and it was whirled up all at once.^\ ^It was a wondrous swarm of golden butterflies.^\ ^The relatives were dumbfounded at such a beautiful scene that had lost all sense of reality.^\ ld r,kin_waraia1,24 langjp^ "What a beautiful summoning. My my, who did you go and summon? ......Such majesty. Looks like one of the great demons of the 72 pillars."^\^ cl c,0 ld l,BEA_defa2,24 ld l,BEA_waraia1,80 langjp^ "*cackle* Just as I expected of you, Kinzo. You are very knowledgeable about summoning! ^\^That’s right, who I summoned is one of the great demons of the 72 pillars! However, even I do not know which of them will appear!"^\^ ld r,kin_waraia2,80 langjp^ "How terrifying you are, to be able to summon a great demon with that kind of careless attitude. I deeply admire that kind of magical power...!"^\^ textoff csp -1 print 0 bg black,22 mono off se1 22 bg mlib_1cn,0 bfly1 0 print 99,3000,"breakup.dll/lrp" ^As the whirlwind of golden butterflies surged, it formed a human shape in the center of it.^\ textoff fede 0,1000 bgm1 98 se3 22 mbg white,42 se1v 52,100 ld c,FLA_DefA1,0 mcbg 0 cbfly 0 print 99,2000,"breakup.dll/llb" ^After it burst apart, ......a great demon of the 72 pillars showed herself.^\ mld l,BEA_futekia1,80 langjp^ "Well then, who answered my summons?"^\^ ld r,kin_waraia2,80 langjp^ "......It appears to be Flauros."^\^ mld l,BEA_waraia2,80 langjp^ "That’s Kinzo for you. To be able to tell just at a glance, you really are far from human."^\^ br ^Kinzo and Beatrice were having a chat, grinning and laughing.^\ mcl a,0 bg black,0 bg mlib_1an,0 ld l,rud_komarua1,0 ld c,eva_odorokia1,0 ld r,ros_aserua1,23 ^However, the other relatives were just standing still with their minds blank.^\ bg mlib_1bn,0 ld c,Fla_defa1,0 ld r,BEA_defa1,23 ld r,BEA_akuwaraia1,80 langjp^ "Flauros. It has been a while. ...For you to acknowledge my summons, it means that you are not bound by contract to anyone.^\^ I am pleased. I welcome you as my ally."^\^ cl c,0 ld l,Fla_defa2,80 langjp^ "Rank number 64 of the 72 Noble Demons, Flauros the Blazing, is here!"^\^ br ^To human eyes, she probably looked like a girl still in middle school.^\ bg black,0 ld c,Fla_defa6,22 ^However, she's one of the 72 Great Noble Demons, Flauros.^\ ^With her terrible power she lays waste to her summoner's enemies. Her specialty is burning them to ash. Truly a demon among demons.^\ bg mlib_1cn,0 ld r,Fla_defa4,23 ;ld r,Fla_defa1,80 langjp^ "It was just some random summons but, it seems I got someone interesting. To imagine that my summoner was the great Lady Beatrice!^\^ Even though I'm here for business, it looks like it's going to be fun!"^\^ ld l,BEA_defa2,23 langjp^ "Indeed. Once you were contracted to an enemy witch of mine... Your partner was quite a hassle."^\^ ld r,Fla_defa3,80 langjp^ "Well, had no choice 'cause it was my job. So, what's the new gig? My only job is to kill or break things. Because it’s been a while I’m itching to get wild!"^\^ ld l,BEA_akuwaraia5,80 langjp^ "I command you to cooperate in my ceremony. The clock has already marked its beginning! I command you to kill six people as sacrifices for the first twilight!!"^\^ cl r,0 ld c,Fla_defa6,80 langjp^ "Kill six? Got it! Can I kill the six of 'em conveniently lined up right here?"^\^ bg mlib_1bn,0 mld c,kin_fukigena1,23 mld c,kin_akuwaraia1,80 langjp^ "......Fu ...Fuhahahahahhah! So that's what it is! Ah, so I'm also one of the unlucky ones...! Hahahahahahahahahahaha!!"^\^ ^Kinzo finally understood the reason for which everyone was gathered in his study.^\ ^In Beatrice's mind, the sacrifices of the first twilight had already been decided.^\ ld r,eva_odorokia1,24 langjp^ "...Fa...Father... What... is the meaning of this...?"^\^ br ^Eva timidly asked.^\ ^But Kinzo just continued his mad laughter.^\ ;mld l,Fla_defa1,23 se1 27 mcl c,0 wait 1000 mbg white,1 bg mlib_1an,0 ld c,FLA_defa4,0 mcbg 22 ld c,FLA_defa6,80 langjp^ "You're a bunch of unlucky guys! Sooooo, whom shall I eat first!?"^\^ br bg black,5 ^Flauros's two hands stretched to the floor.^\ ^Her appearance was now not so much that of a human's, but of a ferocious leopard's......^\ mcl a,0 bg mlib_1an,0 ld c,BEA_nayamua1,24 langjp^ "Don't hate me. You guys didn't do anything wrong. If you're going to hate someone, hate that guy who trashed his promise for six long years."^\^ ^They all starred befuddled.^\ cl c,25 ^Beato bent down into the sofa's shadow.^\ ld c,BEA_va1,6 ^And when she stood up again, she was holding in each of her two hands one from Kinzo's collection of sawed-off rifles.^\ ^The relatives could only imagine the sight of her wearing a dress and holding antique guns... to be that of a proper lady holding something like a folding fan.^\ E_A se1v 46,100 se2v 36,100 se3v 46,100 bg white,1 bg black,10,5000 bgm1 143 br ^Well, at least they couldn't imagine lead actually gushing from those muzzles.^\ se2v 1010,50 bg note1,23 ^First, in Ushiromiya Kinzo's study shoot Eva, Hideyoshi, Rudolf, Kyrie, and Rosa dead.^\ ^The door to Kinzo's study is thick, so the sounds of the guns won't be heard easily.^\ ^Krauss and Natsuhi will already have been guided far enough away from the study by Kumasawa and won't hear the guns firing.^\ ^The tools necessary for dealing with the corpses will already have been brought to the study.^\ se2v 1010,50 ^Flauros changed into the form of a giant leopard, and started by swallowing Kinzo in one gulp.^\ ^Swallowing him whole served as an explanation for Kinzo not to leave a corpse.^\ ^It might be good to leave something like some of cut-off scrap of Kinzo's robe behind in the room.^\ ^Shocked by this, the relatives tried to escape the room, but they couldn't because it had been magically sealed.^\ se2v 1010,50 wait 500 bg black,1 wait 500 se1 35 bg blood_2a,1 wait 500 se1 35 bg blood_2b,1 wait 500 se1 35 bg blood_2c,1 ;ld l,Fla_defa6,23 langjp^ "Didya think I would let my preys escape! Starting now y’all too will be prepared one by one, just you wait!"^\^ br ^An enormous leopard bellowed that as it twisted its greatly inflated belly.^\ ^Maybe Kinzo who was swallowed whole was struggling. Or maybe it was indigestion.^\ ;ld l,Fla_defa4a,23 bg black,25 langjp^ "......Ugee. Should’ve known grandpa meat isn’t tasty. I’ll have to drink some digestion medicine later. Uu, gefuu......"^\^ textoff se3 22 mbg white,42 se1v 52,100 bg mlib_1bn,0 ld l,FLA_DefA3,0 mcbg 0 print 99,2000,"breakup.dll/llb" ^Flauros returns to the form of a girl, rubbing her belly that was protruding like a watermelon.^\ ^Before long her stomach retracts as she shrugs.^\ cl l,0 ld c,FLA_DefA6,80 langjp^ "I hate to say it but I’m not swallowing y’all whole, so I’ll just be chewing on your soft meat.^\^ For me to get a sour stomach from just swallowing one, even I’ve become old."^\^ ld r,rud_ikaria2,24 langjp^ "A-are we dreaming?! What kind of joke is this...?! Shit, shit!!"^\^ se1v 19,100 wait 200 se2v 19,80 mcl a,0 bg m_door1,23 langjp^ "Is someone out there?! Please open this door!! Open up!!"^\^ bg black,22 ^With the door that would never be opened again as long as the first twilight lasted behind them,^\^ some of the relatives were banging on it while others were in front staring at Flauros.^\ bg mlib_1bn,0 ld c,BEA_waraia1,0 ld r,FLA_DefA1,22 cl c,0 ld l,BEA_futekia2,80 langjp^ "There are five people left. Deal with them swiftly."^\^ ld r,FLA_DefA2,23 langjp^ "Yeah, I know the deal! ‘Cause I’m in the mood for guts, I’ll spill y’all’s warm entrails all over!!"^\^ cl l,0 ld l,rud_akuwaraia2,23 langjp^ "S-spewing such disturbing things......!! Make fun of adults too much and you’re gonna get hurt...?!"^\^ br ^Rudolf is posturing but actually withdrawing his hips while shaking.^\ cl r,0 ld c,FLA_DefA3,4 ^Flauros approaches him in that state while laughing impishly and licking her lips.^\ ld c,FLA_DefA6,80 langjp^ "Your liver looks tasty. ......Here we go, time to grit you teeth!!"^\^ se1 20 bg homing7s,1 wait 500 se1v 36,100 bg blood_1a,1 quakex 4,1000 se2 38 bg blood_1aR,22 ^Contrary to the tone of the girl who had eaten a person, those nails were way too sharp.^\ ;ld c,rud_defa1,80 bg black,5 langjp^ "Ga, ......ga, ha..................,"^\^ bg mlib_1bn,0 ld r,EVA_ikaria2,0 ld c,ROS_aserua1,1 se1 13 quakey 3,800 ld c,ROS_odorokia1,80 langjp^ "Rudolf-niisan......?!?!"^\^ ^Rudolf fell on his knees.^\ se1 35 ^Then, with a thud and a splash, intestines spilled out on the floor from that torn up stomach.^\ mono 2 bg white,5 se2 38 bg blood_1aR,1 quakex 2,200 langjp^ """U-uwaaaaaaaaaaaaaa?!?!"""^\^ bg black,1 mono 0 bg mlib_1bn,0 ld c,fla_defa6,1 se1 20 quakey 2,200 langjp^ "Uwaa, it’s giving such an unappetizing color. Well then, whose bowels shall I open up next!"^\^ se2 20 cl c,1 quakey 2,200 br se2 38 bg blood_2a,1 wait 300 se2 38 bg blood_2c,1 mono 2 bg white,1 quakey 3,300 ^Lines of fresh blood were drawn on the walls several times......^\ bg black,1 mono 0 se2v 1010,50 bg note1,23 ^To abide by the above scenario, the bodies were distorted.^\ ^So that bullet holes are not discovered, whole chunks of their bodies were destroyed.^\ ^Flauros tore into their stomachs, pulled out the entrails and bit them off.^\ ^This was also carefully reproduced.^\ bg black,22 bg mlib_1bn,0 ld l,FLA_defa4a,22 ;ld l,FLA_defa1,80 langjp^ "Middle-aged folks, all of them have such unappetizing guts. ......Uuh, Kinzo is making my stomach grumble. Ugee, I’m gonna throw up."^\^ ld r,GEN_majimea2,24 langjp^ ".........It’s a terrible sight."^\^ ld c,BEA_akuwaraia3,23 langjp^ "Sure is. With the corpses ripped up and organs scattered about like this, this bloodstained first twilight is truly splendid!^\^ Its brutality gives the impression of EVA returning!"^\^ cl l,0 mld l,FLA_defa2,80 langjp^"I didn’t mean to be particularly brutal. It was just me gobbling up the ones I was ordered to.^\^ Well, who am I going to slaughter next?^\^ Because I was locked up in a furnace for a hundred years and bored out of my mind for so long, I’m itching to let loose!!"^\^ mcl a,0 bg mlib_1cn,24 ^It seems like Flauros couldn’t contain the throbbing delight bubbling through her entire body for having been summoned after so long.^\ ^She moaned as she stretched, standing on her hands and feet in an animal-like stance.^\ bg mlib_1bn,0 ;ld l,FLA_defa1,0 ld r,BEA_defa2,22 ;ld r,BEA_defa1,80 langjp^ "I’m sorry, but my ceremony has various rules to follow. Until the following second twilight, your turn on the stage over."^\^ ld l,FLA_defa4,80 langjp^ "Dang, even after I went as far as bathing in a rain of blood. What a serious letdown."^\^ ld r,BEA_akuwaraia1,80 langjp^ "It’s probably because you are always summoned in the middle of a swarm of enemies. I’m truly sorry your first prey after a hundred years is only six people."^\^ cl l,0 ld c,FLA_defa3,80 langjp^ "Well, you see, even though I love all-you-can-eat most of the time, I don’t hate a dignified course meal served in small portions.^\^ Since you have summoned me, I’ll certainly enjoy the Great Beatrice’s full course meal until the very end!"^\^ ld r,BEA_defa1,80 langjp^ "The more you rage and rampage, the more interesting it is to me. I’m also hoping you’ll enjoy yourself."^\^ cl c,0 ld l,FLA_defa1,80 langjp^ "So, shall I be dismissed from my duties until the second twilight then?"^\^ ld r,BEA_waraia2,80 langjp^ "Yes. Until then, feel free to relax."^\^ cl l,24 bg mlib_1cn,24 ld c,FLA_defa3,24 ;ld c,FLA_defa1,80 langjp^ "Alright, I’ll do whatever I want until then. I wanna dash around freely outside, showering in that intense thunderstorm!!"^\^ br ^Flauros stood four-legged as she moaned happily with a stretch, her entire body quivering in delight.^\ mono 2 print 6 ^Her body slowly glowing red, she burnt brightly.^\ ld c,FLA_defa5,80 langjp^ "I am rank 64 of of the 72 Noble Demons, Flauros the Blazing!! I eat it all, burn it all, smash it all!! Maaan, I can't wait until it's my turn again!!"^\^ se3v 61,100 se1v 27,100 se2v 2,100 bg white,0 mono 0 print 1 bg black,2 bg white,28 ^Her bright red light turned to white, and suddenly caused a giant explosion.^\ ^The room splinters, and everything turns white with smoke and dust from the blast.^\ bg black,1 bg white,23 fede 0,1000 me1v 12,70 ;wait 1000 bg mlib_1an,22 ^The inside of the study was swallowed up by a torrent of blistering rain...^\ ^Flauros's explosion broke a gaping hole in the wall of the study.^\ ld c,BEA_defa2,23 langjp^ "My my, you didn't need to be so flashy. I wasn't planning to do something like that, since the idea was that it's supposed to be a closed room murder.^\^ That kind of unfettered destruction of the wall is intolerable."^\^ se1 3 ld r,fla_defa6,26 langjp^ "Nyahhihihihi! I did somethin' bad! I love destroyin' about as much as I love killin'! And I love incineratin' most of all!!"^\^ br se1 9 quakex 1,100 cl r,23 ^As she yells Flauros returned to leopard form and, leaving behind flaming footprints, jumped out into the storm-filled sky.^\ ^From the giant hole in the wall she landed in the middle courtyard, kicking the walls and prancing about until she disappeared into the jet black sky.^\ ^It seems she just couldn't help herself after being separated from the physical world for so long.^\ cl c,0 ld l,BEA_waraia1,80 langjp^ "I see. She's the kind that never bores. *cackle* But still, this is awful."^\^ textoff bg black,0 gosub *rain_on bg garden_1cN,22 wait 2000 bg black,22 bg M_o1aN,2 wait 2000 bg black,22 gosub *rain_off bg black,80 ^The giant gaping hole, the wreckage and dust, and the storm blowing in all made the study quite a wreck.^\ bg blood_1aR,22 ^And of course... the sacrifices with their innards cruelly strewn about.^\ bg mlib_1cn,0 ld c,BEA_akuwaraia1,22 ld c,BEA_akuwaraia2,80 langjp^ "Well, sometimes a bold first twilight like this has its own charm. *cackle*, hahahahahahahahahahaha...!!"^\^ se2v 1010,50 E_MA bgm1 95 mono 1 print 2 ^Then, Krauss and Natsuhi were to be brought to the scene and made full witness to everything.^\ ^So... it would probably be good to get them a bit dusted up.^\ ^Something like having them do one roll on the floor of the trashed up study should do the trick.^\ ^So after the murder of the first six, Beato sneered "aren't you lucky?" at Krauss and Natsuhi who, since the seal had expired, managed to flee out the door...^\^... or something.^\ se2v 1010,50 bg tel1a,22 ^After that, Krauss and Natsuhi rushed to call the police, but for some reason the call couldn't get through.^\ ^The general outline is that they, in a panic, took the servants and rushed to the guesthouse.^\ bg black,22 ld l,kan_defa2,0 ld r,sha_majimea1,22 ^But both Shannon and Kanon can't go together, so this part will have to be done carefully.^\ se2v 1010,50 bg note1,23 ^A simple story would be... Natsuhi and Shannon went on ahead to the guesthouse,^\^ while Krauss and Kanon went to get guns for self-defense but are a bit late coming back.^\ ^Shannon entered the servants's room with the terrified Kumasawa, slipped out through the window, and met up with Krauss.^\ ^Then for a while everyone holed up in the guesthouse.^\ bg g1f_r1bn,0 ld l,but_sakebua2,0 ld c,geo_nakua1,0 ld r,JES_nakua3,22 ^The children of the killed parents will probably want to see the crime scene, or start planning revenge, or find some other reason to leave the guesthouse.^\ ^If they're really persistent, they can once more go to the study.^\ ^Once again it will be auto-locked, but it's not a problem since Genji has a key.^\ bg blood_1ar,22 ^When they see such a bizarre crime scene with a large hole in the wall, they will certainly accept that it couldn't possibly have been done by humans.^\ bg magicsquare_sun7,22 ^It would also be interesting if there was a magic circle at the crime scene that wasn't there before.^\ ^The magic circle could have been prepared several days earlier.^\ bg black,22 bg letter1,22 ^All that's needed is for Krauss and Natsuhi to give false testimony that it wasn't there before.^\ ^And to make it even more inexplicable, Krauss or Natsuhi will carry an envelope that makes a proclamation about the crime...^\^ and it will be 'discovered' in a closed room situation.^\ bg Different_spiral_1a,22 ^This is just an example but, something like having a room that was just confirmed locked with nothing unusual,^\^ but then when it's re-entered there'll suddenly be a creepy letter there.^\ ^It will be impossible to explain it by methods other than magic without doubting Krauss and Natsuhi.^\ ^The first mystery argument in the metaworld can be held here. Without doubting Krauss and Natsuhi there is absolutely no way to see through it.^\ bg key1,22 ^Probably, 'he' won't be able to think of anything outside of something having to do with the lock,^\^ or some kind of location-based trick based off a gap in or around the door or window.^\ bg Different_spiral_1b,22 ^But if this is a closed room in the true meaning, there isn't some mysterious culprit hiding somewhere.^\ ^If I note all these things in red, he'll just give up.^\ ^He can only destroy 'false closed rooms'.^\ ^So, if this room really is a closed room, he'll go as far as 'it's magnificent' and stop thinking.^\ bg black,0 fede 0,1000 mono 0 print 22 se2v 1010,50 bgm1 62 bg book1,23 ^Certainly, in Carr's closed room taxonomies...there are listed many false closed rooms made to appear like true closed rooms.^\^ They provide enjoyment with tricks, and when thinking of how to entertain with mystery novels,^\^ it's true that a tangibly identifiable "answer" which can be reasoned out is convenient.^\^ It's why we can say that there's no helping the vast majority of mysteries from overflowing with false closed rooms.^\ ^But, incidentally, this also makes for a limit on the thinking involved in the majority of closed rooms.^\^ Don't misunderstand, I'm not criticizing false closed rooms.^\^ What I am criticizing is a section of narrow-minded humans who, because they only know false closed rooms,^\^ think it's the one and only kind of closed room mystery.^\ se2v 1010,50 bg note1,23 ^However, we mustn't forget that in Carr's closed rooms taxonomies there are also descriptions of methods for murder in true closed rooms, too.^\ ^In fact, if guaranteed a perfect closed room in red, it becomes easy to reason based on H. H. Holmes's closed room taxonomies.^\ ^(Because saying Holmes is a little confusing, let’s use his real name, Boucher, from now on)^\ se2v 1010,50 ^According to Boucher, closed room murders can be explained with three categories.^\ ^To make these categorizations extremely clear, I've written them below.^\ se2v 1010,50 ^Listed are: The crime was committed before the closed room was constructed,^\^ it was committed while the closed room was up,^\^ or it was committed after the closed room had been destroyed.^\^ It's only these 3 categories.^\ bg black,22 ^If red truth says it's impossible to do it while the closed room was up,^\^ then it would be natural to think the crime was committed before the closed room's construction or after its destruction.^\ ^If we are to use an incident of the sudden appearance of a letter as an example, then the letter was placed before the closed room, or after the closed room;^\^ it's just these 2 categories.^\ ld r,gen_defa1,22 ^With the former, you can doubt the person who confirmed its absence when they locked the room.^\ ld l,kla_defa1,22 ^With the latter, you can doubt the person who discovered the letter.^\ bg Different_spiral_1a,22 ^In other words, if you base your thinking by Boucher's closed room taxonomies,^\^ you can immediately suspect that someone in the Ushiromiya family is cooperating with the culprit.^\ ^And it should be clear as day who is more suspicious between the children from the guesthouse who confirmed the closed room,^\^ and the lone survivors of the previous tragedy, Krauss and Natsuhi,^\^ who claimed to "have been witness to a demon summoned by a witch killing their relatives".^\ ;se2v 1010,50 bg sweet2,22 ^For those who see this world as a mystery, they won't be caught by this kind of deception.^\ ^Because to declare "I saw a witch, I saw magic, I saw demons" in this world is the same as confessing to being bought out to play a part in the culprit's ruse.^\ bg different_space_2d,22 ld c,bea_majimea1,22 ld c,bea_futekia1,22 ^What a simple and cheap mystery.^\ ^Probably, for those people who love to read the mystery novels that I have boundless respect for, they'll see right through it with a big laugh.^\ ^To witness demons, even with a brazen display of fantasy as "proof", is to mystery the height of satire; it will no doubt get laughs.^\ ^Though even if I think so...^\ bg sweet1,22 ^About 1 in 1000 people might seriously believe that a witch summoned a demon.^\ ^Only for that rare person can my world of fantasy be sufficient.^\ bg black,22 ^Excuse the digression.^\ ^So anyway, I will confuse the foregoing matter using the metaworld, decorating it with the preface of an incomprehensible incident.^\ se2v 1010,50 bg note1,23 ld c,sha_defa1,22 ^The target of the upcoming Second Twilight will be Shannon.^\ ^We'll have it so the women will go up to the guesthouse's second floor while Krauss and the servant men fortify the first floor.^\ ld r,geo_defa1,22 ^And so, Shannon and George can be together.^\ ^George will probably attend to the frightened Shannon.^\ ^These two 'close' ones will be the target of the Second Twilight.^\ se2v 1010,50 ^This time I will go with a nuance of 'tearing apart those who are close' of tearing apart their relationship with an abduction.^\ bg g1f_p1bn,0 ld c,geo_majimea3,0 ld r,sha_majimea1,22 wait 500 cl r,3 ^After going to the servants' room on the first floor, Shannon will head to the bathroom. Naturally, George will come too.^\^ But of course, he can't go inside the girls' bathroom. He'll wait at the entrance.^\ bg se1f_s1cn,22 ;ld c,sha_defa1,22 ^This is not a single person bathroom, but a bathroom made for use in the guesthouse, designed large enough to serve several people at once.^\ ;bg white,1 bg mbat_2a,0 ld c,sha_fumana1,22 cl c,3 ^At this point Shannon will exit through the window in the back of the bathroom.^\ ld c,KUM_defA1,24 ^Then, Kumasawa will enter.^\ cl c,0 se1 31 ld r,KUM_WaraiA2,23 ^And she will lock the window Shannon escaped through.^\ cl r,0 ld c,KUM_odorokia1,80 ^Then she'll scream to announce some kind of incident.^\ E_A bg black,22 bgm1 31 ;ld c,GEO_defa1,23 langjp^ "Wh, what's wrong Kumasawa-san?! I'm going to open the door!!"^\^ se1v 16,100 bg white,1 bg black,23 bg mbat_2a,0 ld c,KUM_komaruA1,22 ld r,geo_ikaria1,24 ^George opens the door to the girls' bathroom.^\ ^When he does, Kumasawa's face will be pale and she will be pointing to a stall, shaking.^\ cl c,0 ld l,kum_odorokia1,80 langjp^ "Hiii, hii-iiiii...!!!"^\^ ld r,geo_ikaria2,80 langjp^ "What happened...!?^\/ cl r,0 mld c,geo_ikaria4,80 ^ Shannon! Shannon!!"^\ br ^Shannon went into the girls' bathroom before Kumasawa. Kumasawa's scream had conveyed only that something strange had happened to Shannon.^\ mcl c,0 bg black,23 ^Fearing the worst, George peered into the stall that Kumasawa was pointing at.^\ ^There was... pasted thickly... in red...^\ bg magicsquare_sun7,22 ^A creepy magic circle drawn on the wall in blood-like paint...^\ bg mbat_2a,0 ld r,geo_majimea5k,22 cl r,0 ld c,geo_ikaria2,80 langjp^ "Wh-what is this...!? Shannon! Where's Shannon!?"^\^ ld r,kum_odorokia1,24 langjp^ "I-I don't know...!"^\^ cl c,0 ld l,geo_ikaria4,24 langjp^ "That's impossible!! Shannon went in right before you, so why isn't she here!? Shannon!? Shannon!?!?"^\^ bg black,22 se1v 16,100 wait 500 se2v 16,100 ^There were three stalls, but all of them were empty.^\ ^There was only one entrance. And since George had been there the whole time, right now, Shannon should be somewhere in the bathroom.^\ gosub *rain_on bg g_o1cn,22 ^It's hard for George to imagine, but she could have left through the window in the back.^\ ld c,sha_defa1h,22 ^However, it's locked from the inside, and he can't understand why Shannon would need to crawl out a window in the first place.^\ bg black,22 gosub *rain_off bg Different_spiral_1a,28 ^It makes no sense. This couldn't happen.^\ ^Shannon suddenly disappeared and was exchanged for a creepy magic circle...!!^\ bg mbat_2a,0 ld c,kum_komarua1,23 ld c,kum_odorokia1,80 langjp^ "G-George-sama... um... there's one of the Head's envelopes..."^\^ br bg letter1,22 ^On the toilet in the stall with the magic circle... there was a mysterious envelope... just like the last tauntful envelope from the witch.^\ ;bg toi_1a,0 ;ld c,geo_defa1,22 langjp^ "It can't be... this is... this is...!!"^\^ br se1 12 bg mbat_2a,0 ld c,geo_ikaria3k,1 quakey 1,100 ^George picked up the envelope and violently tore it open.^\ ld c,geo_ikaria1,80 ^Seeing only a single short sentence... as if assaulted by a wave of dizziness, George staggered into a wall, collapsing against it.^\ bg black,22 ^'On the Second Twilight tear apart the two who are close'^\ se2v 1010,50 bg note1,23 ^Since I'd like to make Flauros the one responsible, I want to leave some Flauros-style evidence.^\ ^Something like having the stall be hideously burned. Or having traces of an explosion from inside it.^\ ^Once again they'll find weird evidence that they'll think clearly could not be produced by human means.^\ ^Regarding this, it could all be prepared with leisure before the incident.^\ se2v 1010,50 bg note3,23 ^The only problem is the possibility that one of the women would come in to this bathroom beforehand.^\ ^For that, the day before the incident the bathroom on the first floor of the guesthouse could be 'out of order',^\^ so it would be necessary to instead use the bathrooms of the various bedrooms.^\ bg black,22 ^This itself can also be interesting as foreshadowing for the trick.^\ ^Or if the flashy decorations were something that couldn't be noticed from the outside,^\^ having the stall's door closed would be all that's needed to keep guests from seeing them.^\ bg magicsquare_sun7,22 ^Then later thinking back, they can realize that no one was supposed to be in stall during that time, and that door was closed. ^\^...So then, who closed that door? If they reason from "no one was supposed to be there",^\^ they should be able to figure out that the magic circle was prepared beforehand.^\ ^I hope to have a lot of fun debating the Second Twilight.^\ se2v 1010,50 bg note3,23 ld l,kum_defa1,0 ld r,sha_defa1,22 ^It shouldn't be hard to suspect Shannon and Kumasawa here.^\ ld c,nat_defa1,22 ^We'll have a scenario where Natsuhi comes to this conclusion and accuses the servants. This is a mystery novel gimmick.^\ ^I'll use the readers' expectations, that the reasoning coming from the other characters is usually wrong, against them.^\ bg black,22 ^By having Natsuhi say something is "right", it will cause them to think it must not be so; it's a confusion technique.^\ se2v 1010,50 ld l,nat_ikaria1,0 ld r,kla_majimea1,22 ^So after that, Natsuhi and Krauss will level suspicion at the servants.^\ ^Of course George and the others will object. They will insist that the servants are victims caught up in the same situation as everyone else.^\ bg note3,22 ^After the discourse between Krauss and the servants, the decision will be to hunker down in two separate groups: a relatives group and a servants group.^\ ^The relatives group will stay in the guesthouse and the servants group will go to the mansion.^\ ld c,geo_ikaria2,22 ^In the middle of all this, George will probably insist on looking outside for Shannon.^\ ^He can look as much as he wants. He'll probably be quickly chilled to the bone in the thunderstorm, give up, and return to the guesthouse.^\ bg mhal_2cr,22 ^Following that, all the servants will have a meeting together in the mansion.^\ ^They'll reaffirm what their next actions will be.^\ bg map10,22 ^The Fourth, Fifth, Sixth, Seventh and Eighth Twilights will be a closed room chain.^\ ^The feature of closed room chains is that the corpses will be found in a chosen order.^\^ As long as the first closed room is on the first floor and the others on the second floor or higher,^\^ or in places outside the mansion, I can control the flow of their investigation.^\ se2v 1010,50 bg white,1 fede 0,1000 gosub *rain_on me1v 12,70 bg m_o1ar,22 wait 2000 bg black,22 gosub *rain_off bg black,22 bg Mhal_1an,22 ld c,BEA_nayamua1,22 ld c,BEA_waraia2,80 langjp^ "Servants. Your turn has finally come."^\^ br ^Beatrice gathered all the servants to the mansion's banquet hall to make this pronouncement.^\ bg Mhal_1bn,0 ld l,kan_nayamua1,0 ld c,gen_majimea2,0 ld r,sha_fumana1,22 wait 1000 bg Mhal_1bn,0 ld c,GOH_komarua1,0 ld r,kum_komarua1,22 ^Genji, Shannon and Kanon's expressions were calm, but Kumasawa and Gohda's weren't.^\ ld r,kum_odorokia1,80 langjp^ "...Is it really going well? I'm worried..."^\^ cl c,0 ld l,GOH_komarua3,80 langjp^ "Since I've never done something like this, I'm not sure whether I'm enjoying myself or I'm nervous... haha... haha..."^\^ br ^Gohda feigned laughter while trying to appear composed.^\ bg black,22 ^They don't know.^\ ^They don't even know that Eva and the others are really dead.^\ ^This is Beatrice's deception, made possible by her discovery of the gold.^\^ They believe the five victims were also paid off, and that they're just playing dead.^\ se2v 1010,50 bg white,1 bg note1,23 ^Excluding exceptions like Genji, most bribees are easier to manage if they aren't informed that they're involved with murder.^\^ It's case-by-case, though.^\ se2v 1010,50 bg white,1 bg black,23 bg Mhal_1an,0 ld c,BEA_defa2,22 langjp^ "Alright, now everyone move to your respective rooms. Playing dead can be surprisingly tiring, you know.^\^ Lie in a position that won't wear you out. *cackle*"^\^ bg black,22 fede 0,1000 *check_0001 bgm1 9 bg m1f_s1cn,0 ld l,kan_defa2,22 ^Kanon in the parlor. ^\/ bg Mvip_1an,0 ld c,gen_defa1,22 ^Genji in on the 2nd floor, the VIP room. ^\/ bg MNAT_2bn,0 ld c,kum_komarua1,22 ^Kumasawa on the 2nd floor, Natsuhi's bedroom. ^\/ bg G1F_R2aR,0 ld c,GOH_majimea1,22 ^Gohda on the 3rd floor, waiting room. ^\/ bg cha_i1f,0 ld c,sha_majimea1,22 ^Shannon in the Chapel. ^\ bg black,22 ^They all go to their respective murder scenes and do their make-up. ^\ ^From the Fourth Twilight on they are all 'gouge and kill'. ^\ ^They are all to be gouged with some Seven Stakes of Purgatory. ^\ bg m1f_p1cn,22 ^Everybody attends to their separate tasks in their separate rooms. ^\ bg Mvip_1an,0 ld c,gen_defa1,22 ^Genji is composed. ^\/ bg m1f_s1cn,0 ld l,kan_defa1,0 ld r,sha_fumana1,22 ^So are Shannon and Kanon. ^\/ bg G1F_R2aR,0 ld c,GOH_komarua1,22 ^Gohda is nervous that his faking will be found out. ^\/ bg mnat_2er,0 ld c,kum_defa2,22 ^Kumasawa is over that, and is starting to look forward to putting on the show. ^\ ld c,kum_waraia2,80 langjp^ "Hohhohohoho... I've played a lot of pranks, but this is the biggest one I've ever done."^\^ br ^Kumasawa was chuckling in Natsuhi's room.^\ ld r,BEA_nayamua1,24 langjp^ "Is everything ready, Kumasawa?"^\^ cl c,0 ld l,kum_waraia1,80 langjp^ "Oh, Beatrice-sama. As a servant it just doesn't feel right to lie on Madam's bed."^\^ ld r,BEA_fukigena2,80 langjp^ "Lies. I know that while making it you've wanted to jump on it once or twice."^\^ ld l,kum_waraia2,80 langjp^ "Hohhohohohoho. That is what Beatrice would say."^\^ ld r,BEA_akuwaraia1,80 langjp^ "Okay, time to start the make-up. Lie down."^\^ ld l,kum_defa2,80 langjp^ "Alright..."^\^ br cl l,0 cl r,0 ld c,kum_defa2,3 ^Kumasawa takes off her shoes and starts to get on the bed.^\ ld l,BEA_waraia1,80 langjp^ "Hey, hey. Does a corpse go and take off her shoes? You should keep them on."^\^ ;cl c,0 ld c,kum_waraia2,24 langjp^ "To get on Madam's bed while wearing shoes feels like something really I shouldn't do. Hohohoho."^\^ bg mnat_2ar,26 ^Kumasawa climbed onto the bed with her shoes on.^\ ^Beato opened up her make-up bag.^\ ;ld c,BEA_futekia2,80 langjp^ "Have you found a comfortable position? You'll get tired from holding the same pose for a long time even if you are laying down."^\^ ;ld c,kum_waraia2,80 langjp^ "Yes, I know. But this is for a hundred million yen. Hohhohohohoho."^\^ bg letter1,22 ^Each of the servants is getting a hundred million yen.^\ ^...In fact, it's already been sent.^\ bg black,22 ^In a few days bank keycards should arrive at their homes.^\ ^They won't be able to receive them, but their bereaved families can. It will be more than enough for their funeral costs......^\ bg mnat_2bn,0 ld c,kum_defa1,22 ld c,kum_defa2,80 langjp^ "Something like this?"^\^ br cl c,25 ^Kumasawa had been stirring restlessly, but it seems she finally found a comfortable pose.^\ ^It really looked like she had been killed.^\ ld r,BEA_akuwaraia5,24 langjp^ "Good. That looks right. You definitely have a knack for fooling people."^\^ ;ld c,kum_waraia2,80 langjp^ "Hohhohhohho. I couldn't possibly compare to Beatrice-sama and her grand show."^\^ cl r,0 ld c,BEA_defa2,24 langjp^ "I'm going to start the make-up. Hold still for a minute... No, actually you're already a corpse, so don't move for an even longer while."^\^ ;ld c,kum_defa2,80 langjp^ "Once Doctor Nanjo visits I'll be able to rest, right?"^\^ cl c,0 ld l,BEA_waraia1,80 langjp^ "Right. After Nanjo does his autopsy, the room will be sealed until the police arrive. When that happens, you'll be able to rest a bit.^\^ But you'll still be a corpse. You can't make any loud noises."^\^ se1 3 mld c,kum_waraia1,26 langjp^ "Yes, of course not... Sooo, I should hide this."^\^ br mcl c,25 ^Kumasawa hides her half-read book and bag of smoked squid under the bedsheets.^\ ld l,BEA_fukigena1,80 langjp^ "My, aren't you well prepared? Careful not to relax toooo much. Don't forget that if for some reason the show is a failure, there will be no reward."^\^ ;ld l,kum_defa1,80 langjp^ "Of course. I'll be careful. Ohhohohohoho......"^\^ br ^During their light banter, Beatrice had pulled a stake from her tool bag.^\ ^Kumasawa is to be gouged and killed with this stake.^\ bg blak,22 fede 0,1000 bg mjes_1e,26 me1v 12,70 ;ld c,BEA_defa1,80 langjp^ "......Fuumu... Sorry but could you go face-down? The face-up pose just isn't working."^\^ ;ld c,kum_defa1,80 langjp^ "Face-down, is it? I can do that but, I think it might be hard to breathe..."^\^ ;ld c,BEA_defa1,80 langjp^ "Face-up I somehow easily sense that you're just sleeping. Could you just test laying face-down out for a minute? Just a test."^\^ ;ld c,kum_defa1,80 langjp^ "Is that so? Then I'll try it..."^\^ bg black,5 ;ld c,BEA_defa1,80 langjp^ "Will it be difficult to hold that pose for a long time?"^\^ ;ld c,kum_defa1,80 langjp^ "For the time being I can manage somehow... Hohoho, I hope the autopsy comes soon."^\^ ;ld c,BEA_defa1,80 langjp^ "I see, I see. Stay like that for now. I'm going to adjust your clothes a bit. Without the clothes set up right there's no realism."^\^ bg Different_spiral_1a,22 ^Beato takes a tool from her bag.^\ ^It's a twirled up, light extension cord.^\ bg mnat_2cn,0 ld c,BEA_defa1,22 langjp^ "Sorry, I'm adjusting them now. Stay just like that."^\^ ;ld c,kum_defa1,80 langjp^ "Yes, yes."^\^ ^She coiled the extension cord around Kumasawa's neck, cross-lacing it from behind.^\ ^Once the leftover in each hand had gotten short, Beato flumped herself onto Kumasawa's back, straddling her.^\/ E_A bg black,25 bg mnat_2f,1 se1 13 quakey 3,300 bgm1 57 ^Suddenly, Kumasawa's throat tightened.^\ ^And she could neither breathe nor scream.^\ bg black,6 ^That extension cord wrapped around her neck... was making a crackling sound... from strangling her.^\ mono 2 bg white,26 ^Kumasawa looked like she was doing the flutter kick in the pool, her heavier kicks occasionally pounding the bed.^\ se1 13 quakey 3,300 ^And straddling on top was Beatrice... in complete silence, as if she thought Kumasawa some kind of a bug or rodent, mercilessly wringing her neck.^\ ^...The scene looked just as if a giant golden spider had captured some pitiful prey and was injecting it's poison.^\ ^And along with that, the canopy bed must also appear to be made from this strange spider's silk.^\ ^This web would sometimes suddenly quiver... from Kumasawa's feeble resistance.^\ bg black,5 mono 0 bg mnat_2cn,22 ld c,BEA_hanbesob3,6 ^And finally, Beatrice slowly got off of Kumasawa's back.^\ ^Kumasawa didn't move a muscle...... She was dead.^\ ld c,BEA_va1,22 langjp^ "Sorry, Kumasawa. Your gouge is in the leg. To kill you by stabbing you there would be unnecessarily painful."^\^ se1 30 ^Done with her strangling tool, Beato next grabbed her nearby rifle.^\ se2 46 ^And then aiming at Kumasawa's calf, she pulled the trigger.^\ ^The gunshot wasn't loud... it wasn't like the 'bang' sounds from TV or the movies.^\ ^At most, it was as loud as uncorking a champagne bottle.^\ se3 35 bg black,22 mono 2 bg white,8 ^But then a splot of blood flies, and there's blood oozing out of the discomforting hole as it's being fished into.^\ ^This time she was digging into it tweezers in hand.^\ ^She picked out the bullet that was just shot into the calf.^\ bg black,22 mono 0 bg mnat_2cn,22 ld c,BEA_nayamua1,26 ;ld c,BEA_defa1,80 ^Then she unceremoniously tossed it into a small vinyl bag she had in her larger tool bag.^\ ^Already stored in that vinyl bag were several stained bullets......^\ ld c,BEA_futekia2,80 langjp^ "The placing for the Fourth and Fifth Twilights can be taken care of with just a gun, but it get's more troublesome as the Twilights go on.^\^ Sooo... if the large Gohda isn't killed on the Fourth or Fifth Twilight it will lead to problems."^\^ se1 20 bg white,1 bg black,25 ^Then, taking the stake... she put the tip into the bloody, still-oozing hole in the calf.^\ se2 38 ^Using her body weight, she carefully pressed it deeper.^\ bg mnat_2an,22 ^When she let up, the stake was cleanly plunged into the calf.^\ ^She was still a bit worried that it might fall out before it's discovered, but it should still be enough to sustain the idea of sacrifices being gouged with stakes.^\ bg bite,22 ^And now Kumasawa, Gohda, and Genji have been killed.^\ se2v 1010,50 bg note1,23 ^As for Kanon, who will be the first discovered, he can't die yet because he still has work to do.^\ ^He will take his time drawing magic circles on the doors of all the crime scenes.^\ bg cha_i1m,22 ^The chapel is far from the mansion, so it won't be searched first.^\ ^There are four scenes to find in the mansion, but they'll have to break a window to get in since they're all locked.^\ bg black,22 ^Other than the parlor, all the locations are on the second floor or higher, so it's nearly certain that the first scene they'll set foot in will be the parlor.^\ ld c,kan_nayamua1,22 ^In the parlor, Kanon will be dead, and in his pocket a master key.^\ bg letter1,22 ^And in the room will also be a letter from the witch that includes the key to the next room.^\ ^The survivors will end up finding the corpses in following with the dictated route.^\ bg map10,22 ^Under the pretense of preserving the room to hand over to the police, the crime scenes will be insulated from possible investigation.^\ ld l,nat_nayamua1,0 ld c,nan_komarua3,0 ld r,kla_nayamua2,22 ^Natsuhi will restrain the children, and Doctor Nanjo and Krauss will be the only ones who go near the corpses.^\ ^Jessica might want to run up to Kanon's corpse, so she'll require special attention.^\ se2v 1010,50 *check_0002 bg black,22 fede 0,1000 ;gosub *rain_on ;me1v 12,70 bgm1 124 wait 2000 bg m1f_s1bn,0 ;-------------------ゲストハウス内背景追加部分------------------- ld c,JES_nakua1,22 ld c,JES_nakua3,80 langjp^ "Kanon-kun! Kanon-kun!! Uwaaaaaaaaaa!!"^\^ ld r,nat_ikaria1,23 langjp^ "Jessica! Calm down!! Don't look! Don't come in!!"^\^ mld l,but_nakua2,23 langjp^ "...Un... believable... Damnit......"^\^ mld c,geo_ikaria3k,23 langjp^ "With this... The suspicion that the servants might be culprits has been cleared up. ...Hasn't it, Natsuhi-obasan?"^\^ br ^George sent a cold glare at Natsuhi, who had suspected the servants and driven them from the guesthouse.^\ mcl a,0 bg black,22 ;gosub *rain_off bg m1f_s1an,0 ;-------------------ゲストハウス内背景追加部分------------------- ld l,nan_komarua1,0 ld c,kla_komarua1,22 ld c,kla_nayamua3,80 langjp^ "How is it, Doctor Nanjo...?"^\^ ld l,nan_majimea2,80 langjp^ "...I can't tell the exact cause, but I can tell he's dead... One thing certain is that this isn't the fatal wound."^\^ bg m1f_s1dn,22 ;-------------------ゲストハウス内背景@天井 追加部分------------------- ^There was an awful wound on Kanon's knee, and a bloodied stake on the floor.^\ ^There's no doubt that the culprit pierced Kanon's knee.^\ ^But, it's hard to think that stabbing a knee would lead to death. ^\^...He was probably killed another way, but Nanjo couldn't grasp what it was with a cursory examination.^\ bg m1f_s1an,0 ;-------------------ゲストハウス内背景追加部分------------------- ld c,kla_majimea1,22 langjp^ "In any case, we should tread lightly at the crime scene, for the police. If we carelessly disturb it it could hinder their investigation."^\^ ld r,nan_komarua1,80 langjp^ "...I agree. We should probably not do anything more in this room... More importantly, I'm concerned about the key to the VIP room that was inside the envelope."^\^ cl c,0 ld l,kla_nayamua2,80 langjp^ "...I don't want to think about it... but the VIP room might also..."^\^ ;mcl c,0 ;ld c,kla_defa1,0 cl r,0 ld c,nan_komarua3,80 langjp^ "............Let's go see."^\^ ;cl c,0 ld l,kla_komarua2,24 langjp^ "...What is all this... If we hadn't driven them from the guesthouse......"^\^ br mcl a,0 bg m1f_s1dn,26 ;-------------------ゲストハウス内背@天井 景追加部分------------------- ^Krauss hung his head and pulled at his hair.^\ ;ld c,kla_komarua3,80 langjp^ "Sorry, Kanon... for not being able to trust you and the others... To be unable to trust in my subordinates... I have no right to stand above other people."^\^ bg black,22 gosub *rain_on se1v 27,100 bg white,0 mono 0 print 1 bg black,2 mono 0 bg m_o1ar,22 wait 2000 bg black,22 gosub *rain_off bg mhal_2ar,0 ;-------------------ゲストハウス内背景追加部分------------------- ld r,mar_defa1,0 ld c,JES_nakua2,22 ld c,JES_odorokia1,80 langjp^ "Kanon-kun had a stake in... the knee...?"^\^ ld r,mar_akuwaraia1,80 langjp^ "Kihihihihihi. It's the seventh twilight. Gouge the knee and kill."^\^ ld l,geo_ikaria1k,23 langjp^ "......Which means... what about the fourth, fifth, and sixth twilights? ...Doesn't it mean that at least 3 other people have already been killed...?"^\^ ld c,but_aserua3,24 langjp^ "C-Calm down George-aniki... We still don't know that for sure..."^\^ cl l,0 mld l,geo_ikaria2,80 langjp^ "Kr, Krauss-ojisan, let's hurry to the VIP room!"^\^ ld r,kla_komarua4,24 langjp^ "R-Right. But just a minute. We can't leave the broken window this way. Let's close the shutter..."^\^ mcl a,0 bg m2f_p1br,0 ;-------------------ゲストハウス内背景追加部分------------------- mld c,JES_nakua3,23 langjp^ "Kanon-kun... Kanon-kun... ...Mom, can I at least... see his face one last time...?"^\^ ld r,nat_nakua3,24 langjp^ "I understand how you feel... but we won't disturb the crime scene any further.^\^ ...We have to leave it as is to insure they find the culprit. So from now on just hope... for his happiness in the next world..."^\^ mld c,JES_nakua1,80 langjp^ "Uuuuuuuuu..............."^\^ mcl c,0 bg black,22 gosub *rain_off se2v 1010,50 bg note1,23 ^And so they all head to the second floor's VIP room.^\^ Then to Natsuhi's bedroom.^\^ Then to the third floor's waiting room.^\ ld l,kan_defa2,22 ^Meanwhile, Kanon quietly sneaked out of the parlor.^\ ^He's got a master key, so he can just leave straight out the door and then lock it.^\ ^Kanon's even got two master keys, so he can leave one behind in the parlor.^\ ^Of course there is a concern that after the epitaph murders are complete, the crime scenes will all be investigated again.^\ bg chess1,22 se2v 1010,50 ^For that situation, so that Kanon's missing corpse won't create an inconsistency, the culprit will have to perform some action that takes Kanon's corpse away.^\ ^Kanon's disappearing corpse can become the trigger for another mystery.^\ bg black,22 ^Kanon headed towards the chapel, and met Shannon.^\ ^Then he gave his master key to Shannon.^\ se2v 1010,50 bg black,0 gosub *rain_on fede 0,1000 bg cha_o2bn,22 wait 2000 bgm1 110 bg cha_o2an,0 ld c,kan_majimea1,0 ld r,sha_fumana1,24 ld r,sha_majimea2,80 langjp^ "...Thank you. How was the assignment?"^\^ cl c,0 ld l,kan_komarua1,80 langjp^ "I was just sleeping. It was a lot easier than carrying sacks of fertilizer."^\^ mld c,BEA_futekia1,23 langjp^ "Good work, Kanon. With this, your role is over."^\^ mcl c,0 bg black,0 bg cha_o1an,22 ld c,kan_fumana2,22 langjp^ "...Thank you, Beatrice-sama. ...Bye, neesan. See you on the next gameboard."^\^ textoff cl c,0 ;mcl c,0 ld l,kan_waraia1,80 ld r,sha_majimea3,22 langjp^ "Okay, see you on the next gameboard."^\^ ;mcl l,0 ;mcl r,0 ;cl c,0 ld r,sha_fumana1,0 ld l,kan_nayamua1,80 mld c,BEA_nayamua1,80 wait 1000 mld c,BEA_majimea1,80 wait 1000 se1 56 cl l,99,2000,"breakup.dll/llb" ^Beatrice waved her cane, and then Kanon's body sublimated into mist and disappeared into her smoking pipe.^\ mcl c,0 ld l,BEA_akuwaraia1,24 langjp^ "Alright. So you are to decorate the last link of the closed room chain."^\^ ld r,sha_majimea1,80 langjp^ "Yes, I shall."^\^ ld l,BEA_futekia1,80 langjp^ "I will grant you the Fourth Twilight. It's a very merciful twilight."^\^ ld r,sha_majimea2,80 langjp^ "Thank you very much."^\^ bg black,22 gosub *rain_off bg cha_i1d,22 ^Beatrice and Shannon entered the chapel.^\ ^The gloomy, solemn chapel was engulfed in nothing but the sound of wind and rain.^\ ld l,sha_defa1,23 langjp^ "...Where would be good...?"^\^ ld r,BEA_defa2,23 langjp^ "How about behind the altar?"^\^ ld l,sha_waraia3,80 langjp^ "Understood."^\^ bg cha_i1n,22 ^Between the stained glass and the altar in front of it there was a crevice no more than 20cm wide.^\ ^The crevice was sufficiently deep.^\ ^Shannon had in advance prepared a metal dumbbell tied to a rope.^\ bg black,25 bg cha_i1l,26 ^And then she carefully tested hanging and lowering the dumbbell into the crevice.^\ ^It went in with no problems. The weight of the dumbbell was ideal.^\ bg cha_i1h,0 ld r,sha_fumana1,22 ld l,BEA_nayamua1,23 ld l,BEA_defa2,80 langjp^ "How is it? Any problems?"^\^ ld r,sha_tokuia1,80 langjp^ "No, it's fine. There are no problems."^\^ ld l,BEA_waraia1,80 langjp^ "Then let's start the stake make-up. It's pretty hard to stain your stake with real blood, after all."^\^ bg cha_i1e,22 ^She pulled some painting materials out of her bag.^\ ^It's the exact same stuff used in movies and the like.^\ ^And so she applied blood make-up to the end of the stake.^\ bg cha_i1n,0 ld l,BEA_akuwaraia1,22 langjp^ "A cinch. How are your preparations?"^\^ ld r,sha_fumana1,24 langjp^ "Yes, I'm ready."^\^ ^Shannon tied a pistol to the end of the rope opposite to that of the dumbbell.^\ ^And then she carefully lowered the dumbbell into the crevice between the altar and the stained glass window.^\ bg black,22 ^The middle of the rope was caught against the corner of the altar.^\ ^Since the dumbbell was heavier than the pistol, as soon as she lets go, everything, gun and all, will be pulled into the crevice.^\ bg cha_i1n,0 ld r,BEA_nayamua1,24 langjp^ "Does it look like it'll fall in?"^\^ ld l,sha_waraia1,80 langjp^ "Yes. There are no problems."^\^ ld r,BEA_majimea1,80 langjp^ "......Good."^\^ cl l,0 ld c,sha_defa1,3 ^Shannon hands the pistol tied to the rope to Beato.^\ ld r,BEA_defa1,80 ^And Beato hands Shannon the fake-bloodied stake.^\ cl c,0 ld l,sha_defa1,24 ^Shannon gently presses the stake against her own forehead.^\ se1 43 ^Beato also puts the pistol to Shannon's forehead, aligning it with the stake.^\ ld r,BEA_housina2,80 langjp^ "You have no regrets?"^\^ ld l,sha_fumana1,80 langjp^ "...None. It is all as Beatrice-sama wills."^\^ ld r,BEA_majimea4,80 langjp^ "It's too bad. ...If someone had solved the mystery of the epitaph... You would have finished alive."^\^ ld l,sha_defa1h,80 langjp^ "There's no way such an incomprehensible mystery could be solved. ...From the beginning it was a bet without any hope for success."^\^ ld r,BEA_fumana2,80 langjp^ "Yet I still bet. ...because if the miracle were granted, it would truly be magic."^\^ cl l,0 ld c,sha_waraia1h,80 langjp^ "......In this world of toxin-filled humans, there is no magic nor miracles.^\^ ...Beatrice-sama, as the one true witch...... You are the only existence that can bring magic to this world."^\^ ld r,BEA_fumana3,80 langjp^ "That's right. ...I am the Golden Witch, Beatrice. Rest in peace.^\^ The next time you awaken, it will be in The Golden Land. Your beloved George will also be there."^\^ cl c,0 ld l,sha_tokuia1,80 langjp^ "...Thank you very much, Beatrice-sama..."^\^ bg black,5 ;目を閉じるイメージ ; wait 1000 E_A se1v 46,100 se2v 36,100 se3v 2,100 bg white,1 bg cha_i1l,6 me1v 12,70 ^It was a weak sound but, inside the chapel where only wind and rain could be heard, there was a faint echo.^\ se1 13 ^And Shannon was tranquilly looking up at the ceiling... then she suddenly collapsed like a puppet that had lost its strings.^\ se1 20 bg white,1 bg black,26 ^Beato lets go of the pistol in her hand.^\ se2 39 ^And when she does, the pistol, pulled by the heavy dumbbell, is swiftly swallowed up by the darkness of the crevice, disappearing with a heavy metallic clank.^\ ^All that's left in front of the altar is Shannon's body with a bloody forehead, and, strewn on the floor beside her, a stake for gouging sacrifices.^\ fede 0,3000 bg cha_i1l,22 bgm1 41 ld c,BEA_futekia2,22 langjp^ "...Indeed. This way it looks as though the stake came out of her forehead when she fell."^\^ se1 22 bfly1 11 ^And with another wave of her cane golden butterflies took the shape of an envelope placed next to Shannon.^\ ^Inside was entrusted the first key, the key to the parlor.^\ cbfly 0 bg black,0 bg map10,22 ^And so the closed room chain is complete.^\ ^The five people all with the their master keys and the keys to each successive room, were all locked up in their own closed rooms.^\ se1 22 bg letter1,22 ^With another wave of her cane, she left a letter inside the envelope.^\ ^It said something about Kanon's corpse being borrowed as another sacrifice for the ceremony.^\ ^And so they'll rush back to the parlor.^\ bg Different_spiral_1b,28 ^But at that time the parlor will have long been completely empty. They'll never be able to see the face of Kanon's corpse again......^\ bg black,22 gosub *rain_on bg cha_o2an,22 ld c,BEA_nayamub1,23 ld c,BEA_va1,23 langjp^ "Okay... with this, the epitaph murders are over. What do you think, Battleeer? I hope you enjoy my mystery.^\^......*cackle*cackle* Hahhahhahahahahahahahaha!!!"^\^ se1v 27,100 bg white,1 bg black,2 gosub *rain_off wait 2000 se1v 1010,50 bg white,1 bg note1,0 ld l,kan_defa1,0 ld r,fla_defa1,23 ^For the story concerning the disappearance of Kanon's body, I'll attribute it to the already summoned Flauros.^\ ^Even after Kanon's death, his soul will battle against Beatrice and Flauros.^\ ^But it will be all useless. He'll lose, and what's more, his corpse will be swallowed up by Flauros. Something like that.^\ bg black,22 ^And with that, this game's epitaph serial murder incident comes to a close.^\ ^All that's left is to rewrite it into a fantasy story with Flauros as the culprit.^\ se2v 1010,50 bg white,1 bg black,22 ;bg G1F_S1cP,22 mono 0 print 0 fede 0,5000 *warera04 *check_0003 bg mlib_1bp,0 me1 15 ld c,BEA_defa1,23 ld c,BEA_waraia2,80 langjp^ "That's what it is at present. I will arrange it into a proper story format, but that's the really tough part. ^\^...The displayed story and the undisplayed story. Matching them together as literature is truly an ordeal. ^\^It's like having to think up all the tricks, except I have to do even more."^\^ ;ld r,dla_majimea2,24 mov %dla_Isyou,2 ld r,dla_majimea2,24 langjp^ "...So by only letting the surface be read, your story reaches the height of EXTRAVAGANCE."^\^ cl c,0 ld l,BEA_defa1,24 langjp^ "Indeed it does. I'm constantly painting both a fantasy story and a mystery story. Nevertheless, I only release the one story.^\^ It's like the extravagance of cutting out just a little bit of the best part of a cow and cooking it, and throwing out all the rest."^\^ ld r,dla_komarua1,80 mov %dla_Isyou,1 se1v 83,100 cl r,0 ld r,dla_komarua1,80 ld r,dla_komarua1,80 langjp^ "An extravagant COMPARISON. ...However, ...do you not have REGRETS?"^\^ ld l,BEA_fukigena3,80 langjp^ "Regrets... you say?"^\^ fede 0,2000 bgm1 190 ;mov %dla_Isyou,1 bg mlib_1ep,22 ^Dlanor had returned the bundled manuscript to Beato.^\ ^Beato had replied back as she was arranging it on the desk.^\ ;ld c,dla_defa1,80 bg mlib_1ap,22 ld c,dla_komarua1,22 langjp^ "......You paint twice the story, and then you only cook the surface HALF.^\^ Those who would know of the remaining half, so extravagantly discarded, would surely sing praise and astonishment at its taste and bold CUTS.^\^ ...However, among all the humans in the world, there aren’t many that are as discerning as you DESIRE."^\^ ld r,BEA_akuwaraia1,80 langjp^ "Sometimes there are such fellows."^\^ cl c,0 ld l,dla_defa2,80 langjp^ "You wrote that it was for 1 in 1000 people, but I think it's the OPPOSITE.^\^ Although you want all the other people beyond that 1 in 1000 to truly read your story,^\^ there is no doubt they won't be able to grasp the half that is stripped down and buried AWAY."^\^ bg black,0 bg mlib_1dp,22 ld c,bea_akuwaraia2,22 langjp^ "*cackle* But isn't that just fine?^\^ It's extravagant literature where only the surface is preserved as story.^\^ And is not casting aside all those readers beyond the 1 in 1000 itself so very delightfully extravagant?"^\^ ld l,dla_majimea1,23 langjp^ "......Are you happy with THAT?^\^ People paint stories to be READ.^\^ ......To paint a story not to be read by anyone, to cast it into darkness by one's own will, is beyond extravagance; it can even be thought to be FOOLISH."^\^ cl c,0 ld r,BEA_waraia1,80 langjp^ "If things written so that the 999 of 1000 people can understand them can be called 'civilization's' writings,^\^ then it would be most fitting if my story, written so that only 1 in 1000 can understand it, could be called an 'oddity' writing.^\^ ......It's a story painted by a witch, so if it has an 'odd' taste then should it not be called an 'oddity' writing?"^\^ ld l,dla_defa1,80 langjp^ "......My duty is to, using the commandments, make that 'odd' tale understandable to all PEOPLE."^\^ cl r,0 ld c,BEA_majimea1,80 langjp^ "Indeed. ...That's exactly why I don't want to entrust it to you."^\^ ld l,dla_defa2,80 langjp^ "However, I understand your REASONS. It can't be made so that the 999 people can understand it, but...^\^ at least I may be able to help you reach just one more PERSON."^\^ bg black,0 bg mlib_1bp,22 ld r,BEA_nayamua1,22 wait 500 cl r,0 ld c,BEA_futekia1,80 langjp^ "What do you mean by 'help'?"^\^ ld r,dla_majimea1,22 langjp^ "I want to some day release this incomplete MANUSCRIPT."^\^ cl c,0 ld l,BEA_futekia2,80 langjp^ "So you intend to drag out from the trash can the uncooked guts I threw away?"^\^ ld r,dla_komarua1,80 langjp^ "......You may... not be understood by ANYONE. However, I cannot think that that is your true DESIRE.^\^ You do not seek understanding from those 999 PEOPLE.^\^ However, you are still seeking understanding from 1 single INDIVIDUAL."^\^ ld l,BEA_fumana3,80 langjp^ "There is a possibility that not even that 1 person will appear.^\^ ......It's not just young girls in love who wish for someone to understand their inner heart.^\^ ......Even witches who have experienced over a thousand years want it."^\^ cl r,0 mld c,dla_defa1,80 langjp^ "The young girl tells of this using cryptic words, and awaits a single PRINCE......"^\^ ld l,BEA_akuwaraia1,80 langjp^ "Yes. *cackle* I, too, am one who has spent long years writing a very intricate story."^\^ mcl c,0 bg black,0 bg mlib_1ap,23 ld c,dla_majimea3,23 wait 500 cl c,0 ld r,dla_majimea1,22 langjp^ "If the time comes that the prince does not appear... I shall serve as the guidepost that leads him to YOU."^\^ ld l,BEA_futekia2,22 langjp^ "......Knox's 10 commandments guarantee that it's a mystery...? *cackle* Could a thing like that really guide him to me......?"^\^ ld r,dla_majimea3,80 langjp^ "If it's not enough... I will release this incomplete manuscript to be the key to your WORLD."^\^ ;ld c,dla_defa1,0 cl l,0 mld c,BEA_fukigena3,80 langjp^ "What a disgraceful notion. Isn't it like a girl with no courage to confess having her friend do it for her?"^\^ ld r,dla_majimea2,80 langjp^ "That, too, is a way love is REALIZED."^\^ mcl c,0 bg black,0 bg mlib_1bp,22 ;ld c,dla_defa1,0 ld r,BEA_iiwakea1,22 wait 500 cl r,0 ld c,BEA_akuwaraia2,22 langjp^ "Fu......*cackle* Hahhahahahahahahahahahaha. .......Very well. I'll shall allow you this manuscript."^\^ bg mlib_1ep,22 ^Beatrice faced this way and, leaving a trail of smoke with it, tapped the bundled manuscript with her pipe.^\ ^When she did, the manuscript bundle whirled into into a cylindrical shape and a bottle blinked into existence around it, sealing it inside.^\ br ^She tossed it to Dlanor.^\ bg mlib_1bp,0 ;ld r,dla_defa1,0 ;ld l,BEA_majimea1,22 mld l,BEA_futekia2,22 langjp^ "Do with it as you please. Except I have just one condition."^\^ ld r,dla_defa2,80 langjp^ "......YES?"^\^ mld l,BEA_nayamua1,80 langjp^ "As long as I have life, I shall not suffer that seal's removal."^\^ cl r,0 ld c,dla_defa1,80 langjp^ "......But then people who reach the truth by this manuscript cannot tell you of IT."^\^ mld l,BEA_akuwaraia1,80 langjp^ "The only prince I await is the one who arrives without reading the manuscript.^\^ ......One cannot love a cat after tearing out its guts.^\^ That is, opening that bottle is the same as tearing out my guts."^\^ ld c,dla_komarua1,80 langjp^ ............UNDERSTOOD. I will do as you WISH.^\^ You have my heartfelt hope that this manuscript brings a visitor to your GRAVE."^\^ mld l,BEA_akuwaraia5,80 langjp^ "I don't know... ......The great witches of the Sea of Fragments are truly quite cold.^\^ Even if we suppose there was a person who'd visit my grave, they'd probably just come to spit on it."^\^ cl c,0 ld r,dla_majimea3,22 ld r,dla_majimea2,80 langjp^ "......If you painted a story that can be seen by those with love...... then you should love those witches in TURN.^\^ Because even the prince you seek will be unable to see it without love for YOU."^\^ textoff cl r,24 mcl l,0 ld c,BEA_odorokia1,80 wait 300 ld c,BEA_akuwaraia3,80 langjp^ "......Fu, ......fuffufufufu ...... You've got me. You win. Alright, take that bottle with you.^\^ I'm not going to die just yet. I still have much story-writing to finish, after all."^\^ bg black,0 bg mlib_1dp,22 ld c,dla_majimea3,22 ld c,dla_waraia1,22 langjp^ "Then I shall take my LEAVE.^\^ ......Lady Beatrice, be WELL.^\^ Please do not forget the many love-filled witches that wait for YOU."^\^ cl c,0 ld r,dla_majimea3,22 ;一礼イメージ wait 500 cl r,23 bg black,0 bg mlib_1ap,22 ld l,BEA_futekia2,22 langjp^ ".........Yes, of course. I leave it to you and your parting gift.^\^ Ronove, the guest is taking her leave. See her to the door.^\^ Then get more tea for me... It's been a while, but I've a craving for sweet milk tea."^\^ ld r,RON_akuwaraia1,24 ld r,RON_waraia2,22 langjp^ "......Yes, Milady."^\^ textoff cl l,0 ld c,BEA_waraia4,0 ld r,RON_waraia1,22 wait 3000 se2v 1010,50 bg black,1 fede 0,5000 bgm1 198 bg note1,23 ^As a result of Lady Beatrice's death, I am releasing this incomplete tale in accordance with her will.^\ br ^In reading this work, I was reminded of her long years of sadness, and couldn't hold back my tears.^\ bg beach_l2a,22 ^But at the same time, I felt pity for her.^\ ^Although she was searching for someone who had love, I am sad to say that ironically, her heart was filled with anger at those who did not.^\ bg moon_1a,22 ^She once told me that it was fine if her story only reached one person in a thousand.^\ ^However, that was wrong.^\ ^Out of those thousand people, she wished her story would reach even one more person.^\ ^If you asked her, she would surely laugh and deny it. But those were, without question, her true feelings.^\ bg portrait1,22 ^In the beginning, I was uncertain about releasing this manuscript.^\ ^I thought that I should hide it, so as to preserve her mystery for the one-in-a-thousand savior she spoke so often about.^\ ^But as I read and reread it... I began to realize that the manuscript was a message with no destination address.^\^It was a wish that even she never realized she had.^\ ^But now I understand it, and I think it should be granted.^\ ^That is the reason I decided to release this incomplete manuscript.^\ bg black,22 bg rose_2ap,22 ld c,BEA_housinb1,22 ^After you read this, it doesn't matter whether your feelings toward the woman named Beatrice are love or anger.^\ ^But if you can...^\ bg black,22 bg "bmp\background\efe\ep4last02.bmp",1 wait 100 bg black,22 bg "bmp\background\efe\ep4last01.bmp",1 wait 100 bg black,22 bg "bmp\background\efe\ep4last03.bmp",1 wait 100 bg black,22 bg "bmp\background\efe\ep4last04.bmp",1 wait 100 bg black,22 bg "bmp\background\efe\ep4last05.bmp",1 wait 100 bg black,22 bg "bmp\background\efe\ep4last06.bmp",1 wait 100 bg white,2 bg black,2 br ^Try to reach her feelings, buried in the deepest part of the story. ^\ ^She said that she wrote two stories and revealed one. ^\ ^However, that was also wrong. ^\ ^She wrote three stories and revealed one. ^\ bg rose_1ep,22 ^By reading this incomplete manuscript, you will know two of those stories.^\ ^I would like you to reach the third and final one with your own power.^\ ^As another woman, I strongly wish that of those reading this work.^\ bg black,0 bg butterfly_2a,22 ^"Without love, it cannot be seen."^\ ^They are her words.^\ ^But I shall repeat them.^\ ^Love exists in everyone's hearts.^\ ^Her true tragedy was that she couldn't see it.^\ bg white,2 se2v 1010,50 bg black,1 bg note1,23 ^My deepest thanks go out to everyone who helped with the compilation of this book.^\ ^Especially to my assistant, An Zuu.^\ br ld c,dla_defa1,22 ^--- Dlanor A. Knox^\ wait 1000 bg black,22 bg book1,2 wait 2000 bg black,4 se2v 82,100 ;本を閉じる音を探す ;bg black,1 wait 6000 ;クリアフラグの更新 if %UMINEKOEND < 60 mov %UMINEKOEND,60 : mov %UMINEKOEND_FLG,60 ;クリアフラグの変更 se1 22 ;bg TEXT004,22 mov $witchh4, TEXT004 setLangString $witchh4, "" bg $witchh4,22 set_achievement "UMC53" delay 10000 bg black,22 reset *debug setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;6才縁寿衣装フラグ mov %EN2_Isyou,1 *debug2 goto *debug2 *check_0000 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 select "嘉音が客間、紗音が礼拝堂の個所を確認",*check_0001, "客間で検死するシーン確認",*check_0002, "ドラノール眼鏡確認",*check_0003, "ナカオさん差し替え画像部分確認",*check_0004, "タイトル画面へ",*b_sakuru_logo, "次のページへ",*d_next *d_prev setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 select "冒頭から",*d01, "ピース魔女のお茶室に登場",*d02, "ゲーム開始",*d03, "ピース六軒島に登場",*d04, "来客者に気付く紗音",*d05, "留弗夫、霧江 外出",*d06, "留弗夫、霧江 ピースと遭遇",*d07, "留弗夫、ピース ホールに戻る",*d08, "6歳縁寿 ピースに消される",*d09, "考察開始",*d10, "次のページへ",*d_next *d_next select "前のページへ",*check_0000 *d01 E_A mov %scenario_Number,8 ;右クリック用 mov %play_scene,80010 ;右クリック用 mov %r_hyouji_side,0 mov %r_hyouji_cha,BUT mov %BUT_Isyou,1 ;セーブデータ表記用 "Last note of the golden witch " setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;立ち絵優先順・デフォルト humanorder "rcl",1 ;Last note of the golden witch ;■魔女のお茶室 bg black,42 me1 15 bg G1F_S1aP,42 humanorder "rlc",1 ld c,BEA_nayamuA1,42 wait 500 cl c,0 ld l,BEA_akuwaraiA5,22 ;【ベアト】 langjp^ "For both black tea and green tea, the taste is always much better if there is a snack served alongside it."^\^ ld r,BUT_futekiA1,22 wait 500 cl r,0 mld c,BUT_defA1,22 ;【戦人】 langjp^ "I agree.^\^ Green tea’s tartness is much better if you eat it at the same time as sweet wagashi.^\^ The smell of black tea is much better alongside the taste of western sweets.^\^ If you slip into that sort of rhythm, you can enjoy both even more."^\^ ld r,ENJ_nayamuA1,0 ld r,ENJ_fumanA2,22 ;【縁寿】 langjp^ "I agree with your opinion,^\^ however...I think that for these particular snacks, you should only prepare one snack per cup."^\^ mld c,BUT_futekiA1,22 ;【戦人】 langjp^ "I have no idea what you mean by 'these' snacks.^\^ ....I've been eating and drinking for a while, but I still can't figure out what this taste is."^\^ ld l,BEA_waraiA1,80 ;【ベアト】 langjp^ "By the way, this sofa is designed to only seat 2 people.^\^ It’s cramped, Battler."^\^ ld r,ENJ_nayamuA1,80 ;【縁寿】 langjp^ "Oh, you think so?^\^ That’s what I thought, too. ^\^ We need to eject somebody."^\^ *Ne mcl c,0 bg G1F_S1cP,24 ld c,BUT_aserua1,22 ;【戦人】 langjp^ ".....Understood.^\^ I’ll leave here, so you two get along..."^\^ E_MA ld l,BEA_akuwaraiA4,1 ;【ベアト】 langjp^ "!s0Don’t leave our tea ceremony!!!"^/ se2 11 quakey 4,800 bgm1 3 !sd\ ;bgm1 3 ld r,ENJ_komaruA3,22 ;【縁寿】 langjp^ "Shouldn’t an older brother and younger sister who haven’t seen seen each other in a while have time together without anybody else?"^\^ ld l,BEA_ikariA1,0 ld c,BUT_aseruA4,0 print 1 se1v 11,50 quakex 4,300 ;【ベアト】 langjp^ "Why are you so proud of being born after Battler?!"^\^ ld r,ENJ_fumanA1,22 ;【縁寿】 langjp^ "We’re blood relatives, while you’re a complete stranger."^\^ ld l,BEA_akuwaraiA2,80 ;【ベアト】 langjp^ "Older brothers have 2 degrees of blood separation with their younger sisters!^\^ Don’t me and Battler, as a married couple, only have one degree of separation?"^\^ ld r,ENJ_ikariA1,80 ;【縁寿】 langjp^ "Your delusional notion of being a bride is no different from a creepy otaku who declares somebody a "waifu" every season!^\^ It’s totally worthless!"^\^ cl c,0 cl l,0 ld c,BEA_akuwaraiA3,1 print 1 se1v 11,50 quakex 4,300 ;【ベアト】 langjp^ "You’re 18 and still a brocon!?^\^ You’re old enough to vote, and get married, but you still can’t leave your brother! Isn’t that ridiculous!!"^\^ ;humanorder "crl",80 ld l,BUT_komaruA1,22 ;【戦人】 langjp^ "You two...... can....you not fight over me...."^\^ ;humanorder "rcl",1 cl l,0 cl r,0 ld c,ENJ_ikariA1,1 se1 12 quakey 3,300 ;【縁寿】 langjp^ "Onii-chan!^\^ That delusional woman is poisoning you!^\^ Right now, you’re drinking tea with me!!"^\^ ;humanorder "lrc",1 ld r,BEA_akuwaraiA4,1 se2 11 quakey 3,300 ;【ベアト】 langjp^!s0 "Be quiet, you woman destined for two degrees of blood separation!^\^ Once a man gets a new family, the old one then becomes an outsider.^\^ You’re standing in our parlor, and are standing on formality, *cackle*!"^\^ !sd ;humanorder "rlc",1 cl c,0 ld l,ENJ_ikariA2,1 se1v 11,90 quakey 3,500 ;【縁寿】 langjp^!s0 "Shut up, you homewrecker!!^\^ Out of the way, Onii-chan! !w500 I can’t kill her!"^\^ !sd ;humanorder "crl",80 ld c,BUT_kuyasigaruA1,80 ;【戦人】 langjp^ "..........AS I WAS SAYING.....,"^\^ ld c,BUT_sakebub1,1 se2v 2,100 quakex 2,200 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;【戦人】 langjp^!s0^~ib~^ "Don’t fight over me!!!"^~ib~^^\^ !sd bg black,0 bg G1F_S1aP,24 ld l,BEA_nayamuA1,24 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;【ベアト】 langjp^ "From my point of view, you feel the opposite, Battler."^\^ mld c,BUT_oyaA2,80 ;【戦人】 langjp^ "W, what do you mean by opposite?"^\^ ld r,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "In my opinion, he put himself in between us so that we’d stop fighting."^\^ ld l,BEA_akuwaraiA2,1 se1 12 quakey 3,300 ;【ベアト】 langjp^ "And not so that he’d feel good as he’s surrounded by two beautiful women fighting over him?"^\^ cl r,0 mld c,ENJ_majimeA1,22 ;【縁寿】 langjp^ "So you’re saying our fight doesn't matter since in the end he’s flanked by two beautiful women?"^\^ cl l,0 mcl c,0 ld c,ENJ_majimeA1,0 mld l,BEA_odorokiA1,80 ;【ベアト】 langjp^ "He’d be enjoying those big, beautiful breasts on both his arms.^\^ Wouldn’t that make him haaappy?^\^ For the most part, that was what I was saying."^\^ cl c,0 ld r,ENJ_komaruA5,80 ;【縁寿】 langjp^ "Do you want to spend time with your flesh and blood sister, or with some delusional fantasy bride?^\^ Be clear, onii-chan!"^\^ ;humanorder "lrc",1 mld l,BEA_akuwaraiA2,1 se1v 11,90 quakey 3,500 ;【ベアト】 langjp^!s0 "It’s your duty as an older brother to encourage her to step away from her brother and her brocon route!"!sd^\^ ;humanorder "rlc",1 ld r,ENJ_hanbesoA2,1 se1 12 quakey 3,300 ;【縁寿】 langjp^!s0 "Onii-chan, push her off the sofa!!"!sd^\^ mcl l,0 ld c,BEA_aseruA4,1 se1 12 quakey 3,300 ;【ベアト】 langjp^ "This sofa is ours, and ours alone...!"^\^ ;humanorder "crl",80 ld l,BUT_komaruA1,22 ;【戦人】 langjp^ "....I-I’m going to leave, you two can work it out...."^\^ cl l,0 ld c,BEA_ikariA1,1 ld r,ENJ_komaruA5,1 se2v 2,100 quakex 2,200 se3v 2,100 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;【ベアト・縁寿】 langjp^!s0^~ib~^ "Don’t leave!!" langjp^ "Don’t leave, onii-chan!!"^~ib~^!sd^\^ ;humanorder "crl",80 bg G1F_S1cP,80 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 langjp^ Having one couch for three people indeed made for a bustling teatime.^\^ br langjp^ Battler couldn’t do anything, as the front door was occupied by a witch, and the back his sister.^\^ langjp^ He wanted to ask them to get along,^\^ but that would only pour oil on the fire, so he could only sit back, vaguely laugh, and pray it would end soon.^\^ langjp^ ...In other words, what was this scenario?^\^ langjp^ Battler had enough wits about him to know that this was dangerous in advance. ^\^ langjp^ Even if he could have tea with the witch and his sister, he couldn’t do both of them at the same time...^\^ bg black,22 fede 0,3000 bg G1F_S1aP,42 me1 15 ld r,BUT_majimeA5,22 ;【戦人】 langjp^ "....Beato, Ange,^\^ .....when did we start drinking tea here?"^\^ ld l,BEA_fumanA1,22 ;【ベアト】 langjp^ "Fuu.^\^ You finally noticed.^\^ ...I have no memory of gathering here to start this tea party."^\^ ld c,ENJ_fumanA2,22 ;【縁寿】 langjp^ "I see. Same here.^\^ ....When a witch calls summons you, this is what it feels like.... you don’t remember how you get there....."^\^ bg black,22 bg G1F_S1cP,0 ld c,BUT_futekiA1,0 ;ld l,BEA_DefA1,22 print 4 ;ld r,BUT_defA1,80 ;【戦人】 langjp^ "So that means....we’re pieces on somebody’s gameboard."^\^ ld r,BEA_DefA1,80 ;【ベアト】 langjp^ "I agree.^\^ If that’s the case, what does the new game master look like?^\^ *cackle*"^\^ ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "It can’t be...did they call us here just to watch me and Beato fight over Onii-chan.....?^\^ It has to be Bernkastel. Is she unveiling a new Fragment?"^\^ *d02 bg black,22 fede 0,3000 bgm1 135 bg G1F_S1bP,4 langjp^ *giggle*^\^ br langjp^ At the name, a new witch’s figured appeared with a flurry of wind surrounding them...^\^ se1 52 ld c,PIE_DefA10,0 print 99,2000,"breakup.dll/llB" wait 2000 bg G1F_S1cP,0 mld c,BUT_odorokiA3,0 ld r,ENJ_ikariA1,0 ld l,BEA_komaruA3,0 print 4 wait 500 mld c,BUT_majimeA7,22 ;【戦人】 langjp^ "Who...are you....?"^\^ ld r,ENJ_majimeA1,80 ;【縁寿】 langjp^ "That’s not Bernkastel..."^\^ mcl c,0 cl l,0 ld c,BUT_majimeA7,0 mld l,BEA_majimeA3,80 ;【ベアト】 langjp^ "Introduce yourself, guest. ...I may not know who the game master is, but I am the owner of this tearoom.^\^ You have the duty of introducing yourself before me."^\^ mcl l,0 bg G1F_S1bP,0 mld c,PIE_DefA10,0 humanorder "rlc",0 print 4 wait 800 mld c,PIE_DefA1,80 ;【ピース】 langjp^ "I apologize for the rudeness, Madam Beatrice, the Golden Witch."^\^ mld c,PIE_DefA10,80 ;【ピース】 langjp^ "My name is Piece.^\^ ...Witch of the Piece, as my lord has commanded me."^\^ ld l,ENJ_fumanA2,22 ;【縁寿】 langjp^ "Did she say... Witch of Peace?"^\^ ld r,BUT_majimeA1,80 ;【戦人】 langjp^ "No.^\^ Like the chess piece. "^\^ mcl c,0 bg G1F_S1cP,0 ld l,BEA_DefA1,0 humanorder "crl",0 print 4 ;【ベアト】 langjp^ "Witch of pieces, huh..... I see, I understand the purpose of your visit."^\^ ld r,PIE_DefA4,80 ;【ピース】 langjp^ "Indeed.^\^ By order of my lord, I have been sent to this game board to challenge it.^\^ ...That is, as an assassin."^\^ ld c,BUT_niramuA2,22 ;【戦人】 langjp^ "...I see.^\^ So you’re here to fight us?^\^ But then it would be three on one. "^\^ ld r,ENJ_defA1,22 ;【縁寿】 langjp^ "No way.^\^ Wouldn’t taking on three people at once be a pushover?"^\^ ld l,BEA_futekiA1,80 ;【ベアト】 langjp^ "*cackle* *cackle*^\^ The first visitor to this catbox in quite some time seems to be haughty!"^\^ cl r,0 mld r,ENJ_majimeA1,22 ;【縁寿】 langjp^ "Are you sure it will be ....okay?"^\^ mcl r,0 bg black,0 bg G1F_S1bP,22 ld c,PIE_DefA10,22 print 4 ld c,PIE_DefA2,22 ;【ピース】 langjp^ "My master ordered me to fight you three.^\^ ...*giggle*."^\^ bg G1F_S1cP,0 ld c,BUT_nayamuA5,0 ld r,ENJ_ikariA1,0 ld l,BEA_odorokiA1,0 print 4 ld c,BUT_nayamuA2,80 ;【戦人】 langjp^ "Is it........really okay to look down on us like that?"^\^ ld l,BEA_akuwaraiA5,80 ;【ベアト】 langjp^ "We’ve closed several cat boxes, haven’t we?^\^ Us three will all be your opponent!^\^ *cackle* *cackle*"^\^ bg G1F_S1bP,0 ld c,PIE_DefA3,80 ;【ピース】 langjp^ "*giggle*"^\^ cl c,0 ld r,PIE_DefA3,0 ld l,BEA_akuwaraiA2,0 print 80 ;【ベアト】 langjp^ "*cackle* *cackle* *cackle*"^\^ se1 11 quakey 3,400 cl r,0 cl l,0 ld c,BEA_akuwaraiA4,1 ;【ベアト】 langjp^ "I like this idea, guest!^\^ I’ll give you such a thorough and unrelenting welcome that you’ll be able to take those tales back to your master with bloody skin...!"^\^ ld l,PIE_DefA10,22 ;【ピース】 langjp^ "Thank you, Lady Beatrice.^\^ With that, let me unveil my master’s Fragment..."^\^ bg G1F_S1cP,0 ld l,BUT_majimeA1,0 ld r,ENJ_komaruA1,0 print 24 ld r,ENJ_komaruA3,80 ;【縁寿】 langjp^ "....At any rate, it’s Bern’s Fragment.^\^ She has awful taste."^\^ ld c,BEA_futekiA2,22 ;【ベアト】 langjp^ "*cackle*^\^ This leisurely life in the catbox can be just a little dull."^\^ ld l,BUT_futekiA1,80 ;【戦人】 langjp^ "Ah.^\^ This tacky Fragment will at least help me stay awake."^\^ bg G1F_S1bP,0 ld r,PIE_DefA10,0 print 4 humanorder "lrc",1 cl r,0 mld c,PIE_DefA4,80 ;【ピース】 langjp^ "With all due respect,^\^ ...my master is not Bernkastel. "^\^ ld l,BUT_majimeA3,80 ;【戦人】 langjp^ "Then who is it?^\^ Lambda?^\^ It has to be a witch from the Sea of Fragments, or an anonymous forgery author. "^\^ mld c,PIE_DefA1,80 ;【ピース】 langjp^ "If I may be humble,^\^ this Fragment was created by the grand theatergoing witch, Featherine Augustus Aurora."^\^ mcl c,0 mld r,PIE_DefA10,80 langjp^ With that, everybody. ^\^ langjp^ I raise the curtain.....on my master’s Fragment.^\^ br textoff *dada fede 0,1000 se1 48 cl r,0 humanorder "clr",0 mcl r,0 ld l,BUT_odorokiA2,0 ld c,PIE_DefA3,0 print 1 quakex 1,200 langjp^ .....Now.^\^ I tire of the strict formalities, piece.^\^ ld c,PIE_DefA14,0 print 1 bgm1 196 langjp^ Now piece, let me begin my rampage,^/ wait 600 langjp^ piece,^/ se1 47 quakey 2,300 langjp^ piece.^/ se1 48 quakey 2,300 ld c,PIE_Defb19,0 print 1 se1 37 quakex 4,300 langjp^ Hhehehehe,^/ quakey 3,300 langjp^ hihihihihi!^\^ bg black,22 bg G1F_S1cP,0 ld r,ENJ_ikariA1,0 ld l,BEA_odorokiA1,22 print 4 wait 300 ld c,BUT_komaruA1,22 ;【戦人】 langjp^ "Why are the witches entering this catbox all like this?"^\^ ld r,ENJ_fumanA1,80 ;【縁寿】 langjp^ "You put trash in the trashcan, and toys in the toybox.^\^ And you put garbage..."^\^ ld l,BEA_odorokiA3,80 quakey 1,200 ;【ベアト】 langjp^ "What the?^\^ Since when did my catbox become a garbage bin!?"^\^ humanorder "lrc",0 bg G1F_S1bP,0 ld c,PIE_DefA5,0 print 4 ;【ピース】 langjp^ "Oh, I forgot to mention something important beforehand, piece."^\^ ld l,BUT_futekiA1,22 ;【戦人】 langjp^ "That’s right.^\^ What’s our win condition?^\^ It can’t be writing a ten page review of our opinions of it, right?"^\^ ld r,BEA_waraiA1,22 ;【ベアト】 langjp^ "Could it be finding the trick of a closed room murder?"^\^ ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "Maybe it could be identifying the culprit."^\^ ld c,PIE_DefA13,80 ;【ピース】 langjp^ "It is exactly that, piece.^\^ With honor and respect to Lady Beatrice’s story, I shall ask that same question, piece."^\^ bg black,4 wait 800 langjp^ ――Who aaaaaaam I?^\^ wait 500 bg G1F_S1bP,0 ld c,PIE_DefA10,0 ld l,ENJ_fumanA2,0 ld r,BEA_fukigenA1,0 print 4 ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "...I see.^\^ So you’re the same as Clair, and are a stand-in for “somebody”."^\^ ld r,BEA_majimeA3,80 ;【ベアト】 langjp^ "So she wants us to point out who that “somebody” stands for."^\^ ld c,PIE_DefA13,80 ;【ピース】 langjp^ "Correct, piece."^\^ bg G1F_S1cP,0 ld c,BUT_oyaA2,0 print 80 ;【戦人】 langjp^ "Hey.^\^ Don’t you get tired of speaking like that?"^\^ cl a,0 humanorder "clr",0 ld l,BUT_odorokiA2,0 mld r,PIE_DefA14,0 print 1 se2 11 for %Free1 = 1 to 5 resettimer msp 885,0,-5,0 print 1 waittimer 20 next for %Free1 = 1 to 5 resettimer msp 885,0,5,0 print 1 waittimer 20 next ;【ピース】 langjp^!s0 "Shut up, ^/ se1 47 quakey 3,300 langjp^ piece! ^\^ I like to piece ^/ se1 48 quakey 3,300 wait 200 langjp^ talk like this, piece ^/ se2 11 quakey 3,300 piece / se1 40 quakey 3,300 langjp^ piecepicepiecepiece!!"^/ csp 885 bg white,1 wait 100 bg G1F_S1cP,0 ld l,BUT_odorokiA2,0 mld c,PIE_Defb19,0 print 1 se1 62 quakey 3,500 !sd\ mcl c,0 ld c,PIE_Defb19,0 mld r,ENJ_defA1,80 ;【縁寿】 langjp^ "........Onii-chan, you should apologize."^\^ mcl r,0 ;csp 883 ld l,BUT_komaruA1,80 ;【戦人】 langjp^ "Sorry."^\^ csp -1 bg G1F_S1bP,0 ld r,BEA_akuwaraiA2,0 print 4 ;【ベアト】 langjp^ "Very well then, let’s begin the first Fragment in a long time!"^\^ ld c,PIE_DefA12,1 se1 47 quakey 3,300 ;【ピース】 langjp^ "*giggle*^\/ se1 48 for %Free1 = 1 to 5 resettimer msp 883,0,-5,0 print 1 waittimer 20 next for %Free1 = 1 to 5 resettimer msp 883,0,5,0 print 1 waittimer 20 next langjp^ Then, I’ll raise the curtain, piece!"^\^ skipoff textoff me3v 17,0 me4v 14,0 me5v 13,0 fedexx 0,100,100,100,100,100,5000 mono 2 seplay 9,1022 se2 37 se3 37 csp -1 bg black,1 mono 0 print 1 wait 900 ;wait 1000 E_A ;■OPムービー movie "movie\umineko_op.mpg",click wait 5000 bg black,1 textoff *d03 me1v 13,70 gosub *rain_on bg rose_G1aN,2 wait 1500 langjp^ A storm was over Rokkenjima.^\^ langjp^ However, warm light was overflowing from the Ushiromiya Family dining room...^\^ bg M_o1aN,2 wait 1000 bg black,0 gosub *rain_off fede 10,1000 E_MA se1 17 bg Mhal_2an,10 wait 2000 bg white,2 bg Mhal_1an,2 ld c,KIN_fumuA1,2 wait 2000 se1v 2,100 se3v 27,70 ld c,KIN_akuwaraiA1,1 quakey 2,400 bgm1 96 ;【金蔵】 langjp^ "Happy Halloween!"^\^ br se3 46 se1 54 se2v 2,100 mbg white,1 mcbg 22 ld c,KIN_akuWaraiA2,1 langjp^ Bang! Bang!^\^ A christmas cracker was pulled, and a sound rang out as Kinzo, the Head of the family,^\^ appeared in a hat styled after a Jack-o-lantern.^\^ langjp^ The other members of the Ushiromiya family were also meeting the sound of heavy thunder with a smile.^\^ humanorder "clr",0 bg Mhal_1bN,0 ld l,KLA_akuwaraiA1,0 ld r,NAT_waraiA1,0 ld c,JES_waraiA1,0 print 22 langjp^ The oldest son Krauss's family.^\^ Krauss, Natsuhi and Jessica.^\^ bg Mhal_1cN,0 ld l,EVA_waraiA1,0 ld r,HID_waraiA1,0 ld c,GEO_waraiA1,0 print 22 langjp^ The oldest daughter Eva's family.^\^ Eva, Hideyoshi and George.^\^ humanorder "lrc",0 bg Mhal_1an,0 ld l,RUD_DefA1,0 ld r,BUT_waraiA1,0 mld c,KIR_waraiA1,0 msp 884,-30,0,0 print 22 langjp^ The second son's family.^\^ Rudolf, Kyrie and Battler. ^\/ mld l,EN2_DefA1f,0 msp 883,80,0,0 print 26 langjp^ And also, Ange. ^\^ csp -1 humanorder "lrc",0 bg Mhal_1bN,0 ld l,ROS_waraiA1,0 ld r,MAR_waraiA1,0 print 22 langjp^ The second daughter's family.^\^ Rosa and Maria.^\^ bg MENT_1bn,0 ld c,SHA_DefA1,0 ld r,GOH_DefA1,0 mld l,GEN_DefA1,0 mld c,KAN_DefA1,0 mld r,KUM_DefA1,0 msp 883,-70,0,0 msp 884,-95,0,0 msp 885,150,0,0 print 22 langjp^ And finally the servants,^\^ Genji, Shannon, Kanon, Gohda and Kumasawa.^\^ csp -1 bg Mhal_1an,0 ld c,BEA_WaraiA2,0 print 22 langjp^ And furthermore, the advising alchemist to the Ushiromiya Family, Beato...^\^ ;■メタ空間 E_MA se1 56 mset humanorder "clr",0 mld r,ENJ_defA1,22 ;【縁寿】 langjp^ "This is.....it’s the Halloween party from Twilight that Onii-chan showed me."^\^ mld l,BEA_DefA1,80 ;【ベアト】 langjp^ "Is that so?^\^ Ange didn’t fall ill with stomach pain, so she was able to attend the family conference in 1986."^\^ mcl r,0 mld r,BUT_nayamuA2,22 ;【戦人】 langjp^ "...Piece.^\^ These people... if everybody were to take a commemorative photograph together, would everybody present be displayed in the picture...?"^\^ mld l,PIE_DefA6,80 ;【ピース】 langjp^ "Please do not ask questions during the movie, piece.^\^ You should first relax and enjoy it. *giggle*^\^ Any moment now should be my debut, piece! Please, welcome me, piece!"^\^ ;■メタ終わり mcl a,0 print 23 se1 56 mend wait 300 *d04 me1v 13,70 bg black,4 langjp^ It was a suitable time for a fun halloween party...^\^ ^The ocean was surging against the cliffs, and that spray violently scattered about...^\ gosub *rain_on se3v 27,100 wait 800 bg sea_2c,0 vsp efesp,0 mbg white,1 mcbg 2 vsp efesp,1 wait 400 langjp^ Beneath those waves, something glowing a faint blue could be seen.^\^ langjp^ That small blue light was a Fragment containing a bottle. ^\^ langjp^ Due to the waves, it was flung onto the rocks, and was scattered in tiny pieces.^\^ se3v 27,100 wait 700 vsp efesp,0 mbg white,1 vsp efesp,1 bg beach_1an,0 mcbg 2 wait 400 bg beach_1an,0 print 1 wait 1500 se1 52 mov %hikari2_flg,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg beach_1an,99,2000,"breakup.dll/lrP" wait 600 langjp^ In time with the thunder.....the bottle released a glow of light, which began to fly about like a swarm of butterflies.^\^ langjp^ The sight of them flying about in a storm was a beautiful thing.^\^ bg forest_p1ar,4 langjp^ And then, near the Ushiromiya manor, ^\/ bg rose_1an,4 langjp^ opposite the rose garden, the figure of a witch formed...^\^ br langjp^ For the sake of creating a tragedy tonight, a Fragment was sent in from the sea to kill.^\^ A piece......^\^ se1 52 mov %hikari2_flg,0 csp efesp2 ;ld c,PIE_DefA10,0 ld c,Eri_majimea4,0 print 99,2000,"breakup.dll/lrP" fede 0,1000 ;wait 800 bgm1 112 se1 52 ld c,pie_defa10,0 print 99,500,"breakup.dll/lrP" ld c,PIE_Defb14,1 quakey 2,400 ;【ピース】 langjp^ "Did you think it was the perverted detective who washed ashore here?!^\^ Too bad!^\^ It's the witch beauty Piece-chan, piece!!"^\^ langjp^ Despite how she was dressed, the witch of pieces looked pathetic as she was battered by the violent winds.^\^ br langjp^ Any sort of umbrella would be flipped inside out.^\^ And with that, the witch’s skirt was also overturned in that way.^\^ ld c,PIE_DefA13,80 ;【ピース】 langjp^ "Hiyaaa!^\^ You can see my underwear, piece!!^\^ Because I didn’t put on stockings or anything, it’s in plain sight, piece!^\^ Uwaaaaa!"^\^ mevol 1,10 se1 56 bg black,0 vsp efesp,0 ;mset2 bg G1F_S1aP,0 ;mld l,BUT_DefA1,0 ;mld r,ENJ_DefA1,22 ld l,BUT_majimeA1,0 ld r,ENJ_majimeA1,22 langjp^ What would this fool of a witch be able to do.... Besides feeling vertigo?^\^ langjp^ However, the three people who had accepted her challenge did not see any of her amusing behavior.^\^ langjp^ .....Because they knew this sort of witch could exhaust the limits of cruelty.^\^ langjp^ But in that sense, everybody was already used to run-of-the-mill killing methods.^\^ br langjp^ As they told themselves to leave their human hearts behind, Battler and Ange were already prepared to be detached from the tragedy.^\^ ;csp -1 mld c,BEA_waraiA2,22 langjp^ Only Beato was excited for it as she ate potato chips.^\^ ;vsp efesp,1 ;mendef 0 se1 52 csp -1 mevol 1,70 bg black,8 wait 300 wait 600 ;【ピース】 langjp^ ".........Hm?"^\^ br langjp^ The door was locked, but that had no meaning for a witch.^\^ langjp^ But even so, she needed to touch the doorknob to open the door.^\/ wait 100 vsp efesp,0 mbg white,1 quake 3,300 mcbg 1 vsp efesp,1 bg black,1 langjp^ Static electricity sparked, and she drew her hand back...^\^ langjp^ Piece once again stretched out her hand.^\^ wait 100 vsp efesp,0 mbg white,1 quake 3,300 mcbg 1 vsp efesp,1 bg black,1 langjp^ But the result was the same.^\^ Once more, her fingertip was repelled...^\^ mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 bg garden_1cn,24 ld c,PIE_DefA9,24 ;【ピース】 langjp^ "This... is brazen.^\^ It’s a barrier.^\^ ...I see. As one might expect from Kinzo, the Ushiromiya family magician.^\^ An uninvited guest cannot simply cross over the threshold, right, piece?^\^ *giggle*"^\^ mov %rain_flg,0 csp efesp bg black,4 langjp^ As she elegantly laughed, she tried to open the door several times, but couldn’t.^\^ langjp^ It seemed to be a particularly strong barrier.^\^ At the very least, it looked like the witch of pieces couldn’t break it...^\^ bg black,0 me2 13 mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 bg sky_3a,0 print 4 langjp^ While she appeared to take shelter from the storm under the eaves of the entrance vestibule,^\^ she thought about what to do next with an impish smile on her face...^\^ mov %rain_flg,0 csp efesp bg black,0 print 42 wait 1500 fede 0,3000 ;E_MA wait 1500 *d05 ;■ホール bg Mhal_1an,22 ld r,SHA_fumanA1,23 bgm1 9 ld r,SHA_majimeA3,80 humanorder "crl",0 ;【紗音】 langjp^ "I apologize for the impoliteness, Lady Beatrice..."^\^ ld c,BEA_nayamuA1,22 ;【ベアト】 langjp^ "I know.^\^ I didn’t realize until you told me, since I was drunk."^\^ bg Mhal_1bN,4 ld c,KAN_komaruA1,22 ;【嘉音】 langjp^ "We’ll watch how things play out."^\^ bg Mhal_1an,0 ;ld l,SHA_DefA1,0 ld c,BEA_akuwaraiA1,0 print 4 ;【ベアト】 langjp^ "Ignore it.^\^ This is Rokkenjima, also known as Akujikishima.^\^ In this storm, the light that spills from the manor can lure out many demons.^\^ And besides, it has only touched the barrier, not shattered it.^\^ Shannon’s shield is not easy to break. I know that the best."^\^ ld l,SHA_tokuiA1,22 ;【紗音】 langjp^ "Thank you.^\^ .....The barrier tonight is something that can only be broken by a member of the Ushiromiya family."^\^ ld r,KAN_DefA2,22 ;【嘉音】 langjp^ "...Even so, her just touching the shield is unpleasant."^\^ ld c,BEA_futekiA1,80 ;【ベアト】 langjp^ "Don’t be jumpy. Shannon’s barrier is strong.^\^ You can relax and have fun.^\^ If the monster is able to even breach the barrier, I’ll gladly become its opponent.^\^ I won’t let you become its prey.^\^ *cackle*"^\^ bg Mhal_1cN,4 ld l,JES_waraiA1,22 ;【朱志香】 langjp^ "Kanon!^\^ And Shannon!"^\^ ld r,GEO_waraiA1,22 ;【譲治】 langjp^ "This is a relaxed party.^\^ Can you stop worrying about work for a while?"^\^ bg Mhal_1an,0 ld l,SHA_hajiraiA1,0 ld c,KAN_komaruA2,0 ld r,BEA_DefA1,0 print 4 ld r,BEA_waraiA4,80 ;【ベアト】 langjp^ "Hey,^\^ he gave you an order."^\^ ld l,SHA_hajiraiA3,23 ;【紗音】 langjp^ "Certainly."^\^ bg black,4 fede 0,3000 ;E_MA wait 1500 ;wait 2000 *d06 ;■廊下 bg black 0 me2 12 humanorder "clr",0 bg Ment_1an,24 ld r,GEN_DefA1,22 ;【源次】 langjp^ "Rudolf-sama, Kyrie-sama.^\^ Where are you going?"^\^ ld l,RUD_DefA1,22 ;【留弗夫】 langjp^ "Oh, I just wanted a small change of pace."^\^ ld r,GEN_majimeA1,80 ;【源次】 langjp^ "The winds outside are very strong.^\^ I will prepare an ashtray for you in the guest room so that you may relax."^\^ ld c,KIR_DefA1,22 ;【霧江】 langjp^ "Thank you, Genji,^\^ but we tend to be contrarians."^\^ ld l,RUD_akuwaraiA1,80 ;【留弗夫】 langjp^ "Normally you yearn for a warm mansion.^\^ So this time around, I longed for a bit of the storm.^\^ Aren’t the eaves of the entrance something?^\^ Once I get a breath of it, I’ll come right back."^\^ bg black,0 fede 0,3000 se2 52 bg G1F_S1aP,99,1500,"trvswave.dll/" ;■魔女のお茶室 ;wait 1000 ;fede 0,3000 ;E_MA ;wait 1500 humanorder "rcl",0 bgm1 55 ld r,ENJ_nayamuA1,22 ld r,ENJ_defA1,80 ;【縁寿】 langjp^ "...Witches' Fragments truly are expedient.^\^ To have the sacrificial lambs just conveniently go out there voluntarily."^\^ ld l,BUT_futekiA1,80 ;【戦人】 langjp^ "What do you think of such cheapness as a game master?"^\^ ld c,BEA_akuwaraiA1,80 ;【ベアト】 langjp^ "I think that even without breaking the barrier around the mansion, they will still be able to catch their prey."^\^ ld l,BUT_nayamuA2,80 ;【戦人】 langjp^ "Well said.^\^ They can lure their victims out of the mansion."^\^ ld r,ENJ_fumanA1,22 ;【縁寿】 langjp^ "Once the victim is out of the mansion, Piece will be lying in wait.^\^ ...Or there might be some trick we don’t understand yet."^\^ ld c,BEA_fukigenA2,80 ;【ベアト】 langjp^ "I see.^\^ ...If Rudolf and Kyrie are attacked by somebody suspicious like you said, we wouldn’t be able to accept that without question."^\^ ld l,BUT_niramuA2,80 ;【戦人】 langjp^ "Either way, it seems the first victims are my old bastard and Kyrie.^\^ It’s a tasteless way of killing."^\^ ld r,ENJ_fumanA2,22 ;【縁寿】 langjp^ "......I’ve gotten used to Bernkastel’s tacky slaughter show."^\^ br langjp^ Ange bent her eyebrows in a pout.^\^ Battler’s calm facade was surely uncomfortable to maintain.^\^ langjp^ For Beato, this was nothing more than a show, so who exactly was killed didn't matter.^\^ langjp^ ........But if the first victims of the scenario were killed for no other purpose but to make Battler uncomfortable,^\^ she would never forgive it.^\^ cl c,0 mld c,BEA_futekiA2,80 ;【ベアト】 langjp^ "Piece.^\^ You’re most likely just a performer, and not the forgery author of this grotesque show....but if this is just a tacky grotesque drama..."^\^ bg black,0 mcl c,0 bg G1F_S1bP,0 ld l,PIE_DefA4,24 ;【ピース】 langjp^ "And if it is?"^\^ ld r,BEA_majimeA6,2 ;【ベアト】 langjp^ "It follows you guys’ preferred style.^\^ ....Cut open the belly, and drag out the guts..."^\^ ld l,PIE_DefA13,80 ;【ピース】 langjp^ "My method of killing is exceedingly refined, piece.^\^ I believe there has been a misunderstanding, piece.^\^ *giggle*"^\^ se2 52 bg black,99,1500,"trvswave.dll/" ;wait 1000 fede 0,3000 ;E_MA ;wait 1500 wait 1000 *d07 ;■屋敷玄関(外) me1v 13,70 mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 bg m_o1an,0 print 4 ld r,KIR_waraiA2,22 ;【霧江】 langjp^ "As I thought, the storm has turned the outside air sweet."^\^ ld l,RUD_DefA2,23 ;【留弗夫】 langjp^ "Even if it’s in the middle of a storm, sometimes it’s just easier for a duo to calm down if it’s just the two of them."^\^ cl r,0 ld c,KIR_futekiA1,80 ;【霧江】 langjp^ "Is that right?^\^ Didn’t you say that to every girl you met at parties?"^\^ ld l,RUD_akuwaraiA2,80 ;【留弗夫】 langjp^ "Cut it out, isn’t that stuff past the statue of limitations?^\^ I’ve totally retired from that awful playboy stuff."^\^ bg m_o1bn,4 ;ld r,KIR_DefA1,80 ;【霧江】 langjp^ "I wonder if those words are the real deal.^\^ Is there a way for me to really believe them...?^\^ Hm, I wonder if it’s due to the storm’s atmospheric pressure.^\^ Somehow, it always makes feel crazy."^\^ ;ld l,RUD_DefA1,23 ;【留弗夫】 langjp^ "Hey, hey,^\^ can you at least wait until nighttime...?"^\^ ;ld r,KIR_DefA1,80 ;【霧江】 langjp^ "Oh?^\^ But isn’t it nighttime already....?"^\^ br langjp^ Two impish smiles and captivating lips approached each other...^\^ se3v 27,100 wait 700 vsp efesp,0 mbg white,1 vsp efesp,1 mcbg 2 bg garden_1cn,4 ld c,PIE_DefA10,22 fede 0,5000 ;ld c,PIE_DefA10,22 bgm1 95 ld c,PIE_DefA13,22 ;【ピース】 langjp^ "This evening storm has served me up a couple.^\^ I’ll kill them in a hockey mask first, piece♪"^\^ bg m_o1an,0 ld l,RUD_komaruA1,22 ;ld c,KIR_DefA1,80 ;【留弗夫】 langjp^ "W-who are you?"^\^ ld r,KIR_komaruA2,22 ;【霧江】 langjp^ "....W, Who is it...I wonder....?"^\^ langjp^ Although they were shaken by the unexpected visitor, they were adults and quickly regained their composure.^\^ langjp^ However.... calming down only made it harder to understand the chaos around them.^\^ langjp^ This is the island of Rokkenjima.^\^ Unknown humans should not exist.^\^ langjp^ If you drifted here with a life jacket like Erika once did, they would recognize that you drifted ashore with a mere glance.^\^ langjp^ However, this girl didn't have an umbrella, and was completely dry.^\^ br langjp^ In addition, she was wearing a strange dress.^\^ ...Ah, perhaps she was summoned here for the party....?^\^ ld l,RUD_akuwaraiA2,23 ;【留弗夫】 langjp^ "Ah, I see.^\^ Are you perhaps an entertainer for the halloween party today?"^\^ cl c,0 ld r,KIR_waraiA1,80 ;【霧江】 langjp^ "*laugh* You surprised us.^\^ You’re a cute girl. ^\^Though that hockey mask almost made me faint..."^\^ ld l,RUD_DefA1,23 ;【留弗夫】 langjp^ "Let’s head into the servant’s quarters.^\^ You can wait there."^\^ ld r,KIR_komaruA1,80 ;【霧江】 langjp^ "However....that’s a lovely dress.^\^ I wonder if you’re supposed to be some sort of magician?"^\^ ld l,RUD_DefA2,23 ;【留弗夫】 langjp^ "You’re right.^\^ It might be escape magic.^\^ I wonder if you’re supposed to break out of a perfect closed room or something.^\^ The old man likes that sorta thing."^\^ bg garden_1bn,0 ld c,KIR_futekiA1,0 print 3 ;【霧江】 langjp^ "You should rest your shoulders.^\^ Here, come inside."^\^ bg garden_1cn,0 ld c,PIE_DefA1,0 print 4 ;【ピース】 langjp^ "I’m overjoyed.^\^ I cannot enter the barrier unless somebody from the Ushiromiya family invites me, piece."^\^ bg garden_1bn,0 ld c,KIR_komaruA1,0 print 3 ;【霧江】 langjp^ "Huh?"^\^ bg garden_1cn,0 ld c,PIE_DefA6,0 print 4 ;【ピース】 langjp^ "It’s true for me as well.^\^ I don’t want to be left out in the storm.^\^ I think I’ll enter the mansion, piece!"^\^ bg white,1 bgm1 196 bg garden_1cn,0 ld c,PIE_Hair2A13,0 print 2 langjp^ At that time, a strong wind blew, scattering her hair like a bundle of curtains. ^\^ langjp^ No, it wasn’t like that.^\^ A strong wind did not blow.^\^ Then what made them think that?^\^ langjp^ That was because......her hair had been scattered to such a degree that it was only natural that they would think it was due to the strong winds...^\^ bg white,1 bg garden_1cn,0 ld c,PIE_Hair3A13,0 print 2 langjp^ But...her hair....there was so much that it almost looked like a curtain.....?^\^ langjp^ And that curtain was coiled about Kyrie, poised to swallow her...^\^ mov %rain_flg,0 vsp efesp,0 mov %hikari2_flg,1 lsp 887,":a;bmp\efe\hikari2.bmp",0,0 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 lsp 886,":a;BMP\background\2018\pie_hair_efe2.png",0 print 4 csp 886 mov %hikari2_flg,0 vsp 887,0 csp 885 bg white,0 print 24 wait 800 bg garden_1bn,0 ld c,KIR_sakebuA1,0 print 23 mov %hikari2_flg,1 lsp 887,":a;bmp\efe\hikari2.bmp",0,0 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 lsp 886,":a;BMP\background\2018\pie_hair_efe2.png",0 print 4 ;【霧江】 langjp^ "Giya^/ se2v 12,100 quakex 2,200 wait 300 bg hair,0 ld c,KIR_sakebuA1,0 print 23 langjp^ -aaaaa *glub*^/ se2v 6,100 quakey 2,300 wait 600 langjp^ this....^/ se2v 7,100 quakex 2,600 langjp^ ......."^\^ br langjp^ At first, it looked like Kyrie was trying to sweep it away.....but that was wrong.^\^ langjp^ She was drowning.^\^ langjp^ Kyrie had been swallowed by the sea of Piece’s hair and was drowning...^\^ ;ld c,KIR_DefA1,80 ;【霧江】 langjp^ "..H ^@^ ...elp....^/ se2v 6,100 quakey 2,200 wait 800 langjp^ ......Ru... dolf....!!^/ se2v 7,100 quakex 3,400 langjp^ "^\^ csp 886 csp 887 mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 bg m_o1an,0 ld c,RUD_ikariA2,0 print 4 ;【留弗夫】 langjp^ "H, hey!?^@^ Kyrie!?^@^ Kyrie!?!"^\^ br langjp^ When somebody attacks his wife, generally a husband will strike whoever hurt her.^\^ langjp^ However, Rudolf’s eyes didn’t see an “attack”.^\^ langjp^ Rudolf, too, could only understand that his wife was “drowning”.^\^ bg garden_1cn,24 langjp^ Because of that, he thrust both of his arms into the sea of hair, and tried to drag her out...^\^ ld c,RUD_ikariA2,23 ;【留弗夫】 langjp^ "Kyrie, Kyrie!^\^ My arms can’t stretch any further!^\^ Where, where are you!!"^\^ mov %rain_flg,0 csp efesp bg black,23 mov %hikari2_flg,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 mld c,KIR_sakebuA1,0 print 24 vsp 884,0 bg homing1bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 for %Free1 = 1 to 5 resettimer msp 884,10,0,0 print 1 waittimer 20 next for %Free1 = 1 to 5 resettimer msp 884,0,5,0 print 1 waittimer 20 next se1 13 quakey 2,300 ;【霧江】 langjp^ ".....^\/ vsp 884,0 bg homing2bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 quakex 2,300 vsp 884,0 bg homing3bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 quakey 3,300 ........!!@/ vsp 884,0 bg homing4bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing5bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing6bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing7bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 !s0 ............ack......、/ vsp 884,0 bg homing4bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing5bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing6bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing7bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 62 vsp 884,0 bg homing4bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing5bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing6bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing7bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing4bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing5bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing6bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing7bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing4bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing5bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing6bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 vsp 884,0 bg homing7bs,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,0 vsp 884,1 print 1 ......、 " mcl c,0 mov %hikari2_flg,0 csp efesp2 bg blood_1ar,1 quakey 3,1000 !sd@ br !s0 *gack*、/ quakey 3,1000 *thud*/ quakex 6,300 !sd\ bg black,2 mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 bg garden_1cn,0 ;ld c,RUD_ikariA2,0 print 2 ;【留弗夫】 langjp^ "K, Kyrie....?"^\^ br !s0 *bam*, / quakex 6,300 wait 400 *scritchscritchscritch*/ quake 5,800 wait 500 langjp^ *crunch*^/ quakey 2,800 wait 300 *suck*..... !sd\ wait 600 ld c,RUD_ikariA2,23 ;【留弗夫】 langjp^ "Kyrie, where are you!?^\/ quakey 8,400 !s0 Kyrie!!!@/ quakey 8,600 langjp^ Kyrieeeeeeee!!! "!sd^\^ mov %hikari2_flg,1 mov %rain_flg,0 csp efesp lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 bg hair,2 langjp^ What that eerie sound was reminiscent of... just thinking about it was repulsive.^\^ langjp^ Rudolf, still frantic, kept shoving his arms into the ocean of hair, but his hands could no longer touch Kyrie.^\^ langjp^ It was broken, but he heard traces of Kyrie’s voice just now, even though there was no sign of her.^\^ mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 bg m_o1an,99,3000,"breakup.dll/lrB" ld c,PIE_DefA10,0 print 99,1000,"breakup.dll/lrP" mov %hikari2_flg,0 csp efesp2 print 99,1000,"breakup.dll/lrB" ld c,PIE_Defb19,80 ;【ピース】 langjp^ "*giggle*^\^ That was a delicious snack, piece."^\^ br langjp^ As the sea of hair faded away while it danced in the wind, only the smiling figure of the witch of the piece remained.^\^ bg garden_1cn,0 ld c,RUD_nakuA6,0 print 2 langjp^ Rudolf’s brain was still unable to understand the situation.^\^ langjp^ As a faint smile and tears appeared on his face. He was still trying to believe that this was a bad joke,^\^ and that Kyrie would come out of hiding somewhere to laugh at him....^\^ ;■メタ世界 E_A se1 56 bgm1 104 vsp efesp,0 mset mld l,BUT_majimeA3,22 ;【戦人】 langjp^ "..As always.... they love to flaunt revolting things......."^\^ mld r,ENJ_fumanA1,22 ;【縁寿】 langjp^ "Hmm... at this level, she can’t help but to perform in Beato’s catbox like this."^\^ mcl a,23 mld c,PIE_DefA5,80 ;【ピース】 langjp^ "*giggle*^\^ As you’d expect, piece.^\^ You can’t say something at this level is cruel, piece."^\^ mcl a,23 mld r,BEA_majimeA4,22 ;【ベアト】 langjp^ "...Wait, Ange.^\^ .....you’re being quick to scold."^\^ mld l,ENJ_defA1,22 ;【縁寿】 langjp^ "What do you mean?"^\^ mld r,BEA_iiwakeA3,80 ;【ベアト】 langjp^ "I see... me and her see it from completely different perspectives..... it’s unsightly."^\^ mcl l,23 mld l,BUT_komaruA2,80 ;【戦人】 langjp^ "She swallowed the body whole.... more or less.....?"^\^ mld r,BEA_fukigenA1,80 ;【ベアト】 langjp^ "Right. If it was me, I’d thrash them around a bit more in order to break your heart.^\^ However, she ate the remains."^\^ mld l,BUT_nayamuA2,80 ;【戦人】 langjp^ "She killed, then erased the corpse.^\^ ....What more could you possibly do to put the dead to shame?"^\^ mld r,BEA_majimeA1,80 ;【ベアト】 langjp^ "If my guess is right....."^\^ ;■メタ世界終わり vsp efesp,1 mendef 0 mcl a,0 E_A print 23 me1v 13,70 wait 1000 ld c,RUD_nakuA1,23 ;【留弗夫】 langjp^ "Kyrie........Ky.... rie.........."^\^ bg m_o1an,0 ld c,PIE_DefA17,0 print 4 ;【ピース】 langjp^ "Who is Kyrie.....?"^\^ bg garden_1cn,0 ld l,RUD_komaruA1,0 print 23 ;【留弗夫】 langjp^ "Huh?^\^ ............ah................................."^\^ ld r,PIE_DefA13,80 ;【ピース】 langjp^ "What is it, ^\/ mbg white,1 mcbg 22 bgm1 196 langjp^ "dear"?"^\^ ld l,RUD_odorokiA1,23 ;【留弗夫】 langjp^ "A, .....ah?"^\^ cl r,0 ld c,PIE_DefA10,80 ;【ピース】 langjp^ "Are you cooling down your butt by squatting here?^\^ *giggle*"^\^ langjp^ The witch who had just devoured Rudolf’s wife reached out her hand, smiling. ^\^ langjp^ But Rudolf took her hand and stood up without feeling disgusted...^\^ ;■メタ世界 E_MA se1 56 vsp efesp,0 mset mld r,ENJ_komaruA3,22 ;【縁寿】 langjp^ "W, what...?"^\^ mld l,BEA_komaruA3,22 ;【ベアト】 langjp^ "I see.... how disgusting... and repulsive...."^\^ mld r,ENJ_ikariA1,80 ;【縁寿】 langjp^ "I, I don’t understand.^\^ ...What happened, Onii-chan...?"^\^ mld r,BUT_aseruA2,22 ;【戦人】 langjp^ "....I also didn’t think....... this type of cruelty.... existed...."^\^ br langjp^ Ange still didn’t understand.^\^ She still didn’t understand why Battler and Beato were so shocked by this cruelty...^\^ mcl a,4 mld l,PIE_DefA13,22 ;【ピース】 langjp^ "Hey, hey.^\^ You’re still a 6-year-old, so is this a little difficult for you, piece?"^\^ mld r,ENJ_majimeA1,22 ;【縁寿】 langjp^ "In other words..... mom was eaten..... and she took her place......?"^\^ mcl a,0 mld l,BEA_majimeA1,22 ;mld r,BUT_defA1,0 print 80 ;【ベアト】 langjp^ "That’s correct.^\^ .....It’s likely that is her power."^\^ br langjp^ The power to swallow up somebody whole.... and impersonate their existence.^\^ mld r,BUT_aseruA3,22 ;【戦人】 langjp^ "...Right now, that’s why my old geezer took her hand with such an embarrassed look on his face.^\^ ......That witch.....just ate Kyrie.^\^ Even though she still exists, she was swallowed whole...."^\^ ;■メタ終了 vsp efesp,1 mendef 0 mcl a,0 print 23 me1v 13,70 wait 1000 ld l,RUD_akuwaraiA2,23 ;【留弗夫】 langjp^ "I.... why was I here.....?"^\^ cl c,0 ld r,PIE_DefA3,80 ;【ピース】 langjp^ "*giggle*^\^ You heard my voice, Rudolf."^\^ ld l,RUD_komaruA1,23 ;【留弗夫】 langjp^ "...I give up.^\^ Was it amnesia...?^\^ No, no, I give up, don’t tell me..."^\^ ld r,PIE_DefA4,80 ;【ピース】 langjp^ "Why are you hesitating?^\^ I’m your “wife”.^\^ *giggle* “You” can ask me anything, "dear"♪"^\^ ld l,RUD_akuwaraiA2,23 ;【留弗夫】 langjp^ "Ah, really..... sorry.... Hehe, I wonder if I’m getting Alzheimer’s.... I seem to have forgotten the name of my young, beautiful bride...."^\^ cl r,0 ld c,PIE_DefA13,80 ;【ピース】 langjp^ "Isn’t it Piece Ushiromiya, piece?^\^ *giggle* How strange, Rudolf...♪"^\^ ld l,RUD_DefA2,23 ;【留弗夫】 langjp^ "That’s right, I forgot.^\^ It’s Piece.^\^ .....I really am sorry, hahahaha...."^\^ ;■メタ世界 E_A se1 56 ;vsp efesp,0 ;mset mov %rain_flg,0 csp efesp bg black,0 bg G1F_S1aP,0 bgm1 55 ld c,ENJ_ikariA1,22 ;【縁寿】 langjp^ "I...is dad... really....?!"^\^ ld l,BEA_gamanA1,22 ;【ベアト】 langjp^ "Perhaps this is limited to just Rudolf.^\^ Right now, that person is Piece Ushiromiya.^\^ ....Rudolf’s wife."^\^ ld r,BUT_aseruA2,22 ;【戦人】 langjp^ "Kyrie’s existence was erased to pure white as if an eraser was taken to her...... like she never existed before..."^\^ ld l,BEA_fukigenA1,80 ;【ベアト】 langjp^ "Ange.^\^ This terrible scenario.... is it still unimaginable to you?"^\^ br langjp^ All witches, like Beato, and Bern, and Lambda, had seen every kind of cruelty unfold in the catbox.^\^ langjp^ In comparison, Ange seeing a victim swallowed up by hair did not seem like such a brutal thing.^\^ bg G1F_S1cP,22 ld c,BUT_odorokiA3,22 ;【戦人】 langjp^ "...You’re wrong.^\^ Piece’s way of killing is unimaginably cruel...."^\^ ld r,BEA_komaruA3,22 ;【ベアト】 langjp^ "That’s because she eats not only their lives..... but their dignity as well."^\^ ;mcl a,22 ld l,ENJ_majimeA1,22 ;【縁寿】 langjp^ "Dignity.... what do you mean?"^\^ cl r,0 ld r,PIE_DefA8,22 ;【ピース】 langjp^ "Ange only seems smart because of the atmosphere.^\^ In reality, she’s a total idiot-type character.^\^ *giggle*"^\^ ;mcl a,22 bg black,0 bg G1F_S1bP,0 ld r,BUT_majimeA1,0 ld l,BEA_majimeA1,22 ld r,BUT_majimeA7,80 print 80 ;【戦人】 langjp^ "...Dignity.^\^ ....is only a measure of how many people will weep for you when you pass.^\^ After all, dying while a great number of people hold you dear and dying by the roadside with nobody to remember you are completely different."^\^ ld l,BEA_majimeA2,80 ;【ベアト】 langjp^ "Kyrie at least had human life.^\^ She thought that she was going to go out for a short break with Rudolf and enjoy herself.^\^ If she were to suddenly die of a heart attack, she would expect Rudolf to mourn her with tears in his eyes."^\^ mld c,ENJ_ikariA1,22 ;【縁寿】 langjp^ ".....Ah......"^\^ ld r,BUT_niramuA4,80 ;【戦人】 langjp^ "That would explain Kyrie’s attitude.^\^ She died before her husband’s eyes....!^\^ And then her husband forgot about her, and all that time they spent together, and even her existence!"^\^ ld l,BEA_aseruA1,80 ;【ベアト】 langjp^ ".....Is there another method of killing that can mock the dead to this degree?^\^ No, there isn’t.^\^ This disgusting method of killing absolutely cannot be matched."^\^ bg black,0 mcl c,0 bg G1F_S1aP,22 ;mcl a,22 ld c,PIE_DefA4,22 ;【ピース】 langjp^ "From the time this country was founded, didn’t it place the highest value on human life?^\^ However, if you look at other countries, life is only the second most important thing."^\^ ld r,ENJ_ikariA2,22 ;【縁寿】 langjp^ "..I think...I get it..... something more important than life is dignity.^\^ Dignity is how you exist once you die.^\^ Once you pass, it’s something important you leave it behind for everybody.^\^ But once this girl eats you.... you can’t even leave that behind....."^\^ bg black,22 langjp^ If you look at world history from anywhere, there are not many recorded acts of destroying one’s dignity after they pass.^\^ br langjp^ However, this is normal within typical standards. Once you pass, criticism of the dead is forbidden.^\^ However, as an exception within exceptions, within the strange Japanese culture, this is not thought of as as a mistake, but as the height of hearsay.^\^ langjp^ Even after death, there are any number of records of somebody digging up a grave and destroying the body, tombstone, and records in the world. ^\^ br langjp^ Even after the corpse turns to dirt, there are any number of examples of destruction of their existence...^\^... by erasing their records or accounts of their good deeds or otherwise falsifying them.^\^ As a result of that, myths are often born. ^\^ langjp^ After death, you can destroy hundreds, or perhaps thousands of years of dignity!^\^ bg black,0 mcl c,0 bg G1F_S1bP,0 ld r,BUT_kuyasigaruA1,0 ld l,BEA_komaruA4,22 ld r,BUT_aseruA2,80 print 80 ;【戦人】 langjp^ ".....The meaning of your existence is to swallow things whole...."^\^ ld l,BEA_iiwakeA3,80 ;【ベアト】 langjp^ "And on top of that, she takes all those lives she snatched and overwrites them..."^\^ ld c,ENJ_komaruA4,22 ;【縁寿】 langjp^ "She killed her.... and then took over her husband’s heart, and overwrote Kyrie’s existence.....!"^\^ br langjp^ The way you kill and how you desecrate the corpse aren’t sufficient to match the bounds of this cruelty. ^\^ mcl a,22 mld c,PIE_Defb19,0 print 1 se1 48 for %Free1 = 1 to 5 resettimer msp 884,0,-5,0 print 1 waittimer 20 next for %Free1 = 1 to 5 resettimer msp 884,0,5,0 print 1 waittimer 20 next ;【ピース】 langjp^ "For humans, this method is the best!^\^ It’s the most gruesome, cruel, ruthless way of killing, piece!"^\^ ;■メタ終了 cl a,0 mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 se3v 27,100 wait 700 vsp efesp,0 mbg white,1 wait 500 vsp efesp,1 mcbg 0 bg garden_1cn,22 ;mld c,PIE_DefA10,22 fede 0,3000 ;vsp efesp,1 ;mendef 0 ;mcl a,0 print 23 me1v 13,70 wait 1000 ld l,RUD_waraiA1,23 ;【留弗夫】 langjp^ "What is it, Piece?^\^ Are you in bad spirits?"^\^ mcl c,0 ld r,PIE_DefA3,80 ;【ピース】 langjp^ "*giggle* I love being together with you, piece.^\^ My spirits are already lifting. "^\^ cl r,0 ld c,PIE_DefA4,80 ;【ピース】 langjp^ "Now, let’s enter here.^\^ You should relax."^\^ ld l,RUD_akuwaraiA2,23 ;【留弗夫】 langjp^ "O, oh.^\^ ......Somehow, you seem off tonight.... it’s sexy."^\^ ld c,PIE_Defb13,80 ;【ピース】 langjp^ "Oh, my.^\^ That’s the highest form of praise, piece♪"^\^ bg sky_3a,4 langjp^ The barrier rejecting outsiders no longer had any meaning. ^\^ langjp^ However, she now...... no, not "now".^\^ It had been many years since she had married Rudolf and held a rank in the Ushiromiya family.^\^ *d08 textoff mov %rain_flg,0 csp efesp mcl c,0 ;■ホール bg black,22 ;bg black,22 fede 0,3000 ;E_A ;wait 3000 me1 24 mevol 1,50 ;delay 3000 langjp^ The halloween party was an extremely quiet affair.^\^ langjp^ At a buffet party, you could enjoy the stylish atmosphere, but you would eventually get tired of it.^\^ langjp^ At the time Rudolf and Piece came back, everybody had taken their chairs and sat them in a circle, and were chatting.^\^ bg MENT_1bn,0 ld r,GOH_omakaseA1,23 ;【郷田】 langjp^ "Welcome back.^\^ Everybody is relaxed in their chairs, so do you want me to get some for you?"^\^ bg Mhal_1bN,2 ld r,RUD_DefA2,0 ld c,PIE_DefA10,22 print 23 ld r,RUD_waraiA1,80 ;【留弗夫】 langjp^ "Sure, can you give us a minute?^\^ And can you get a blanket for Piece?"^\^ ld c,PIE_DefA1,80 ;【ピース】 langjp^ "Thank you.^\^ Rudolf, you truly are kind to women, piece."^\^ ld r,RUD_DefA1,23 ;【留弗夫】 langjp^ "Hey, are you not understanding?^\^ There’s only one gal in the world who I’m kind to, and that’s you, Piece."^\^ ld c,PIE_DefA6,80 ;【ピース】 langjp^ "*giggle*.^\^ Oh, you......♪^\^ Piece....♪"^\^ br langjp^ While laughing and smiling, Piece fawned on Rudolf and gave him many kisses on the lips.^\^ bg Mhal_1aN,0 ld r,BUT_waraiA2,22 ;【戦人】 langjp^ "Good grief, they’re completely absorbed in each other."^\^ ld l,PIE_DefA1,22 ;【ピース】 langjp^ "Battler and friends, are you still talking?"^\^ ld r,BUT_futekiA1,80 ;【戦人】 langjp^ "Huh?^\^ I’m a young man.^\^ I can chat as much as I want."^\^ langjp^ It was frustrating, but the piece version of Battler was unable to see through Piece’s magic.^\^ langjp^ His memory of the word that meant “Kyrie” would be completely replaced with the word “Piece”.^\^ ;■メタ空間 E_A se1 56 mset bgm1 114 mld l,BEA_fumanA1,22 ;【ベアト】 langjp^ "No..... it can’t be as simple as rewriting somebody’s memory."^\^ mld r,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "If it just interferes with one or two people’s memories, it’s no different from brainwashing or hypnotism.^\^ .....But this witch’s power is different."^\^ mcl l,0 mld c,BUT_majimeA4,22 ;【戦人】 langjp^ "Ah, this power..... she has to be similar to...."^\^ mcl a,0 mendef 0 mcl a,0 bg black,0 bg "bmp\background\2018\fea.bmp",22 ;mld l,BUT_majimeA4,80 langjp^ Featherine Augustus Aurora.^\^ langjp^ The witch who had sent Piece was a top-ranking witch. ^\^ br langjp^ Her power was that she could look down on the world as if it were a storybook and freely rewrite it.^\^ bg black,0 mld c,PIE_DefA13,22 langjp^ Piece’s power, to swallow Kyrie and then make Rudolf believe that she was his wife, was not a simple one.^\^ langjp^ Piece’s power, to swallow anything from the world and replace it with herself... was a power very close to Featherine’s....^\^ bg g1f_s1cp,22 mld c,PIE_DefA2,80 ;【ピース】 langjp^ "I thought it was stupid, piece, that you were talking about how I was similar to that ill-tempered black cat, or that super-thick paper witch."^\^ ld r,BEA_fukigenA1,22 ;【ベアト】 langjp^ "....Even witches of the senate denounce something of that degree...."^\^ mcl c,0 mld l,PIE_DefA4,80 ;【ピース】 langjp^ "I was created as the messenger to look after the piece that the great theater-going witch Aurora sent.^\^ If the great lady Aurora is a candle that shines upon the great pillar that supports this world, I am a being whose fire is a small Fragment of that candle, piece.^\^ ...In accordance with my master’s command, I am to fill the time in this game with antics and buffoonery, piece. "^\^ mcl r,0 mcl l,0 bg black,22 bg bite,22 langjp^ ....You all.^\^ If I were to swallow you as if I sneezed, all memories and traces of you in this world would be erased without a trace.^\^ ;mcl a,2 ;mld c,PIE_DefA1,80 ;【ピース】 langjp^ "From the beginning, I was wondering.^\^ How can I make these guys more scared of me?"^\^ br langjp^ Ah, that’s it.^\^ Since my power is so strong, can they not grasp that fear, piece....?^\^ ld c,PIE_DefA13,22 ;【ピース】 langjp^ "Hey, everybody.^\^ ....do you remember if it really was three of you that challenged me, piece...?"^\^ mcl a,2 ;wait 300 bg black,22 me1 16 bg g1f_s1cp,0 mld r,BEA_fukigenA1,0 mld c,BUT_odorokiA3,0 mld l,ENJ_majimeA1,22 print 2 langjp^ ...Beato’s forehead..... had a trail of cold sweat flowing down it. ^\^ langjp^ Battler and Ange... also already knew what the creepy thing Piece was saying.^\^ langjp^ Battler.^\^ Ange.^\^ And Beato.^\^ ....3 people.^\^ langjp^ Had she really challenged three people...?^\^ langjp^ Perhaps..... from their memories, and from the world’s memories.... Piece had gulped down a fourth person as a warning...?^\^ langjp^ When they saw Piece’s eyes, which seemed to freeze the soul with a mere glance.... all 3 were imagining the same thing.^\^ langjp^ If Piece were to swallow me with her hair, I would be erased.^\^ langjp^ After that happened, would the remaining 2 people be enraged?^\^ Scared? ^\^ langjp^ No. ^\^They wouldn’t show any feelings, and wouldn’t even understand what had happened. ^\^ langjp^ The two people who were left would think there had only been two people from the beginning, and that only two people had challenged Piece.....^\^ ;wait 800 mcl a,0 bg black,22 fede 0,3000 bg g1f_s1ap,0 ld c,BEA_majimeA1,22 wait 500 me1 11 ld c,BEA_nayamuA1,22 ;【ベアト】 langjp^ "....Are you threatening us, Lady Piece?"^\^ br langjp^ Beato’s manner of speaking to Piece had clearly changed.^\^ langjp^ Battler and Ange were still unable to loosen the fists they’d clenched around their laps.^\^ cl c,0 ld l,BEA_majimeA3,80 ;【ベアト】 langjp^ "...If my warm welcome has caused offense to you in any way, let me apologize.^\^ .....We are shallow and unrefined, and aware of our bad manners...."^\^ ;mcl a,2 ld r,PIE_DefA3,22 ;【ピース】 langjp^ "Respect is important, piece.^\^ ....So long as everybody shows the highest respect to me, I can be quite generous, piece....."^\^ bg black,1 !s0 *thunk*/ quakey 2,800 !sd@ langjp^ As if they had all agreed upon this beforehand.... Battler, Ange and Beato all flashily crossed their legs.^\^ br langjp^ However, it was the opposite of an elegant gesture, as they were too careless when they crossed them.^\^ langjp^ Piece wasn’t able to understand what happened in front of her as she forgot to close her mouth...^\^ ;se2 52 ;vsp efesp,1 ;mendef 0 ;bg G1F_S1cP,99,1000,"trvswave.dll/" ;■魔女のお茶室 ;wait 1000 ;humanorder "rcl",0 ld c,PIE_defA3a,80 ;print 22 langjp^ ..Eh?^\^ Right now, those three people..... finally understand my terror, and improved their behavior....?^\^ Huh....?^\^ humanorder "crl",0 bg G1F_S1aP,0 ld c,BEA_nayamuA1,0 print 2 wait 500 ld c,BEA_nayamub1,80 ;【ベアト】 langjp^ "I’m tired of acting well-behaved.^\^ So...would you want to make this fun?"^\^ ld l,BUT_komaruA2,22 ;【戦人】 langjp^ "This is the sort of game where my piece doesn’t know what to feel about my opponent."^\^ ld r,ENJ_majimeA1,22 ;【縁寿】 langjp^ "The visitor here was trying her best, so we wanted to entertain her a little.^\^ But.....I’m already at my limit. "^\^ br langjp^ At her limit?^\^ Of what emotion?^\^ Rage?^\^ wait 800 se1v 60,100 se2 37 E_A mbg white,1 bgm1 69 cl c,0 ld l,BUT_futekiA1,0 ld r,ENJ_nayamuA2,0 mld c,BEA_akuwaraiA2,0 mcbg 2 ;【ベアト】 langjp^ "It’s hilarious!!^\^ You’re the most interesting visitor in a long while, coming in and trying to kill a witch with laughter!^\^ *cackle*"^\^ humanorder "rlc",0 mcl c,0 bg black,0 bg G1F_S1cP,0 ld c,PIE_DefA11a,0 print 2 ;【ピース】 langjp^ ".........?!^\^ .........?!?!^\^ ......ッ????!!??"^\^ ld l,ENJ_nayamuA2,22 ;【縁寿】 langjp^ "Do you.... not know why she’s laughing?^\^ .....You thought that if you showed us your power, we’d all cringe in fear and show respect to you, right?"^\^ br langjp^ Bull’s eye.^\^ That was right.^\^ But if that was the case, why was she finding it so funny...!?!^\^ ld r,BUT_futekiA2,22 ;【戦人】 langjp^ "That’s a terrifying power.^\^ It’s enough to fill us with awe.^\^ But...you’re just so over the top. *laugh*"^\^ bg black,0 bg G1F_S1aP,0 ld c,BEA_akuwaraiA1,0 print 22 ld c,BEA_akuwaraiA3,80 ;【ベアト】 langjp^ "Hey, Great Lady Piece?^\^ What’s so scary about yooooou....?^\^ Right before you face off against your opponent in a boxing match, aren’t you supposed to say something like “I’m going to checkmate you, are you scared?”"^\^ br langjp^ The analogy seemed to hit its mark, and Battler burst out laughing.^\^ bg black,0 bg G1F_S1cP,0 ;ld c,PIE_DefA1,0 ld l,BUT_futekiA1,0 ;ld r,ENJ_defA1,0 print 22 ld l,BUT_futekiA3,80 ;【戦人】 langjp^ "Hahahahaha!^\^ Hey, here fantasy is mystery.^\^ Or mystery is fantasy.^\^ Just like how measuring the distance to your opponent in kendo is a side dish to the swing of the sword,^\^ aren’t crazy games like why lords and ladies who love them meet over...?"^\^ ld r,ENJ_fumanA2,22 ;【縁寿】 langjp^ "There is only one thing those of us here are scared of."^\^ ld l,BUT_odorokib1,80 ;【戦人】 langjp^ "Hypothesis,^\^ inquiry,^\^ flair,^\^ bluff!^\^ When the theory you’ve finally whittled away to a deduction is smashed to smithereens!"^\^ ;bg G1F_S1aP,0 mld c,BEA_akuwaraiA1,0 print 2 ;【ベアト】 langjp^ "Certainly, this “power to swallow existence whole” is interesting!^\^ One of our rare visitors went to great pains to create this gift.^\^ I’m sorry that the typhoon got you."^\^ ld l,BUT_futekiA1,80 ;【戦人】 langjp^ "The sudden change in mood, the fear, your face, was all too overbearing... and then you ask us to behave?"^\^ ld r,ENJ_defA1,80 ;【縁寿】 langjp^ "If you truly wanted to scare us, you should finish your question first.^\^ And then we’ll decide if we want to be scared or to laugh at you."^\^ mcl c,0 bg black,0 bg G1F_S1bP,0 ld c,PIE_DefA11a,0 print 22 wait 600 ld l,BEA_akuwaraiA3,22 ;【ベアト】 langjp^ "You’re our opponent.^\^ ...Aren’t you facing thousand-year old witches who gulp down locked room murders as a side dish to their tea?!"^\^ bg G1F_S1aP,0 ld l,BUT_waraiA3,0 ;ld r,ENJ_defA1,0 print 23 ld l,BUT_waraiA1,80 ;【戦人】 langjp^ "At any rate, I’ll give you an example.^\^ .....in boxing, it’s like checkmate! *laugh*"^\^ ld r,ENJ_nayamuA2,22 ;【縁寿】 langjp^ "*laugh*"^\^ bg G1F_S1cP,0 ld l,PIE_DefA16a,0 ld r,BEA_akuwaraiA2,0 print 24 ;【ベアト】 langjp^!s0 "*cackle*^/ se2 37 quakex 3,200 wait 600 se1v 37,100 wait 100 se2 37 quakey 3,200 quakex 3,600 langjp^ *cackle* *cackle* *cackle*!!! "!sd^\^ ld l,PIE_Defb14a,80 ;【ピース】 langjp^ "....*giggle*. *giggle* *giggle* *giggle*"^\^ ;【ピース】 langjp^!s0 " *giggle!!!*^/ se1v 57,100 mbg white,1 cl a,0 ld c,PIE_Defb19a,0 mcbg 22 quakex 8,800 !sd@ ...Hahaha.... "\ wait 1000 ld c,PIE_Defa5a,80 ;【ピース】 langjp^ "I have indeed been rude, piece. How funny it was that looked down on you old foxes.^\^ I apologize, piece."^\^ bg black,2 langjp^ Then, we’ll return to the game, piece.^\^ br langjp^ But, everybody? ^\^ langjp^ If I smash that final deduction you make, will you really look up to me in fear...?^\^ langjp^ Those words.^\^ ....Can you please understand them.....?^\^ langjp^ ......Because then all the guts will be vomited out.^\^ *d09 se2 52 bg Mhal_1bN,0 msetef 0,0 ld l,PIE_DefA3,0 ld r,BUT_waraiA1,0 print 99,1000,"trvswave.dll/" wait 1000 mld c,PIE_defA13,0 print 22 ;【ピース】 langjp^ "Then, we’ll fast forward past this burlesque.^\^ We’ll go towards that main dish you all yearn for."^\^ mld l,BUT_futekiA3,80 ;【戦人】 langjp^ "Are we going past the epitaph murders?"^\^ mld c,PIE_DefA4,80 ;【ピース】 langjp^ "No no, not at all.^\^ We’ll go up to that.^\^ After that, I swallow Ange, piece."^\^ ;■メタ終了 vsp efesp,1 mendef 0 mcl a,0 print 23 fede 0,3000 ;wait 1000 me1 12 cl l,0 mld c,PIE_DefA1,80 ;【ピース】 langjp^ "Ange.^\^ Isn’t it getting late?^\^ The chatter is dying down, so do you want to go to your room...?"^\^ mcl c,0 bg black,0 bg Mhal_1cN,0 humanorder "clr",0 ld c,EN2_defA2,0 print 2 ;【縁寿】 langjp^ "........................"^\^ ld r,BUT_defA3,22 ;【戦人】 langjp^ "What is it, Ange?^\^ Is this too sudden....?"^\^ ld c,EN2_defA2b,22 ;【縁寿】 langjp^ "...Onii-chan, that person isn’t mom..."^\^ ld r,BUT_komaruA1,80 ;【戦人】 langjp^ "Huh?^\^ Why’d you say that out of the blue?"^\^ bg Mhal_1bN,0 humanorder "lrc",0 ld c,PIE_DefA4,0 print 80 ;【ピース】 langjp^ "Oh, my..^\^ Ange, are you tired?^\^ Mom doesn’t get what you’re saying....?"^\^ ld r,EN2_defA4a,22 ;【縁寿】 langjp^ "Who... are you...."^\^ ld c,PIE_DefA13,80 ;【ピース】 langjp^ "Aren’t I your mom, Ushiromiya Piece?^\^ The one who gave birth to you...."^\^ langjp^ ....L, ^\^ ld r,EN2_defA4b,1 quakex 5,500 ;【縁寿】 langjp^ "liar!"^\^ se1v 64,100 mbg white,1 mcbg 0 ld c,PIE_hair3,0 print 22 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 24 cl r,0 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 4 lsp 888,":a;BMP\background\2018\pie_hair_efe1.png",0 print 22 !s0 *gulp*/ quakey 3,1000 lsp 889,":a;BMP\background\2018\pie_hair_efe2.png",0 print 22 langjp^ *snap*^/ quakex 6,300 lsp 890,":a;BMP\background\2018\pie_hair_efe1.png",0 print 22 langjp^ *thud*.....!!!!^/ mbg white,1 csp 886 csp 887 csp 888 csp 889 csp 890 mcbg 0 ld c,PIE_DefA1,0 print 2 !sd\ ld c,PIE_DefA4,22 ;【ピース】 langjp^ "Hey, Rudolf."^\^ bg black,0 bg Mhal_1an,0 ld c,RUD_waraiA1,22 ;【留弗夫】 langjp^ "Hm?^\^ What?"^\^ ld l,PIE_DefA10,22 ;【ピース】 langjp^ "Do you want to make a cute younger sister for Battler...?"^\^ cl c,0 ld r,RUD_akuwaraiA2,80 ;【留弗夫】 langjp^ "Hey, hey, save that talk for when it’s just the two of us."^\^ ld c,BUT_oyaA2,22 ;【戦人】 langjp^ "Sister...?"^\^ ld l,PIE_DefA9,80 ;【ピース】 langjp^ "Yeah, a sister.^\^ After all, isn’t being an old child tedious...?"^\^ ld c,BUT_majimeA5,80 ;【戦人】 langjp^ "........................"^\^ cl c,0 mld c,BUT_komaruA1,80 ;【戦人】 langjp^ "I can’t imagine having a sister.^\^ I don’t have any confidence we’d get along, hahaha."^\^ ld r,RUD_DefA2,23 ;【留弗夫】 langjp^ "If you were my cute daughter, I’d do a cartwheel every day since my liver would still be intact."^\^ mcl c,0 cl l,0 ld l,BUT_aseruA1,80 ;【戦人】 langjp^ "Hahaha, be quiet, you unhealthy dad."^\^ ;■メタ空間 E_A se1 56 mcl c,0 mset bgm1 39 mld c,ENJ_defA1,22 ;【縁寿】 langjp^ "She was eaten and forgotten.^\^ ....Again, I think this is an awful power."^\^ mld l,BEA_majimeA2,22 ;【ベアト】 langjp^ "What’s interesting is, why did her existence erasure fail to work on only Ange?"^\^ mld r,BUT_majimeA6,80 ;【戦人】 langjp^ "I can’t tell if it’s something we should keep in mind,^\^ ....or if it’s just an embellishment of fantasy."^\^ vsp efesp,1 mendef 0 mcl a,0 bg black,0 bg G1F_S1bP,22 ld r,ENJ_fumanA2,22 ;【縁寿】 langjp^ "The goal of this game is just to determine Piece’s identity.^\^ It’s a little different from Beato and Onii-chan’s game."^\^ ld l,BEA_DefA1,22 ;【ベアト】 langjp^ "That’s true.^\^ ...In my game, I asked for the illusion of the witch smashed, and then for another answer of “who am I?”."^\^ ld r,ENJ_majimeA1,80 ;【縁寿】 langjp^ "Piece. ...In this game, do you have to smash the illusion of the witch?"^\^ ;mcl a,22 bg black,0 bg G1F_S1cP,22 ld c,PIE_DefA10,22 ;【ピース】 langjp^ "....................."^\^ ld r,BUT_nayamuA2,22 ;【戦人】 langjp^ "The question you gave us of “who am I” is just one question.^\^ Is there truly only one question to this?^\^ If that’s the case, in the witch illusion you’re showing us, the person called the witch of the piece is the culprit, right....?"^\^ ld c,PIE_DefA8,80 ;【ピース】 langjp^ "...If the discussion starts to head in a meaningless direction, both me and my master will be bored.^\^ Since you’ve at least made it to the entrance to the labyrinth, I think it’s fair to give you some guidance.^\^ So, allow me to state this clearly in red."^\^ cl c,0 ld l,PIE_DefA2,80 se1 59 ;【ピース】 langjp^ "#ff0000The purpose of the game is to expose my identity.^\^ It’s not a question of affirming or denying fantasy.#ffffff"^\^ bg black,0 bg G1F_S1aP,0 ld r,BEA_majimeA2,22 ;【ベアト】 langjp^ "If that’s the case, getting to the heart of things is simple.^\^ Blue declaration. ^\/ se2v 2,100 langjp^ #5decffYou are Piece, Witch of the Piece.#ffffff"^\^ ld c,PIE_DefA4,80 ;【ピース】 langjp^ "Of course, that is not true.^\^ I’ll say it in red. ^\/ se1 59 langjp^ #ff0000I am a person who has existed from Episode 1 through Episode 8.#ffffff"^\^ ld l,ENJ_fumanA1,22 ;【縁寿】 langjp^ "That’s impossible.^\^ Nobody who can swallow existences whole has ever appeared."^\^ bg black,0 bg G1F_S1cP,0 ld l,BUT_komaruA2,22 ;【戦人】 langjp^ "...Maybe they had that power when they appeared, but never showed it?"^\^ ld c,PIE_DefA13,80 ;【ピース】 langjp^ "It doesn’t matter if you knew about it or not. ^\/ se1 59 langjp^ #ff0000During Episodes 1 through 8, I existed and had that power. ^\/ se2 59 langjp^ And now, due to the wishes of my master, I am showing you this power for the first time.#ffffff"^\^ ;mcl a,22 ld r,ENJ_ikariA1,22 ;【縁寿】 langjp^ "If that’s the case, I have another question.^\^ So this person is,"^\^ ld l,BEA_fukigenA3,80 ;【ベアト】 langjp^ "Wait, Ange.^\^ It seems there is still more to this event.^\^ You’ll still be able to ask questions even after Piece has exposed her hand."^\^ ld r,ENJ_fumanA2,22 ;【縁寿】 langjp^ "....Seems so.^\^ Well?^\^ Now that I and mom have been erased....who’s next?"^\^ bg black,0 bg G1F_S1bP,22 ;mcl a,22 ld c,BUT_futekiA1,22 ;【戦人】 langjp^ "Maybe she’ll ask “who am I” after everybody on Rokkenjima is erased?^\^ .....Isn’t that how Beato got her answer?"^\^ ld r,BEA_majimeA1,22 ;【ベアト】 langjp^ "No, that’s not it.^\^ She already said in red that she is a person who exists from Episode 1 through Episode 8."^\^ ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "Piece, please continue.^\^ And of course, fast forward."^\^ bg black,0 bg G1F_S1aP,22 ;mcl a,22 ld c,PIE_DefA1,80 ;【ピース】 langjp^ "Of course.^\^ After this, Beato and Kinzo realized that somebody had gotten through their magic and started a battle, piece.^\^ A battle with Kinzo’s powerful summoning magic, Beato’s powerful witchcraft,^\^ Shannon and Kanon’s furniture powers, and Genji's battle magic began to unfold.^\^ However, if you wish to fast forward through that, I will do so, piece."^\^ mcl a,22 ld l,BEA_komaruA1,22 ;【ベアト】 langjp^ "Huh?^\^ We’re going past my highlight reeeeeel.^\^ What a shaaaame."^\^ ld r,BUT_waraiA3,22 ;【戦人】 langjp^ "Huh.^\^ Come to think of it, Genji had a strong presence in the fantasy realm, but he never had a battle scene, right?"^\^ ld l,BEA_fukigenA2,80 ;【ベアト】 langjp^ "Him and Ronove are two sides of the same coin.^\^ It’s regrettable, but fast-forward."^\^ ;mcl a,22 mld r,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "Please fast forward smoothly, Piece."^\^ cl c,0 mld l,PIE_DefA3,80 ;【ピース】 langjp^ "*giggle*!^\^ Understood, piece!"^\^ ;■メタ終わり vsp efesp,1 mendef 0 mcl a,0 bg black,0 print 2 wait 1000 langjp^ As you wish, I will fast forward past the charades and the garbage delusions.^\^ bgm1 41 se1 57 ;bg white,1 bg BLADE1B,1 quakey 1,200 se2v 73,100 se1v 1100,100 ;bg white,1 bg BLADE8_1R,1 quakex 2,400 se1v 72,100 se2v 57,100 se3v 36,100 ;bg white,1 ;bg BLADE7_2B,10,200 bg homing7BS,1 quakey 3,500 bg Mhal_1cN,0 ld c,PIE_Defb14,0 print 2 langjp^ The intruder who transported the Ushiromiya family into the spirit world, Piece.^\^ se2 57 bg BLADE1R,1 quakey 2,100 se3 72 bg BLADE2R,1 quakex 2,100 se1 57 bg BLADE7_1R,1 quakey 3,200 se2v 57,100 bg BLADE7_2R,1 quakex 2,100 se1v 57,100 se2v 2,100 bg homing6BS,1 quakey 3,100 bg black,80 se3v 72,100 se2v 57,100 se1v 1100,100 bg white,1 bg BLADE8_2R,2 wait 500 humanorder "clr",0 bg Mhal_1bN,0 ld l,KIN_fukigenA1,0 ld r,BEA_majimeA6,0 print 22 langjp^ Although they couldn’t prevent her invasion, Kinzo and Beato were able to detect an uncomfortable feeling.^\^ se2 57 bg BLADE7_1B,1 quakex 3,200 se3 57 bg BLADE5B,1 quakey 3,200 se3v 72,100 se2v 57,100 se1v 1100,100 mbg white,1 bg BLADE8_2B,0 mcbg 22 bg Mhal_1aN,0 ld r,GEN_majimeA1,0 ld c,KAN_odorokiA2,0 ld l,SHA_ikariA1,0 print 23 langjp^ Beato, Kinzo, Genji, Shannon and Kanon challenged Piece, who introduced herself as the witch of the underworld.^\^ langjp^ However, while they realized Piece was an uninvited guest from the underworld, they didn’t realize that she had already sacrificed two people.^\^ langjp^ Ushiromiya Kyrie and Ushiromiya Ange.... the truth was, she had already erased their existence from this world.^\^ langjp^ When facing a foreign body that tries to unilaterally alter its world, or its Fragment, the only true defense is an antibody reaction.^\^ se1v 57,100 bg BLADE1B,1 quakey 2,100 se2v 57,100 bg BLADE2B,1 quakex 2,100 se3v 57,100 bg BLADE3B,1 quakey 2,100 se2v 72,85 bg BLADE7_2B,1 quake 2,100 se1v 73,85 bg BLADE6_2B,1 quakex 2,100 se2v 74,85 bg BLADE8_1B,1 quakey 2,100 se3v 57,100 se1v 72,100 bg homing7BS,1 quakex 4,500 bg Mhal_1cN,0 ld c,PIE_DefA13,0 print 2 langjp^ However.... it was difficult to fully convince them that Piece was an enemy.^\^ langjp^ The strong barrier would alert them to any visitors outside the Fragment, and it alerted them to her.^\^ langjp^ ...However, as everybody faced off against Piece.... they recognized her as a familiar relative.^\^ se1v 72,100 se2v 73,100 se3v 1100,100 bg white,1 bg BLADE8_1R,22 cl a,22 bg Mhal_1bN,0 ld r,BEA_majimeA5,0 ld l,PIE_DefA4,0 print 22 langjp^ Beato immediately saw through the uncomfortable feeling.^\^ She saw through her power and felt it was likely that her opponent had the power to erase existence, books, and Fragments...^\^ ld l,PIE_DefA9,80 ;【ピース】 langjp^ "As you’d expect from somebody who just introduced herself as an advising alchemist.^\^ Congratulations for seeing through my power.^\^ However, have you seen any further.....?"^\^ bg Mhal_1aN,0 ld r,GEN_majimeA2,0 ld c,KAN_odorokiA2,0 ld l,SHA_ikariA1,0 print 23 ld c,KAN_odorokiA1,80 ;【嘉音】 langjp^ "What is she.... talking about...?"^\^ ld l,SHA_majimeA3,80 ;【紗音】 langjp^ "Kanon.^\^ .....Right now, there are five of us fighting.^\^ .....Do you really think there were five?"^\^ ld c,KAN_komaruA1,80 ;【嘉音】 langjp^ "Huh?"^\^ ld r,GEN_majimeA1,80 ;【源次】 langjp^ "...Perhaps there were six of us."^\^ langjp^ And following Kanon and Shannon, there had been one more servant with the -on in their name.^\^ langjp^ Had somebody just been sacrificed as a lesson....?^\^ bg Mhal_1cN,0 ld r,BEA_futekiA1,0 ;ld l,PIE_DefA1,0 print 22 ;【ベアト】 langjp^ "Whaaat?^\^ Isn’t this similar to my golden magic?"^\^ ld l,KIN_waraiA2,22 ;【金蔵】 langjp^ "Huhuhhu.^\^ Now that you mention it, yes.^\^ ...However, it’s a stingy power that can’t even give me a single gold coin.^\^ Hahahahah!"^\^ ;■メタ空間 ;E_A se1 56 mset mld l,BUT_majimeA1,80 ;【戦人】 langjp^ "...I see.^\^ So it’s the same as golden magic."^\^ mld r,BEA_akuwaraiA1,22 ;【ベアト】 langjp^ "If we’re denying fantasy, that’s the easiest way to explain it."^\^ mld r,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "It’s unpleasant.^\^ If six-year-old me got my existence erased from this farce from the beginning, why would Onii-chan be on good terms with my parents?"^\^ mld l,BUT_niramuA2,80 ;【戦人】 langjp^ "That’s right.^\^ The golden ingots are piling up.^\^ I’m absolutely not going to accept that my sister doesn’t exist."^\^ mcl r,0 mld c,ENJ_waraiA3,80 ;【縁寿】 langjp^ "Onii-chan...."^\^ mcl a,22 mld r,BEA_waraiA4,22 ;【ベアト】 langjp^ "Hey, Piece.^\^ If you want to swallow up this brocon, go for it."^\^ mld l,PIE_DefA10,22 ;【ピース】 langjp^ "I’m not going to fall for your boxing checkmate, piece♪"^\^ ;■メタ終わり mendef 0 mcl a,0 print 23 wait 300 se1 58 bg white,1 bg BLADE1R,80 wait 100 bg Mhal_1cN,0 mld l,KAN_IkariA2b,0 ld c,PIE_Defa13,0 print 2 se1v 57,100 mbg white,1 mcbg 0 mld l,KAN_OdorokiA1b,0 ld c,PIE_hair3b19,0 print 22 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 mcl l,0 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 4 lsp 888,":a;BMP\background\2018\pie_hair_efe1.png",0 print 22 langjp^ In the meantime, the number of people Kinzo and Beato led quickly reduced in number. ^\/ csp 886 csp 887 csp 888 bg Mhal_1aN,0 ld r,BEA_odorokiA3,0 print 2 langjp^ Even though they didn’t remember how many people were originally in the group, a distorted, strange battle began to unfold.^\^ langjp^ The last remaining, Beato, was fighting fiercely in the midst of a strange sense of bewilderment.^\^ langjp^ Am I the only one fighting this monster?^\^ Am I not fighting alongside anybody else?^\^ If I haven’t been fighting alone since the beginning....has the world been altered?^\^ ld r,BEA_aseruA1,80 ;【ベアト】 langjp^ "You.... monsteeeer........."^\^ bg Mhal_1cN,0 ld c,PIE_hair3a6,0 print 2 ;【ピース】 langjp^ "Thank you, piece♪^\^ That’s the highest praise for my master, piece."^\^ se1v 64,100 mcbg 0 print 22 langjp^ !s0*whoosh*!!^/ quake 4,600 langjp^ *crack*......!!^/ quakey 3,600 ld c,PIE_hair2a11a,0 print 22 !sd ....*pfffft*,/ quakex 2,1000 langjp^ .....*urk*.....^\^ ld c,PIE_hair2a15a,80 ;【ピース】 langjp^ "....Huh...?"^\^ langjp^ *urk* ^/ quakex 1,1000 ld c,PIE_hair1a11a,80 langjp^ *urk* ^/ quakey 1,1000 ld c,PIE_DefA1a,80 langjp^ .........^\/ wait 600 se1v 47,100 !s0............*pthu*。!sd/ quakex 1,1000 langjp^ ^\^ ld c,PIE_DefA16a,80 ;【ピース】 langjp^ "This.... it can’t be....."^\^ langjp^ Piece’s hair, which had gulped down 5 people, suddenly spit something out. ^\^ langjp^ That thing was a thing that the eyes couldn’t see.^\^ ...the swallowed victims’ “existence”.^\^ ld c,PIE_DefA13,80 ;【ピース】 langjp^ "I see.^\^ Unlike normal Humans, those versed in magic can’t be as easily swallowed.^\^ *giggle* Even to the very end, you’re shrewd, piece!"^\^ br langjp^ It seemed that she couldn’t entirely erase the fact that Kyrie and Ange existed.^\^ langjp^ Ange and Kyrie’s names and family names were erased, but it seems those five didn’t lose that...^\^ ld c,PIE_DefA3,80 ;【ピース】 langjp^ "Well, it’s fine, piece♪^\^ Now there aren’t any obstacles, piece.^\^ But this seems like it ends the fun halloween party.^\^ Those poor servants.^\^ Now it seems that only Gohda and Kumasawa are the ones tidying up, piece."^\^ bg black,22 fede 0,3000 ;wait 1000 me1 12 bg Ment_1bN,22 langjp^ A couple servants who I hadn’t seen before materialized and started flapping about.^\^ langjp^ Since I ate three of the servants, I thought tonight would be difficult for them, but it doesn’t seem to be a problem.^\^ bg Mhal_2an,22 langjp^ The lively and fun halloween party gradually became a laid-back and relaxed one as the night wore on.^\^ br langjp^ Everybody was smiling, and nobody was sad.^\^ It was a night full of happiness...^\^ ;■メタ空間 E_A se1 56 mset bgm1 29 mld c,PIE_DefA2,22 ;【ピース】 langjp^ "I went through the effort to make that fun and cheesy magic battle, piece.^\^ But since you didn’t like it, I had to cut it short.^\^ Hihihi, isn't that unfortunate, piece?"^\^ mcl a,22 mld r,BEA_futekiA2,80 ;【ベアト】 langjp^ "I don’t mind.^\^ If you spend too long explaining the food, it has no meaning."^\^ mld l,BUT_nayamuA2,80 ;【戦人】 langjp^ "You’re quick to set the table.^\^ Isn’t having food fresh out of the oven in a restaurant one of its core principles?"^\^ mld c,ENJ_fumanA2,22 ;【縁寿】 langjp^ "However, if you don’t have a full set of silverware, it’s impolite to stick your hands in and eat."^\^ mcl a,22 mld c,PIE_DefA4,80 ;【ピース】 langjp^ "That’s right.^\^ I have no plans to tell you to eat with your bare hands.^\^ Please, use your red fork and blue spoon to your heart’s content, piece♪"^\^ mendef 0 mcl a,0 bg black,22 print 23 bg g1f_s1cp,22 ;mcl a,22 ld r,ENJ_defA1,22 ;【縁寿】 langjp^ "First you want an answer from us.^\^ That is to say, the witch’s identity. I want to make something a little clearer regarding that."^\^ ld l,BEA_majimeA2,22 ;【ベアト】 langjp^ "That is,^/ se1 59 langjp^ #5decffdo you exist as one person from Episode 1 to Episode 8?#ffffff^\^ Let me clarify.^\^ Is that person a person all three of us all can recognize?"^\^ ;mcl a,22 mld c,PIE_DefA10,22 ;【ピース】 langjp^ "Recognize?"^\^ cl l,0 mld l,BUT_majimeA1,22 ;【戦人】 langjp^ "For example, ^\/ ;mcl a,0 mcl a,0 bg kaisou_ep8ber,22 wait 800 ;print 22 bg black,0 bg g1f_s1ap,22 ld c,BUT_oyaA1,22 langjp^ In episode 8, the expression “Lambdadelta’s many terrifying monster friends” was said. ^\/ ;bg black,2 langjp^ Supposing that one of those monsters was the right answer, even though they appeared in this Fragment, we wouldn’t know its name or who it was.^\^ Therefore, it would be impossible for us to give you the correct answer."^\^ ld r,PIE_DefA6,80 ;【ピース】 langjp^ "Ahh, I understand.^\^ Let me say this in red, piece.^\/ se1 59 langjp^ #ff0000You three definitely know my name. ^\/ se1 59 langjp^ In other words, my existence and name is one that exists within all of your memories.#ffffff"^\^ ld l,ENJ_majimeA1,22 ;【縁寿】 langjp^ "Memory?^\^ In other words, we’ve met them?"^\^ ld r,PIE_DefA5,80 ;【ピース】 langjp^ "I cannot answer that question.^\^ That would greatly reduce the number of possible answers, which would dampen the excitement, piece."^\^ mcl a,0 bg black,0 bg g1f_s1bp,22 ld r,BEA_waraiA1,22 ;【ベアト】 langjp^ "*cackle*^\^ That’s not surprising.^\^ We’re three people, with varying life circumstances, who have met and haven’t met different people.^\^ If she answers that, the scope of possible answers would be quite restricted."^\^ ld l,BUT_niramuA3,80 ;【戦人】 langjp^ "However... inside this catbox are Humans, and witches, and furniture, and weapons, and so forth...^\^ how many names have even appeared in the script.....?"^\^ ld c,ENJ_defA1,22 ;【縁寿】 langjp^ "Just by assuring us that it’s possible to answer correctly, the difficulty level has dropped..."^\^ ld r,BEA_DefA1,80 ;【ベアト】 langjp^ "However, there are three of us.^\^ Witch and Human.^\^ Inside and outside the catbox.^\^ We all have differing situations."^\^ ;mcl a,0 mld c,PIE_DefA13,22 ;print 22 ;【ピース】 langjp^ "Even so, I can crush all three of you in one blow.^\^ My master has so much confidence that they told me to fight all three of you at once, piece."^\^ mcl a,0 bg black,0 bg g1f_s1cp,22 ld c,PIE_DefA3,22 wait 600 ld c,PIE_DefA13,22 ;【ピース】 langjp^ "That’s right. I didn’t mention one of the game’s conditions."^\^ ;mcl a,0 ld l,BUT_futekiA1,22 ;【戦人】 langjp^ "Then come.^\^ After all, this is a decent story."^\^ ld r,BEA_akuwaraiA2,22 ;【ベアト】 langjp^ "Give us the condition, then.^\^ There are three of us, and only one of you.^\^ If there isn’t a handicap for us, it won’t be interesting."^\^ cl c,0 mld c,PIE_DefA4,80 ;【ピース】 langjp^ "It’s not anything significant.^\^ When everybody arrives at their final theory, I want you to agree upon it and announce it together, piece.^\^ Whatever you choose as your answer, if one of you doesn’t agree, it won’t be submitted, piece."^\^ mcl a,0 cl l,0 mld l,BUT_majimeA2,80 ;【戦人】 langjp^ "......To confirm, is there a limit on the number of times we can declare an answer?"^\^ ld r,BEA_majimeA2,80 ;【ベアト】 langjp^ "Hmm.^\^ If we were to recite every name that we knew from A to Z, wouldn’t we eventually hit the correct one?^\^ If we don’t have a limit on the number of answers we can declare, it’s not really a game."^\^ ld c,ENJ_fumanA1,22 ;【縁寿】 langjp^ "Idiot.^\^ Why are you proposing a rule that will only strangle your neck?"^\^ ;cl r,0 ld r,BEA_akuwaraiA2,80 ;【ベアト】 langjp^ "It would still be our win.^\^ The three of us would arrive at the same theory, and trounce her in a single blow."^\^ mld l,BUT_futekiA3,80 ;【戦人】 langjp^ "So this time around, it’s not shotgun deductions, but more like a sniping rifle?"^\^ ld r,BEA_akuwaraiA1,80 ;【ベアト】 langjp^ "Of course, you can make countless checks in blue.^\^ But then, after you’ve found the outline, you take it out with one shot...!"^\^ mcl a,0 bg black,0 bg g1f_s1ap,22 mcl a,0 ld c,PIE_DefA2,80 ;【ピース】 langjp^ "My master didn’t order me to put a restriction on the number of times.^\^ ....But if you are interested and show me a heated logic battle, I would be glad to accept your request."^\^ ;mcl a,0 ld l,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "....Good grief.^\^ ...Thanks to that, trying to find the right name will no longer be a trivial fight."^\^ ld r,BUT_niramuA2,80 ;【戦人】 langjp^ "Then, let’s begin.^\^ Let’s take everybody’s views into consideration."^\^ cl c,0 mld c,PIE_Defb14,80 ;【ピース】 langjp^ "With that, let's start, piece!"^\^ *d10 E_A mcl a,0 bgm1 107 bg black,0 bg chess2,22 langjp^ Battler crossed his arms and looked up at the night sky...^\^ br langjp^ Like I did in the game with Beato, will I step into the position of denying fantasy?^\^ langjp^ Or will I decide everything is magic, so my brain won’t ache?^\^ if %101010 = 1 goto *d10 if %101010 = 0 goto *d10b mov %101010,1 mset goto *d10b *d10b mov %101010,0 mono 1 bg garden_1bn,0 ld c,KIR_DefA1,0 mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 print 2 l langjp^ First...Ange and Kyrie were eaten, and their existences were erased.^\^ bg Mhal_1bN,0 humanorder "lrc",0 mov %rain_flg,0 csp efesp ld c,PIE_hair3,0 ld r,EN2_defA1,0 print 2 langjp^ In doing this, it meant that from the beginning of this world, they didn’t exist.^\^ bg Mhal_1an,0 ld l,RUD_DefA1,0 ld c,PIE_defA1,0 ld r,BUT_defA1,0 print 2 langjp^ Both the piece version of me and my old geezer believed that I had no younger sister.^\^ mono off bg black,2 wait 600 langjp^ To think about it in simple terms, ....this is like Beato’s cherished golden magic.^\^ langjp^ Namely, that money is paid in order to create a false narrative.^\^ ld l,KIR_DefA1,0 ld r,EN2_DefA1,0 print 2 langjp^ If you had vast sums of money, you could get people to say that Ange and Kyrie were not in the family...^\^ langjp^ However, both my and my geezer believe in the idea of ‘ladies first’, and we’re natural contrarians.^\^ langjp^ Whether it’s by bribery or a threat, I wonder if we’d submit to the request to behave as if Ange and Kyrie didn’t exist.....^\^ langjp^ ...No.^\^ It’s possible they could be hostages.^\^ br langjp^ So Ange and Kyrie could have been taken hostage....and then we would be ordered to act as if they didn’t exist....?^\^ bg different_space_2a,22 ld c,BUT_niramuA4,22 ;【戦人】 langjp^ "Piece. ...Just to clarify, what is the motive behind this strange event?"^\^ ld r,BEA_waraiA1,22 ;【ベアト】 langjp^ "Hoh, as you’d expect from Battler.^\^ I also would like to know."^\^ ;mcl a,22 ld l,ENJ_fumanA2,22 ;【縁寿】 langjp^ "Me too.^\^ If some ridiculous whim caused this event, it’ll break the immersion of this Fragment, and reasoning will be totally pointless."^\^ bg black,0 bg different_space_2b,0 ld r,PIE_DefA4,22 ;【ピース】 langjp^ ".....So you’re saying not to make light of the human heart?"^\^ ld l,BUT_futekiA3,22 ;【戦人】 langjp^ "The whodunnit, the howdunnit, and the whydunnit.^\^ As one of those three blades approaches the mystery of the witch, it also determines the standing of the witch."^\^ ld c,BEA_majimeA1,22 ;【ベアト】 langjp^ "Hm.^\^ The whydunnit is particularly important.^\^ That is what I think is the most important answer for mysteries."^\^ ld r,PIE_DefA10,80 ;【ピース】 langjp^ "There is no reason to distrust the standing of the Fragment my master created.^\^ So I will state it in red.^\/ se1 59 langjp^ #ff0000There is motive and heart behind this incident.#ffffff"^\^ cl l,0 ld l,ENJ_komaruA3,22 ;【縁寿】 langjp^ "Heart?"^\^ bg black,0 bg different_space_2c,22 ld c,PIE_DefA4,22 cl c,0 ld l,PIE_DefA3,80 ;【ピース】 langjp^ "I hope you know...that it’s a motive that both yourselves and any Human can understand."^\^ ld r,BEA_majimeA2,22 ;【ベアト】 langjp^ "In other words, it’s not a kind of paranoid, self-righteous motive that only the culprit can understand?"^\^ mld c,BUT_odorokib1,1 quakey 8,400 ;【戦人】 langjp^ "It's not some unexpected reason that only the culprit would find important where they say DIE while striking them with a coat hanger^\^ because they couldn't see Mt. Fuji, but a third person would go 'you would take a person's life for that?', right?"^\^ cl l,0 ld l,ENJ_fumanA2,22 ;【縁寿】 langjp^ "Onii-chan, you should apologize.^\^ If possible, rub your forehead against the ground."^\^ mld c,BUT_nakuA1,80 mcl c,22 ;【戦人】 langjp^ "Sorry.^\^ *scratch* *scratch*...."^\^ mcl a,0 bg black,0 print 22 langjp^ ...I think we just got a nice big hint.^\^ langjp^ By looking at the motive, that can be very useful in approaching the truth.^\^ langjp^ Then, let’s confirm once more.^\^ bg black,0 bg different_space_2c,22 ld l,BUT_oyaA1,22 ;【戦人】 langjp^ "...Many people were sacrificed.^\^ Does the culprit hold a motive to kill all of them?"^\^ ld c,PIE_DefA2,22 ;【ピース】 langjp^ "Of course, piece."^\^ ld l,BUT_niramuA4,80 ;【戦人】 langjp^ "For Kyrie and Ange, it was clear you made a conscious decision to kill them.^\^ But what about Grandpa, Beato, Shannon, Kanon and Genji?^\^ Were you just putting out sparks, or was there an obvious motive?"^\^ cl c,0 ld r,PIE_DefA18,80 ;【ピース】 langjp^ "...It was."^\^ ld l,BUT_oyaA2,80 langjp^ Huh?^\^ langjp^ ...That was an honest and unexpected answer.^\^ langjp^ Her target was just Kyrie and Ange.^\^ Everybody else was just her putting out the sparks.^\^ If that’s the case, I can follow the lines of the family tree.^\^ ;mcl a,2 bg black,0 ld c,KAS_defA1,22 langjp^ ...For example, the person who bears the biggest grudge against Kyrie and Ange would be Kyrie’s younger sister.^\^ br langjp^ Kasumi Sumadera.^\^ br langjp^ We’ve already seen the depths of her grudge and madness in an earlier Fragment.^\^ I’m not convinced it’s her, but she has an understandable motive.^\^ cl a,22 ld r,KAS_defA1,0 ld l,KIR_defA1,0 print 22 langjp^ However, if Kasumi was the culprit, she would only have the grudge against Kyrie, and she shouldn’t resent the other members of the family.^\^ ;cl a,22 langjp^ Going by the illusion of the witch, the murders of the other members were just her sweeping away sparks.....but that’s different.^\^ mono 1 mendef 0 mcl a,0 bg Mhal_1an,0 ld c,KIN_defA1,0 print 2 langjp^ If Kasumi is the culprit, grandpa would just barely be her target.^\^ ld r,BEA_defA1,0 mld l,SHA_DefA1,0 mld c,KAN_DefA1,0 mld r,GEN_DefA1,0 msp 883,-70,0,0 msp 884,-95,0,0 msp 885,150,0,0 print 22 langjp^ It doesn’t seem like she expressly targeted Beato, Shannon, Kanon, or Genji...!^\^ mono off csp -1 cl a,0 ;lsp msp_kuro,":c;bmp\r_click\black.bmp",0,0,150 ;lsp msp_hana_u,":a;bmp\efe\hana_u.bmp",0,0 ;lsp msp_hana_d,":a;bmp\efe\hana_d.bmp",0,364 bg black,0 print 22 ;wait 600 bg different_space_2c,22 ld r,BUT_nayamuA2,22 ;【戦人】 langjp^ ".....I think...I’ve found a trail to follow..."^\^ mld c,PIE_DefA10,22 wait 300 mld c,PIE_DefA15,80 ;【ピース】 langjp^ "I wish to be clear, so let me declare it in red, piece."^\^ mcl c,0 E_A se1 59 mld l,PIE_DefA13,80 langjp^ #ff0000I have reason to make Beato, Kyrie, Ange, Kinzo, Kanon, Shannon and Genji disappear.#ffffff^\^ bgm1 146 ld r,BUT_aseruA2,80 ;【戦人】 langjp^ "Why?!"^\^ ld c,BEA_majimeA1,22 ;【ベアト】 langjp^ "...She doesn’t have to answer that."^\^ mcl a,22 bg black,0 print 22 ;wait 600 bg different_space_2d,22 ld c,PIE_DefA4,22 ;【ピース】 langjp^ "No, I’ll answer.^\^ In red truth."^\^ ld r,ENJ_komaruA3,22 ;【縁寿】 langjp^ "Huh?"^\^ langjp^ By nature of this witch’s game, you didn’t give out unnecessary hints that could draw your opponent closer to yourself, much less state your motive in red.^\^ langjp^ But Piece was doing that.^\^ langjp^ At that moment, she wasn’t like the amusing witch they’d seen up until now....her eyes gave off a weird feeling, like the eyes of a doll....^\^ cl a,0 ld c,PIE_DefA16,80 se1 59 ;【ピース】 langjp^ "#ff0000In order for me to come onto this gameboard, they were pieces that should not exist.^\/ se2 59 langjp^ For several of the victims, I originally was going to allow them to live.^\/ se3 59 langjp^ However, because of their circumstances, I couldn’t allow them to stay on the game board.^\/ se1 59 langjp^ Therefore, they were removed.^\/ se2 59 langjp^ Don’t misunderstand.^\/ se3 59 langjp^ Their removal does not neglect the heart.^\/ ;se1 59 ;wait 800 se1v 60,100 se2 37 ;E_A mbg white,1 bg bite,22 mcbg 0 print 1 langjp^ ...However, for those I truly wanted to kill,^\^ I ignored the heart and showed them no mercy or pity as I removed them from the gameboard.#ffffff"^\^ bg black,0 bg different_space_2a,0 ;mcl a,2 ld l,BUT_odorokiA3,0 ld c,ENJ_komaruA3,0 ld r,BEA_fukigenA1,0 print 22 langjp^ ...That calm intensity made everybody gulp.^\^ langjp^ Right now, she was not gripped by strong emotions, and she was not the witch of the gameboard.....^\^She was speaking from the heart of the culprit. ...A confession.^\^ ld l,BUT_aseruA3,80 ;【戦人】 langjp^ "...The ones who you really wanted to kill...who on earth were they?!"^\^ mld r,PIE_DefA10,22 ;【ピース】 langjp^ "...................................."^\^ langjp^ I really thought that Kyrie was the main target, and killing everybody else was unavoidable.^\^ langjp^ But with this...I don’t get it at all.^\^ ;mono 1 mcl a,0 bg black,22 ld c,KIN_DefA1,0 print 22 langjp^ For example, grandpa could be her main target.^\^ In order to get a chance to kill him, she could’ve killed Kyrie and then impersonated her to get into the family conference.^\^ ld c,BEA_DefA1,0 print 2 langjp^ Or, if we accept the illusion of the witch as truth, Beato was the main target, and the process of killing her created some sort of trick,^\^ and everybody else were just victims caught in the crossfire...^\^ br langjp^ In particular, if you look at how people were killed, the way they were killed in the illusion’s story is similar to the way the victims appear.....^\^ For example, it would be similar to Beato’s epitaph murders....^\^ ;mono off ;cl c,0 ;mld c,BUT_AseruA2,0 ;print 99,2000,"breakup.dll/llB" langjp^ No, no, in the first place, as far as the choice of victims goes, having a phony target and a real target would make the howdunnit unnecessary.^\^ langjp^ But in that case, she said #ff0000“they were all pieces that couldn’t exist”,#ffffff so it’s in conflict with what she said earlier.^\^ ;mcl a,22 bg black,0 fede 0,3000 bg different_space_2b,22 me1 5 ld r,BEA_majimeA1,22 ld r,BEA_akuwaraiA1,80 ;【ベアト】 langjp^ "...For the howdunnit, doesn’t it seem like she’s suspended a spider’s thread and has now entangled it with our thought...?"^\^ mld l,ENJ_fumanA1,22 ;【縁寿】 langjp^ "I think we’ve figured something important out......but at this point there’s no use in being captives of our own thoughts."^\^ ld c,BUT_majimeA1,22 ;【戦人】 langjp^ "...Ah....That’s true...."^\^ ;mcl a,2 mld c,PIE_DefA13,22 ;【ピース】 langjp^ "*giggle* piece♪"^\^ mld l,ENJ_fumanA2,80 ;【縁寿】 langjp^ "As she was looking down and laughing at us. .....I kept being reminded of somebody."^\^ mcl c,0 cl c,0 cl r,0 mld r,BUT_oyaA1,0 print 22 ;【戦人】 langjp^ "Who?"^\^ mld l,ENJ_majimeA1,80 ;【縁寿】 langjp^ "I don’t have any line of motivation for her. ...But I’ve been trying to think of what this witch’s aura brings to mind."^\^ mld c,PIE_Defb14,22 ;【ピース】 langjp^ "Whooo aaam I...?^\^ *giggle*..."^\^ mld l,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "That haughtiness and laugh with her intellectual overbearance. .....It’s just like my comrade."^\^ mld r,BEA_futekia2,22 ;【ベアト】 langjp^ "Hmm....that person?"^\^ textoff if %101010 = 2 goto *d11 if %101010 = 0 goto *d11b *d11 mov %101010,2 mset goto *d11b *d11b mov %101010,0 mcl a,2 textoff ;wait 2000 se1 22 bg Different_spiral_1a,22 fede 0,2000 se2 56 bg white,48 wait 600 bg black,22 bg different_space_2a,22 bgm1 192 se1 52 mld c,ERI_MajimeA4,0 print 99,3000,"breakup.dll/lrP" wait 700 ;bgm1 113 wait 1200 mld c,ERI_AkuwaraiA3,22 ;wait 500 ;【ヱリカ】 langjp^ "This is unexpected, comrade Ange.^\^ As a witch of truth, it is only natural that I have both grace and wits. .....So? How is this tacky witch of pieces similar to me?"^\^ ;mcl a,0 ;ld l,ERI_defA1,0 ld r,ENJ_defA1,22 ;print 22 ;【縁寿】 langjp^ "I don’t think you’re the same.^\^ What do you think of this?"^\^ mcl c,0 ld l,ERI_akuwaraiA2,80 ;【ヱリカ】 langjp^ "Show me, comrade Ange.^\^ That truth that you have spun!"^\^ ;mcl a,22 bg black,0 bg different_space_2b,22 ld l,BUT_nayamuA2,22 ;【戦人】 langjp^ "It can’t be, she showed up...."^\^ ld r,BEA_DefA2,22 ;【ベアト】 langjp^ "Isn’t your sister a witch that can revive the dead?^\^ If Ange wishes it, life and death don’t matter."^\^ ld l,BUT_komaruA2,80 ;【戦人】 langjp^ "...But she doesn’t call herself a witch that can revive the dead."^\^ ld r,BEA_futekiA1,80 ;【ベアト】 langjp^ "Others don’t understand it, but that means they don’t have reason to deny it.^\^ That’s the power of the Witch of Ressurection."^\^ ;bg black,0 ;bg different_space_2c,22 mld c,PIE_DefA1,22 ;【ピース】 langjp^ "To summarize, Ange’s brain can call up virtual personalities at will, like parallel thought processing.^\^ It’s a handy sort of magic, piece."^\^ mld l,ENJ_fumanA1,22 ;【縁寿】 langjp^ "Erika was the only uninvited guest to drift ashore onto Rokkenjima.^\^ There was nobody else irregular who showed up at the halloween party."^\^ mcl a,0 bg black,0 bg rose_1an,0 ;gosub *rain_on ;mov %rain_flg,1 lsp 890,":a;bmp\efe\rain.bmp",0,0 ld c,ERI_majimeA4,0 print 22 ;mcl a,22 ;bg garden_1cn,0 ;mld r,ERI_AkuwaraiA4,0 ld c,ERI_AkuwaraiA5,80 print 22 ;【ヱリカ】 langjp^ "I’m the great detective, loved by those who deny the Ushiromiya Family culprit theory."^\^ gosub *rain_off csp 890 bg black,0 bg different_space_2b,22 ld c,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "It’s not fixed what kind of person Erika originally was.^\^ All we know is that she fell off a cruise ship."^\^ ld r,ERI_waraiA4,22 ;【ヱリカ】 langjp^ "However!^\^ When I appeared on the witch’s game board, I had one trait."^\^ bg bite,22 langjp^ An intellectual rapist.^\^ langjp^ It was a disgusting nickname.^\^ That was, an intellectual supremacist who looked down on others, and who got the greatest joy from intellectually violating people.^\^ csp 890 mcl r,0 bg black,0 print 2 bg Mhal_2an,0 ld c,ERI_DefA2,22 mld l,ENJ_nayamuA1,22 ;print 22 mld l,ENJ_fumanA2,80 ;【縁寿】 langjp^ "After she drifted ashore, they welcomed her as an overnight guest.^\^ ...She acted superior and irritated them, so it’s possible that the Ushiromiya family all conspired together to create a fake murder case."^\^ mcl a,22 cl c,0 ld r,ERI_akuwaraiA2,80 ;【ヱリカ】 langjp^ "*giggle* No matter what trick you use, my “perfect autopsy” means you can’t wriggle out of being in the suspect list by playing dead."^\^ mcl a,0 bg black,0 mld l,ENJ_defA1,0 print 22 mld l,ENJ_nayamuA1,80 ;【縁寿】 langjp^ "What do you think of this scenario?^\/ mcl l,0 bg Mhal_1an,0 ld c,KIN_akuWaraiA2,0 print 22 langjp^ During the halloween party, Erika drifted ashore and was welcomed as a guest."^\^ bg Mhal_1bN,0 ld l,EVA_WaraiA1,0 ld c,EN2_DefA1f,0 ld r,ERI_DefA1,0 print 22 langjp^ During the party, the family was very excited from all the puzzles and quizzes.^\^ mcl l,0 ld r,ERI_AkuwaraiA5,0 print 22 wait 300 ld l,EVA_KomaruA4,22 ld c,EN2_DefA2,22 ;【縁寿】 langjp^ "The self-proclaimed detective arrived there, couldn’t read the room, and kept answering and answering, dumbfounding the adults."^\^ mcl a,22 bg Mhal_1cN,0 ld l,EVA_futekiA1,0 ld c,RUD_akuwaraiA1,0 ld r,KIR_waraiA2,0 print 22 mld c,ERI_majimeA3,22 wait 600 mld c,ERI_akuwaraiA2,80 ;【ヱリカ】 langjp^ "Then, the adults who wanted to clear away their humiliation from being beaten by me planned a clumsy farce."^\^ mcl a,0 bg black,0 bg different_space_2b,22 ld l,ENJ_defA1,22 langjp^ For example, ....me and mom suddenly “disappearing”.^\^ The next morning, dad and onii-chan would ask Erika about it, and Kyrie would jump out as a prank in order to startle and tease her.^\^ ld r,ERI_defA2,24 ;【ヱリカ】 langjp^ "If you look at all the past Fragments, the Ushiromiya family is quite good at “acting”, and enjoys it."^\^ ld l,ENJ_fumanA1,80 ;【縁寿】 langjp^ "She was surprised by their “acting”. Or perhaps, the servants would continue to play dead and then they’d “act” out the serial murder case?"^\^ ;fede 0,1000 bg black,0 bg chess2,22 langjp^ This crazy story had appeared in one of the past game records.^\^ langjp^ With that, regardless of whether or not they understood the motive, even if it was presently one-sided, Ange’s reasoning couldn’t be blocked.^\^ bg black,0 bg different_space_2b,22 ;mcl a,0 ld c,ENJ_majimeA1,22 ;【縁寿】 langjp^ "However, that answer requires three people to agree to do it.^\^ So, I’m going to hit you with the one-sided truth that me and Erika came to."^\^ ;mcl c,22 ;bgm1 112 ld r,ERI_akuwaraiA6,22 ;【ヱリカ】 langjp^ "*giggle* *giggle* just by imagining that lovely face distorting already has me reaching a climax.....!!"^\^ mld l,PIE_Defb12,22 ;【ピース】 langjp^ "*giggle* *giggle* I see, we really are the same archetype, piece♪^\^ If there are two similar pieces on the board, it really is a nuisance, piece."^\^ cl r,0 mld r,ERI_waraiA3,24 ;【ヱリカ】 langjp^ "Oh, I see that we only resemble each other in atmosphere.^\^ Is it a hindrance that our brain capacities are so different?"^\^ mld l,PIE_DefA13,80 ;【ピース】 langjp^ "*giggle*......*giggle*^\^ *giggle*!!"^\^ mld r,ERI_akuwaraiA2,24 ;【ヱリカ】 langjp^ "*giggle*......!!!"^\^ se1v 57,85 cl c,0 mbg white,1 mcbg 0 mld l,PIE_Defb19,0 mld r,ERI_akuwaraiA6,0 print 22 ;【ピース】 langjp^!s0^~ib~^ "Piece!! " ;【ヱリカ】 langjp^ "!!"^~ib~^!sd^\^ mcl a,0 bg black,0 bg different_space_2b,22 mld r,ENJ_fumanA1,0 print 22 ;【縁寿】 langjp^ "Blue declaration!^\^ ^/ se3v 57,100 se2v 2,100 mbg white,1 mcbg 22 langjp^ #5decffThe culprit is Furudo Erika!^\/ se2v 2,100 langjp^ This sequence of events was all to trick Erika, or was a farce to mock her!^\/ se2v 2,100 langjp^ Everybody suddenly acting like we didn’t exist was all arranged beforehand!#ffffff"^\^ langjp^ That will explain what you just said with red truth!^\ se1 59 langjp^ “#ff0000For several of the victims, I originally was going to allow them to live.^\/ se1 59 langjp^ However, because of their circumstances, I couldn’t allow them to stay on the game board.^\/ se1 59 langjp^ Therefore, they were removed.#ffffff”^\^ mono 1 mcl a,0 ld c,ERI_AkuwaraiA4,0 print 22 langjp^ This is the meaning of Erika’s “perfect autopsy!”^\^ langjp^ For this woman who calls herself an intellectual rapist, somebody who is an intellectual inferior challenging her with a mystery is akin to blasphemy!^\^ langjp^ She would fly into a rage, and by way of that perfect autopsy, would change the fate of this silly play!!^\^ mono off cl a,0 se1v 2,100 mbg white,1 mcbg 0 msp 883,30,0,0 mld l,ERI_akuwaraiA5,80 ;【ヱリカ】 langjp^!s0 "Check! "!sd^\^ se1v 2,100 mbg white,1 mcbg 0 msp 885,-10,0,0 mld r,ENJ_majimeA1,22 ;【縁寿】 langjp^!s0 "And mate!!^!sd\^ Everything can be explained by the motive of Erika having her pride as an intellectual rapist hurt!"^\^ mld l,ERI_akuwaraiA4,24 ;【ヱリカ】 langjp^ "For your red truth of ^/ se1 59 langjp^ #ff0000 “however, for those I truly wanted to kill, I ignored the heart and showed them no mercy or pity”,#ffffff^\^ you presented a grave sin of trying to fool the great detective with a farce! You tried it on a great detective!^\^ According to the book, that’s the same as blasphemy towards god! So, naturally, they would be divinely punished! How about that!?"^\^ se1v 57,85 mbg white,1 mcbg 0 bg black,0 lsp2 4,":a;bmp\TATI\ENJ\1\ENJ_MajimeA1.png",500,500,200,200,0 print 1 wait 100 csp2 -1 mc a,0 msp 885,-10,0,0 mld r,ENJ_majimeA1,0 print 22 ;【縁寿】 langjp^ "For Erika, as well as Ushiromiya Ange,"^\^ se1v 57,85 vsp 885,0 mbg white,1 mcbg 0 bg black,0 lsp2 4,":a;bmp\TATI\ERI\1\ERI_akuwaraiA4.png",300,500,200,200,0 print 1 wait 100 csp2 -1 mc a,0 vsp 885,1 msp 883,30,0,0 mld l,ERI_akuwaraiA6,0 print 22 ;【ヱリカ】 langjp^ "This degree of logic is possible!!!"^\^ br langjp^ As Erika and Ange stood back-to-back and attacked Piece, they pointed their index fingers at her.^\^ langjp^ Even though two people were pointing at Piece...she didn’t lose her calm smile...^\^ se3 22 lsp 2,":ba;bmp\background\efe\butterfly_4sp1.png",0,0 ;msp 885,-10,0,0 ;msp 883,30,0,0 ;mld r,ENJ_KomaruA3,0 mld l,ERI_AkuwaraiA3,0 print cbfly 11 ;fede 0,1000 msp 885,-10,0,0 mld r,ENJ_nayamuA2,0 mcl l,0 print 99,1000,"breakup.dll/lrP" csp 2 print 99,1000,"breakup.dll/lrP" ;wait 800 langjp^ Ange and the other witch of truth showed off a wink with their signature pose as a faintly glowing swarm of butterflies danced about them...^\^ bg black,0 fede 0,2000 me1 17 bg different_space_2c,0 mcl a,22 mld c,PIE_DefA6,2 ;【ピース】 langjp^ "Piece....♪^\^ I truly am grateful for your piece heartfelt logic, piece♪"^\^ mld c,PIE_DefA5,80 ;【ピース】 langjp^ "However, as I already said, I need all three of you to agree for me to accept it, piece."^\^ mld c,PIE_DefA9,80 ;【ピース】 langjp^ "At any rate, you only have one chance.^\^ The great lady Beatrice levied that rule on you herself."^\^ ;mcl a,0 ;mld r,PIE_DefA10,0 mld l,ENJ_nayamuA1,0 print 22 ;【縁寿】 langjp^ "...You’ve given us a stupid rule.^\^ But, it’s not much of a problem.^\^ You two just need to agree to this story."^\^ mcl a,0 bg black,0 bg different_space_2a,0 ld r,BUT_odorokiA3,22 ;【戦人】 langjp^ "..........................."^\^ ld c,BEA_komaruA3,22 ;【ベアト】 langjp^ "...Ange.^\^ Are you really making an Erika culprit theory?"^\^ ld l,ENJ_fumanA1,22 ;【縁寿】 langjp^ "Is there anything else I can do!?"^\^ cl c,0 cl r,0 ld r,BEA_odorokiA3,80 ;【ベアト】 langjp^ "If the culprit was limited to humans, your theory would be good. ....But isn’t making a resident of the witch’s illusion the culprit an illegal move?!"^\^ ld l,ENJ_ikariA1,80 ;【縁寿】 langjp^ "Lambda has her monster friends, Featherine has her black cats following her, and Bernkastel has Lambdadelta.^\^ Isn’t this a free-for-all!?"^\^ ld r,BEA_aseruA1,80 ;【ベアト】 langjp^ "Yes, this is a free-for-all!^\^ For this reason, if we focus on what’s possible, we’ll be able to uncover the truth!"^\^ cl l,0 ld c,ENJ_majimeA1,22 ;【縁寿】 langjp^ "W, what do you mean.....?!"^\^ fede 0,2000 bg different_space_2b,0 ;mcl a,22 bgm1 122 mld r,BUT_majimeA2,22 ;【戦人】 langjp^ "When there are multiple people involved, it’s impossible to tell just who the culprit is.^\^ And Piece’s first red truth clearly stated^\/ se1 59 langjp^ #ff0000I am a person who has existed from Episode 1 through Episode 8.#ffffff"^\^ ld l,BEA_majimeA3,22 ;【ベアト】 langjp^ "In other words, in order to expose Piece’s identity, your howdunnit and whodunnit aren’t enough to pinpoint a single specific culprit ...!!"^\^ mld c,ENJ_ikariA1,22 ;【縁寿】 langjp^ "Just now, you and Onii-chan said you were trapped and confused by Piece as you were searching for the howdunnit!^\^ So I looked for the who and why, since I thought that was the highest chance of figuring out her name.....!"^\^ mld r,BUT_aseruA4,80 ;【戦人】 langjp^ "That’s no good...!^\^ The probability is high, but there’s no reasoning behind it!^\^ You’ll never be able to figure her out like that!^\^ It’s only when you’ve honed your reasoning that you can start....!"^\^ mld c,ENJ_ikariA2,80 ;【縁寿】 langjp^ "............Ugh..........................."^\^ br langjp^ Ange had also thought about it, but her weak point was that her head would get clouded with emotion if her older brother was involved.^\^ langjp^ So when the reasoning she built up was denied by two people, it took some time to regain her composure.^\^ mcl c,0 ld l,ENJ_fumanA2,80 ;【縁寿】 langjp^ ".....We’ve given up on the who, why and how.^\^ How are we supposed to reason now?"^\^ if %101010 = 3 goto *d12 if %101010 = 0 goto *d12b *d12 mov %101010,3 goto *d12b *d12b mov %101010,0 mcl a,0 bg different_space_2c,23 mld c,BEA_futekiA1,23 ;【ベアト】 langjp^ "Then, it’s my turn.^\^ I’m not a detective.^\^ I’m a witch.^\^ And witches don’t reason."^\^ ld l,BUT_futekiA2,22 ;【戦人】 langjp^ "Me and Ange have been challenging the puzzle under the assumption that it’s a human culprit.^\^ But now, Beato can flip that premise over and attack from there."^\^ mcl c,0 mld r,BEA_futekiA2,80 ;【ベアト】 langjp^ "It’s like what Kyrie said.^\^ I’ll turn the chessboard over on her...!^\^ However, I’m not going to imagine the culprit’s point of view. ...I’m going to turn over the assumption that the culprit is a human."^\^ ld c,ENJ_ikariA1,22 ;【縁寿】 langjp^ "W, what do you mean....?"^\^ ;mcl a,22 mld c,PIE_DefA13,22 ;【ピース】 langjp^ "Will this be a little different from Lady Beatrice’s past games? *giggle*..."^\^ mcl a,0 bg black,22 bg different_space_2a,22 ;mcl a,22 ld l,ENJ_komaruA5,22 ;【縁寿】 langjp^ "Isn’t pulling in a resident of the witch illusion a free-for-all!?^\^ If you accept the fact that she swallowed Humans’ existence as truth, we won’t know who did it!^\^ This isn’t funny!^\^ Wasn’t it you who said that if you do that, the truth will never be uncovered!?!"^\^ ld r,BEA_majimeA6,22 ;【ベアト】 langjp^ "On the contrary!^\^ For the who and how, ‘anything goes’ does not apply!^\^ But the ‘why’ is different!"^\^ mld c,BUT_nayamuA2,22 ;【戦人】 langjp^ "Ah. It was possible for Featherine or Bernkastel to commit the crime.^\^ But they wouldn’t have motive to commit such a twisted scenario."^\^ cl l,0 mld l,ENJ_ikariA1,80 ;【縁寿】 langjp^ "Don’t those witches enjoy killing on a whim!?^\^ Asking for a motive for them is foolish!^\^ Just thinking about it is futile!"^\^ textoff wait 1000 mld l,ENJ_komaruA3,22 ld r,BEA_majimeA1,22 cl r,2 wait 500 mcl r,0 mcl c,22 mld r,BUT_majimeA6,2 ;【戦人】 langjp^ "Now that you know all of what happened in the catbox, can you say that in front of Beato......?"^\^ mcl l,0 ld c,ENJ_komaruA2,80 ;【縁寿】 langjp^ "....That.....urk.."^\^ br langjp^ In Battler’s eyes, this was no joke or laughing matter, and Ange realized she had misspoke...^\^ textoff mld r,BUT_nayamuA1,22 fede 0,2000 bgm1 106 mcl r,0 bg black,0 bg chess2,22 ;mld r,BUT_niramuA4,80 ;【戦人】 langjp^ "It’s a witches’ game. ....Anything goes. It’s ridiculous to think about, since it’s abnormal, crazy and strange...!!^\^ But in it, you can’t neglect it...the heart....!!!!"^\^ langjp^ The witches are ridiculous ...they have absurd powers they can use as they please....but they only have one.....just like a baby or an animal......it’s bugging me.^\^ *check_0004 ;mld r,BUT_odorokiA1,80 bg rose_t1a,22 ;【戦人】 langjp^ "They don’t convey the heart.^\^ In simple terms, they’re contrarians who aren’t upfront!^\^ Even though they want somebody to reach their human heart, they can’t help but avoid humans, but then, they don’t speak frankly about it!^\^ You lot are helpless, foolish, pitiable idiots!!"^\^ br langjp^ This is why...you can’t treat the witches’ game carelessly!!sd^\^ textoff ;se1 57 ;bg white,1 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) bg gun_1a,1 wait 100 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) bg ep4last03,1 quakey 1,100 wait 600 ;se2v 73,100 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) ;bg white,1 bg portrait1,1 wait 200 quakex 2,200 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) bg ep4last05,1 wait 600 ;se2v 73,100 se1v 1100,100 ;bg white,1 bg kakera_memory8,1 wait 200 quakex 2,100 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) bg ep4last06,1 wait 200 ;se1 57 ;bg white,1 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) bg e2,1 quakey 1,200 se1v 1100,100;ガラスの割れる音(種類はランダムに再生) ;bg white,1 ;bg BLADE7_2B,10,200 bg book1,1 wait 200 se1v 72,100 se2v 57,100 se3v 36,100 bg different_space_2d,22 ld c,BUT_sakebub1,1 quakey 3,500 wait 800 ;【戦人】 langjp^ "You’re a stupid, lonely fool, but you’re still doing your best to frantically write out this Fragment....^\^so I definitely won’t say that just thinking about this is futile!!!"^\^ ld l,PIE_DefA16,2 ;【ピース】 langjp^ "..........................."^\^ cl c,0 mld r,BEA_akuwaraiA1,22 ;【ベアト】 langjp^ "...*cackle*...I can’t match the man who treated the millennia-old witch’s message bottle like the first love letter an elementary schooler wrote."^\^ cl l,0 mld l,PIE_DefA17,80 ;【ピース】 langjp^ "...Battler."^\^ se2 52 for %meta_tmp1 = 880 to msp_kuro csp %meta_tmp1 next mov %meta_l_on,0 mov %meta_c_on,0 mov %meta_r_on,0 mcl a,0 bg G1F_S1cP,0 ;ld l,PIE_DefA1,0 ;ld r,BEA_DefA1,0 print 99,2000,"trvswave.dll/" wait 1000 langjp^ I don’t know if your words are spoken from the mouth of a Human...or from the mouth of the lord of the Golden Land and husband of the Golden Witch.^\^ cl a,22 wait 600 ld c,PIE_DefA16,2 ;【ピース】 langjp^ "When I got my master’s order, ....when I came here, I couldn’t help but think this was annoying.^\^ I wouldn’t gain anything by coming here.^\^ Rather, I thought that it would just make me hate myself."^\^ br langjp^ However...this was worth doing.^\^ ld c,PIE_DefA10,22 ;【ピース】 langjp^ "From your mouth. Just by listening to those words. .....Just with those, it was worth coming here today on a witch’s errand....."^\^ bg G1F_S1aP,4 ld c,ENJ_komaruA2,22 ;【縁寿】 langjp^ "...Beato.^\^ And Piece. ....And furthermore, the uncountable number of witches I haven’t met.^\^ I’m sorry."^\^ ld c,ENJ_defA1,22 ;【縁寿】 langjp^ "In addition, you’d already declared it in red.^\/ se1 59 langjp^ #ff0000“This event clearly has motive and heart.”#ffffff^\^ I’m embarrassed I lost my self-control and got sulky."^\^ ld r,BEA_waraiA1,22 ;【ベアト】 langjp^ "Don’t worry about it.^\^ I’d expect something refreshing like that from Battler’s sister."^\^ cl c,0 ld l,ENJ_fumanA2,22 ;【縁寿】 langjp^ "But didn’t the way I approached the howdunnit set you back?"^\^ bg G1F_S1bP,24 ld r,BUT_futekiA1,24 ;【戦人】 langjp^ "You’re right, but at least you limited the suspects to just Humans."^\^ ld c,ENJ_majimeA1,22 ;【縁寿】 langjp^ "Anything goes, but......can you seriously......read a witch’s heart.....from the howdunnit....?"^\^ ld r,BUT_futekiA3,80 ;【戦人】 langjp^ "You can.^\^ If the witch truly lacked a human heart.....she wouldn’t have the form of a human!"^\^ langjp^ Even if they completely become witches, they still want to get close to others, so they take the forms of humans.^\^ langjp^ If they earnestly look down on humans, and lose interest in them....they think that the form of a human is stupid, and they stop adopting it.^\^ And the form they then take is scraps of seaweed.^\^ They sink, flutter and fall in the sea of Fragments, eventually becoming a flake of snow piled up in the depths of oblivion.^\^ bg G1F_S1cP,22 ld c,PIE_DefA4,22 ;【ピース】 langjp^ "The one who doesn’t neglect the heart.....is the Wizard-Hunting Wright."^\^ br langjp^ He is undeniably...a witch killer. ^\^ langjp^ My omnipotent master still hasn’t forgotten that human....Ushiromiya Battler.....^\ bg black,22 langjp^ That’s right. ....all the ghosts of witches want to be defeated like that, with a eulogy, so they gather.^\^ br langjp^ ...Like Rokkenjima’s Beatrice.^\^ bg G1F_S1aP,0 ld c,PIE_DefA3,0 print 22 ;【ピース】 langjp^ "....Honestly, it’s unfortunate, piece."^\^ ld r,BEA_majimeA2,0 print 22 ;【ベアト】 langjp^ "Unfortunate...?"^\^ cl c,0 ld l,PIE_DefA13,80 ;【ピース】 langjp^ "Honestly.....this sourpuss hogging such a cute kid like you as her husband.....is a grave sin to the witches of the senate."^\^ ld r,BEA_akuwaraiA2,80 ;【ベアト】 langjp^ "Realllly?^\^ What idiiiiots."^\^ ld l,PIE_DefA8,80 ;【ピース】 langjp^ "...For somebody of low rank like me, it’s crushing........Battler isn’t suitable for you."^\^ ld r,BEA_akuwaraiA1,80 ;【ベアト】 langjp^ "Interesting.^\^ Are you going to try anything, you old hag?"^\^ cl l,0 mld l,PIE_DefA15,80 ;【ピース】 langjp^ "The two of you love freewheeling logic. ...But I won’t give you that leeway."^\^ langjp^ She didn’t know if she was being irritated by Piece’s mood, or by her wrath.^\^ langjp^ But while Piece and Beato were doing that, it surely felt as if invisible sparks were calmly floating about...^\^ mcl l,0 bg black,22 fede 0,2000 bg G1F_S1aP,22 bgm1 181 ld c,ENJ_komaruA1,0 ld r,BUT_majimeA5,0 print 22 ld c,ENJ_defA2,80 ;【縁寿】 langjp^ "....What’s driving those two?"^\^ ld r,BUT_futekiA5,80 ;【戦人】 langjp^ "It’s fine. ...you’ve crossed a great distance over the sea of nothing to come here, so it’s only fair that I give you a warm welcome."^\^ bg G1F_S1cP,0 ;ld l,PIE_DefA1,0 ld r,BEA_majimeA1,0 print 4 langjp^ Now, Beatrice. .....Rip open my golden brains!^\^ This woman, this witch of the piece, rip her open.^\^ bg black,22 langjp^ That’s right, what Battler said is correct.^\^ Witches are lonely, and because of that habit, they’re clumsy, stupid idiots who can’t communicate that.^\/ mono 1 bg Mvip_1c,0 ld r,BUT_futekiA1,0 ld l,BEA_WaraiA1,0 print 2 langjp^ In a single word, I’m lonely.^\^ So please, pay attention and finish this with a single word.^\/ bg Wsan_2c,0 ld c,BEA_housinB1,0 print 2 langjp^ Read this tedious tale, whose cruel contents are crammed into a thousand bottles and cast into the sea, that would take a thousand years to tell.^\/ bg Wsan_1c,0 mov %BUT_Isyou,2 ld c,BUT_futekiA5,0 ld r,BEA_WaraiB6,0 print 2 langjp^ Anybody who would want to read it is an idiot who would wait on the beach for a thousand years a thousand times over...!!^\^^/ mono off cl c,0 bg G1F_S1cP,0 ;ld l,PIE_DefA1,0 ld r,BEA_nayamub1,0 print 42 wait 400 text off fede 0,2000 bgm1 80 cl r,0 ld c,BEA_futekiA1,22 langjp^ I’m going to tear you open.....!^\^ And then, I’ll accept your message....!!^\^ ;se2 52 *d13 cl a,0 bg black,0 mld l,PIE_DefA1,0 mld r,BEA_DefA1,0 ;lsp msp_kuro,":c;bmp\r_click\black.bmp",0,0,150 ;lsp msp_hana_u,":a;bmp\efe\hana_u.bmp",0,0 ;lsp msp_hana_d,":a;bmp\efe\hana_d.bmp",0,364 ;print 99,2000,"trvswave.dll/" wait 2000 ;bgm mcl a,22 ld l,KIN_DefA1,0 ld c,KIR_DefA1,0 mld l,EN2_DefA1,0 ld r,BEA_defA1,0 print 2 langjp^ ...Why did Kyrie, Ange, Beato, Kinzo, Shannon, Kanon and Genji have to disappear?^\^ langjp^ She said^\/ se1 59 langjp^ #ff0000In order for me to arrive on this game board, they were all pieces that had to not exist.#ffffff^\^ mcl l,0 ld l,sha_DefA1,0 ld c,Kan_DefA1,0 ld r,gen_defA1,22 langjp^ What does that mean!?!^\^ If we deny fantasy, I’d interpret it as an unshakeable intent to kill them.^\^ langjp^ But since this is a witches’ game, shouldn’t there be another way to interpret it...!?^\^ langjp^ For example, “we” cannot appear in front of those who possess the anti-magic toxin.^\^ mov %BUT_Isyou,1 bg black,0 bg G1F_S1cP,22 ld l,BUT_majimeA4,22 ;【戦人】 langjp^ "......However, that rule shouldn’t apply to pieces who appear other than you guys."^\^ ld r,ENJ_fumanA1,22 ;【縁寿】 langjp^ "Are they not able to exist at the same time as them....?^\^ Could it be something like that.....?!"^\^ ld c,BEA_komaruA4,22 ;【ベアト】 langjp^ "“In order for me to come to this game board”, she couldn’t allow those pieces to exist..."^\^ ld l,BUT_futekiA3,80 ;【戦人】 langjp^ "Beato! You shouldn’t get caught in such stiff logic....!^\^ Aren’t you the golden witch who managed to solve that old geezer’s shitty riddle!? "^\^ bg gold1,22 langjp^ At any rate....Kinzo’s epitaph didn’t require witty reasoning.^\^ It was just childish, self-important wordplay....!!!!^\^ langjp^ You needed flexible thinking.^\^ You didn’t need precise mathematics.^\^ You needed to attack with whatever delusional inspiration you got from word association...!^\^ mcl a,0 bg black,0 bg G1F_S1aP,22 print 22 mld c,PIE_DefA9,2 ;【ピース】 langjp^ "Well, well.^\^ Are you calling my mystery mere wordplaaay?"^\^ mld c,PIE_DefA3,80 ;【ピース】 langjp^ "This is an important matter.^\^ And since it seems you don’t understand, I’ll state it clearly in red truth."^\^ mld c,PIE_DefA13,80 se1 59 ;【ピース】 langjp^ "#ff0000Aren’t riddles my specialty?^\/ se1 59 langjp^ I’m even better at them than you are. #ffffff"^\^ mld r,BEA_fukigenA1,0 print 22 ;【ベアト】 langjp^ "....Hoho.....she’s........specifically stating it in red......"^\^ mcl c,0 mld l,PIE_DefA10,80 se1 59 ;【ピース】 langjp^ "#ff0000So you were completely immersed in solving riddles,^\/ se2 59 langjp^ since around the time you met Battler?^\^ Isn't ten or so years such a small stretch of time?^\/ se3 59 langjp^ Unlike you, I’m not a little girl.^\/ se1 59 langjp^ Ever since before you were born, I had always loved riddles, and was very good at them.^\/ se2 59 langjp^ ...It’s regrettable that I wasn’t able to challenge the riddle of the epitaph."^\^ se3 59 langjp^ "If I had challenged the riddle of the epitaph together with you, we surely could’ve solved the childish wordplay that grandpa frantically dreamt up.^\/ se1 59 langjp^ ...But.^\/ se2 59 langjp^ ......This time, I tried to challenge the riddle of the epitaph for the first time, as the witch of the piece.^\/ se3 59 langjp^ It was easy!#ffffff"^\^ ;mcl a,0 mld r,BEA_odorokiA1,1 quakey 3,500 ;print 2 ;【ベアト】 langjp^ "W,.....what....!?!!?!?!?"^\^ br langjp^ The riddle of the epitaph revived Beato as the golden witch.^\^ The odds of arriving at the correct answer were astronomically low, so solving it was like a miracle.^\^ langjp^ Solving it revived the witch, and caused great number of mistakes due to sorrow to begin pressing at her back,^\^ which drastically changed the fate of the Ushiromiya family on Rokkenjima.....^\^and she called such a cataclysmic riddle child’s play, as if she found it easy to answer.....!?!^\^ mcl a,0 bg black,0 bg G1F_S1bP,22 ld c,PIE_DefA18,22 ;【ピース】 langjp^ "Right. What you call the revival of the Golden Witch — rising up after six years from nothing...^\^...and lowering your human self to furniture whose body was incapable of love...^\^ All that was the trigger for the tragedy.^\^ But right now, I am tangled up in regret and grief that is as fierce as the epitaph’s wordplay!"^\^ langjp^ "If you had challenged it together with me, we could’ve solved it sooner.....^\^with my hands and your enthusiasm, we surely would have grasped the key!^\^ However, the fact that I wasn’t able to do that was my greatest sin!^\^ Because of that, you were born, Battler was shut up in the cat box, and Ange was left all alone in the future...!"^\^ cl c,0 ld r,PIE_DefA15a,1 se2 11 quakey 2,200 langjp^ "This is all my responsibility!^\^ I gave birth to you!^\^ I should’ve given birth earlier! Then, I’m sure....everybody^/ wait 400 langjp^ ...^/ wait 400 langjp^ ...^/ wait 400 se2 11 quakey 3,400 langjp^ !s0 would’ve been much happier!!"!sd^\^ ;mcl a,0 ;mld l,PIE_DefA1,0 mld l,BEA_odorokiA3,0 print 22 ;【ベアト】 langjp^ ".......Who...the hell.....are you..."^\^ langjp^ S, she gave birth to me....? ......It can’t be, the......mom I’d only seen in the portrait..?^\^ langjp^ No, it can't be that.^\^ It’s impossible.^\/ mcl l,0 bg garden_Se2c,0 print 22 langjp^ Because I’m positive my mother escaped from Kuwadorian with Rosa, then fell off a cliff to her death.^\^ That happened right after giving birth to me.^\^ bg garden_Se1b,0 ld c,NAT_DefA1,0 print 22 langjp^ Moreover, right after I was born, I was left in Natsuhi’s care.^\^ bg black,0 bg portrait2,22 langjp^ Even if she is the former Beatrice, my true birth parent, the riddle of the epitaph came too late after that part of her fate.^\^ br langjp^ If Piece really was my predecessor, she would’ve said she raised me, or that we spent time together in Kuwadorian.^\^ br langjp^ For that reason, I don’t feel comfortable with the logic that she is my birth parent.^\^ bg black,0 bg G1F_S1bP,22 ld l,BUT_aseruA2,22 ;【戦人】 langjp^ "...But that line of thinking probably isn’t too off base..."^\^ ld r,BEA_iiwakeA3,22 ;【ベアト】 langjp^ "Ah....She’s at a place she can look down on me.^\^ But she isn’t looking down at me in arrogance.^\^ It’s from a place similar to trying to guide a young child....it’s implausible, but^/ wait 800 langjp^ it’s like she’s doing it out of parental love!"^\^ mld c,ENJ_ikariA1,22 ;【縁寿】 langjp^ "......I, I don’t understand.^\^ Is that really true for her?^\^ It wasn’t really what I had in mind...."^\^ mcl c,0 bg black,0 bg G1F_S1cP,22 ld l,PIE_DefA17,22 se1 59 ;【ピース】 langjp^ "#ff0000Ange.^\/ se1 59 langjp^ .....Of course you wouldn’t know.^\/ se2 59 langjp^ .....Because.^\/ se3 59 langjp^ Even though you know my name, we have never met even once.^\/ se1 59 langjp^ Furthermore, I will say this.^\/ se2 59 langjp^ Until I became the witch of the piece, I did not know your name, or even that you existed.#ffffff"^\^ ld r,ENJ_komaruA4,22 ;【縁寿】 langjp^ "T, then I’d like to know the opposite as well!^\^ Did you meet onii-chan, or Beato?!"^\^ cl r,0 cl l,0 ld c,PIE_DefA13,80 ;【ピース】 langjp^ "I’ll answer!!^\^ Did I meet those two Humans?^\^ I didn’t just meet them!^\^ I listened to their hobbies.^\^ I listened to them talk about fashion.^\^ I listened to them talk about what they liked.^\^ About the weather, or the weirdly shaped shells they found on the beach.^\^ We really had so many meaningless conversations!^\^ I was always willing to talk for hours if I could see their smiling faces...!!!"^\^ fede 0,2000 bg black,22 bg G1F_S1aP,22 bgm1 79 ;mcl a,2 ld c,BUT_kuyasigaruA1,22 ;【戦人】 langjp^ "...................................."^\^ br langjp^ ....W...what is this witch....talking about....^\^ langjp^ I can’t tell if she’s giving us another mystery or the solution.....^\^ langjp^ Do you really not know who I am....?^\^ Hurry up.....isn’t what I’m doing right now the verbal equivalent as kicking and screaming?!^\^ langjp^ I understood up to that....but then, why do I not remember who she is...!!^\^ br ld c,BUT_nakuA1,1 langjp^ Cowardice and regret couldn’t stop my tears....dammit,^/ / se1v 8,100 quakey 4,400 wait 600 langjp^ dammit dammit dammit^/ se1v 8,100 quakey 4,400 se1v 8,100 quakey 4,400 langjp^ you bruuuuuute!!!^\^ bg black,0 bg G1F_S1bP,22 ld l,ENJ_ikariA1,22 ;【縁寿】 langjp^ "I, I’m the only one she didn’t meet, and then she didn’t even know I existed....."^\^ ld r,BEA_odorokiA2,22 ;【ベアト】 langjp^ "She’s undoubtedly related to the Ushiromiya family...!^\^ And yet, she didn’t even know Ange existed....?"^\^ ld l,ENJ_fumanA1,80 ;【縁寿】 langjp^ "At that time, I was definitely sick enough to not be able to attend the family conference. ....But regardless of that, I wasn’t an illegitimate child.^\^ All the members of the Ushiromiya family should've known that Ushiromiya Ange existed!"^\^ mcl a,2 ld c,BUT_nakuA2,22 ;【戦人】 langjp^!d800 ".............................."^\^ ;【戦人】 langjp^!d800 ".......................................^/ ;wait 600 cl c,0 mld c,BUT_nakuA3,22 quakey 1,300 langjp^ !!!"^\^ langjp^ Battler’s eyes......were wide open.......^\^ langjp^ Within his heart....he then was certain he had found the core of the witch of pieces’ true form.^\^ langjp^ There was no basis for it.^\^ And it didn’t have evidence, either.^\^ Nor was there a puzzle piece for guessing the culprit’s identity.^\^ But even so, he was sure of his answer.^\^ langjp^ ...Even though the reasoning for it wasn’t established, he was sure that the answer was not steeped in the mystery genre.^\^ langjp^ Therefore.^\^ This was fantasy. ....Otherwise....she couldn’t be here........!^\^ mld c,BUT_aseruA5,80 ;【戦人】 langjp^ "......Y, ......you’re........!d1200........."^\^ br ;mld r,BEA_defA1,80 langjp^ Beato had also noticed that Battler seemed to have seen through the identity of the witch.^\^ langjp^ But compared to Battler.....she was far away from the answer.^\^ Therefore, she needed a piece of reasoning.^\^ ;mcl c,22 ld r,BEA_odorokiA3,80 ;【ベアト】 langjp^ "Why did people need to vanish in order for her to appear on this stage...!?"^\^ mcl c,0 cl l,0 ld l,BUT_aseruA2,80 ;【戦人】 langjp^ "It wasn’t just Beato and her friends. ....we......can’t exist at the same time as her....."^\^ ld c,ENJ_komaruA4,22 ;【縁寿】 langjp^ "I, I don’t understand.^\^ Was there somebody else who had created furniture like Beato had!?"^\^ ld l,BUT_nakuA1,80 ;【戦人】 langjp^ "No, that’s not it!^\^ It wasn’t a single person she couldn’t meet!^\^ There were two members of the Ushiromiya family that she couldn’t exist alongside......!"^\^ cl c,0 mld c,ENJ_hanbesoA1,80 ;【縁寿】 langjp^ "W, who...?!^\^ I don’t understand her.^\^ I really don’t understand...!!"^\^ langjp^ As tears fell from Ange’s face into her teacup, she scratched her head in anger over her own weakness....but she still didn’t stop thinking.^\^ br langjp^ I don’t remember her!!^\^ I want to remember!^\^ I need to remember!^\^ I want to receive it!!^\^ As the last survivor of the Ushiromiya family.....as the witch of the future......isn’t that my one responsibility?!^\^ fede 0,2000 bgm1 197 ;wait 600 mcl a,0 bg black,0 bg G1F_S1cP,22 mld c,PIE_DefA10,2 ;【ピース】 langjp^ "Ange. .....I called you to this place because I wanted to be cruel to you. ......I am a piece whose existence is incompatible with yours."^\^ br langjp^ But.....I met you, and I understand now.^\^ langjp^ You’re trying to live a straightforward life, even if you’re awkward and simpleminded.....all that was inherited from your brother.^\^ mld c,PIE_DefA1,80 ;【ピース】 langjp^ "...If we had met, we surely would’ve gotten along. ...That is what I believe.^\^ .....Even so, I would like to give thanks from the bottom of my heart that the two of us were able to meet today by some miracle."^\^ mcl a,0 bg black,0 bg G1F_S1aP,22 ld l,BEA_gamanA1,22 ;print 2 ;【ベアト】 langjp^!d800 ".....It can’t be......she’s!d800.........she's............,"^\^ ld r,BUT_kuyasigaruA1,0 print 22 ;【戦人】 langjp^ "Ah....!! That’s it....this girl is.....!d300she's.........,"^\^ ;mcl a,0 mld c,PIE_DefA17,0 print 22 ;【ピース】 langjp^ "Ange. My wickedness tried to get revenge not only on Kyrie, but on you, too.^\^ For a feud between two women, the right thing to do is to only drag those two involved into the coffin.^\^ But then, even though I’d never met you, since you were her daughter, I wounded your heart in order to console my own,^\^ and that trivial reason was why I called you here....as a parent....I am ashamed of myself...!!"^\^ mcl a,0 bg black,0 bg G1F_S1bP,22 d c,ENJ_hanbesoA1,0 ;print 22 ;wait 1000 delay 500 ld c,ENJ_ikariA1,2 quakey 1,300 wait 1500 ;【縁寿】 langjp^ "I never ^!d1000^ met you.....I’d only seen you in pictures......^!s100^ but I know, ^!d600!s0^ who you are....! I know,^!d600^ I know...!!! "!sd^\^ langjp^ That’s right.....just by seeing you smiling in a picture.....I hated you....^\^ langjp^ In that family photo without me....Dad and onii-chan.....were smiling at a “family” that I didn’t know......^\^I detested it....I wasn’t alive then, but I was still jealous...!!!^\^ bg moon_2a,22 langjp^ That’s right.^\^ We couldn’t meet due to the wheels of time.....and even if we did meet, we wouldn’t have been able to stay together.^\^ You and I sit on opposite sides of the seesaw, with dad as the fulcrum; we cannot exist at the same time as family members!!^\^ bg black,0 bg G1F_S1aP,0 ld c,ENJ_hanbesoA3,22 ;【縁寿】 langjp^ "Your.....!d800your name is.....!!!"^\^ if %101010 = 4 goto *d14 if %101010 = 0 goto *d14b *d14 mov %101010,4 ;mset goto *d14b *d14b mov %101010,0 ;mcl a,2 ;mld c,PIE_defA1,0 ;msp 884,-30,0,0 ;print 42 ;wait 500 langjp^ Ushiromiya.....^\^!d600!s0^/ se1v 2,85 langjp^ Asumu......!sd^\^ br langjp^ Dad’s late wife.....who passed away before I was born....!!^\^ wait 500 se2 52 for %meta_tmp1 = 880 to msp_kuro csp %meta_tmp1 next mov %meta_l_on,0 mov %meta_c_on,0 mov %meta_r_on,0 mcl a,0 bg G1F_S1cP,0 ld c,PIE_DefA10,0 print 99,3000,"breakup.dll/" ;fede 0,2000 ;wait 2000 ;■BGM:The_End_Of_The_World ld c,PIE_DefA16,42 ;【ピース】 langjp^ ".......Ange. ....That still is only a small part of the answer. ...Because, you still need to deny the terrible Fragment I brought with me."^\^ bg G1F_S1bP,0 ld r,ENJ_ikariA1,0 print 3 ;【縁寿】 langjp^ "....Huh.....?"^\^ br langjp^ Once Ange, Beato and Battler arrived at a unanimous answer, they would give it to her.^\^ langjp^ And yet.....why did Piece....no, Asumu......want to hear it from Ange’s mouth.....?^\^ bg G1F_S1cP,0 ld c,PIE_DefA3,0 print 4 ;【ピース】 langjp^ "Well then, start the autopsy. ....cats certainly love to kill things twice."^\^ bg black,42 wait 800 langjp^ This scenario of Ushiromiya Asumu not dying and continuing to hold her rank as a member of the Ushiromiya family.....was pure fantasy.^\^ It was a fairytale.^\^ fede 0,2000 bgm1 94 humanorder "lrc",0 bg Mhal_1an,0 ld l,RUD_DefA1,0 ld r,BUT_DefA1,0 mld c,KIR_DefA1,0 mld l,EN2_DefA1f,0 msp 883,80,0,0 msp 884,-30,0,0 print 42 langjp^ So long as Asumu was Rudolf’s wife, she was a legitimate member of the family and thus invited to the family conference.^\^ langjp^ Naturally, the family would not recognize Rudolf marrying a second wife.^\^ So, only his legal wife, Asumu, would have been invited.^\^ mcl c,0 print 99,1200,"whirl.dll/llb" langjp^ So.....the fact that Asumu existed in this Fragment automatically meant that Ushiromiya Kyrie could not exist in it.^\^ langjp^ Kyrie herself would exist, but not as an Ushiromiya.^\^ She would be Sumadera Kyrie.^\^ Therefore, Ushiromiya Kyrie, and her existence, would vanish.^\^ langjp^ The same could be said for Ange.^\^ langjp^ ....The reason Battler left the family was Ange’s birth date.^\^ If you counted backwards from the final month of pregnancy......it was evidence that Kyrie and Rudolf had an affair while Asumu was still living.^\^ langjp^ If Asumu was still alive and well, Ushiromiya Ange would not exist.^\^ Although she would be recognized, Kinzo would not permit Ange to enter the Ushiromiya family register.^\^ langjp^ Therefore, Ange would be an illegitimate child.^\/ mcl l,0 print 99,1200,"whirl.dll/llb" langjp^ Ushiromiya Ange’s existence would vanish, and as a substitute, Sumadera Ange would be born.^\^ ;se1 56 ;mset2ef 8 bg black,22 bg G1F_S1cP,22 mld r,ENJ_ikariA1,22 ;【縁寿】 langjp^ ".....I understand up to here. .....But why would you say that this Fragment is something that will hurt me....?"^\^ mld l,PIE_DefA18,22 ;【ピース】 langjp^ "Because I lived, encountered the puzzle of the epitaph, became the golden witch before Beato,^\^ solved the epitaph and learned an important hint, this scenario is pure fantasy."^\^ mcl a,0 bg black,22 bg G1F_S1bP,22 mld r,BEA_futekiA2,22 ;【ベアト】 langjp^ "The human called Ushiromiya Asumu....really is the polar opposite of Kyrie."^\^ mld l,BUT_nayamuA1,22 ;【戦人】 langjp^ ".....Ah. While my mom is fickle....she’s also an incredible human being."^\^ langjp^ Kyrie and her polar opposite.^\^ langjp^ Kyrie thought with logic, but Asumu thought entirely with inspiration.^\^ br langjp^ So a problem with numbers involved was Kyrie’s strong suit, ....but Asumu was good at solving puzzles that were unsolvable with logic.^\^ mcl a,0 bg portrait2,0 print 22 langjp^ The riddle of the epitaph was exactly that sort of puzzle.^\^ langjp^ While Kyrie would approach it from a place of logic and reason, that was not a substitute for the answer.^\^ mld c,PIE_DefA4,22 ;【ピース】 langjp^ "If I lived, and your grandfather installed the portrait of the witch, and the riddle of the epitaph, I would have solved it.^\^ And not only that, I would’ve known who your grandfather had wanted to solve the epitaph’s riddle.^\^ So I would have had Beato solve the epitaph right away.....this is that sort of fantasy."^\^ ld l,BEA_iiwakeA1,22 ;【ベアト】 langjp^ "As for that....when he sees my resurrection, Kinzo dies in front of me.^\^ So at that point in time, Kinzo would have already been dead."^\^ mcl a,0 bg black,0 bg G1F_S1cP,22 mld r,ENJ_fumanA1,22 ;【縁寿】 langjp^ "....Wait.^\^ Krauss and his conspirators kept the circumstances of Kinzo’s death a secret.^\^ So even though he was actually dead, they would behave as if he was actually alive..."^\^ mld l,BUT_futekiA5,80 ;【戦人】 langjp^ "...Mom. ...As I thought, this world is a little too convenient."^\^ ld c,PIE_DefA3,80 ;【ピース】 langjp^ "Isn’t that how fantasy and fairy tales are...?"^\^ mld l,BUT_majimeA1,80 ;【戦人】 langjp^ "Ange.^\^ They only needed to hide Kinzo’s death was when Krauss had financial problems."^\^ cl c,0 mcl r,0 mld r,BEA_nayamuA1,22 ;【ベアト】 langjp^ ".....In that world....I would live not in despair, but in a far-off, calmer everyday life. .....Therefore, after I found the gold, everything would change...."^\^ mono 1 mcl a,0 bg beach_1a,0 ld l,BUT_FutekiA1,0 ld r,SHA_WaraiA3,22 langjp^ The trigger that tore up Battler and Shannon’s relationship was Asumu’s death.^\^ langjp^ However, in this fantasy where Asumu did not die, the two of them could continue their friendship.^\^ bg Mlib_1aN,0 ld c,SHA_WaraiA1,0 print 2 langjp^ The seed of unrequited love placed in Shannon’s chest, whose root tormented her with pain during several years of hell, was never planted.^\^ langjp^ .....After that, as Asumu herself said, it was all a fairy tale.....^\^ bg gakubutsha,2 ;ld c,BUT_WaraiA1,0 ;ld r,SHA_hajiraiA3,22 ;print 2 langjp^ Those two grew up healthy.^\/ bg portrait2,2 langjp^ And then, suddenly, the riddle of the epitaph appeared in the mansion.^\^ bg mlib_1br,0 ld l,KIN_DefA1,0 ld r,SHA_DefA1,0 print 2 langjp^ Asumu’s intuition told her....that Kinzo wanted Shannon to perform some sort of miracle.^\^ bg m2f_p1d,0 ld c,GEN_DefA1,0 print 2 langjp^ Although he was quiet, Genji was poor at keeping secrets, and with just a couple questions....^\^Asumu had discerned that this was settling some sort of animosity with his illegitimate child.^\^ bg garden_Se2c,0 print 2 langjp^ Nanjo had told Kinzo that his years remaining were short, and that he should settle his regrets, and tell the truth to the girl who didn’t even know her own birth.^\^ langjp^ He hoped for a miracle and left it to time to decide, even though the chance of failure was high.^\^ bg m1f_s1br,0 ld l,BUT_DefA1,0 ld r,SHA_DefA1,0 print 2 langjp^ Asumu, who was good at puzzles, similar encouraged the mystery-loving Battler and Shannon to attempt and solve the riddle of the epitaph.^\^ langjp^ She watched and guided them so that they could arrive at the right answer.^\^ ;bg black,2 bg gold2,42 langjp^ At the beginning of the year in which the epitaph first appeared, the 20 billion yen of gold had been found by a young boy and girl....^\^ se1 56 mono 0 ld r,BEA_majimeA1,22 ;【ベアト】 langjp^ "...Ange. I will say it in place of her, over and over again. .....This is her fairy tale."^\^ ld l,ENJ_komaruA3,22 ;【縁寿】 langjp^ "..........................."^\^ langjp^ At first....Ange was confused as to why Beato and Piece kept apologetically repeating that this was fantasy over and over again.^\^ langjp^ But, little by little....she began to understand that uncomfortable feeling.....^\^ cl r,0 ld c,BEA_majimeA4,80 ;【ベアト】 langjp^ "And then.^\/ mcl a,0 bg black,0 mendef 0 ;mset2 print 2 bg mdin_1cr,0 ld c,KLA_DefA1,0 ld r,RUD_DefA1,0 ld l,EVA_DefA1,0 print 22 langjp^ The girl took a share for herself — one large enough for a more than merely comfortable life — then gave the rest to the Ushiromiya family relatives.^\^ Therefore, all of the relatives had enough to settle their financial problems.^\/ bg sea_1c,2 langjp^ Concealing Kinzo’s death was unnecessary.^\^ There was no reason to quarrel....leaving just a happy island."^\^ ld l,BUT_nayamuA1,22 ;【戦人】 langjp^ "So the reason Beato disappeared....was that she left the island."^\^ ld r,BEA_futekiA2,22 ;【ベアト】 langjp^ "That’s right. Because I did not have the roots of the seed of love within me, I wasn’t tormented by it,^\^ nothing tied me to the island, and it wasn’t necessary to act the part of the golden witch."^\^ bg m2f_p1a,0 ld c,GEN_DefA1,0 print 22 mld l,BUT_majimeA5,22 ;【戦人】 langjp^ "Genji disappeared because ...the master he had served died, and Beato left the island."^\^ mld r,BEA_majimeA1,22 ;【ベアト】 langjp^ "Since he no longer felt any personal obligation towards me and Kinzo, he decided to leave the island and live the rest of his life quietly.^\^ With Kinzo’s death and my decision to leave the island, Genji no longer had anything tying him to Rokkenjima.^\/ mcl a,22 wait 600 cl c,2 ;wait 1000 bg black,22 wait 500 bg Mhal_1an,0 ld c,KIN_DefA1,0 ld r,KIR_DefA1,0 ld l,GEN_DefA1,0 mld l,BEA_DefA1,0 mld c,SHA_DefA1,0 mld r,EN2_DefA1,0 msp 883,-90,0,0 msp 884,300,0,0 msp 885,0,100,0 print 22 wait 1000 mcl a,0 bg Mhal_1an,0 print 2 wait 400 langjp^ Therefore......their forms would have vanished from the 1986 Halloween party."^\^ ld l,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "...That is to say....in this game.....within the exact moment of the 1986 Halloween party, depending on if Asumu is alive or not,^\^ there are two errors in the Fragment that could direct the witch of pieces to devour her opponent’s existances.....it’s like a game of spot-the-difference....."^\^ ld r,PIE_DefA16,22 ;【ピース】 langjp^ "That’s correct. ...One side of the coin is shut up in the tragedy of the catbox.^\^ The other, with the exception of you and Kyrie, lives in a world of happiness.^\^ ...Beato’s dream was granted.^\^ ....Battler’s dream of living with his relatives and going to the same school materialized.^\^ He wouldn’t be hurt by his mother’s death and his father’s remarriage....he would also gladly accept that miracle."^\^ cl l,0 mld c,ENJ_fumanA1,22 ;【縁寿】 langjp^ ".....I see. If that’s true, then the three of us will agree on an answer and expose your identity.^\^ Even so.....I’ll deny that answer. .....right?"^\^ cl r,0 mld r,PIE_DefA4,80 ;【ピース】 langjp^ ".....Yes.^\^ I hurt you.^\^ Even if all of you arrive at an answer, if only you deny it, your side cannot win.^\^ That sort of game...was meant to bully you."^\^ se2 52 for %meta_tmp1 = 880 to msp_kuro csp %meta_tmp1 next mov %meta_l_on,0 mov %meta_c_on,0 mov %meta_r_on,0 mcl a,0 fede 0,2000 *roll_check ;スタッフロールチェック bg G1F_S1cP,0 bgm1 243 ld c,BUT_aseruA3,0 print 99,2000,"trvswave.dll/" ;【戦人】 langjp^ "I don’t get it....Why would you do this.....?!^\^ I can’t believe ...my mother would.....!"^\^ ;ld l,BUT_DefA1,0 ld r,BEA_nayamuA1,0 print 22 ;【ベアト】 langjp^ "If you don’t want to believe it, you don’t have to believe it.^\^ That too is love.^\^ But if you don’t believe it, at least try and understand it.^\^ Even with just one person you love, one love....you probably understand just how much tragedy one person can cause.^\^ ...Instead, give thanks. Give thanks for the affection she poured into you,^\^ so that you don’t believe that she would want to make herself feel better by tormenting people over a long-held grudge..."^\^ bg black,2 langjp^ After she was told that Rudolf married Kyrie as his second wife once she had died....Asumu couldn’t calm her heart.^\^ langjp^ As for her daughter, Ange, she was a foriegn contamination that she absolutely did not recognize as a member of the family.^\^ bg G1F_S1bP,0 ld l,ENJ_komaruA3,0 ld r,PIE_DefA1,0 print 2 langjp^ With that emotion at her core, she was made into an assassin piece.^\^ langjp^ She was the sort of ghost who had a deep-seated hatred for Kyrie and her daughter, who had hijacked her family after she died.^\^ langjp^ So, even though Ange was not at fault, she tormented her...^\^ cl a,0 bg G1F_S1cP,0 ld c,BUT_kuyasigaruA1,22 wait 500 cl c,0 ld l,BUT_aseruA5,80 ;【戦人】 langjp^ "I, I’m fine.^\^ These circumstances aren’t important to me...!^\^ However, if this Fragment is recognized...what will happen to Ange?!^\^ Will she lose her place!?"^\^ ld c,ENJ_hanbesoA1,22 ;【縁寿】 langjp^ "Because my mom married into the Ushiromiya family....a tragedy occured.^\^ If Ushiromiya Asumu remained as she was....nobody would have been sad.^\^ .....I....shouldn’t have been born."^\^ ld l,BUT_aseruA3,0 print 80 ;【戦人】 langjp^ "That’s not true!!^\^ Even what we just saw was all within our catbox!"^\^ ld r,BEA_komaruA3,22 ;【ベアト】 langjp^ "That’s right. ...Ange. Don’t worry about this."^\^ bg black,0 bg G1F_S1bP,0 ld c,PIE_DefA10,0 print 2 ;【ピース】 langjp^ "Rather than dishonoring myself in life...I shamed myself after death.^\^ So, Ange, the one who should be ashamed is me.^\^ Not you."^\^ ld c,PIE_DefA18,22 ;【ピース】 langjp^ "In addition, you have a reliable brother.^\^ And here, you have a witch who will accept any sort of Fragment.^\^ You should stay.^\^ I should leave."^\^ cl a,0 bg G1F_S1cP,0 humanorder "crl",0 ;ld l,BUT_DefA1,0 ld c,ENJ_defA1,0 ;ld r,BEA_DefA1,0 print 2 wait 1000 ld c,ENJ_majimeA1,22 ;【縁寿】 langjp^ "......Right. ...In short, it’s that sort of thing.^\^ It would be strange for me to feel ashamed of being here.^\^ Your ghost would find it to be the funniest thing in the world.^\^ ...Besides, what if the future was happy? ...In addition, if you embellish it, you can fabricate any sort of future."^\^ bg G1F_S1bP,0 humanorder "rlc",0 mld c,PIE_DefA4,0 print 2 ;【ピース】 langjp^ "Right.^\^ So stop holding contempt in your heart for this wicked witch of the piece.^\^ Forget about her. ...Ah, that’s right. ........I have that power, don’t I?^\^ *giggle*....."^\^ ld l,ENJ_komaruA3,22 ;【縁寿】 langjp^ "....Huh?"^\^ mcl a,0 bg G1F_S1cP,0 humanorder "crl",0 ;ld l,BUT_DefA1,0 ld r,BEA_majimeA1,0 print 22 ld r,BEA_majimeA3,80 ;【ベアト】 langjp^ "Battler. .....She’s,"^\^ ld c,BUT_sakebuA1,80 ;【戦人】 langjp^ "Mom.....?!"^\^ bg different_space_2c,0 ld c,PIE_hair1,0 ;mld l,ENJ_ikariA1,0 print 23 mbg white,1 mcbg 22 langjp^ The witch of pieces’ hair.....^/ ;ld c,PIE_hair2,2 mbg white,1 mcbg 22 langjp^ danced as if it was a curtain in the wind,^/ ;ld c,PIE_hair3,2 mbg white,1 mcbg 22 langjp^ and billowed out in great sheets.^\^ ld c,PIE_hair1A4,22 ;【ピース】 langjp^ "It’s fine, Ange and Battler...I’ll cure all your sadness right now."^\^ textoff mov %hikari2_flg,1 lsp 887,":a;bmp\efe\hikari2.bmp",0,0 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 lsp 888,":a;BMP\background\2018\pie_hair_efe2.png",0 print 3 langjp^ A beautiful bundle of hair, growing like the branches of a large tree, slowly enveloped the body of the witch of pieces...^\^ wait 1000 ;【ピース】 cl c,0 mld c,PIE_hair2b14,22 langjp^!s0 "*giggle*!sd^\^ quakey 2,200 langjp^ ...It seems I also created a strange Human piece, piece.^\^ Well, it was short, but I was able to brush off the dust that had piled up on me in the abyss of oblivion, piece♪"^\^ csp 886 mov %hikari2_flg,0 vsp 887,0 vsp 888,0 csp 885 cl a,0 mcl a,0 bg G1F_S1cP,0 ld r,BUT_nakuA3,0 ld l,BEA_majimeA1,0 print 2 cl l,0 ld c,BEA_majimeA2,22 ;【ベアト】 langjp^ "Your role was difficult, witch of pieces.^\^ Be sure to tell your master that you performed your duty well."^\^ bg different_space_2a,0 mld c,PIE_hair2a10,22 ;【ピース】 langjp^ "Goodbye, Battler.^\^ Take care of your sister.^\^ Young girls can be much more fragile than you think....."^\^ ld l,BUT_nakuA3,22 ;【戦人】 langjp^ "Mom....."^\^ textoff mcl c,0 bg G1F_S1bP,0 ;ld c,PIE_hair3,0 ;mld l,ENJ_defA1,0 mov %hikari2_flg,1 lsp 887,":a;bmp\efe\hikari2.bmp",0,0 ;lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 ;lsp 888,":a;BMP\background\2018\pie_hair_efe2.png",0 print 2 mld c,PIE_hair3a2,22 ;【ピース】 langjp^ "Goodbye, Ange."^\^ mcl c,0 bg black,0 bg G1F_S1aP,22 ld r,ENJ_komaruA1,22 ;【縁寿】 langjp^ "....................."^\^ bg different_space_2a,0 mld c,PIE_hair2a10,22 ;ld c,PIE_hair3,80 ;【ピース】 langjp^ "If the future Ushiromiya family was destined to only have one person alive.......I’m glad that it was you.^\^ You’re wiser and stronger than anybody.^\^ .....I thought that if it was for the sake of my family,^\^ it would be fine for me to to make an effort to spill Kyrie’s blood.^\^ ...*giggle* I can’t believe you’re related to such a miserable, puzzle-loving aunt."^\^ ;bg black,0 ;bg G1F_S1aP,0 mld l,ENJ_nakuA2,22 ;【縁寿】 langjp^!s0 "......Even though ...In my whole life, I never called you mom...!!^\^ ......Because my mom was jealous of you even after your death... I won't doubt... That you were dad's splendid partner!"^/ quakey 2,300 !sd\ ;wait 1000 mld c,PIE_hair2a1,80 ;【ピース】 langjp^ "Thank you.^\^ You be happy, too!"^\^ ;wait 1000 ;ld c,PIE_hair3,1 mcl a,0 bg black,0 bg different_space_2d,0 mld c,PIE_hair2a10,22 ;【ピース】 langjp^!s0 "With that, the curtain falls!!sd^/ quakey 1,400 langjp^ ^\^ Your opponent was me, the witch of the piece, peaceful lovely Piece!"^\^ mld c,PIE_hair3b12,22 ;【ピース】 langjp^ "May the world’s humans all be peaceful^\^!s0~~~~~!^/ quakex 3,300 @/ quakey 3,800 langjp^ Piece!! "!sd^\^ ;■瞬間暗転 csp 886 mcl l,0 mov %hikari2_flg,0 vsp 887,0 vsp 888,0 csp 885 mcl a,0 bg black,1 wait 1000 langjp^ !s0*bang*^/ quakex 6,300 langjp^ *snap*,^/ quakey 2,800 wait 800 langjp^ ......*squish*............!!!!sd quake 5,800 wait 1000 textclear wait 1000 ;■スタッフロール mov %save_on_flg,on textoff bg black,1 fedexx 100,0,0,0,0,0,3000 btndef ":b;bmp\background\efe\end_9a.jpg" ;スクロール画像を読みこむ skipoff gosub *ep8a_scroll ;スクロール実行本体 resettimer waittimer 8000 prnumclear ;数値ラベル設定クリア bgcopy csp 500 csp 510 bg "bmp\background\efe\rollout.bmp",2 wait 4000 bg "bmp\background\efe\rollout_2.bmp",2 ;wait 6000 bg black,10,4000 fedexx 0,0,0,0,0,0,10000 E_A wait 2000 ;ブラックアウト後の余韻 ;------------------------------------------------------ ;■お疲れ様会 *d15 bg black,2 langjp^ 3,^\^2,^\^1,^\^ bg white,22 bgm1 89 bg different_space_1a,42 wait 500 ld c,PIE_Defb19,1 quakex 6,300 ;【ピース】 langjp^ "Piece!^\^ Glad you could make it everybody, piece!^\^ With this, the curtain falls on “Umineko no Naku Koro Ni,” piece!"^\^ bg different_space_1b,23 ld l,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "...The way you left the stage was like you were trying your hardest to be messy."^\^ ld r,BEA_waraiA2,80 ;【ベアト】 langjp^ "You don’t have to speak so formally.^\^ After all, 07th’s thank you party is always like this."^\^ bg different_space_1c,22 ld r,BER_DefA2,22 ;【ベルン】 langjp^ "...That’s right.^\^ When I was in “Higurashi”, it was happy-go-lucky in order to contrast the dark main story."^\^ ld c,BUT_waraiA1,22 ;【戦人】 langjp^ "Yeah, I agree!^\^ When I decided to show up in Umineko, I was told ‘there’d be a thank-you party,^\^ and they’d already prepared somewhere for it in order to dispel the tension in the gloomy original story!!’^\^, which sounded fun when I first heard of it."^\^ ld l,LAM_AkuwaraiA3,22 ;【ラムダ】 langjp^ "So everybody thought that they’d be watching from behind the scenes.^\^ It was sweet, a hundred times sweeter than diet sugar."^\^ cl l,0 mld l,LAM_AkuwaraiA1,80 ;【ラムダ】 langjp^ "Oh, and Piece.^\^ Your power is to swallow your opponent’s existence whole. .....you’re not joking, right?"^\^ mcl l,0 bg black,0 bg different_space_1b,22 ld r,PIE_DefA8,22 ;【ピース】 langjp^ "W, what do you mean?"^\^ ld l,BER_DefA1,22 ;【ベルン】 langjp^ "She’s not joking.^\^ That monster of a piece’s speciality is to remove somebody’s existence from the script."^\^ bg black,0 bg different_space_1c,0 ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "...I heard that Featherine sees our world as if it was written on paper, and can take a pencil and eraser to those words to erase and rewrite them as she sees fit."^\^ ld r,BUT_defA2,22 ;【戦人】 langjp^ "It’s an awesome power.^\^ For her, the pieces, the game board, and even the rules don’t matter.....she’s the strongest, invincible game master."^\^ ld c,BER_nayamuA1,22 ;【ベルン】 langjp^ "However, can’t such an excessive power also destroy her body...?"^\^ ld l,ENJ_fumanA2,80 ;【縁寿】 langjp^ "What do you mean?"^\^ bg different_space_1a,22 ld r,PIE_DefA13,22 ;【ピース】 langjp^ "Anything can lead to nothing, piece.^\^ Even if you are given the power to freely create a world where no light shines and no grass grows, you would still be troubled, piece."^\^ ld l,LAM_majimeA1,22 ;【ラムダ】 langjp^ "That’s creation for you.^\^ To tell the truth, it’s easier to make things grow if you’re given restrictions."^\^ mld c,BER_DefA1,22 ;【ベルン】 langjp^ "If you are given a sheet of paper and told to draw a cat, people can enjoy figuring out what type of cat to draw.^\^ Or, they can surprise everybody by mischievously deciding to draw something other than a cat."^\^ mcl c,0 bg black,0 bg different_space_1b,22 ld r,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "...Ah, I see.^\^ It’s certainly a bit tricky to draw if you can draw anything."^\^ ld l,BUT_waraiA1,22 ;【戦人】 langjp^ "That’s right.^\^ Like with writing, I would at least want some sort of theme or prompt."^\^ ld c,BEA_akuwaraiA1,22 ;【ベアト】 langjp^ "It’s that sort of thing.^\^ As the power of creation’s restrictions decrease, it can fully spread its wings.^\^ However, once the lack of restriction passes a certain point, its power then atrophies."^\^ mld c,PIE_DefA3,22 ;【ピース】 langjp^ "My lord reached that peak, realized that place was not the place to go for those with will, and then returned."^\^ mcl c,0 bg black,22 fede 0,2000 bg different_space_1b,0 bgm1 113 ld l,LAM_AkuwaraiA4,22 ;【ラムダ】 langjp^ "Then, Piece!^\^ You only inherited Featherine’s power of erasure!^\^ So I’m guessing you can’t do the opposite?"^\^ ld r,BER_waraiA2,22 ;【ベルン】 langjp^ "...You mean the power of the pencil?"^\^ ld l,LAM_AkuwaraiA1,80 ;【ラムダ】 langjp^ "No, no, that’s not it!^\^ The opposite of the ability to swallow somebody’s whole existence!^\^ Wouldn’t it be possible to spit out someone whose existence had been erased?!"^\^ ld c,PIE_DefA18a,22 ;【ピース】 langjp^ "Pi? .....Haaa, I never thought about that, piece."^\^ bg different_space_1c,0 ld r,BUT_defA2,22 ;【戦人】 langjp^ "Right now there are six of us here--me, Beato, Ange, Bern, Lambda, and Piece."^\^ ld l,BEA_waraiA3,80 ;【ベアト】 langjp^ "*cackle*^\^ This is interesting. ......so it’s possible that there were 7 people here, somebody was already swallowed, and we just forgot about them.....?"^\^ mld c,PIE_DefA13,22 ;【ピース】 langjp^ "*giggle*^\^ The truth is, that’s correct. ...There was originally one more guest here, but they were a little too loud, so I swallowed them."^\^ mcl c,0 bg black,0 bg different_space_1b,22 ld r,LAM_odorokiA1,22 ;【ラムダ】 langjp^ "Uwa! So it was as I thought?!^\^ That’s scary, that’s really scary!^\^ Who was it!?^\^ Who’d you swallow!?"^\^ ld l,BER_DefA2,22 ;【ベルン】 langjp^ "If that was true, wouldn’t our memory of them be erased?^\^ So if that’s the case, we wouldn’t remember."^\^ ld c,PIE_DefA3,22 ;【ピース】 langjp^ "Perhaps I didn’t swallow them perfectly, and some memory of them still lingers in you guys, piece. ...To help you remember, I’ll give you a little hint.^\^ Hint number one.^\^ They’re a kid who’s pretty skilled with chopsticks."^\^ bg different_space_1c,22 ld l,ENJ_nayamuA1,22 ld l,ENJ_majimeA1,80 ;【縁寿】 langjp^ "Chopsticks?"^\^ ld r,BUT_oyaA2,22 ;【戦人】 langjp^ "Doesn’t that not match up with this western-style world.....?"^\^ mld c,PIE_DefA9,22 ;【ピース】 langjp^ "Second hint.^\^ They’re immensely proud of their reasoning abilities."^\^ mcl c,0 bg black,0 bg different_space_1a,22 ld l,LAM_AkuwaraiA3,22 ;【ラムダ】 langjp^ "I got it!^\^ It’s Will!^\^ You didn’t think I’d say Battler, right!?"^\^ ld c,BER_nayamuA1,22 ;【ベルン】 langjp^ "I wonder if there ever was that sort of kid who was good at chopsticks and reasoning?"^\^ ld r,PIE_DefA12,80 ;【ピース】 langjp^ "Third hint!^\^ They’re a self-proclaimed great detective!^\^ And they also go by the title of intellectual rapist!"^\^ bg different_space_1b,22 ld l,ENJ_fumanA2,22 ;【縁寿】 langjp^ "That’s the lowest, worst type of title..."^\^ ld c,PIE_DefA15a,22 ;【ピース】 langjp^ "They once put their ear against the wall of a suspect’s room and listened to what was happening all night long.^\^ After being welcomed as a guest, they snuck out in the middle of the night during the storm to seal all the windows with duct tape."^\^ ld r,BEA_odorokiA1,1 quakex 2,500 ;【ベアト】 langjp^ "What a bothersome person!^\^ Are they a stalker?^\^ A pervert?!"^\^ bg different_space_1c,22 ld c,BUT_nayamuA5,22 wait 300 cl c,0 ld r,BUT_nayamuA4,80 ;【戦人】 langjp^ "...It’s useless.^\^ I definitely don’t remember any character like that in “Umineko”..."^\^ ld l,PIE_DefA13,22 ;【ピース】 langjp^ "They see themselves as Lady Lambdadelta’s rival.^\^ Before, when Lady Lambdadelta’s arm had been torn off, they took it and with a grin, started tickling it, piece."^\^ bg different_space_1a,22 ld c,LAM_odorokiA2,1 quakex 6,300 ;【ラムダ】 langjp^ "Nooooo, that’s super scaaaaaary!!^\^ Did that kind of kid exist?^\^ Are there here?!"^\^ ld r,BEA_fukigenA1,22 ;【ベアト】 langjp^ "Umu...why would we invite such a person over? ...Who on earth would ever be friends with them?"^\^ ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "For such a cruel person...isn’t it not a problem if they’re gone...?"^\^ mld c,BUT_waraiA1,22 ;【戦人】 langjp^ "Isn’t that right...?^\^ From this, doesn’t it seem like there’s nothing good about them...?"^\^ mcl c,0 bg black,22 bg different_space_1b,22 ld l,PIE_DefA8,22 ;【ピース】 langjp^ "Oh.^\^ Then it’s fine if I don’t spit them back out, piece?"^\^ ld r,LAM_odorokia2,22 ;【ラムダ】 langjp^ "There’s no significance, no objection, no dissent!"^\^ ld c,BER_waraiA1,22 ;【ベルン】 langjp^ "...*giggle*.^\^ It seems we’re all in agreement.^\^ Isn’t there no problem if that perverted chopsticks detective stalker doesn’t exist?^\^ If I told somebody to separate salt and sesame, and they really did it, then asked “is there anything else you want, my master?”^\^ as they persistently tried to snuggle up close to me, I’d ask you to swallow them.^\^ If I’d also found that a noisy cat had suddenly vanished, I wouldn’t be amused with just that.^\^ I’d want to find them spit out in a back alley garbage can later."^\^ ld l,PIE_Defb12,80 ;【ピース】 langjp^ "*giggle*^\^ Then I’ll do that, piece, Lady Bernkastel♪"^\^ bg black,22 fede 0,2000 bg different_space_1c,22 bgm1 187 ld c,LAM_AkuwaraiA3,22 cl c,0 ld l,LAM_AkuwaraiA1,80 ;【ラムダ】 langjp^ "Ah, that’s what I meant.^\^ Did you swallow anything else?"^\^ ld c,PIE_DefA1,22 ;【ピース】 langjp^ "No.^\^ I only swallowed one person today, piece."^\^ ld r,BEA_DefA2,22 ;【ベアト】 langjp^ "....I see.^\^ So it’s as Lady Lambdadelta said?^\^ Aside from tonight, there may be another person that Piece has swallowed and erased.^\^ At any rate, I have no idea how many pieces were created in the process of writing these massive tales."^\^ cl l,0 ld l,BUT_defA2,22 ;【戦人】 langjp^ "Well, at any rate, there are a massive number of people who have appeared so far.^\^ Every time we repeat this closed circle, the cast list expands."^\^ ld r,BEA_akuwaraiA1,80 ;【ベアト】 langjp^ "In the process of creating it, there may have been characters who were never given screentime before being erased from the script."^\^ bg different_space_1a,22 ld r,ENJ_nayamuA2,22 ;【縁寿】 langjp^ "In other words, in the series of Fragments that make up “Umineko No Naku Koro Ni”, there are characters who auditioned for a role, but failed?"^\^ ld c,LAM_AkuwaraiA2,22 ;【ラムダ】 langjp^ "Yes, yes!^\^ They’re called reject characters!"^\^ ld l,BEA_fukigenA2,22 ;【ベアト】 langjp^ "Certainly...I don’t remember all those reject characters. ...Maybe...Piece didn’t permit their existence and swallowed them up..."^\^ bg different_space_1b,22 ld r,PIE_DefA6,22 ;【ピース】 langjp^ "Yup, piece!"^\^ ld l,BUT_aseruA1,22 ;【戦人】 langjp^ "Huh?^\^ “Umineko” reject characters exist?!"^\^ ;ld r,PIE_DefA8,80 bg black,22 bg raf,22 ;【ピース】 langjp^ "The reject characters for “Umineko” are usually discarded when they’re still incomplete, so they normally don’t have a solid form, piece."^\^ ;cl r,0 bg black,22 bg different_space_1a,0 ld c,PIE_DefA1,22 ;【ピース】 langjp^ "However, this doesn’t reduce them to zero, piece.^\^ That’s right, since this is a thank-you party, I think I’ll spit up one of the reject characters I swallowed, piece!"^\^ bg different_space_1c,0 ld l,ENJ_ikariA1,22 ;【縁寿】 langjp^ "....Is there no better way to phrase it...than spitting up...."^\^ ld r,BEA_akuwaraiA2,80 ;【ベアト】 langjp^ "*cackle* Don’t worry, don’t worry!^\^ In any case, this catbox is the same as a box full of delusions!^\^ So don’t hesitate and go for it!"^\^ ld c,BUT_waraiA3,22 ;【戦人】 langjp^ "“Umineko” reject characters are more or less characters Beato created, right?"^\^ ld r,BEA_waraiA4,80 ;【ベアト】 langjp^ "Correct.^\^ In other words, nobody but me would know them.^\^ If they’re spit back up, will my memory of them return?"^\^ bg different_space_1a,22 ld r,PIE_DefA6,22 ;【ピース】 langjp^ "Yes.^\^ So, as your memory comes back, please give us an explanation and introduction, piece!"^\^ ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "It could be fun, or scary....how many are there?"^\^ ld r,PIE_DefA8,80 ;【ピース】 langjp^ "I think that right now, I can spit up three people, piece.^\^ There are a good deal more, but a lot of them are just concepts with no form, or have fallen completely to the depths of oblivion,^\^ or have been forgotten, even by me, piece."^\^ *d16 bg different_space_1b,22 ld l,LAM_AkuwaraiA3,22 ;【ラムダ】 langjp^ "Your preamble is too long!^\^ Hurry and spit them out!"^\^ ld r,BER_nayamuA1,22 ;【ベルン】 langjp^ "Now then, for three bouts of “Umineko No Naku Koro Ni” reject characters.^\^ Begin, begin..."^\^ cl l,0 mld c,LAM_odorokiA1,80 ;【ラムダ】 langjp^ "Ah, are you ready, everybody?^\^ Since they’re all reject characters, don’t expect too much, okay?!"^\^ mcl c,0 bg black,42 fede 0,2000 bg different_space_1a,0 me1 15 ld c,PIE_Defa10,22 ld c,PIE_Defb12,80 ;【ピース】 langjp^ "Then, come out!^\^ Our first person! ^\^ Nnnng~~~~~~"^\^ lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 3 csp 886 csp 887 bg different_space_1a,0 print 2 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 3 lsp 888,":a;bmp\efe\hikari2.bmp",0,0 print 22 br langjp^ Piece’s hair billowed like a curtain in the wind.^\^ langjp^ And then it became what looked like a large ball...!^\^ csp 886 csp 887 csp 888 bg white,0 print 28 ;wait 1000 langjp^!s0^~ib~^ *pop*!!!^~ib~^^/ E_A bgm1 137 bg different_space_1a,0 ld c,GOA_DefA0,0 print 28 quakey 3,800 !sd\ ;wait 600 ld r,BUT_odorokiA2,1 ;【戦人】 langjp^ "Wh....what....is this...?"^\^ ld l,ENJ_ikariA1,22 ;【縁寿】 langjp^ "...There’s no head.^\^ I wonder who it’s supposed to be."^\^ mld c,BEA_waraiA3,22 ;【ベアト】 langjp^ "Oooooooh!^\^ This is, this is!^\^ I remember!^\^ This is the the goat servants’ rejected body!"^\^ mcl c,0 bg different_space_1b,0 ld r,GOA_DefA0,0 print 22 wait 200 ld l,GOA_DefA1,0 print 99,3000,"breakup.dll/lrB" langjp^ When Beato swung her pipe, the familiar shape of a goat-headed servant appeared.^\^ mld c,PIE_DefA3,22 ;【ピース】 langjp^ "It’s common for a character’s face and body to be made separately, piece.^\^ So, the head was drawn separately, piece."^\^ mcl c,0 bg black,0 bg different_space_1c,22 ld r,LAM_odorokiA3,22 ;【ラムダ】 langjp^ "Hmmm. If that’s the case, I’d like to remake my body over and over again to make it more charming."^\^ ld l,ENJ_fumanA2,22 ;【縁寿】 langjp^ "If that’s the case, I’d want a dantier body."^\^ ld c,BUT_waraiA1,80 ;【戦人】 langjp^ "*laugh* Everybody, everybody, you should ask for a big, gigantic chest!"^\^ cl r,0 ld r,BER_DefA2,22 ;【ベルン】 langjp^ "...Would you want to inflate your crotch to the same degree that the female characters inflate their chests?"^\^ cl c,0 mld c,BEA_akuwaraiA2,22 ;【ベアト】 langjp^ "Oh, that’s a good idea!^\^ In recent anime and manga, all the girls are swollen up like balloons!^\^ This time, let’s swell up the male characters’ crotches!^\^ Instead of putting breasts on them, we’ll put golf bags! *cackle*"^\^ *d17 mcl c,0 bg different_space_1b,0 ld r,PIE_DefA6,22 ;【ピース】 langjp^ "I’m glad the mob servants’ bodies are the only ones swollen, piece."^\^ cl r,0 ld c,PIE_Defb13,80 ;【ピース】 langjp^ "Then, I’ll call the second character!^\^ Nnnng~~~~~~!!!"^\^ lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 24 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 23 csp 886 csp 887 bg different_space_1a,0 print 2 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 4 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 3 lsp 888,":a;bmp\efe\hikari2.bmp",0,0 print 22 csp 886 csp 887 csp 888 bg white,0 print 28 fede 0,1000 langjp^!s0^~ib~^ *pop*!!!^~ib~^^/ bg different_space_1b,0 bgm1 146 ld c,NAT_DefA1,0 print 28 quakey 3,800 !sd\ ld r,BER_DefA1,22 wait 200 ld r,BER_DefA2,22 ;【ベルン】 langjp^ "...Huh?^\^ What is this?^\^ It’s just Natsuhi."^\^ cl c,0 ld l,NAT_komaruA1,80 ;【夏妃】 langjp^ "H, hello.^\^ I am Ushiromiya Natsuhi..."^\^ bg different_space_1c,0 ld c,BEA_waraiA4,0 print 22 ;【ベアト】 langjp^ "Ah, ah, ah!^\^ I remember, I remember!^\^ Yes, yes, Natsuhi was also originally a reject character!"^\^ ld r,BUT_odorokiA2,22 ;【戦人】 langjp^ "Eeeeeeeh?^\^ A, aunt Natsuhi was?!?"^\^ ld l,LAM_odorokiA2,22 ;【ラムダ】 langjp^ "What type of reject character was she?^\^ After all, wasn’t Natsuhi eventually put in?"^\^ bg different_space_1a,0 ld r,ENJ_ikariA1,22 ;【縁寿】 langjp^ "...It can’t be. ...But....this...."^\^ mld c,BEA_akuwaraiA2,22 ;【ベアト】 langjp^ "Yes, that’s right!^\^ Yes.^\^ To tell the truth, the person you thought was Natsuhi was actually me!^\^ She was a rejected design for Beatrice."^\^ ld l,BUT_aseruA3,22 ;【戦人】 langjp^ "Eeeeeeeeh?!?!"^\^ ld r,ENJ_komaruA3,80 ;【縁寿】 langjp^ "Aunt Natsuhi was....Beato.....?!^\^ What does that mean?"^\^ mcl c,0 bg black,0 bg different_space_1b,22 ld l,BER_DefA2,22 ;【ベルン】 langjp^ "....Originally, then, the one we thought of as Natushi was drawn as Beatrice?"^\^ ld r,NAT_komaruA1,22 ;【夏妃】 langjp^ "Eeeeeh?! O, originally I was created as a rejected design for Beatrice?!"^\^ bg different_space_1c,22 ld l,BEA_fukigenA2,22 ;【ベアト】 langjp^ "Hmm, that’s right.^\^ I couldn’t help but draw somebody who gave off a mysterious air!"^\^ ld r,PIE_DefA6,22 ;【ピース】 langjp^ "I see.^\^ So the wave of hair would express the twisted nature of the character, piece?"^\^ ld c,LAM_AkuwaraiA3,22 ;【ラムダ】 langjp^ "But...doesn’t she give off the feeling of a rich housewife?^\^ She doesn’t give off the impression of a mischievous contrarian of a witch at all."^\^ cl l,0 mld l,BER_DefA2,22 ;【ベルン】 langjp^ "Then that’s why she was put in the depths of oblivion, and the selection of her rejected design brought her back from the brink of death..."^\^ mcl l,0 bg different_space_1b,22 ld r,ENJ_defA1,22 ;【縁寿】 langjp^ "...If Aunt Natushi was Beato....that would have been a very calm story."^\^ ld l,BUT_waraiA1,22 ;【戦人】 langjp^ "Perhaps then, “Umineko” would’ve been a completely different tale."^\^ ld c,NAT_waraiA1,24 ;【夏妃】 langjp^ "Right now, I definitely do want to try a bit of acting out the role of a witch."^\^ bg different_space_1c,22 ld l,LAM_AkuwaraiA4,22 ;【ラムダ】 langjp^ "Well, isn’t this interesting.^\^ Do you want to try giving it a shot?"^\^ ld r,NAT_odorokiA2,22 ;【夏妃】 langjp^ "Eh? Me?!"^\^ ld c,BEA_waraiA2,22 ;【ベアト】 langjp^ "This isn’t interesting!^\^ It’s fine if she says one of my lines, but it doesn’t have to be word-by-word.^\^ Natsuhi only needs to play Natsuhi’s role!"^\^ cl l,0 mld l,PIE_Defb3,80 ;【ピース】 langjp^ "Then, I extracted some of Beatrice’s biggest lines.^\^ Say whichever ones please you, piece."^\^ mcl l,0 bg black,22 bg different_space_1c,22 ld l,BER_waraiA4,22 ;【ベルン】 langjp^ "Well, isn’t this fine.^\^ Go ahead, Natsuhi."^\^ ld r,ENJ_ikariA1,22 ;【縁寿】 langjp^ "....Hm.^\^ In any case, Aunt Natsuhi’s sophisticated mouth shouldn’t say any of Beato’s rotten lines..."^\^ ld c,BUT_odorokiA3,80 ;【戦人】 langjp^ "Isn’t that just a little too direct?!^\^ Anyways, no matter how you put it, this is..."^\^ bg different_space_1b,22 ld c,NAT_NayamuA3,24 ;【夏妃】 langjp^ "No, I’ll do it.^\^ When we speak about witches, we’re talking about pieces.^\^ When you speak about Humans, you’re talking about actors.^\/ ld c,NAT_N01,22 langjp^ If I can’t play a role, I’m not really an adult."^\^ ld r,LAM_AkuwaraiA3,80 ;【ラムダ】 langjp^ "Good!^\^ Then, she'll make her debut for the first time in Japan! Natsuhi Beatrice!!!"^\^ cl r,22 fede 0,1000 bgm1 73 ld c,NAT_N02,22 wait 300 ld c,NAT_N03,0 print 99,2000,"breakup.dll/lrB" delay 1500 ld c,NAT_N04,2 ;【夏妃】 langjp^ "L....."^\^ ld c,NAT_N05,22 ;【夏妃】 langjp^ "L, !d800love is lust,!d600 totally meaningless unless you're sleeping togetheeeeeer"^\^ ld c,NAT_N06,80 ;【夏妃】 langjp^ "M, !d400Men are mere flies and maggots!d900 that get drawn to your female scent and crowd around yo!d600ooooooou."^\^ textoff wait 1000 delay 1500 langjp^ ...In this situation, it had basically been figured out from the start that this was a reckless act.^\^ bg different_space_1a,0 ld r,BUT_AseruA2,0 ld c,BEA_GamanA1,0 ld l,ENJ_IkariA1,0 print 43 langjp^ Hm, isn’t that nice?^\^ I’m surprisingly into Natsuhi’s Beato.^\^ Next, we should make a Fragment where Natsuhi is Beato...^\^ bg different_space_1c,0 ld r,BER_NayamuA1,0 ld c,PIE_DefA15a,0 ld l,LAM_MajimeA2,0 print 43 langjp^ And other reactions like that had already been decided...^\^ bg different_space_1b,22 ld c,NAT_N04,0 print 4 wait 400 ld c,NAT_N07,80 ;【夏妃】 langjp^ "Um, I tried my best to be intimidating, how, how was it...?!"^\^ *d18 bg different_space_1c,0 ld r,BUT_niramuA3,0 ld c,BEA_iiwakeA1,0 ld l,ENJ_DefA2,0 print 43 wait 500 ld r,BUT_aseruA1,80 ;【戦人】 langjp^ "Uh, well, ...haha...you were definitely doing your best....haha...."^\^ ld l,ENJ_waraiA3,80 ;【縁寿】 langjp^ "Hmm. As I thought, just hearing those vulgar words from Beato was enough (smile)."^\^ ld c,BEA_gamanA2,80 ;【ベアト】 langjp^ "Hm.^\^ Still, Natsuhi is best suited to elegant words. Hm."^\^ mld c,PIE_DefA3,80 ;【ピース】 langjp^!s0 "Surprisingly, it wasn’t too interesting~.!sd^\^ quakey 2,200 langjp^ ^\^Then, our third person!^\^ Come out, my trump card! ^\^ Hnnnggggg!!!"^\^ fede 0,500 bgm1 172 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 24 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 23 csp 886 csp 887 mcl a,0 bg different_space_1c,22 print 2 lsp 886,":a;BMP\background\2018\pie_hair_efe1.png",0 print 44 lsp 887,":a;BMP\background\2018\pie_hair_efe2.png",0 print 43 lsp 888,":a;bmp\efe\hikari2.bmp",0,0 print 22 csp 886 csp 887 csp 888 bg white,0 print 28 ;fede 0,500 ;wait 1000 langjp^!s0^~ib~^ *pop*!!!!^/ bg different_space_1b,22 ld c,WEL_Def1,0 print 28 quakey 3,800 !sd\ textoff ;wait 1500 delay 1500 ld r,LAM_odorokiA1,22 ;【ラムダ】 langjp^ "Wow!?^\^ Huh!?^\^ Who is it!?"^\^ ld c,WEL_Def5,80 ;【ウェル】 langjp^ "..........................."^\^ langjp^ Were they a boy?^\^ A girl?^\^ They gave off an air of youth.^\^ Were they a teenager...?^\^ langjp^ From the air of their garments, it didn’t seem like they were allied with the witches...?^\^ It didn’t feel as if they were entering as a relative...^\^ bg different_space_1c,22 ld c,BEA_akuwaraiA3,0 print 22 ;【ベアト】 langjp^ "This is this is this is this is!!^\^ Even though all I had was lineart, he’s been cleaned and colored!"^\^ ld r,PIE_Defb6,22 ;【ピース】 langjp^ "I thought bringing him as he was wouldn’t be interesting, so I asked the Witch of Painting, Lady Remotaro, to do it."^\^ ld l,BUT_aseruA1,22 ;【戦人】 langjp^ "You shouldn’t judge a book by its cover, but. ...I’m not sure what it is, but I get the feeling that we wouldn’t get along? Ihihihi."^\^ cl c,0 mld c,BEA_akuwaraiA2,80 ;【ベアト】 langjp^ "That’s right!^\^ This man’s name is Virgilius!^\^ If he’d appeared onstage, I would’ve called him Will, since that would be a shortened version of his name in katakana!"^\^ mcl c,0 bg black,0 bg different_space_1a,22 ld r,BER_DefA2,22 ;【ベルン】 langjp^ "...Having two Wills would be confusing."^\^ ld l,ENJ_nayamuA1,22 ;【縁寿】 langjp^ "If that’s what you say, then we have Virgilia and Virgilius.^\^ That’s really similar, like they’re tangled up in each other."^\^ ld c,LAM_futekiA2,22 ;【ラムダ】 langjp^ "Wasn’t that because Virgilia took her name from an ancient poet?"^\^ cl r,0 ld r,BUT_futekiA1,22 ;【戦人】 langjp^ "The meaning of their name was definitely the one who led you to Beatrice....^\^ Her role is similar to the one in the original source, so it makes sense that their names would be similar!"^\^ bg different_space_1b,22 ld c,BEA_waraiA2,22 ;【ベアト】 langjp^ "That was exactly correct.^\^ Your judgement was good!"^\^ ld l,LAM_AkuwaraiA3,22 ;【ラムダ】 langjp^ "Hey, Beato!^\^ Can this kid not talk?^\^ That’s bo-ring!"^\^ cl c,0 ld r,BEA_fukigena2,80 ;【ベアト】 langjp^ "Hm.^\^ At any rate, all I had of his existence was 2 or 3 scenes and a rough sketch of him.^\^ I didn’t really decide his personality or way of speaking."^\^ cl l,0 ld c,LAM_odorokiA3,80 ;【ラムダ】 langjp^ "What, that’s bo-ring!^\^ You have this nice sprite of him, and he can’t even talk!?"^\^ ld l,BER_DefA2,22 ;【ベルン】 langjp^ "You might as well put him in those 2 or 3 scenes and let him talk a little."^\^ ld r,BEA_odorokiA1,80 ;【ベアト】 langjp^ "You’re saying that a little too casually.^\^ Moving one piece should be enough for a scene..."^\^ cl c,0 mld c,LAM_futekiA3,80 ;【ラムダ】 langjp^ "Well, well, well!^\^ That’s fine, that’s fine!^\^ We can probably mix something in."^\^ mcl c,0 bg black,0 bg different_space_1c,22 ;humanorder "rlc",0 ld c,WEL_Def1,0 print 2 ;【ウェル】 langjp^ "..........................."^\^ ld r,ENJ_defA1,22 ;【縁寿】 langjp^ "...But, he doesn’t give off an air of being a piece on the witches’ side."^\^ mld l,BUT_oyaA1,22 ;【戦人】 langjp^ "That’s what I thought, too.^\^ If you’re a witch, you should be dressed eccentrically.^\^ Either way...he looks Human...?"^\^ mcl l,0 bg different_space_1a,22 ld r,BEA_akuwaraiA2,22 ;【ベアト】 langjp^ "That’s right!^\^ Originally, he was created as a “Human detective”!"^\^ ld l,ENJ_ikariA1,22 ;【縁寿】 langjp^ "Eh?!^\^ Then, in other words, Eri.....huh? ...Eri, Eri.....ugh."^\^ mld c,PIE_Defb10,80 ;【ピース】 langjp^ "She was being bothersome, so I spit Erika out in a back alley trash can."^\^ mcl c,1 quakey 2,200 wait 1000 ld l,ENJ_majimeA1,80 ;quakey 2,200 ;【縁寿】 langjp^ "Ah, I remember!^\^ In other words, he was the origin of Erika’s character!?"^\^ cl r,0 ld c,BEA_akuwaraiA5,80 ;【ベアト】 langjp^ "Erika was a rival piece created to crush Battler after a fierce battle for the sake of drama.^\^ But he’s a little different.^\^ He’s certainly Battler’s rival as a detective, but through his extremely misleading logic,^\^ he gets Battler’s logic to drift further and further off the path, and torments him for quite a while,^\^ so you could say he was created to be a fated rival."^\^ ;humanorder "crl",22 bg black,0 fede 0,1000 bg different_space_1c,22 bgm1 86 ld l,WEL_Def1,22 wait 500 cl l,0 mld c,WEL_Def3,80 ;【ウェル】 langjp^ "Mah debut was planned for Episode 3.^\^ Mah role was that I was s'posed ta completely knock Battler ta tha bottom after he submitted to the Witch Illusion in EP2."^\^ ;humanorder "rcl",0 ld r,BUT_odorokiA2,80 ;【戦人】 langjp^ "Why is he using the kansai dialect?!^\^ It doesn’t match him at all!"^\^ mcl c,0 mld l,WEL_Def2,80 ;【ウェル】 langjp^ "Shut up, Battler...!"^\^ ld r,BUT_sakebuA1,1 ;【戦人】 langjp^!s0^~ib~^ "Let me just say this!"^~ib~^^/ se2 11 quakey 3,300 !sd\ mcl l,0 bg moon_2a,0 mld c,WEL_Def3,22 ;【ウェル】 langjp^!s0 "!sd^/ se2 11 quakey 2,400 langjp^ ^\^ Tha truth is, there’s always two.^/ se1 4 mbg white,1 mcbg 22 langjp^ Without love, it cannot be seen.^\^ ld r,BUT_nakub1,80 ;【戦人】 langjp^!s0 "Trick end and magic end!^/ se1 12 se2 11 quakex 2,300 @ ^ ---right, are you playing a prank!!"^/ se1v 2,100 se2v 61,100 se3v 63,100 quakex 2,400 !sd\ mcl c,0 bg black,0 bg different_space_1a,22 humanorder "rlc",22 ld c,PIE_DefA8,22 ;【ピース】 langjp^ "Originally, after Episode 3, he was designed to be a strong rival to fight Battler, and was then added as a recurring character, piece."^\^ ld r,WEL_Def4,22 ;【ウェル】 langjp^ "Yup.^\^ As ye rival, was I s'posed ta be really annoying and noisy!"^\^ ld l,BER_odorokiA1a,22 ;【ベルン】 langjp^ "...He really is noisy.^\^ I’ll modify him to speak normally.^\^ I’ll do the same for his reasoning."^\^ se1 22 mbg white,1 ;wait 500 mcbg 2 fede 0,1000 bgm1 60 wait 600 ;cl r,0 ld r,WEL_Def6,22 ;【ウェル】 langjp^ "Thank you..."^\^ bg different_space_1b,22 humanorder "crl",0 ld l,ENJ_defA1,22 ;【縁寿】 langjp^ "What other scenes were there?"^\^ ld r,WEL_Def5,22 ;【ウェル】 langjp^ "The scenes were fairly vague...for instance, apparently somebody from the Ushiromiya family^\^....was Natushi’s illegitimate child from 19 years ago?^\^ It was something along the lines of a mysterious man arriving at the family conference."^\^ ld c,BUT_waraiA2,22 ;【戦人】 langjp^ "Ah, so that was the origin of the illegitimate child from 19 years ago in Episode 5!"^\^ cl r,0 mld r,WEL_Def1,80 ;【ウェル】 langjp^ "I was coldhearted, and saw the truth through my own patchwork of circumstantial evidence.^\^ I had the power to “define” the world based on how my logic saw the world."^\^ ld l,ENJ_nayamuA1,80 ;【縁寿】 langjp^ "I see.^\^ That feels pretty similar to Erika."^\^ mcl r,0 bg black,0 bg different_space_1c,22 ld c,BEA_futekiA1,22 ;【ベアト】 langjp^ "The terrible thing about him was that his vision of truth or falsehood could alter the truth itself.^\^ Even without mixing in a lie, you could still create any sort of truth."^\^ ld r,BER_DefA2,22 ;【ベルン】 langjp^ "As I thought, he’s similar to Erika.^\^ In other words, the scenes he lost when he got rejected were taken over by Erika in Episode 5..."^\^ ld l,LAM_AkuwaraiA1,80 ;【ラムダ】 langjp^ "To me, he seems like a lot more powerful character than Erika.^\^ Why was he planned for Episode 3, not 5?^\^ Why’d he leave?^\^ Hey, hey!"^\^ ld c,BEA_fukigenA2,80 ;【ベアト】 langjp^ "Hmm. To tell the truth, it was because I was so flashy and violent in Episode 2.^\^ Fantasy became really violent and predominant because of that."^\^ bg black,0 bg different_space_1a,22 ld c,PIE_DefA2,22 ;【ピース】 langjp^ "At that time, what is known as forum culture suddenly blossomed.^\^ The writer and reader were able to connect through the internet, piece."^\^ ld r,BER_nayamuA1,22 ;【ベルン】 langjp^ "...I see.^\^ Since Beato was so violent and overpowering, great hordes of people surrendered to the witch."^\^ ld l,BEA_waraiA2,80 ;【ベアト】 langjp^ "Umu. Yes.^\^ In the original Episode 3, called “Land of the Golden Witch”, I thought I should put in a lot more action and gaudiness than in Episode 2..."^\^ cl c,0 ld c,LAM_AkuwaraiA3,22 ;【ラムダ】 langjp^ "I see?^\^ Since you noticed that your readership was thrown into chaos, you changed gears."^\^ ld l,BEA_nayamuA2,80 ;【ベアト】 langjp^ "Yes. Since Episode 2 leaned very heavily to the witch side,^\^ I needed to do the opposite and support the human side in order to make it lean more to the human side."^\^ bg different_space_1b,22 ld r,ENJ_fumanA2,22 ;【縁寿】 langjp^ "...Is that why you created Virgilia?"^\^ ld l,BUT_defA3,22 ;【戦人】 langjp^ "Ah, I see...Virgilia was really nice and taught me how to fight witches."^\^ ld c,BER_waraiA3,22 ;【ベルン】 langjp^ "The name was the same, but the character and role did a 180."^\^ cl r,0 ld r,BEA_DefA2,22 ;【ベアト】 langjp^ "Well, I think I only borrowed the name.^\^ Everything else was shelved until Erika showed up in Episode 5."^\^ cl l,0 ld l,LAM_AkuwaraiA4,22 ;【ラムダ】 langjp^ "After Episode 5, Erika also had a bit of a jobber feel to her.^\^ If she’d appeared in Episode 3, she’d definitely seem like a demon who knocked Battler down to rock bottom over and over again."^\^ bg different_space_1c,22 ld c,WEL_Def6,22 ;【ウェル】 langjp^ "Unfortunately, there’s no way to do that...but even so, I think it was an honor to be introduced in this fashion..."^\^ ld l,PIE_DefA4a,22 ;【ピース】 langjp^ "Speaking style really is important, piece...he seems completely different than he did when he first showed up, piece."^\^ ld r,BUT_defA3,80 ;【戦人】 langjp^ "Will. Even though we didn’t meet very much, I’m glad we did.^\^ Maybe the two of us are fighting in some Fragment somewhere."^\^ cl c,0 ld l,WEL_Def5,80 ;【ウェル】 langjp^ "...I don’t believe I know of any Fragment like that.^\^ Still, I can probably arrange something."^\^ cl r,0 ld c,BUT_futekib1,80 ;【戦人】 langjp^!s0 "But,!d600 the one who’ll win will be me!! "!sd^\^ ;【ウェル】 langjp^!s0^~ib~^ "You’re noisy, Battler!!!"^/ se1v 11,90 cl l,0 mld l,WEL_Def2,1 quakey 2,300 ^~ib~^!sd@ setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;【ベアト】 langjp^!s0^~ib~^ "Let me just say this—-!"^~ib~^^/ se2v 2,100 mld r,BEA_ikariA1,1 quakex 4,100 !sd\ *d19 mcl l,0 mcl r,0 bg black,42 bg Different_spiral_1b,22 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 langjp^ For one brief moment, the summoned illusions enjoyed appearing on the game board, prayed for another turn, and vanished from their original world...^\^ bg Different_space_p1c,22 ;【ヱリカ】 langjp^ "Please excuse the impoliteness, everybody.^\/ bg Different_space_1a,0 ld c,ERI_waraiA3,0 print 2 langjp^ Preparations for the great lady Aurora’s tea party are finished.^\^ By all means, feel free to head over.^\^ I will guide you there."^\^ bg Different_space_1c,2 ld c,BUT_waraiA1,22 ;【戦人】 langjp^ "Then, let’s move somewhere for the second party!"^\^ ld l,ENJ_waraiA2,22 ;【縁寿】 langjp^ "Onii-chan, shouldn’t you think up some parting words?^\^ You’re the designee for that."^\^ cl c,0 ld r,BUT_komaruA1,80 ;【戦人】 langjp^ "Ah, this is bad.^\^ It’s useless, I can't think of anything...!"^\^ ld c,BEA_waraiA2,22 ;【ベアト】 langjp^ "I also can’t think of anything, and I’m the one in charge of closing greetings!^\^ By now, I should’ve thought of something!"^\^ ld r,BUT_waraiA2,80 ;【戦人】 langjp^ "Then, let’s go and not spend time fussing over it!^\^ Why don’t we suffer through an ad-lib together?!"^\^ bg Different_space_1a,0 ld c,ERI_akuwaraiA1,0 print 22 ;【ヱリカ】 langjp^ "As always, you’re all getting along nicely..."^\^ langjp^ When Erika moved to open the door...they were led to Featherine’s tea party.^\^ langjp^ It was only open a crack, but the sound of hordes of people having fun was overflowing from it.^\^^ ;ld c,ERI_defA1,24 bg black,2 fede 0,1000 ;wait 600 bgm1 178 ;【ヱリカ】 langjp^ "My master...?^\^ Where should we go?"^\^ br langjp^ Bern and Lambda slowly stood up from the sofa, but they did not head towards the next tea party.^\^ langjp^ Only those two looked as if they were slipping out to somewhere else.^\^ bg Different_space_1b,2 humanorder "rlc",0 ld r,BER_waraiA1,22 ;【ベルン】 langjp^ "You guys go first.^\^ We’ll follow later."^\^ ld c,ERI_majimeA2,22 ;【ヱリカ】 langjp^ "...What....are you doing...?"^\^ br langjp^ Bern and Lambda’s eyes had a strange glow to them.^\^ langjp^ Earnestly and mysteriously.....their eyes shone like a child’s, as if hoping something wonderful would happen.^\^ bg Different_space_p1a,2 ld l,LAM_majimeA1,22 ;【ラムダ】 langjp^ "...It’s a secret.^\^ We just want to take a peek at what was going on."^\^ ld r,BER_waraiA4,22 wait 600 ;【ベルン】 langjp^ "Where it cries."^\^ bg Different_space_1b,0 ld c,ERI_akireA2,3 ;【ヱリカ】 langjp^ "...Cry...?"^\^ ld r,BER_waraiA2,22 bg Different_space_1c,4 wait 600 ;【ベルン】 langjp^ "Yes.^\^ Soon..."^\^ ;ld l,LAM_AkuwaraiA3,22 ;ld r,BER_DefA2,80 wait 500 ;【ラムダ】 langjp^ "something"^\^ ;bg black,22 wait 800 ;【ベルラム】 langjp^ ""Will cry.""^\^ wait 1200 bg Different_space_p1a,42 wait 600 ld r,BER_waraiA1,22 ;【ベルン】 langjp^ "Then, Erika.^\^ Later."^\^ bg black,42 bg different_space_2b,42 ld c,LAM_majimeA3,22 ;【ラムダ】 langjp^ "Next time, I want a good role."^\^ ld r,BER_waraiA4,22 ;【ベルン】 langjp^ "Oh, my, the villain is pretty fun, too."^\^ cl c,0 ld l,LAM_AkuwaraiA3,80 ;【ラムダ】 langjp^ "I wonder if our roles will be close friends?"^\^ cl r,0 ld c,BER_waraiA2,80 ;【ベルン】 langjp^ "Or if we’ll hate each other."^\^ bg black,22 bg different_space_2c,22 ld r,LAM_AkuwaraiA1,22 cl r,0 ld c,LAM_AkuwaraiA4,22 ;【ラムダ】 langjp^ "Either is fine, as long as I can play the same game as you, Bern."^\^ ld r,BER_akuwaraiA2,22 ;【ベルン】 langjp^ "Oh, my.^\^ We don’t know if we’ll play or be played..."^\^ cl c,0 ld l,LAM_futekiA2,80 ;【ラムダ】 langjp^ "Well, we’ll know soon."^\^ bg different_space_2d,42 wait 600 ;【ラムベル】 langjp^ !s0 ""When something else cries. ""!sd wait 4000 ;<おしまい> ;se3 22 ;bg black,0 bg black,42 ;bg white,99,1000,"whirl.dll/r" wait 5000 bg black,2 ;クリアフラグの更新 if %UMINEKOEND < 71 mov %UMINEKOEND,71 : mov %UMINEKOEND_FLG,71 ;クリアフラグの変更 reset ;end ;*********************************************** *ep6_scroll ;スクロール実行本体 saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 21 blt 0,0,640,480,0, 4,640,480:waittimer 42 blt 0,0,640,480,0, 6,640,480:waittimer 64 blt 0,0,640,480,0, 8,640,480:waittimer 85 blt 0,0,640,480,0, 10,640,480:waittimer 106 blt 0,0,640,480,0, 12,640,480:waittimer 128 blt 0,0,640,480,0, 14,640,480:waittimer 149 blt 0,0,640,480,0, 16,640,480:waittimer 170 blt 0,0,640,480,0, 18,640,480:waittimer 192 blt 0,0,640,480,0, 20,640,480:waittimer 213 blt 0,0,640,480,0, 22,640,480:waittimer 234 blt 0,0,640,480,0, 24,640,480:waittimer 256 blt 0,0,640,480,0, 26,640,480:waittimer 277 blt 0,0,640,480,0, 28,640,480:waittimer 298 blt 0,0,640,480,0, 30,640,480:waittimer 320 blt 0,0,640,480,0, 32,640,480:waittimer 341 blt 0,0,640,480,0, 34,640,480:waittimer 363 blt 0,0,640,480,0, 36,640,480:waittimer 384 blt 0,0,640,480,0, 38,640,480:waittimer 405 blt 0,0,640,480,0, 40,640,480:waittimer 427 blt 0,0,640,480,0, 42,640,480:waittimer 448 blt 0,0,640,480,0, 44,640,480:waittimer 469 blt 0,0,640,480,0, 46,640,480:waittimer 491 blt 0,0,640,480,0, 48,640,480:waittimer 512 blt 0,0,640,480,0, 50,640,480:waittimer 533 blt 0,0,640,480,0, 52,640,480:waittimer 555 blt 0,0,640,480,0, 54,640,480:waittimer 576 blt 0,0,640,480,0, 56,640,480:waittimer 597 blt 0,0,640,480,0, 58,640,480:waittimer 619 blt 0,0,640,480,0, 60,640,480:waittimer 640 blt 0,0,640,480,0, 62,640,480:waittimer 662 blt 0,0,640,480,0, 64,640,480:waittimer 683 blt 0,0,640,480,0, 66,640,480:waittimer 704 blt 0,0,640,480,0, 68,640,480:waittimer 726 blt 0,0,640,480,0, 70,640,480:waittimer 747 blt 0,0,640,480,0, 72,640,480:waittimer 768 blt 0,0,640,480,0, 74,640,480:waittimer 790 blt 0,0,640,480,0, 76,640,480:waittimer 811 blt 0,0,640,480,0, 78,640,480:waittimer 832 blt 0,0,640,480,0, 80,640,480:waittimer 854 blt 0,0,640,480,0, 82,640,480:waittimer 875 blt 0,0,640,480,0, 84,640,480:waittimer 896 blt 0,0,640,480,0, 86,640,480:waittimer 918 blt 0,0,640,480,0, 88,640,480:waittimer 939 blt 0,0,640,480,0, 90,640,480:waittimer 960 blt 0,0,640,480,0, 92,640,480:waittimer 982 blt 0,0,640,480,0, 94,640,480:waittimer 1003 blt 0,0,640,480,0, 96,640,480:waittimer 1025 blt 0,0,640,480,0, 98,640,480:waittimer 1046 blt 0,0,640,480,0, 100,640,480:waittimer 1067 blt 0,0,640,480,0, 102,640,480:waittimer 1089 blt 0,0,640,480,0, 104,640,480:waittimer 1110 blt 0,0,640,480,0, 106,640,480:waittimer 1131 blt 0,0,640,480,0, 108,640,480:waittimer 1153 blt 0,0,640,480,0, 110,640,480:waittimer 1174 blt 0,0,640,480,0, 112,640,480:waittimer 1195 blt 0,0,640,480,0, 114,640,480:waittimer 1217 blt 0,0,640,480,0, 116,640,480:waittimer 1238 blt 0,0,640,480,0, 118,640,480:waittimer 1259 blt 0,0,640,480,0, 120,640,480:waittimer 1281 blt 0,0,640,480,0, 122,640,480:waittimer 1302 blt 0,0,640,480,0, 124,640,480:waittimer 1324 blt 0,0,640,480,0, 126,640,480:waittimer 1345 blt 0,0,640,480,0, 128,640,480:waittimer 1366 blt 0,0,640,480,0, 130,640,480:waittimer 1388 blt 0,0,640,480,0, 132,640,480:waittimer 1409 blt 0,0,640,480,0, 134,640,480:waittimer 1430 blt 0,0,640,480,0, 136,640,480:waittimer 1452 blt 0,0,640,480,0, 138,640,480:waittimer 1473 blt 0,0,640,480,0, 140,640,480:waittimer 1494 blt 0,0,640,480,0, 142,640,480:waittimer 1516 blt 0,0,640,480,0, 144,640,480:waittimer 1537 blt 0,0,640,480,0, 146,640,480:waittimer 1558 blt 0,0,640,480,0, 148,640,480:waittimer 1580 blt 0,0,640,480,0, 150,640,480:waittimer 1601 blt 0,0,640,480,0, 152,640,480:waittimer 1622 blt 0,0,640,480,0, 154,640,480:waittimer 1644 blt 0,0,640,480,0, 156,640,480:waittimer 1665 blt 0,0,640,480,0, 158,640,480:waittimer 1687 blt 0,0,640,480,0, 160,640,480:waittimer 1708 blt 0,0,640,480,0, 162,640,480:waittimer 1729 blt 0,0,640,480,0, 164,640,480:waittimer 1751 blt 0,0,640,480,0, 166,640,480:waittimer 1772 blt 0,0,640,480,0, 168,640,480:waittimer 1793 blt 0,0,640,480,0, 170,640,480:waittimer 1815 blt 0,0,640,480,0, 172,640,480:waittimer 1836 blt 0,0,640,480,0, 174,640,480:waittimer 1857 blt 0,0,640,480,0, 176,640,480:waittimer 1879 blt 0,0,640,480,0, 178,640,480:waittimer 1900 blt 0,0,640,480,0, 180,640,480:waittimer 1921 blt 0,0,640,480,0, 182,640,480:waittimer 1943 blt 0,0,640,480,0, 184,640,480:waittimer 1964 blt 0,0,640,480,0, 186,640,480:waittimer 1986 blt 0,0,640,480,0, 188,640,480:waittimer 2007 blt 0,0,640,480,0, 190,640,480:waittimer 2028 blt 0,0,640,480,0, 192,640,480:waittimer 2050 blt 0,0,640,480,0, 194,640,480:waittimer 2071 blt 0,0,640,480,0, 196,640,480:waittimer 2092 blt 0,0,640,480,0, 198,640,480:waittimer 2114 blt 0,0,640,480,0, 200,640,480:waittimer 2135 blt 0,0,640,480,0, 202,640,480:waittimer 2156 blt 0,0,640,480,0, 204,640,480:waittimer 2178 blt 0,0,640,480,0, 206,640,480:waittimer 2199 blt 0,0,640,480,0, 208,640,480:waittimer 2220 blt 0,0,640,480,0, 210,640,480:waittimer 2242 blt 0,0,640,480,0, 212,640,480:waittimer 2263 blt 0,0,640,480,0, 214,640,480:waittimer 2285 blt 0,0,640,480,0, 216,640,480:waittimer 2306 blt 0,0,640,480,0, 218,640,480:waittimer 2327 blt 0,0,640,480,0, 220,640,480:waittimer 2349 blt 0,0,640,480,0, 222,640,480:waittimer 2370 blt 0,0,640,480,0, 224,640,480:waittimer 2391 blt 0,0,640,480,0, 226,640,480:waittimer 2413 blt 0,0,640,480,0, 228,640,480:waittimer 2434 blt 0,0,640,480,0, 230,640,480:waittimer 2455 blt 0,0,640,480,0, 232,640,480:waittimer 2477 blt 0,0,640,480,0, 234,640,480:waittimer 2498 blt 0,0,640,480,0, 236,640,480:waittimer 2519 blt 0,0,640,480,0, 238,640,480:waittimer 2541 blt 0,0,640,480,0, 240,640,480:waittimer 2562 blt 0,0,640,480,0, 242,640,480:waittimer 2583 blt 0,0,640,480,0, 244,640,480:waittimer 2605 blt 0,0,640,480,0, 246,640,480:waittimer 2626 blt 0,0,640,480,0, 248,640,480:waittimer 2648 blt 0,0,640,480,0, 250,640,480:waittimer 2669 blt 0,0,640,480,0, 252,640,480:waittimer 2690 blt 0,0,640,480,0, 254,640,480:waittimer 2712 blt 0,0,640,480,0, 256,640,480:waittimer 2733 blt 0,0,640,480,0, 258,640,480:waittimer 2754 blt 0,0,640,480,0, 260,640,480:waittimer 2776 blt 0,0,640,480,0, 262,640,480:waittimer 2797 blt 0,0,640,480,0, 264,640,480:waittimer 2818 blt 0,0,640,480,0, 266,640,480:waittimer 2840 blt 0,0,640,480,0, 268,640,480:waittimer 2861 blt 0,0,640,480,0, 270,640,480:waittimer 2882 blt 0,0,640,480,0, 272,640,480:waittimer 2904 blt 0,0,640,480,0, 274,640,480:waittimer 2925 blt 0,0,640,480,0, 276,640,480:waittimer 2947 blt 0,0,640,480,0, 278,640,480:waittimer 2968 blt 0,0,640,480,0, 280,640,480:waittimer 2989 blt 0,0,640,480,0, 282,640,480:waittimer 3011 blt 0,0,640,480,0, 284,640,480:waittimer 3032 blt 0,0,640,480,0, 286,640,480:waittimer 3053 blt 0,0,640,480,0, 288,640,480:waittimer 3075 blt 0,0,640,480,0, 290,640,480:waittimer 3096 blt 0,0,640,480,0, 292,640,480:waittimer 3117 blt 0,0,640,480,0, 294,640,480:waittimer 3139 blt 0,0,640,480,0, 296,640,480:waittimer 3160 blt 0,0,640,480,0, 298,640,480:waittimer 3181 blt 0,0,640,480,0, 300,640,480:waittimer 3203 blt 0,0,640,480,0, 302,640,480:waittimer 3224 blt 0,0,640,480,0, 304,640,480:waittimer 3245 blt 0,0,640,480,0, 306,640,480:waittimer 3267 blt 0,0,640,480,0, 308,640,480:waittimer 3288 blt 0,0,640,480,0, 310,640,480:waittimer 3310 blt 0,0,640,480,0, 312,640,480:waittimer 3331 blt 0,0,640,480,0, 314,640,480:waittimer 3352 blt 0,0,640,480,0, 316,640,480:waittimer 3374 blt 0,0,640,480,0, 318,640,480:waittimer 3395 blt 0,0,640,480,0, 320,640,480:waittimer 3416 blt 0,0,640,480,0, 322,640,480:waittimer 3438 blt 0,0,640,480,0, 324,640,480:waittimer 3459 blt 0,0,640,480,0, 326,640,480:waittimer 3480 blt 0,0,640,480,0, 328,640,480:waittimer 3502 blt 0,0,640,480,0, 330,640,480:waittimer 3523 blt 0,0,640,480,0, 332,640,480:waittimer 3544 blt 0,0,640,480,0, 334,640,480:waittimer 3566 blt 0,0,640,480,0, 336,640,480:waittimer 3587 blt 0,0,640,480,0, 338,640,480:waittimer 3609 blt 0,0,640,480,0, 340,640,480:waittimer 3630 blt 0,0,640,480,0, 342,640,480:waittimer 3651 blt 0,0,640,480,0, 344,640,480:waittimer 3673 blt 0,0,640,480,0, 346,640,480:waittimer 3694 blt 0,0,640,480,0, 348,640,480:waittimer 3715 blt 0,0,640,480,0, 350,640,480:waittimer 3737 blt 0,0,640,480,0, 352,640,480:waittimer 3758 blt 0,0,640,480,0, 354,640,480:waittimer 3779 blt 0,0,640,480,0, 356,640,480:waittimer 3801 blt 0,0,640,480,0, 358,640,480:waittimer 3822 blt 0,0,640,480,0, 360,640,480:waittimer 3843 blt 0,0,640,480,0, 362,640,480:waittimer 3865 blt 0,0,640,480,0, 364,640,480:waittimer 3886 blt 0,0,640,480,0, 366,640,480:waittimer 3908 blt 0,0,640,480,0, 368,640,480:waittimer 3929 blt 0,0,640,480,0, 370,640,480:waittimer 3950 blt 0,0,640,480,0, 372,640,480:waittimer 3972 blt 0,0,640,480,0, 374,640,480:waittimer 3993 blt 0,0,640,480,0, 376,640,480:waittimer 4014 blt 0,0,640,480,0, 378,640,480:waittimer 4036 blt 0,0,640,480,0, 380,640,480:waittimer 4057 blt 0,0,640,480,0, 382,640,480:waittimer 4078 blt 0,0,640,480,0, 384,640,480:waittimer 4100 blt 0,0,640,480,0, 386,640,480:waittimer 4121 blt 0,0,640,480,0, 388,640,480:waittimer 4142 blt 0,0,640,480,0, 390,640,480:waittimer 4164 blt 0,0,640,480,0, 392,640,480:waittimer 4185 blt 0,0,640,480,0, 394,640,480:waittimer 4206 blt 0,0,640,480,0, 396,640,480:waittimer 4228 blt 0,0,640,480,0, 398,640,480:waittimer 4249 blt 0,0,640,480,0, 400,640,480:waittimer 4271 blt 0,0,640,480,0, 402,640,480:waittimer 4292 blt 0,0,640,480,0, 404,640,480:waittimer 4313 blt 0,0,640,480,0, 406,640,480:waittimer 4335 blt 0,0,640,480,0, 408,640,480:waittimer 4356 blt 0,0,640,480,0, 410,640,480:waittimer 4377 blt 0,0,640,480,0, 412,640,480:waittimer 4399 blt 0,0,640,480,0, 414,640,480:waittimer 4420 blt 0,0,640,480,0, 416,640,480:waittimer 4441 blt 0,0,640,480,0, 418,640,480:waittimer 4463 blt 0,0,640,480,0, 420,640,480:waittimer 4484 blt 0,0,640,480,0, 422,640,480:waittimer 4505 blt 0,0,640,480,0, 424,640,480:waittimer 4527 blt 0,0,640,480,0, 426,640,480:waittimer 4548 blt 0,0,640,480,0, 428,640,480:waittimer 4570 blt 0,0,640,480,0, 430,640,480:waittimer 4591 blt 0,0,640,480,0, 432,640,480:waittimer 4612 blt 0,0,640,480,0, 434,640,480:waittimer 4634 blt 0,0,640,480,0, 436,640,480:waittimer 4655 blt 0,0,640,480,0, 438,640,480:waittimer 4676 blt 0,0,640,480,0, 440,640,480:waittimer 4698 blt 0,0,640,480,0, 442,640,480:waittimer 4719 blt 0,0,640,480,0, 444,640,480:waittimer 4740 blt 0,0,640,480,0, 446,640,480:waittimer 4762 blt 0,0,640,480,0, 448,640,480:waittimer 4783 blt 0,0,640,480,0, 450,640,480:waittimer 4804 blt 0,0,640,480,0, 452,640,480:waittimer 4826 blt 0,0,640,480,0, 454,640,480:waittimer 4847 blt 0,0,640,480,0, 456,640,480:waittimer 4868 blt 0,0,640,480,0, 458,640,480:waittimer 4890 blt 0,0,640,480,0, 460,640,480:waittimer 4911 blt 0,0,640,480,0, 462,640,480:waittimer 4933 blt 0,0,640,480,0, 464,640,480:waittimer 4954 blt 0,0,640,480,0, 466,640,480:waittimer 4975 blt 0,0,640,480,0, 468,640,480:waittimer 4997 blt 0,0,640,480,0, 470,640,480:waittimer 5018 blt 0,0,640,480,0, 472,640,480:waittimer 5039 blt 0,0,640,480,0, 474,640,480:waittimer 5061 blt 0,0,640,480,0, 476,640,480:waittimer 5082 blt 0,0,640,480,0, 478,640,480:waittimer 5103 blt 0,0,640,480,0, 480,640,480:waittimer 5125 blt 0,0,640,480,0, 482,640,480:waittimer 5146 blt 0,0,640,480,0, 484,640,480:waittimer 5167 blt 0,0,640,480,0, 486,640,480:waittimer 5189 blt 0,0,640,480,0, 488,640,480:waittimer 5210 blt 0,0,640,480,0, 490,640,480:waittimer 5232 blt 0,0,640,480,0, 492,640,480:waittimer 5253 blt 0,0,640,480,0, 494,640,480:waittimer 5274 blt 0,0,640,480,0, 496,640,480:waittimer 5296 blt 0,0,640,480,0, 498,640,480:waittimer 5317 blt 0,0,640,480,0, 500,640,480:waittimer 5338 blt 0,0,640,480,0, 502,640,480:waittimer 5360 blt 0,0,640,480,0, 504,640,480:waittimer 5381 blt 0,0,640,480,0, 506,640,480:waittimer 5402 blt 0,0,640,480,0, 508,640,480:waittimer 5424 blt 0,0,640,480,0, 510,640,480:waittimer 5445 blt 0,0,640,480,0, 512,640,480:waittimer 5466 blt 0,0,640,480,0, 514,640,480:waittimer 5488 blt 0,0,640,480,0, 516,640,480:waittimer 5509 blt 0,0,640,480,0, 518,640,480:waittimer 5531 blt 0,0,640,480,0, 520,640,480:waittimer 5552 blt 0,0,640,480,0, 522,640,480:waittimer 5573 blt 0,0,640,480,0, 524,640,480:waittimer 5595 blt 0,0,640,480,0, 526,640,480:waittimer 5616 blt 0,0,640,480,0, 528,640,480:waittimer 5637 blt 0,0,640,480,0, 530,640,480:waittimer 5659 blt 0,0,640,480,0, 532,640,480:waittimer 5680 blt 0,0,640,480,0, 534,640,480:waittimer 5701 blt 0,0,640,480,0, 536,640,480:waittimer 5723 blt 0,0,640,480,0, 538,640,480:waittimer 5744 blt 0,0,640,480,0, 540,640,480:waittimer 5765 blt 0,0,640,480,0, 542,640,480:waittimer 5787 blt 0,0,640,480,0, 544,640,480:waittimer 5808 blt 0,0,640,480,0, 546,640,480:waittimer 5829 blt 0,0,640,480,0, 548,640,480:waittimer 5851 blt 0,0,640,480,0, 550,640,480:waittimer 5872 blt 0,0,640,480,0, 552,640,480:waittimer 5894 blt 0,0,640,480,0, 554,640,480:waittimer 5915 blt 0,0,640,480,0, 556,640,480:waittimer 5936 blt 0,0,640,480,0, 558,640,480:waittimer 5958 blt 0,0,640,480,0, 560,640,480:waittimer 5979 blt 0,0,640,480,0, 562,640,480:waittimer 6000 blt 0,0,640,480,0, 564,640,480:waittimer 6022 blt 0,0,640,480,0, 566,640,480:waittimer 6043 blt 0,0,640,480,0, 568,640,480:waittimer 6064 blt 0,0,640,480,0, 570,640,480:waittimer 6086 blt 0,0,640,480,0, 572,640,480:waittimer 6107 blt 0,0,640,480,0, 574,640,480:waittimer 6128 blt 0,0,640,480,0, 576,640,480:waittimer 6150 blt 0,0,640,480,0, 578,640,480:waittimer 6171 blt 0,0,640,480,0, 580,640,480:waittimer 6193 blt 0,0,640,480,0, 582,640,480:waittimer 6214 blt 0,0,640,480,0, 584,640,480:waittimer 6235 blt 0,0,640,480,0, 586,640,480:waittimer 6257 blt 0,0,640,480,0, 588,640,480:waittimer 6278 blt 0,0,640,480,0, 590,640,480:waittimer 6299 blt 0,0,640,480,0, 592,640,480:waittimer 6321 blt 0,0,640,480,0, 594,640,480:waittimer 6342 blt 0,0,640,480,0, 596,640,480:waittimer 6363 blt 0,0,640,480,0, 598,640,480:waittimer 6385 blt 0,0,640,480,0, 600,640,480:waittimer 6406 blt 0,0,640,480,0, 602,640,480:waittimer 6427 blt 0,0,640,480,0, 604,640,480:waittimer 6449 blt 0,0,640,480,0, 606,640,480:waittimer 6470 blt 0,0,640,480,0, 608,640,480:waittimer 6491 blt 0,0,640,480,0, 610,640,480:waittimer 6513 blt 0,0,640,480,0, 612,640,480:waittimer 6534 blt 0,0,640,480,0, 614,640,480:waittimer 6556 blt 0,0,640,480,0, 616,640,480:waittimer 6577 blt 0,0,640,480,0, 618,640,480:waittimer 6598 blt 0,0,640,480,0, 620,640,480:waittimer 6620 blt 0,0,640,480,0, 622,640,480:waittimer 6641 blt 0,0,640,480,0, 624,640,480:waittimer 6662 blt 0,0,640,480,0, 626,640,480:waittimer 6684 blt 0,0,640,480,0, 628,640,480:waittimer 6705 blt 0,0,640,480,0, 630,640,480:waittimer 6726 blt 0,0,640,480,0, 632,640,480:waittimer 6748 blt 0,0,640,480,0, 634,640,480:waittimer 6769 blt 0,0,640,480,0, 636,640,480:waittimer 6790 blt 0,0,640,480,0, 638,640,480:waittimer 6812 blt 0,0,640,480,0, 640,640,480:waittimer 6833 blt 0,0,640,480,0, 642,640,480:waittimer 6855 blt 0,0,640,480,0, 644,640,480:waittimer 6876 blt 0,0,640,480,0, 646,640,480:waittimer 6897 blt 0,0,640,480,0, 648,640,480:waittimer 6919 blt 0,0,640,480,0, 650,640,480:waittimer 6940 blt 0,0,640,480,0, 652,640,480:waittimer 6961 blt 0,0,640,480,0, 654,640,480:waittimer 6983 blt 0,0,640,480,0, 656,640,480:waittimer 7004 blt 0,0,640,480,0, 658,640,480:waittimer 7025 blt 0,0,640,480,0, 660,640,480:waittimer 7047 blt 0,0,640,480,0, 662,640,480:waittimer 7068 blt 0,0,640,480,0, 664,640,480:waittimer 7089 blt 0,0,640,480,0, 666,640,480:waittimer 7111 blt 0,0,640,480,0, 668,640,480:waittimer 7132 blt 0,0,640,480,0, 670,640,480:waittimer 7154 blt 0,0,640,480,0, 672,640,480:waittimer 7175 blt 0,0,640,480,0, 674,640,480:waittimer 7196 blt 0,0,640,480,0, 676,640,480:waittimer 7218 blt 0,0,640,480,0, 678,640,480:waittimer 7239 blt 0,0,640,480,0, 680,640,480:waittimer 7260 blt 0,0,640,480,0, 682,640,480:waittimer 7282 blt 0,0,640,480,0, 684,640,480:waittimer 7303 blt 0,0,640,480,0, 686,640,480:waittimer 7324 blt 0,0,640,480,0, 688,640,480:waittimer 7346 blt 0,0,640,480,0, 690,640,480:waittimer 7367 blt 0,0,640,480,0, 692,640,480:waittimer 7388 blt 0,0,640,480,0, 694,640,480:waittimer 7410 blt 0,0,640,480,0, 696,640,480:waittimer 7431 blt 0,0,640,480,0, 698,640,480:waittimer 7452 blt 0,0,640,480,0, 700,640,480:waittimer 7474 blt 0,0,640,480,0, 702,640,480:waittimer 7495 blt 0,0,640,480,0, 704,640,480:waittimer 7517 blt 0,0,640,480,0, 706,640,480:waittimer 7538 blt 0,0,640,480,0, 708,640,480:waittimer 7559 blt 0,0,640,480,0, 710,640,480:waittimer 7581 blt 0,0,640,480,0, 712,640,480:waittimer 7602 blt 0,0,640,480,0, 714,640,480:waittimer 7623 blt 0,0,640,480,0, 716,640,480:waittimer 7645 blt 0,0,640,480,0, 718,640,480:waittimer 7666 blt 0,0,640,480,0, 720,640,480:waittimer 7687 blt 0,0,640,480,0, 722,640,480:waittimer 7709 blt 0,0,640,480,0, 724,640,480:waittimer 7730 blt 0,0,640,480,0, 726,640,480:waittimer 7751 blt 0,0,640,480,0, 728,640,480:waittimer 7773 blt 0,0,640,480,0, 730,640,480:waittimer 7794 blt 0,0,640,480,0, 732,640,480:waittimer 7816 blt 0,0,640,480,0, 734,640,480:waittimer 7837 blt 0,0,640,480,0, 736,640,480:waittimer 7858 blt 0,0,640,480,0, 738,640,480:waittimer 7880 blt 0,0,640,480,0, 740,640,480:waittimer 7901 blt 0,0,640,480,0, 742,640,480:waittimer 7922 blt 0,0,640,480,0, 744,640,480:waittimer 7944 blt 0,0,640,480,0, 746,640,480:waittimer 7965 blt 0,0,640,480,0, 748,640,480:waittimer 7986 blt 0,0,640,480,0, 750,640,480:waittimer 8008 blt 0,0,640,480,0, 752,640,480:waittimer 8029 blt 0,0,640,480,0, 754,640,480:waittimer 8050 blt 0,0,640,480,0, 756,640,480:waittimer 8072 blt 0,0,640,480,0, 758,640,480:waittimer 8093 blt 0,0,640,480,0, 760,640,480:waittimer 8114 blt 0,0,640,480,0, 762,640,480:waittimer 8136 blt 0,0,640,480,0, 764,640,480:waittimer 8157 blt 0,0,640,480,0, 766,640,480:waittimer 8179 blt 0,0,640,480,0, 768,640,480:waittimer 8200 blt 0,0,640,480,0, 770,640,480:waittimer 8221 blt 0,0,640,480,0, 772,640,480:waittimer 8243 blt 0,0,640,480,0, 774,640,480:waittimer 8264 blt 0,0,640,480,0, 776,640,480:waittimer 8285 blt 0,0,640,480,0, 778,640,480:waittimer 8307 blt 0,0,640,480,0, 780,640,480:waittimer 8328 blt 0,0,640,480,0, 782,640,480:waittimer 8349 blt 0,0,640,480,0, 784,640,480:waittimer 8371 blt 0,0,640,480,0, 786,640,480:waittimer 8392 blt 0,0,640,480,0, 788,640,480:waittimer 8413 blt 0,0,640,480,0, 790,640,480:waittimer 8435 blt 0,0,640,480,0, 792,640,480:waittimer 8456 blt 0,0,640,480,0, 794,640,480:waittimer 8478 blt 0,0,640,480,0, 796,640,480:waittimer 8499 blt 0,0,640,480,0, 798,640,480:waittimer 8520 blt 0,0,640,480,0, 800,640,480:waittimer 8542 blt 0,0,640,480,0, 802,640,480:waittimer 8563 blt 0,0,640,480,0, 804,640,480:waittimer 8584 blt 0,0,640,480,0, 806,640,480:waittimer 8606 blt 0,0,640,480,0, 808,640,480:waittimer 8627 blt 0,0,640,480,0, 810,640,480:waittimer 8648 blt 0,0,640,480,0, 812,640,480:waittimer 8670 blt 0,0,640,480,0, 814,640,480:waittimer 8691 blt 0,0,640,480,0, 816,640,480:waittimer 8712 blt 0,0,640,480,0, 818,640,480:waittimer 8734 blt 0,0,640,480,0, 820,640,480:waittimer 8755 blt 0,0,640,480,0, 822,640,480:waittimer 8777 blt 0,0,640,480,0, 824,640,480:waittimer 8798 blt 0,0,640,480,0, 826,640,480:waittimer 8819 blt 0,0,640,480,0, 828,640,480:waittimer 8841 blt 0,0,640,480,0, 830,640,480:waittimer 8862 blt 0,0,640,480,0, 832,640,480:waittimer 8883 blt 0,0,640,480,0, 834,640,480:waittimer 8905 blt 0,0,640,480,0, 836,640,480:waittimer 8926 blt 0,0,640,480,0, 838,640,480:waittimer 8947 blt 0,0,640,480,0, 840,640,480:waittimer 8969 blt 0,0,640,480,0, 842,640,480:waittimer 8990 blt 0,0,640,480,0, 844,640,480:waittimer 9011 blt 0,0,640,480,0, 846,640,480:waittimer 9033 blt 0,0,640,480,0, 848,640,480:waittimer 9054 blt 0,0,640,480,0, 850,640,480:waittimer 9075 blt 0,0,640,480,0, 852,640,480:waittimer 9097 blt 0,0,640,480,0, 854,640,480:waittimer 9118 blt 0,0,640,480,0, 856,640,480:waittimer 9140 blt 0,0,640,480,0, 858,640,480:waittimer 9161 blt 0,0,640,480,0, 860,640,480:waittimer 9182 blt 0,0,640,480,0, 862,640,480:waittimer 9204 blt 0,0,640,480,0, 864,640,480:waittimer 9225 blt 0,0,640,480,0, 866,640,480:waittimer 9246 blt 0,0,640,480,0, 868,640,480:waittimer 9268 blt 0,0,640,480,0, 870,640,480:waittimer 9289 blt 0,0,640,480,0, 872,640,480:waittimer 9310 blt 0,0,640,480,0, 874,640,480:waittimer 9332 blt 0,0,640,480,0, 876,640,480:waittimer 9353 blt 0,0,640,480,0, 878,640,480:waittimer 9374 blt 0,0,640,480,0, 880,640,480:waittimer 9396 blt 0,0,640,480,0, 882,640,480:waittimer 9417 blt 0,0,640,480,0, 884,640,480:waittimer 9439 blt 0,0,640,480,0, 886,640,480:waittimer 9460 blt 0,0,640,480,0, 888,640,480:waittimer 9481 blt 0,0,640,480,0, 890,640,480:waittimer 9503 blt 0,0,640,480,0, 892,640,480:waittimer 9524 blt 0,0,640,480,0, 894,640,480:waittimer 9545 blt 0,0,640,480,0, 896,640,480:waittimer 9567 blt 0,0,640,480,0, 898,640,480:waittimer 9588 blt 0,0,640,480,0, 900,640,480:waittimer 9609 blt 0,0,640,480,0, 902,640,480:waittimer 9631 blt 0,0,640,480,0, 904,640,480:waittimer 9652 blt 0,0,640,480,0, 906,640,480:waittimer 9673 blt 0,0,640,480,0, 908,640,480:waittimer 9695 blt 0,0,640,480,0, 910,640,480:waittimer 9716 blt 0,0,640,480,0, 912,640,480:waittimer 9737 blt 0,0,640,480,0, 914,640,480:waittimer 9759 blt 0,0,640,480,0, 916,640,480:waittimer 9780 blt 0,0,640,480,0, 918,640,480:waittimer 9802 blt 0,0,640,480,0, 920,640,480:waittimer 9823 blt 0,0,640,480,0, 922,640,480:waittimer 9844 blt 0,0,640,480,0, 924,640,480:waittimer 9866 blt 0,0,640,480,0, 926,640,480:waittimer 9887 blt 0,0,640,480,0, 928,640,480:waittimer 9908 blt 0,0,640,480,0, 930,640,480:waittimer 9930 blt 0,0,640,480,0, 932,640,480:waittimer 9951 blt 0,0,640,480,0, 934,640,480:waittimer 9972 blt 0,0,640,480,0, 936,640,480:waittimer 9994 blt 0,0,640,480,0, 938,640,480:waittimer 10015 blt 0,0,640,480,0, 940,640,480:waittimer 10036 blt 0,0,640,480,0, 942,640,480:waittimer 10058 blt 0,0,640,480,0, 944,640,480:waittimer 10079 blt 0,0,640,480,0, 946,640,480:waittimer 10101 blt 0,0,640,480,0, 948,640,480:waittimer 10122 blt 0,0,640,480,0, 950,640,480:waittimer 10143 blt 0,0,640,480,0, 952,640,480:waittimer 10165 blt 0,0,640,480,0, 954,640,480:waittimer 10186 blt 0,0,640,480,0, 956,640,480:waittimer 10207 blt 0,0,640,480,0, 958,640,480:waittimer 10229 blt 0,0,640,480,0, 960,640,480:waittimer 10250 blt 0,0,640,480,0, 962,640,480:waittimer 10271 blt 0,0,640,480,0, 964,640,480:waittimer 10293 blt 0,0,640,480,0, 966,640,480:waittimer 10314 blt 0,0,640,480,0, 968,640,480:waittimer 10335 blt 0,0,640,480,0, 970,640,480:waittimer 10357 blt 0,0,640,480,0, 972,640,480:waittimer 10378 blt 0,0,640,480,0, 974,640,480:waittimer 10399 blt 0,0,640,480,0, 976,640,480:waittimer 10421 blt 0,0,640,480,0, 978,640,480:waittimer 10442 blt 0,0,640,480,0, 980,640,480:waittimer 10464 blt 0,0,640,480,0, 982,640,480:waittimer 10485 blt 0,0,640,480,0, 984,640,480:waittimer 10506 blt 0,0,640,480,0, 986,640,480:waittimer 10528 blt 0,0,640,480,0, 988,640,480:waittimer 10549 blt 0,0,640,480,0, 990,640,480:waittimer 10570 blt 0,0,640,480,0, 992,640,480:waittimer 10592 blt 0,0,640,480,0, 994,640,480:waittimer 10613 blt 0,0,640,480,0, 996,640,480:waittimer 10634 blt 0,0,640,480,0, 998,640,480:waittimer 10656 blt 0,0,640,480,0, 1000,640,480:waittimer 10677 blt 0,0,640,480,0, 1002,640,480:waittimer 10698 blt 0,0,640,480,0, 1004,640,480:waittimer 10720 blt 0,0,640,480,0, 1006,640,480:waittimer 10741 blt 0,0,640,480,0, 1008,640,480:waittimer 10763 blt 0,0,640,480,0, 1010,640,480:waittimer 10784 blt 0,0,640,480,0, 1012,640,480:waittimer 10805 blt 0,0,640,480,0, 1014,640,480:waittimer 10827 blt 0,0,640,480,0, 1016,640,480:waittimer 10848 blt 0,0,640,480,0, 1018,640,480:waittimer 10869 blt 0,0,640,480,0, 1020,640,480:waittimer 10891 blt 0,0,640,480,0, 1022,640,480:waittimer 10912 blt 0,0,640,480,0, 1024,640,480:waittimer 10933 blt 0,0,640,480,0, 1026,640,480:waittimer 10955 blt 0,0,640,480,0, 1028,640,480:waittimer 10976 blt 0,0,640,480,0, 1030,640,480:waittimer 10997 blt 0,0,640,480,0, 1032,640,480:waittimer 11019 blt 0,0,640,480,0, 1034,640,480:waittimer 11040 blt 0,0,640,480,0, 1036,640,480:waittimer 11062 blt 0,0,640,480,0, 1038,640,480:waittimer 11083 blt 0,0,640,480,0, 1040,640,480:waittimer 11104 blt 0,0,640,480,0, 1042,640,480:waittimer 11126 blt 0,0,640,480,0, 1044,640,480:waittimer 11147 blt 0,0,640,480,0, 1046,640,480:waittimer 11168 blt 0,0,640,480,0, 1048,640,480:waittimer 11190 blt 0,0,640,480,0, 1050,640,480:waittimer 11211 blt 0,0,640,480,0, 1052,640,480:waittimer 11232 blt 0,0,640,480,0, 1054,640,480:waittimer 11254 blt 0,0,640,480,0, 1056,640,480:waittimer 11275 blt 0,0,640,480,0, 1058,640,480:waittimer 11296 blt 0,0,640,480,0, 1060,640,480:waittimer 11318 blt 0,0,640,480,0, 1062,640,480:waittimer 11339 blt 0,0,640,480,0, 1064,640,480:waittimer 11360 blt 0,0,640,480,0, 1066,640,480:waittimer 11382 blt 0,0,640,480,0, 1068,640,480:waittimer 11403 blt 0,0,640,480,0, 1070,640,480:waittimer 11425 blt 0,0,640,480,0, 1072,640,480:waittimer 11446 blt 0,0,640,480,0, 1074,640,480:waittimer 11467 blt 0,0,640,480,0, 1076,640,480:waittimer 11489 blt 0,0,640,480,0, 1078,640,480:waittimer 11510 blt 0,0,640,480,0, 1080,640,480:waittimer 11531 blt 0,0,640,480,0, 1082,640,480:waittimer 11553 blt 0,0,640,480,0, 1084,640,480:waittimer 11574 blt 0,0,640,480,0, 1086,640,480:waittimer 11595 blt 0,0,640,480,0, 1088,640,480:waittimer 11617 blt 0,0,640,480,0, 1090,640,480:waittimer 11638 blt 0,0,640,480,0, 1092,640,480:waittimer 11659 blt 0,0,640,480,0, 1094,640,480:waittimer 11681 blt 0,0,640,480,0, 1096,640,480:waittimer 11702 blt 0,0,640,480,0, 1098,640,480:waittimer 11724 blt 0,0,640,480,0, 1100,640,480:waittimer 11745 blt 0,0,640,480,0, 1102,640,480:waittimer 11766 blt 0,0,640,480,0, 1104,640,480:waittimer 11788 blt 0,0,640,480,0, 1106,640,480:waittimer 11809 blt 0,0,640,480,0, 1108,640,480:waittimer 11830 blt 0,0,640,480,0, 1110,640,480:waittimer 11852 blt 0,0,640,480,0, 1112,640,480:waittimer 11873 blt 0,0,640,480,0, 1114,640,480:waittimer 11894 blt 0,0,640,480,0, 1116,640,480:waittimer 11916 blt 0,0,640,480,0, 1118,640,480:waittimer 11937 blt 0,0,640,480,0, 1120,640,480:waittimer 11958 blt 0,0,640,480,0, 1122,640,480:waittimer 11980 blt 0,0,640,480,0, 1124,640,480:waittimer 12001 blt 0,0,640,480,0, 1126,640,480:waittimer 12022 blt 0,0,640,480,0, 1128,640,480:waittimer 12044 blt 0,0,640,480,0, 1130,640,480:waittimer 12065 blt 0,0,640,480,0, 1132,640,480:waittimer 12087 blt 0,0,640,480,0, 1134,640,480:waittimer 12108 blt 0,0,640,480,0, 1136,640,480:waittimer 12129 blt 0,0,640,480,0, 1138,640,480:waittimer 12151 blt 0,0,640,480,0, 1140,640,480:waittimer 12172 blt 0,0,640,480,0, 1142,640,480:waittimer 12193 blt 0,0,640,480,0, 1144,640,480:waittimer 12215 blt 0,0,640,480,0, 1146,640,480:waittimer 12236 blt 0,0,640,480,0, 1148,640,480:waittimer 12257 blt 0,0,640,480,0, 1150,640,480:waittimer 12279 blt 0,0,640,480,0, 1152,640,480:waittimer 12300 blt 0,0,640,480,0, 1154,640,480:waittimer 12321 blt 0,0,640,480,0, 1156,640,480:waittimer 12343 blt 0,0,640,480,0, 1158,640,480:waittimer 12364 blt 0,0,640,480,0, 1160,640,480:waittimer 12386 blt 0,0,640,480,0, 1162,640,480:waittimer 12407 blt 0,0,640,480,0, 1164,640,480:waittimer 12428 blt 0,0,640,480,0, 1166,640,480:waittimer 12450 blt 0,0,640,480,0, 1168,640,480:waittimer 12471 blt 0,0,640,480,0, 1170,640,480:waittimer 12492 blt 0,0,640,480,0, 1172,640,480:waittimer 12514 blt 0,0,640,480,0, 1174,640,480:waittimer 12535 blt 0,0,640,480,0, 1176,640,480:waittimer 12556 blt 0,0,640,480,0, 1178,640,480:waittimer 12578 blt 0,0,640,480,0, 1180,640,480:waittimer 12599 blt 0,0,640,480,0, 1182,640,480:waittimer 12620 blt 0,0,640,480,0, 1184,640,480:waittimer 12642 blt 0,0,640,480,0, 1186,640,480:waittimer 12663 blt 0,0,640,480,0, 1188,640,480:waittimer 12685 blt 0,0,640,480,0, 1190,640,480:waittimer 12706 blt 0,0,640,480,0, 1192,640,480:waittimer 12727 blt 0,0,640,480,0, 1194,640,480:waittimer 12749 blt 0,0,640,480,0, 1196,640,480:waittimer 12770 blt 0,0,640,480,0, 1198,640,480:waittimer 12791 blt 0,0,640,480,0, 1200,640,480:waittimer 12813 blt 0,0,640,480,0, 1202,640,480:waittimer 12834 blt 0,0,640,480,0, 1204,640,480:waittimer 12855 blt 0,0,640,480,0, 1206,640,480:waittimer 12877 blt 0,0,640,480,0, 1208,640,480:waittimer 12898 blt 0,0,640,480,0, 1210,640,480:waittimer 12919 blt 0,0,640,480,0, 1212,640,480:waittimer 12941 blt 0,0,640,480,0, 1214,640,480:waittimer 12962 blt 0,0,640,480,0, 1216,640,480:waittimer 12983 blt 0,0,640,480,0, 1218,640,480:waittimer 13005 blt 0,0,640,480,0, 1220,640,480:waittimer 13026 blt 0,0,640,480,0, 1222,640,480:waittimer 13048 blt 0,0,640,480,0, 1224,640,480:waittimer 13069 blt 0,0,640,480,0, 1226,640,480:waittimer 13090 blt 0,0,640,480,0, 1228,640,480:waittimer 13112 blt 0,0,640,480,0, 1230,640,480:waittimer 13133 blt 0,0,640,480,0, 1232,640,480:waittimer 13154 blt 0,0,640,480,0, 1234,640,480:waittimer 13176 blt 0,0,640,480,0, 1236,640,480:waittimer 13197 blt 0,0,640,480,0, 1238,640,480:waittimer 13218 blt 0,0,640,480,0, 1240,640,480:waittimer 13240 blt 0,0,640,480,0, 1242,640,480:waittimer 13261 blt 0,0,640,480,0, 1244,640,480:waittimer 13282 blt 0,0,640,480,0, 1246,640,480:waittimer 13304 blt 0,0,640,480,0, 1248,640,480:waittimer 13325 blt 0,0,640,480,0, 1250,640,480:waittimer 13347 blt 0,0,640,480,0, 1252,640,480:waittimer 13368 blt 0,0,640,480,0, 1254,640,480:waittimer 13389 blt 0,0,640,480,0, 1256,640,480:waittimer 13411 blt 0,0,640,480,0, 1258,640,480:waittimer 13432 blt 0,0,640,480,0, 1260,640,480:waittimer 13453 blt 0,0,640,480,0, 1262,640,480:waittimer 13475 blt 0,0,640,480,0, 1264,640,480:waittimer 13496 blt 0,0,640,480,0, 1266,640,480:waittimer 13517 blt 0,0,640,480,0, 1268,640,480:waittimer 13539 blt 0,0,640,480,0, 1270,640,480:waittimer 13560 blt 0,0,640,480,0, 1272,640,480:waittimer 13581 blt 0,0,640,480,0, 1274,640,480:waittimer 13603 blt 0,0,640,480,0, 1276,640,480:waittimer 13624 blt 0,0,640,480,0, 1278,640,480:waittimer 13645 blt 0,0,640,480,0, 1280,640,480:waittimer 13667 blt 0,0,640,480,0, 1282,640,480:waittimer 13688 blt 0,0,640,480,0, 1284,640,480:waittimer 13710 blt 0,0,640,480,0, 1286,640,480:waittimer 13731 blt 0,0,640,480,0, 1288,640,480:waittimer 13752 blt 0,0,640,480,0, 1290,640,480:waittimer 13774 blt 0,0,640,480,0, 1292,640,480:waittimer 13795 blt 0,0,640,480,0, 1294,640,480:waittimer 13816 blt 0,0,640,480,0, 1296,640,480:waittimer 13838 blt 0,0,640,480,0, 1298,640,480:waittimer 13859 blt 0,0,640,480,0, 1300,640,480:waittimer 13880 blt 0,0,640,480,0, 1302,640,480:waittimer 13902 blt 0,0,640,480,0, 1304,640,480:waittimer 13923 blt 0,0,640,480,0, 1306,640,480:waittimer 13944 blt 0,0,640,480,0, 1308,640,480:waittimer 13966 blt 0,0,640,480,0, 1310,640,480:waittimer 13987 blt 0,0,640,480,0, 1312,640,480:waittimer 14009 blt 0,0,640,480,0, 1314,640,480:waittimer 14030 blt 0,0,640,480,0, 1316,640,480:waittimer 14051 blt 0,0,640,480,0, 1318,640,480:waittimer 14073 blt 0,0,640,480,0, 1320,640,480:waittimer 14094 blt 0,0,640,480,0, 1322,640,480:waittimer 14115 blt 0,0,640,480,0, 1324,640,480:waittimer 14137 blt 0,0,640,480,0, 1326,640,480:waittimer 14158 blt 0,0,640,480,0, 1328,640,480:waittimer 14179 blt 0,0,640,480,0, 1330,640,480:waittimer 14201 blt 0,0,640,480,0, 1332,640,480:waittimer 14222 blt 0,0,640,480,0, 1334,640,480:waittimer 14243 blt 0,0,640,480,0, 1336,640,480:waittimer 14265 blt 0,0,640,480,0, 1338,640,480:waittimer 14286 blt 0,0,640,480,0, 1340,640,480:waittimer 14308 blt 0,0,640,480,0, 1342,640,480:waittimer 14329 blt 0,0,640,480,0, 1344,640,480:waittimer 14350 blt 0,0,640,480,0, 1346,640,480:waittimer 14372 blt 0,0,640,480,0, 1348,640,480:waittimer 14393 blt 0,0,640,480,0, 1350,640,480:waittimer 14414 blt 0,0,640,480,0, 1352,640,480:waittimer 14436 blt 0,0,640,480,0, 1354,640,480:waittimer 14457 blt 0,0,640,480,0, 1356,640,480:waittimer 14478 blt 0,0,640,480,0, 1358,640,480:waittimer 14500 blt 0,0,640,480,0, 1360,640,480:waittimer 14521 blt 0,0,640,480,0, 1362,640,480:waittimer 14542 blt 0,0,640,480,0, 1364,640,480:waittimer 14564 blt 0,0,640,480,0, 1366,640,480:waittimer 14585 blt 0,0,640,480,0, 1368,640,480:waittimer 14606 blt 0,0,640,480,0, 1370,640,480:waittimer 14628 blt 0,0,640,480,0, 1372,640,480:waittimer 14649 blt 0,0,640,480,0, 1374,640,480:waittimer 14671 blt 0,0,640,480,0, 1376,640,480:waittimer 14692 blt 0,0,640,480,0, 1378,640,480:waittimer 14713 blt 0,0,640,480,0, 1380,640,480:waittimer 14735 blt 0,0,640,480,0, 1382,640,480:waittimer 14756 blt 0,0,640,480,0, 1384,640,480:waittimer 14777 blt 0,0,640,480,0, 1386,640,480:waittimer 14799 blt 0,0,640,480,0, 1388,640,480:waittimer 14820 blt 0,0,640,480,0, 1390,640,480:waittimer 14841 blt 0,0,640,480,0, 1392,640,480:waittimer 14863 blt 0,0,640,480,0, 1394,640,480:waittimer 14884 blt 0,0,640,480,0, 1396,640,480:waittimer 14905 blt 0,0,640,480,0, 1398,640,480:waittimer 14927 blt 0,0,640,480,0, 1400,640,480:waittimer 14948 blt 0,0,640,480,0, 1402,640,480:waittimer 14970 blt 0,0,640,480,0, 1404,640,480:waittimer 14991 blt 0,0,640,480,0, 1406,640,480:waittimer 15012 blt 0,0,640,480,0, 1408,640,480:waittimer 15034 blt 0,0,640,480,0, 1410,640,480:waittimer 15055 blt 0,0,640,480,0, 1412,640,480:waittimer 15076 blt 0,0,640,480,0, 1414,640,480:waittimer 15098 blt 0,0,640,480,0, 1416,640,480:waittimer 15119 blt 0,0,640,480,0, 1418,640,480:waittimer 15140 blt 0,0,640,480,0, 1420,640,480:waittimer 15162 blt 0,0,640,480,0, 1422,640,480:waittimer 15183 blt 0,0,640,480,0, 1424,640,480:waittimer 15204 blt 0,0,640,480,0, 1426,640,480:waittimer 15226 blt 0,0,640,480,0, 1428,640,480:waittimer 15247 blt 0,0,640,480,0, 1430,640,480:waittimer 15268 blt 0,0,640,480,0, 1432,640,480:waittimer 15290 blt 0,0,640,480,0, 1434,640,480:waittimer 15311 blt 0,0,640,480,0, 1436,640,480:waittimer 15333 blt 0,0,640,480,0, 1438,640,480:waittimer 15354 blt 0,0,640,480,0, 1440,640,480:waittimer 15375 blt 0,0,640,480,0, 1442,640,480:waittimer 15397 blt 0,0,640,480,0, 1444,640,480:waittimer 15418 blt 0,0,640,480,0, 1446,640,480:waittimer 15439 blt 0,0,640,480,0, 1448,640,480:waittimer 15461 blt 0,0,640,480,0, 1450,640,480:waittimer 15482 blt 0,0,640,480,0, 1452,640,480:waittimer 15503 blt 0,0,640,480,0, 1454,640,480:waittimer 15525 blt 0,0,640,480,0, 1456,640,480:waittimer 15546 blt 0,0,640,480,0, 1458,640,480:waittimer 15567 blt 0,0,640,480,0, 1460,640,480:waittimer 15589 blt 0,0,640,480,0, 1462,640,480:waittimer 15610 blt 0,0,640,480,0, 1464,640,480:waittimer 15632 blt 0,0,640,480,0, 1466,640,480:waittimer 15653 blt 0,0,640,480,0, 1468,640,480:waittimer 15674 blt 0,0,640,480,0, 1470,640,480:waittimer 15696 blt 0,0,640,480,0, 1472,640,480:waittimer 15717 blt 0,0,640,480,0, 1474,640,480:waittimer 15738 blt 0,0,640,480,0, 1476,640,480:waittimer 15760 blt 0,0,640,480,0, 1478,640,480:waittimer 15781 blt 0,0,640,480,0, 1480,640,480:waittimer 15802 blt 0,0,640,480,0, 1482,640,480:waittimer 15824 blt 0,0,640,480,0, 1484,640,480:waittimer 15845 blt 0,0,640,480,0, 1486,640,480:waittimer 15866 blt 0,0,640,480,0, 1488,640,480:waittimer 15888 blt 0,0,640,480,0, 1490,640,480:waittimer 15909 blt 0,0,640,480,0, 1492,640,480:waittimer 15931 blt 0,0,640,480,0, 1494,640,480:waittimer 15952 blt 0,0,640,480,0, 1496,640,480:waittimer 15973 blt 0,0,640,480,0, 1498,640,480:waittimer 15995 blt 0,0,640,480,0, 1500,640,480:waittimer 16016 blt 0,0,640,480,0, 1502,640,480:waittimer 16037 blt 0,0,640,480,0, 1504,640,480:waittimer 16059 blt 0,0,640,480,0, 1506,640,480:waittimer 16080 blt 0,0,640,480,0, 1508,640,480:waittimer 16101 blt 0,0,640,480,0, 1510,640,480:waittimer 16123 blt 0,0,640,480,0, 1512,640,480:waittimer 16144 blt 0,0,640,480,0, 1514,640,480:waittimer 16165 blt 0,0,640,480,0, 1516,640,480:waittimer 16187 blt 0,0,640,480,0, 1518,640,480:waittimer 16208 blt 0,0,640,480,0, 1520,640,480:waittimer 16229 blt 0,0,640,480,0, 1522,640,480:waittimer 16251 blt 0,0,640,480,0, 1524,640,480:waittimer 16272 blt 0,0,640,480,0, 1526,640,480:waittimer 16294 blt 0,0,640,480,0, 1528,640,480:waittimer 16315 blt 0,0,640,480,0, 1530,640,480:waittimer 16336 blt 0,0,640,480,0, 1532,640,480:waittimer 16358 blt 0,0,640,480,0, 1534,640,480:waittimer 16379 blt 0,0,640,480,0, 1536,640,480:waittimer 16400 blt 0,0,640,480,0, 1538,640,480:waittimer 16422 blt 0,0,640,480,0, 1540,640,480:waittimer 16443 blt 0,0,640,480,0, 1542,640,480:waittimer 16464 blt 0,0,640,480,0, 1544,640,480:waittimer 16486 blt 0,0,640,480,0, 1546,640,480:waittimer 16507 blt 0,0,640,480,0, 1548,640,480:waittimer 16528 blt 0,0,640,480,0, 1550,640,480:waittimer 16550 blt 0,0,640,480,0, 1552,640,480:waittimer 16571 blt 0,0,640,480,0, 1554,640,480:waittimer 16593 blt 0,0,640,480,0, 1556,640,480:waittimer 16614 blt 0,0,640,480,0, 1558,640,480:waittimer 16635 blt 0,0,640,480,0, 1560,640,480:waittimer 16657 blt 0,0,640,480,0, 1562,640,480:waittimer 16678 blt 0,0,640,480,0, 1564,640,480:waittimer 16699 blt 0,0,640,480,0, 1566,640,480:waittimer 16721 blt 0,0,640,480,0, 1568,640,480:waittimer 16742 blt 0,0,640,480,0, 1570,640,480:waittimer 16763 blt 0,0,640,480,0, 1572,640,480:waittimer 16785 blt 0,0,640,480,0, 1574,640,480:waittimer 16806 blt 0,0,640,480,0, 1576,640,480:waittimer 16827 blt 0,0,640,480,0, 1578,640,480:waittimer 16849 blt 0,0,640,480,0, 1580,640,480:waittimer 16870 blt 0,0,640,480,0, 1582,640,480:waittimer 16891 blt 0,0,640,480,0, 1584,640,480:waittimer 16913 blt 0,0,640,480,0, 1586,640,480:waittimer 16934 blt 0,0,640,480,0, 1588,640,480:waittimer 16956 blt 0,0,640,480,0, 1590,640,480:waittimer 16977 blt 0,0,640,480,0, 1592,640,480:waittimer 16998 blt 0,0,640,480,0, 1594,640,480:waittimer 17020 blt 0,0,640,480,0, 1596,640,480:waittimer 17041 blt 0,0,640,480,0, 1598,640,480:waittimer 17062 blt 0,0,640,480,0, 1600,640,480:waittimer 17084 blt 0,0,640,480,0, 1602,640,480:waittimer 17105 blt 0,0,640,480,0, 1604,640,480:waittimer 17126 blt 0,0,640,480,0, 1606,640,480:waittimer 17148 blt 0,0,640,480,0, 1608,640,480:waittimer 17169 blt 0,0,640,480,0, 1610,640,480:waittimer 17190 blt 0,0,640,480,0, 1612,640,480:waittimer 17212 blt 0,0,640,480,0, 1614,640,480:waittimer 17233 blt 0,0,640,480,0, 1616,640,480:waittimer 17255 blt 0,0,640,480,0, 1618,640,480:waittimer 17276 blt 0,0,640,480,0, 1620,640,480:waittimer 17297 blt 0,0,640,480,0, 1622,640,480:waittimer 17319 blt 0,0,640,480,0, 1624,640,480:waittimer 17340 blt 0,0,640,480,0, 1626,640,480:waittimer 17361 blt 0,0,640,480,0, 1628,640,480:waittimer 17383 blt 0,0,640,480,0, 1630,640,480:waittimer 17404 blt 0,0,640,480,0, 1632,640,480:waittimer 17425 blt 0,0,640,480,0, 1634,640,480:waittimer 17447 blt 0,0,640,480,0, 1636,640,480:waittimer 17468 blt 0,0,640,480,0, 1638,640,480:waittimer 17489 blt 0,0,640,480,0, 1640,640,480:waittimer 17511 blt 0,0,640,480,0, 1642,640,480:waittimer 17532 blt 0,0,640,480,0, 1644,640,480:waittimer 17554 blt 0,0,640,480,0, 1646,640,480:waittimer 17575 blt 0,0,640,480,0, 1648,640,480:waittimer 17596 blt 0,0,640,480,0, 1650,640,480:waittimer 17618 blt 0,0,640,480,0, 1652,640,480:waittimer 17639 blt 0,0,640,480,0, 1654,640,480:waittimer 17660 blt 0,0,640,480,0, 1656,640,480:waittimer 17682 blt 0,0,640,480,0, 1658,640,480:waittimer 17703 blt 0,0,640,480,0, 1660,640,480:waittimer 17724 blt 0,0,640,480,0, 1662,640,480:waittimer 17746 blt 0,0,640,480,0, 1664,640,480:waittimer 17767 blt 0,0,640,480,0, 1666,640,480:waittimer 17788 blt 0,0,640,480,0, 1668,640,480:waittimer 17810 blt 0,0,640,480,0, 1670,640,480:waittimer 17831 blt 0,0,640,480,0, 1672,640,480:waittimer 17852 blt 0,0,640,480,0, 1674,640,480:waittimer 17874 blt 0,0,640,480,0, 1676,640,480:waittimer 17895 blt 0,0,640,480,0, 1678,640,480:waittimer 17917 blt 0,0,640,480,0, 1680,640,480:waittimer 17938 blt 0,0,640,480,0, 1682,640,480:waittimer 17959 blt 0,0,640,480,0, 1684,640,480:waittimer 17981 blt 0,0,640,480,0, 1686,640,480:waittimer 18002 blt 0,0,640,480,0, 1688,640,480:waittimer 18023 blt 0,0,640,480,0, 1690,640,480:waittimer 18045 blt 0,0,640,480,0, 1692,640,480:waittimer 18066 blt 0,0,640,480,0, 1694,640,480:waittimer 18087 blt 0,0,640,480,0, 1696,640,480:waittimer 18109 blt 0,0,640,480,0, 1698,640,480:waittimer 18130 blt 0,0,640,480,0, 1700,640,480:waittimer 18151 blt 0,0,640,480,0, 1702,640,480:waittimer 18173 blt 0,0,640,480,0, 1704,640,480:waittimer 18194 blt 0,0,640,480,0, 1706,640,480:waittimer 18216 blt 0,0,640,480,0, 1708,640,480:waittimer 18237 blt 0,0,640,480,0, 1710,640,480:waittimer 18258 blt 0,0,640,480,0, 1712,640,480:waittimer 18280 blt 0,0,640,480,0, 1714,640,480:waittimer 18301 blt 0,0,640,480,0, 1716,640,480:waittimer 18322 blt 0,0,640,480,0, 1718,640,480:waittimer 18344 blt 0,0,640,480,0, 1720,640,480:waittimer 18365 blt 0,0,640,480,0, 1722,640,480:waittimer 18386 blt 0,0,640,480,0, 1724,640,480:waittimer 18408 blt 0,0,640,480,0, 1726,640,480:waittimer 18429 blt 0,0,640,480,0, 1728,640,480:waittimer 18450 blt 0,0,640,480,0, 1730,640,480:waittimer 18472 blt 0,0,640,480,0, 1732,640,480:waittimer 18493 blt 0,0,640,480,0, 1734,640,480:waittimer 18514 blt 0,0,640,480,0, 1736,640,480:waittimer 18536 blt 0,0,640,480,0, 1738,640,480:waittimer 18557 blt 0,0,640,480,0, 1740,640,480:waittimer 18579 blt 0,0,640,480,0, 1742,640,480:waittimer 18600 blt 0,0,640,480,0, 1744,640,480:waittimer 18621 blt 0,0,640,480,0, 1746,640,480:waittimer 18643 blt 0,0,640,480,0, 1748,640,480:waittimer 18664 blt 0,0,640,480,0, 1750,640,480:waittimer 18685 blt 0,0,640,480,0, 1752,640,480:waittimer 18707 blt 0,0,640,480,0, 1754,640,480:waittimer 18728 blt 0,0,640,480,0, 1756,640,480:waittimer 18749 blt 0,0,640,480,0, 1758,640,480:waittimer 18771 blt 0,0,640,480,0, 1760,640,480:waittimer 18792 blt 0,0,640,480,0, 1762,640,480:waittimer 18813 blt 0,0,640,480,0, 1764,640,480:waittimer 18835 blt 0,0,640,480,0, 1766,640,480:waittimer 18856 blt 0,0,640,480,0, 1768,640,480:waittimer 18878 blt 0,0,640,480,0, 1770,640,480:waittimer 18899 blt 0,0,640,480,0, 1772,640,480:waittimer 18920 blt 0,0,640,480,0, 1774,640,480:waittimer 18942 blt 0,0,640,480,0, 1776,640,480:waittimer 18963 blt 0,0,640,480,0, 1778,640,480:waittimer 18984 blt 0,0,640,480,0, 1780,640,480:waittimer 19006 blt 0,0,640,480,0, 1782,640,480:waittimer 19027 blt 0,0,640,480,0, 1784,640,480:waittimer 19048 blt 0,0,640,480,0, 1786,640,480:waittimer 19070 blt 0,0,640,480,0, 1788,640,480:waittimer 19091 blt 0,0,640,480,0, 1790,640,480:waittimer 19112 blt 0,0,640,480,0, 1792,640,480:waittimer 19134 blt 0,0,640,480,0, 1794,640,480:waittimer 19155 blt 0,0,640,480,0, 1796,640,480:waittimer 19177 blt 0,0,640,480,0, 1798,640,480:waittimer 19198 blt 0,0,640,480,0, 1800,640,480:waittimer 19219 blt 0,0,640,480,0, 1802,640,480:waittimer 19241 blt 0,0,640,480,0, 1804,640,480:waittimer 19262 blt 0,0,640,480,0, 1806,640,480:waittimer 19283 blt 0,0,640,480,0, 1808,640,480:waittimer 19305 blt 0,0,640,480,0, 1810,640,480:waittimer 19326 blt 0,0,640,480,0, 1812,640,480:waittimer 19347 blt 0,0,640,480,0, 1814,640,480:waittimer 19369 blt 0,0,640,480,0, 1816,640,480:waittimer 19390 blt 0,0,640,480,0, 1818,640,480:waittimer 19411 blt 0,0,640,480,0, 1820,640,480:waittimer 19433 blt 0,0,640,480,0, 1822,640,480:waittimer 19454 blt 0,0,640,480,0, 1824,640,480:waittimer 19475 blt 0,0,640,480,0, 1826,640,480:waittimer 19497 blt 0,0,640,480,0, 1828,640,480:waittimer 19518 blt 0,0,640,480,0, 1830,640,480:waittimer 19540 blt 0,0,640,480,0, 1832,640,480:waittimer 19561 blt 0,0,640,480,0, 1834,640,480:waittimer 19582 blt 0,0,640,480,0, 1836,640,480:waittimer 19604 blt 0,0,640,480,0, 1838,640,480:waittimer 19625 blt 0,0,640,480,0, 1840,640,480:waittimer 19646 blt 0,0,640,480,0, 1842,640,480:waittimer 19668 blt 0,0,640,480,0, 1844,640,480:waittimer 19689 blt 0,0,640,480,0, 1846,640,480:waittimer 19710 blt 0,0,640,480,0, 1848,640,480:waittimer 19732 blt 0,0,640,480,0, 1850,640,480:waittimer 19753 blt 0,0,640,480,0, 1852,640,480:waittimer 19774 blt 0,0,640,480,0, 1854,640,480:waittimer 19796 blt 0,0,640,480,0, 1856,640,480:waittimer 19817 blt 0,0,640,480,0, 1858,640,480:waittimer 19839 blt 0,0,640,480,0, 1860,640,480:waittimer 19860 blt 0,0,640,480,0, 1862,640,480:waittimer 19881 blt 0,0,640,480,0, 1864,640,480:waittimer 19903 blt 0,0,640,480,0, 1866,640,480:waittimer 19924 blt 0,0,640,480,0, 1868,640,480:waittimer 19945 blt 0,0,640,480,0, 1870,640,480:waittimer 19967 blt 0,0,640,480,0, 1872,640,480:waittimer 19988 blt 0,0,640,480,0, 1874,640,480:waittimer 20009 blt 0,0,640,480,0, 1876,640,480:waittimer 20031 blt 0,0,640,480,0, 1878,640,480:waittimer 20052 blt 0,0,640,480,0, 1880,640,480:waittimer 20073 blt 0,0,640,480,0, 1882,640,480:waittimer 20095 blt 0,0,640,480,0, 1884,640,480:waittimer 20116 blt 0,0,640,480,0, 1886,640,480:waittimer 20137 blt 0,0,640,480,0, 1888,640,480:waittimer 20159 blt 0,0,640,480,0, 1890,640,480:waittimer 20180 blt 0,0,640,480,0, 1892,640,480:waittimer 20202 blt 0,0,640,480,0, 1894,640,480:waittimer 20223 blt 0,0,640,480,0, 1896,640,480:waittimer 20244 blt 0,0,640,480,0, 1898,640,480:waittimer 20266 blt 0,0,640,480,0, 1900,640,480:waittimer 20287 blt 0,0,640,480,0, 1902,640,480:waittimer 20308 blt 0,0,640,480,0, 1904,640,480:waittimer 20330 blt 0,0,640,480,0, 1906,640,480:waittimer 20351 blt 0,0,640,480,0, 1908,640,480:waittimer 20372 blt 0,0,640,480,0, 1910,640,480:waittimer 20394 blt 0,0,640,480,0, 1912,640,480:waittimer 20415 blt 0,0,640,480,0, 1914,640,480:waittimer 20436 blt 0,0,640,480,0, 1916,640,480:waittimer 20458 blt 0,0,640,480,0, 1918,640,480:waittimer 20479 blt 0,0,640,480,0, 1920,640,480:waittimer 20501 blt 0,0,640,480,0, 1922,640,480:waittimer 20522 blt 0,0,640,480,0, 1924,640,480:waittimer 20543 blt 0,0,640,480,0, 1926,640,480:waittimer 20565 blt 0,0,640,480,0, 1928,640,480:waittimer 20586 blt 0,0,640,480,0, 1930,640,480:waittimer 20607 blt 0,0,640,480,0, 1932,640,480:waittimer 20629 blt 0,0,640,480,0, 1934,640,480:waittimer 20650 blt 0,0,640,480,0, 1936,640,480:waittimer 20671 blt 0,0,640,480,0, 1938,640,480:waittimer 20693 blt 0,0,640,480,0, 1940,640,480:waittimer 20714 blt 0,0,640,480,0, 1942,640,480:waittimer 20735 blt 0,0,640,480,0, 1944,640,480:waittimer 20757 blt 0,0,640,480,0, 1946,640,480:waittimer 20778 blt 0,0,640,480,0, 1948,640,480:waittimer 20799 blt 0,0,640,480,0, 1950,640,480:waittimer 20821 blt 0,0,640,480,0, 1952,640,480:waittimer 20842 blt 0,0,640,480,0, 1954,640,480:waittimer 20864 blt 0,0,640,480,0, 1956,640,480:waittimer 20885 blt 0,0,640,480,0, 1958,640,480:waittimer 20906 blt 0,0,640,480,0, 1960,640,480:waittimer 20928 blt 0,0,640,480,0, 1962,640,480:waittimer 20949 blt 0,0,640,480,0, 1964,640,480:waittimer 20970 blt 0,0,640,480,0, 1966,640,480:waittimer 20992 blt 0,0,640,480,0, 1968,640,480:waittimer 21013 blt 0,0,640,480,0, 1970,640,480:waittimer 21034 blt 0,0,640,480,0, 1972,640,480:waittimer 21056 blt 0,0,640,480,0, 1974,640,480:waittimer 21077 blt 0,0,640,480,0, 1976,640,480:waittimer 21098 blt 0,0,640,480,0, 1978,640,480:waittimer 21120 blt 0,0,640,480,0, 1980,640,480:waittimer 21141 blt 0,0,640,480,0, 1982,640,480:waittimer 21163 blt 0,0,640,480,0, 1984,640,480:waittimer 21184 blt 0,0,640,480,0, 1986,640,480:waittimer 21205 blt 0,0,640,480,0, 1988,640,480:waittimer 21227 blt 0,0,640,480,0, 1990,640,480:waittimer 21248 blt 0,0,640,480,0, 1992,640,480:waittimer 21269 blt 0,0,640,480,0, 1994,640,480:waittimer 21291 blt 0,0,640,480,0, 1996,640,480:waittimer 21312 blt 0,0,640,480,0, 1998,640,480:waittimer 21333 blt 0,0,640,480,0, 2000,640,480:waittimer 21355 blt 0,0,640,480,0, 2002,640,480:waittimer 21376 blt 0,0,640,480,0, 2004,640,480:waittimer 21397 blt 0,0,640,480,0, 2006,640,480:waittimer 21419 blt 0,0,640,480,0, 2008,640,480:waittimer 21440 blt 0,0,640,480,0, 2010,640,480:waittimer 21462 blt 0,0,640,480,0, 2012,640,480:waittimer 21483 blt 0,0,640,480,0, 2014,640,480:waittimer 21504 blt 0,0,640,480,0, 2016,640,480:waittimer 21526 blt 0,0,640,480,0, 2018,640,480:waittimer 21547 blt 0,0,640,480,0, 2020,640,480:waittimer 21568 blt 0,0,640,480,0, 2022,640,480:waittimer 21590 blt 0,0,640,480,0, 2024,640,480:waittimer 21611 blt 0,0,640,480,0, 2026,640,480:waittimer 21632 blt 0,0,640,480,0, 2028,640,480:waittimer 21654 blt 0,0,640,480,0, 2030,640,480:waittimer 21675 blt 0,0,640,480,0, 2032,640,480:waittimer 21696 blt 0,0,640,480,0, 2034,640,480:waittimer 21718 blt 0,0,640,480,0, 2036,640,480:waittimer 21739 blt 0,0,640,480,0, 2038,640,480:waittimer 21760 blt 0,0,640,480,0, 2040,640,480:waittimer 21782 blt 0,0,640,480,0, 2042,640,480:waittimer 21803 blt 0,0,640,480,0, 2044,640,480:waittimer 21825 blt 0,0,640,480,0, 2046,640,480:waittimer 21846 blt 0,0,640,480,0, 2048,640,480:waittimer 21867 blt 0,0,640,480,0, 2050,640,480:waittimer 21889 blt 0,0,640,480,0, 2052,640,480:waittimer 21910 blt 0,0,640,480,0, 2054,640,480:waittimer 21931 blt 0,0,640,480,0, 2056,640,480:waittimer 21953 blt 0,0,640,480,0, 2058,640,480:waittimer 21974 blt 0,0,640,480,0, 2060,640,480:waittimer 21995 blt 0,0,640,480,0, 2062,640,480:waittimer 22017 blt 0,0,640,480,0, 2064,640,480:waittimer 22038 blt 0,0,640,480,0, 2066,640,480:waittimer 22059 blt 0,0,640,480,0, 2068,640,480:waittimer 22081 blt 0,0,640,480,0, 2070,640,480:waittimer 22102 blt 0,0,640,480,0, 2072,640,480:waittimer 22124 blt 0,0,640,480,0, 2074,640,480:waittimer 22145 blt 0,0,640,480,0, 2076,640,480:waittimer 22166 blt 0,0,640,480,0, 2078,640,480:waittimer 22188 blt 0,0,640,480,0, 2080,640,480:waittimer 22209 blt 0,0,640,480,0, 2082,640,480:waittimer 22230 blt 0,0,640,480,0, 2084,640,480:waittimer 22252 blt 0,0,640,480,0, 2086,640,480:waittimer 22273 blt 0,0,640,480,0, 2088,640,480:waittimer 22294 blt 0,0,640,480,0, 2090,640,480:waittimer 22316 blt 0,0,640,480,0, 2092,640,480:waittimer 22337 blt 0,0,640,480,0, 2094,640,480:waittimer 22358 blt 0,0,640,480,0, 2096,640,480:waittimer 22380 blt 0,0,640,480,0, 2098,640,480:waittimer 22401 blt 0,0,640,480,0, 2100,640,480:waittimer 22422 blt 0,0,640,480,0, 2102,640,480:waittimer 22444 blt 0,0,640,480,0, 2104,640,480:waittimer 22465 blt 0,0,640,480,0, 2106,640,480:waittimer 22487 blt 0,0,640,480,0, 2108,640,480:waittimer 22508 blt 0,0,640,480,0, 2110,640,480:waittimer 22529 blt 0,0,640,480,0, 2112,640,480:waittimer 22551 blt 0,0,640,480,0, 2114,640,480:waittimer 22572 blt 0,0,640,480,0, 2116,640,480:waittimer 22593 blt 0,0,640,480,0, 2118,640,480:waittimer 22615 blt 0,0,640,480,0, 2120,640,480:waittimer 22636 blt 0,0,640,480,0, 2122,640,480:waittimer 22657 blt 0,0,640,480,0, 2124,640,480:waittimer 22679 blt 0,0,640,480,0, 2126,640,480:waittimer 22700 blt 0,0,640,480,0, 2128,640,480:waittimer 22721 blt 0,0,640,480,0, 2130,640,480:waittimer 22743 blt 0,0,640,480,0, 2132,640,480:waittimer 22764 blt 0,0,640,480,0, 2134,640,480:waittimer 22786 blt 0,0,640,480,0, 2136,640,480:waittimer 22807 blt 0,0,640,480,0, 2138,640,480:waittimer 22828 blt 0,0,640,480,0, 2140,640,480:waittimer 22850 blt 0,0,640,480,0, 2142,640,480:waittimer 22871 blt 0,0,640,480,0, 2144,640,480:waittimer 22892 blt 0,0,640,480,0, 2146,640,480:waittimer 22914 blt 0,0,640,480,0, 2148,640,480:waittimer 22935 blt 0,0,640,480,0, 2150,640,480:waittimer 22956 blt 0,0,640,480,0, 2152,640,480:waittimer 22978 blt 0,0,640,480,0, 2154,640,480:waittimer 22999 blt 0,0,640,480,0, 2156,640,480:waittimer 23020 blt 0,0,640,480,0, 2158,640,480:waittimer 23042 blt 0,0,640,480,0, 2160,640,480:waittimer 23063 blt 0,0,640,480,0, 2162,640,480:waittimer 23085 blt 0,0,640,480,0, 2164,640,480:waittimer 23106 blt 0,0,640,480,0, 2166,640,480:waittimer 23127 blt 0,0,640,480,0, 2168,640,480:waittimer 23149 blt 0,0,640,480,0, 2170,640,480:waittimer 23170 blt 0,0,640,480,0, 2172,640,480:waittimer 23191 blt 0,0,640,480,0, 2174,640,480:waittimer 23213 blt 0,0,640,480,0, 2176,640,480:waittimer 23234 blt 0,0,640,480,0, 2178,640,480:waittimer 23255 blt 0,0,640,480,0, 2180,640,480:waittimer 23277 blt 0,0,640,480,0, 2182,640,480:waittimer 23298 blt 0,0,640,480,0, 2184,640,480:waittimer 23319 blt 0,0,640,480,0, 2186,640,480:waittimer 23341 blt 0,0,640,480,0, 2188,640,480:waittimer 23362 blt 0,0,640,480,0, 2190,640,480:waittimer 23383 blt 0,0,640,480,0, 2192,640,480:waittimer 23405 blt 0,0,640,480,0, 2194,640,480:waittimer 23426 blt 0,0,640,480,0, 2196,640,480:waittimer 23448 blt 0,0,640,480,0, 2198,640,480:waittimer 23469 blt 0,0,640,480,0, 2200,640,480:waittimer 23490 blt 0,0,640,480,0, 2202,640,480:waittimer 23512 blt 0,0,640,480,0, 2204,640,480:waittimer 23533 blt 0,0,640,480,0, 2206,640,480:waittimer 23554 blt 0,0,640,480,0, 2208,640,480:waittimer 23576 blt 0,0,640,480,0, 2210,640,480:waittimer 23597 blt 0,0,640,480,0, 2212,640,480:waittimer 23618 blt 0,0,640,480,0, 2214,640,480:waittimer 23640 blt 0,0,640,480,0, 2216,640,480:waittimer 23661 blt 0,0,640,480,0, 2218,640,480:waittimer 23682 blt 0,0,640,480,0, 2220,640,480:waittimer 23704 blt 0,0,640,480,0, 2222,640,480:waittimer 23725 blt 0,0,640,480,0, 2224,640,480:waittimer 23747 blt 0,0,640,480,0, 2226,640,480:waittimer 23768 blt 0,0,640,480,0, 2228,640,480:waittimer 23789 blt 0,0,640,480,0, 2230,640,480:waittimer 23811 blt 0,0,640,480,0, 2232,640,480:waittimer 23832 blt 0,0,640,480,0, 2234,640,480:waittimer 23853 blt 0,0,640,480,0, 2236,640,480:waittimer 23875 blt 0,0,640,480,0, 2238,640,480:waittimer 23896 blt 0,0,640,480,0, 2240,640,480:waittimer 23917 blt 0,0,640,480,0, 2242,640,480:waittimer 23939 blt 0,0,640,480,0, 2244,640,480:waittimer 23960 blt 0,0,640,480,0, 2246,640,480:waittimer 23981 blt 0,0,640,480,0, 2248,640,480:waittimer 24003 blt 0,0,640,480,0, 2250,640,480:waittimer 24024 blt 0,0,640,480,0, 2252,640,480:waittimer 24045 blt 0,0,640,480,0, 2254,640,480:waittimer 24067 blt 0,0,640,480,0, 2256,640,480:waittimer 24088 blt 0,0,640,480,0, 2258,640,480:waittimer 24110 blt 0,0,640,480,0, 2260,640,480:waittimer 24131 blt 0,0,640,480,0, 2262,640,480:waittimer 24152 blt 0,0,640,480,0, 2264,640,480:waittimer 24174 blt 0,0,640,480,0, 2266,640,480:waittimer 24195 blt 0,0,640,480,0, 2268,640,480:waittimer 24216 blt 0,0,640,480,0, 2270,640,480:waittimer 24238 blt 0,0,640,480,0, 2272,640,480:waittimer 24259 blt 0,0,640,480,0, 2274,640,480:waittimer 24280 blt 0,0,640,480,0, 2276,640,480:waittimer 24302 blt 0,0,640,480,0, 2278,640,480:waittimer 24323 blt 0,0,640,480,0, 2280,640,480:waittimer 24344 blt 0,0,640,480,0, 2282,640,480:waittimer 24366 blt 0,0,640,480,0, 2284,640,480:waittimer 24387 blt 0,0,640,480,0, 2286,640,480:waittimer 24409 blt 0,0,640,480,0, 2288,640,480:waittimer 24430 blt 0,0,640,480,0, 2290,640,480:waittimer 24451 blt 0,0,640,480,0, 2292,640,480:waittimer 24473 blt 0,0,640,480,0, 2294,640,480:waittimer 24494 blt 0,0,640,480,0, 2296,640,480:waittimer 24515 blt 0,0,640,480,0, 2298,640,480:waittimer 24537 blt 0,0,640,480,0, 2300,640,480:waittimer 24558 blt 0,0,640,480,0, 2302,640,480:waittimer 24579 blt 0,0,640,480,0, 2304,640,480:waittimer 24601 blt 0,0,640,480,0, 2306,640,480:waittimer 24622 blt 0,0,640,480,0, 2308,640,480:waittimer 24643 blt 0,0,640,480,0, 2310,640,480:waittimer 24665 blt 0,0,640,480,0, 2312,640,480:waittimer 24686 blt 0,0,640,480,0, 2314,640,480:waittimer 24708 blt 0,0,640,480,0, 2316,640,480:waittimer 24729 blt 0,0,640,480,0, 2318,640,480:waittimer 24750 blt 0,0,640,480,0, 2320,640,480:waittimer 24772 blt 0,0,640,480,0, 2322,640,480:waittimer 24793 blt 0,0,640,480,0, 2324,640,480:waittimer 24814 blt 0,0,640,480,0, 2326,640,480:waittimer 24836 blt 0,0,640,480,0, 2328,640,480:waittimer 24857 blt 0,0,640,480,0, 2330,640,480:waittimer 24878 blt 0,0,640,480,0, 2332,640,480:waittimer 24900 blt 0,0,640,480,0, 2334,640,480:waittimer 24921 blt 0,0,640,480,0, 2336,640,480:waittimer 24942 blt 0,0,640,480,0, 2338,640,480:waittimer 24964 blt 0,0,640,480,0, 2340,640,480:waittimer 24985 blt 0,0,640,480,0, 2342,640,480:waittimer 25006 blt 0,0,640,480,0, 2344,640,480:waittimer 25028 blt 0,0,640,480,0, 2346,640,480:waittimer 25049 blt 0,0,640,480,0, 2348,640,480:waittimer 25071 blt 0,0,640,480,0, 2350,640,480:waittimer 25092 blt 0,0,640,480,0, 2352,640,480:waittimer 25113 blt 0,0,640,480,0, 2354,640,480:waittimer 25135 blt 0,0,640,480,0, 2356,640,480:waittimer 25156 blt 0,0,640,480,0, 2358,640,480:waittimer 25177 blt 0,0,640,480,0, 2360,640,480:waittimer 25199 blt 0,0,640,480,0, 2362,640,480:waittimer 25220 blt 0,0,640,480,0, 2364,640,480:waittimer 25241 blt 0,0,640,480,0, 2366,640,480:waittimer 25263 blt 0,0,640,480,0, 2368,640,480:waittimer 25284 blt 0,0,640,480,0, 2370,640,480:waittimer 25305 blt 0,0,640,480,0, 2372,640,480:waittimer 25327 blt 0,0,640,480,0, 2374,640,480:waittimer 25348 blt 0,0,640,480,0, 2376,640,480:waittimer 25370 blt 0,0,640,480,0, 2378,640,480:waittimer 25391 blt 0,0,640,480,0, 2380,640,480:waittimer 25412 blt 0,0,640,480,0, 2382,640,480:waittimer 25434 blt 0,0,640,480,0, 2384,640,480:waittimer 25455 blt 0,0,640,480,0, 2386,640,480:waittimer 25476 blt 0,0,640,480,0, 2388,640,480:waittimer 25498 blt 0,0,640,480,0, 2390,640,480:waittimer 25519 blt 0,0,640,480,0, 2392,640,480:waittimer 25540 blt 0,0,640,480,0, 2394,640,480:waittimer 25562 blt 0,0,640,480,0, 2396,640,480:waittimer 25583 blt 0,0,640,480,0, 2398,640,480:waittimer 25604 blt 0,0,640,480,0, 2400,640,480:waittimer 25626 blt 0,0,640,480,0, 2402,640,480:waittimer 25647 blt 0,0,640,480,0, 2404,640,480:waittimer 25668 blt 0,0,640,480,0, 2406,640,480:waittimer 25690 blt 0,0,640,480,0, 2408,640,480:waittimer 25711 blt 0,0,640,480,0, 2410,640,480:waittimer 25733 blt 0,0,640,480,0, 2412,640,480:waittimer 25754 blt 0,0,640,480,0, 2414,640,480:waittimer 25775 blt 0,0,640,480,0, 2416,640,480:waittimer 25797 blt 0,0,640,480,0, 2418,640,480:waittimer 25818 blt 0,0,640,480,0, 2420,640,480:waittimer 25839 blt 0,0,640,480,0, 2422,640,480:waittimer 25861 blt 0,0,640,480,0, 2424,640,480:waittimer 25882 blt 0,0,640,480,0, 2426,640,480:waittimer 25903 blt 0,0,640,480,0, 2428,640,480:waittimer 25925 blt 0,0,640,480,0, 2430,640,480:waittimer 25946 blt 0,0,640,480,0, 2432,640,480:waittimer 25967 blt 0,0,640,480,0, 2434,640,480:waittimer 25989 blt 0,0,640,480,0, 2436,640,480:waittimer 26010 blt 0,0,640,480,0, 2438,640,480:waittimer 26032 blt 0,0,640,480,0, 2440,640,480:waittimer 26053 blt 0,0,640,480,0, 2442,640,480:waittimer 26074 blt 0,0,640,480,0, 2444,640,480:waittimer 26096 blt 0,0,640,480,0, 2446,640,480:waittimer 26117 blt 0,0,640,480,0, 2448,640,480:waittimer 26138 blt 0,0,640,480,0, 2450,640,480:waittimer 26160 blt 0,0,640,480,0, 2452,640,480:waittimer 26181 blt 0,0,640,480,0, 2454,640,480:waittimer 26202 blt 0,0,640,480,0, 2456,640,480:waittimer 26224 blt 0,0,640,480,0, 2458,640,480:waittimer 26245 blt 0,0,640,480,0, 2460,640,480:waittimer 26266 blt 0,0,640,480,0, 2462,640,480:waittimer 26288 blt 0,0,640,480,0, 2464,640,480:waittimer 26309 blt 0,0,640,480,0, 2466,640,480:waittimer 26331 blt 0,0,640,480,0, 2468,640,480:waittimer 26352 blt 0,0,640,480,0, 2470,640,480:waittimer 26373 blt 0,0,640,480,0, 2472,640,480:waittimer 26395 blt 0,0,640,480,0, 2474,640,480:waittimer 26416 blt 0,0,640,480,0, 2476,640,480:waittimer 26437 blt 0,0,640,480,0, 2478,640,480:waittimer 26459 blt 0,0,640,480,0, 2480,640,480:waittimer 26480 blt 0,0,640,480,0, 2482,640,480:waittimer 26501 blt 0,0,640,480,0, 2484,640,480:waittimer 26523 blt 0,0,640,480,0, 2486,640,480:waittimer 26544 blt 0,0,640,480,0, 2488,640,480:waittimer 26565 blt 0,0,640,480,0, 2490,640,480:waittimer 26587 blt 0,0,640,480,0, 2492,640,480:waittimer 26608 blt 0,0,640,480,0, 2494,640,480:waittimer 26629 blt 0,0,640,480,0, 2496,640,480:waittimer 26651 blt 0,0,640,480,0, 2498,640,480:waittimer 26672 blt 0,0,640,480,0, 2500,640,480:waittimer 26694 blt 0,0,640,480,0, 2502,640,480:waittimer 26715 blt 0,0,640,480,0, 2504,640,480:waittimer 26736 blt 0,0,640,480,0, 2506,640,480:waittimer 26758 blt 0,0,640,480,0, 2508,640,480:waittimer 26779 blt 0,0,640,480,0, 2510,640,480:waittimer 26800 blt 0,0,640,480,0, 2512,640,480:waittimer 26822 blt 0,0,640,480,0, 2514,640,480:waittimer 26843 blt 0,0,640,480,0, 2516,640,480:waittimer 26864 blt 0,0,640,480,0, 2518,640,480:waittimer 26886 blt 0,0,640,480,0, 2520,640,480:waittimer 26907 blt 0,0,640,480,0, 2522,640,480:waittimer 26928 blt 0,0,640,480,0, 2524,640,480:waittimer 26950 blt 0,0,640,480,0, 2526,640,480:waittimer 26971 blt 0,0,640,480,0, 2528,640,480:waittimer 26993 blt 0,0,640,480,0, 2530,640,480:waittimer 27014 blt 0,0,640,480,0, 2532,640,480:waittimer 27035 blt 0,0,640,480,0, 2534,640,480:waittimer 27057 blt 0,0,640,480,0, 2536,640,480:waittimer 27078 blt 0,0,640,480,0, 2538,640,480:waittimer 27099 blt 0,0,640,480,0, 2540,640,480:waittimer 27121 blt 0,0,640,480,0, 2542,640,480:waittimer 27142 blt 0,0,640,480,0, 2544,640,480:waittimer 27163 blt 0,0,640,480,0, 2546,640,480:waittimer 27185 blt 0,0,640,480,0, 2548,640,480:waittimer 27206 blt 0,0,640,480,0, 2550,640,480:waittimer 27227 blt 0,0,640,480,0, 2552,640,480:waittimer 27249 blt 0,0,640,480,0, 2554,640,480:waittimer 27270 blt 0,0,640,480,0, 2556,640,480:waittimer 27291 blt 0,0,640,480,0, 2558,640,480:waittimer 27313 blt 0,0,640,480,0, 2560,640,480:waittimer 27334 blt 0,0,640,480,0, 2562,640,480:waittimer 27356 blt 0,0,640,480,0, 2564,640,480:waittimer 27377 blt 0,0,640,480,0, 2566,640,480:waittimer 27398 blt 0,0,640,480,0, 2568,640,480:waittimer 27420 blt 0,0,640,480,0, 2570,640,480:waittimer 27441 blt 0,0,640,480,0, 2572,640,480:waittimer 27462 blt 0,0,640,480,0, 2574,640,480:waittimer 27484 blt 0,0,640,480,0, 2576,640,480:waittimer 27505 blt 0,0,640,480,0, 2578,640,480:waittimer 27526 blt 0,0,640,480,0, 2580,640,480:waittimer 27548 blt 0,0,640,480,0, 2582,640,480:waittimer 27569 blt 0,0,640,480,0, 2584,640,480:waittimer 27590 blt 0,0,640,480,0, 2586,640,480:waittimer 27612 blt 0,0,640,480,0, 2588,640,480:waittimer 27633 blt 0,0,640,480,0, 2590,640,480:waittimer 27655 blt 0,0,640,480,0, 2592,640,480:waittimer 27676 blt 0,0,640,480,0, 2594,640,480:waittimer 27697 blt 0,0,640,480,0, 2596,640,480:waittimer 27719 blt 0,0,640,480,0, 2598,640,480:waittimer 27740 blt 0,0,640,480,0, 2600,640,480:waittimer 27761 blt 0,0,640,480,0, 2602,640,480:waittimer 27783 blt 0,0,640,480,0, 2604,640,480:waittimer 27804 blt 0,0,640,480,0, 2606,640,480:waittimer 27825 blt 0,0,640,480,0, 2608,640,480:waittimer 27847 blt 0,0,640,480,0, 2610,640,480:waittimer 27868 blt 0,0,640,480,0, 2612,640,480:waittimer 27889 blt 0,0,640,480,0, 2614,640,480:waittimer 27911 blt 0,0,640,480,0, 2616,640,480:waittimer 27932 blt 0,0,640,480,0, 2618,640,480:waittimer 27954 blt 0,0,640,480,0, 2620,640,480:waittimer 27975 blt 0,0,640,480,0, 2622,640,480:waittimer 27996 blt 0,0,640,480,0, 2624,640,480:waittimer 28018 blt 0,0,640,480,0, 2626,640,480:waittimer 28039 blt 0,0,640,480,0, 2628,640,480:waittimer 28060 blt 0,0,640,480,0, 2630,640,480:waittimer 28082 blt 0,0,640,480,0, 2632,640,480:waittimer 28103 blt 0,0,640,480,0, 2634,640,480:waittimer 28124 blt 0,0,640,480,0, 2636,640,480:waittimer 28146 blt 0,0,640,480,0, 2638,640,480:waittimer 28167 blt 0,0,640,480,0, 2640,640,480:waittimer 28188 blt 0,0,640,480,0, 2642,640,480:waittimer 28210 blt 0,0,640,480,0, 2644,640,480:waittimer 28231 blt 0,0,640,480,0, 2646,640,480:waittimer 28252 blt 0,0,640,480,0, 2648,640,480:waittimer 28274 blt 0,0,640,480,0, 2650,640,480:waittimer 28295 blt 0,0,640,480,0, 2652,640,480:waittimer 28317 blt 0,0,640,480,0, 2654,640,480:waittimer 28338 blt 0,0,640,480,0, 2656,640,480:waittimer 28359 blt 0,0,640,480,0, 2658,640,480:waittimer 28381 blt 0,0,640,480,0, 2660,640,480:waittimer 28402 blt 0,0,640,480,0, 2662,640,480:waittimer 28423 blt 0,0,640,480,0, 2664,640,480:waittimer 28445 blt 0,0,640,480,0, 2666,640,480:waittimer 28466 blt 0,0,640,480,0, 2668,640,480:waittimer 28487 blt 0,0,640,480,0, 2670,640,480:waittimer 28509 blt 0,0,640,480,0, 2672,640,480:waittimer 28530 blt 0,0,640,480,0, 2674,640,480:waittimer 28551 blt 0,0,640,480,0, 2676,640,480:waittimer 28573 blt 0,0,640,480,0, 2678,640,480:waittimer 28594 blt 0,0,640,480,0, 2680,640,480:waittimer 28616 blt 0,0,640,480,0, 2682,640,480:waittimer 28637 blt 0,0,640,480,0, 2684,640,480:waittimer 28658 blt 0,0,640,480,0, 2686,640,480:waittimer 28680 blt 0,0,640,480,0, 2688,640,480:waittimer 28701 blt 0,0,640,480,0, 2690,640,480:waittimer 28722 blt 0,0,640,480,0, 2692,640,480:waittimer 28744 blt 0,0,640,480,0, 2694,640,480:waittimer 28765 blt 0,0,640,480,0, 2696,640,480:waittimer 28786 blt 0,0,640,480,0, 2698,640,480:waittimer 28808 blt 0,0,640,480,0, 2700,640,480:waittimer 28829 blt 0,0,640,480,0, 2702,640,480:waittimer 28850 blt 0,0,640,480,0, 2704,640,480:waittimer 28872 blt 0,0,640,480,0, 2706,640,480:waittimer 28893 blt 0,0,640,480,0, 2708,640,480:waittimer 28914 blt 0,0,640,480,0, 2710,640,480:waittimer 28936 blt 0,0,640,480,0, 2712,640,480:waittimer 28957 blt 0,0,640,480,0, 2714,640,480:waittimer 28979 blt 0,0,640,480,0, 2716,640,480:waittimer 29000 blt 0,0,640,480,0, 2718,640,480:waittimer 29021 blt 0,0,640,480,0, 2720,640,480:waittimer 29043 blt 0,0,640,480,0, 2722,640,480:waittimer 29064 blt 0,0,640,480,0, 2724,640,480:waittimer 29085 blt 0,0,640,480,0, 2726,640,480:waittimer 29107 blt 0,0,640,480,0, 2728,640,480:waittimer 29128 blt 0,0,640,480,0, 2730,640,480:waittimer 29149 blt 0,0,640,480,0, 2732,640,480:waittimer 29171 blt 0,0,640,480,0, 2734,640,480:waittimer 29192 blt 0,0,640,480,0, 2736,640,480:waittimer 29213 blt 0,0,640,480,0, 2738,640,480:waittimer 29235 blt 0,0,640,480,0, 2740,640,480:waittimer 29256 blt 0,0,640,480,0, 2742,640,480:waittimer 29278 blt 0,0,640,480,0, 2744,640,480:waittimer 29299 blt 0,0,640,480,0, 2746,640,480:waittimer 29320 blt 0,0,640,480,0, 2748,640,480:waittimer 29342 blt 0,0,640,480,0, 2750,640,480:waittimer 29363 blt 0,0,640,480,0, 2752,640,480:waittimer 29384 blt 0,0,640,480,0, 2754,640,480:waittimer 29406 blt 0,0,640,480,0, 2756,640,480:waittimer 29427 blt 0,0,640,480,0, 2758,640,480:waittimer 29448 blt 0,0,640,480,0, 2760,640,480:waittimer 29470 blt 0,0,640,480,0, 2762,640,480:waittimer 29491 blt 0,0,640,480,0, 2764,640,480:waittimer 29512 blt 0,0,640,480,0, 2766,640,480:waittimer 29534 blt 0,0,640,480,0, 2768,640,480:waittimer 29555 blt 0,0,640,480,0, 2770,640,480:waittimer 29577 blt 0,0,640,480,0, 2772,640,480:waittimer 29598 blt 0,0,640,480,0, 2774,640,480:waittimer 29619 blt 0,0,640,480,0, 2776,640,480:waittimer 29641 blt 0,0,640,480,0, 2778,640,480:waittimer 29662 blt 0,0,640,480,0, 2780,640,480:waittimer 29683 blt 0,0,640,480,0, 2782,640,480:waittimer 29705 blt 0,0,640,480,0, 2784,640,480:waittimer 29726 blt 0,0,640,480,0, 2786,640,480:waittimer 29747 blt 0,0,640,480,0, 2788,640,480:waittimer 29769 blt 0,0,640,480,0, 2790,640,480:waittimer 29790 blt 0,0,640,480,0, 2792,640,480:waittimer 29811 blt 0,0,640,480,0, 2794,640,480:waittimer 29833 blt 0,0,640,480,0, 2796,640,480:waittimer 29854 blt 0,0,640,480,0, 2798,640,480:waittimer 29875 blt 0,0,640,480,0, 2800,640,480:waittimer 29897 blt 0,0,640,480,0, 2802,640,480:waittimer 29918 blt 0,0,640,480,0, 2804,640,480:waittimer 29940 blt 0,0,640,480,0, 2806,640,480:waittimer 29961 blt 0,0,640,480,0, 2808,640,480:waittimer 29982 blt 0,0,640,480,0, 2810,640,480:waittimer 30004 blt 0,0,640,480,0, 2812,640,480:waittimer 30025 blt 0,0,640,480,0, 2814,640,480:waittimer 30046 blt 0,0,640,480,0, 2816,640,480:waittimer 30068 blt 0,0,640,480,0, 2818,640,480:waittimer 30089 blt 0,0,640,480,0, 2820,640,480:waittimer 30110 blt 0,0,640,480,0, 2822,640,480:waittimer 30132 blt 0,0,640,480,0, 2824,640,480:waittimer 30153 blt 0,0,640,480,0, 2826,640,480:waittimer 30174 blt 0,0,640,480,0, 2828,640,480:waittimer 30196 blt 0,0,640,480,0, 2830,640,480:waittimer 30217 blt 0,0,640,480,0, 2832,640,480:waittimer 30239 blt 0,0,640,480,0, 2834,640,480:waittimer 30260 blt 0,0,640,480,0, 2836,640,480:waittimer 30281 blt 0,0,640,480,0, 2838,640,480:waittimer 30303 blt 0,0,640,480,0, 2840,640,480:waittimer 30324 blt 0,0,640,480,0, 2842,640,480:waittimer 30345 blt 0,0,640,480,0, 2844,640,480:waittimer 30367 blt 0,0,640,480,0, 2846,640,480:waittimer 30388 blt 0,0,640,480,0, 2848,640,480:waittimer 30409 blt 0,0,640,480,0, 2850,640,480:waittimer 30431 blt 0,0,640,480,0, 2852,640,480:waittimer 30452 blt 0,0,640,480,0, 2854,640,480:waittimer 30473 blt 0,0,640,480,0, 2856,640,480:waittimer 30495 blt 0,0,640,480,0, 2858,640,480:waittimer 30516 blt 0,0,640,480,0, 2860,640,480:waittimer 30537 blt 0,0,640,480,0, 2862,640,480:waittimer 30559 blt 0,0,640,480,0, 2864,640,480:waittimer 30580 blt 0,0,640,480,0, 2866,640,480:waittimer 30602 blt 0,0,640,480,0, 2868,640,480:waittimer 30623 blt 0,0,640,480,0, 2870,640,480:waittimer 30644 blt 0,0,640,480,0, 2872,640,480:waittimer 30666 blt 0,0,640,480,0, 2874,640,480:waittimer 30687 blt 0,0,640,480,0, 2876,640,480:waittimer 30708 blt 0,0,640,480,0, 2878,640,480:waittimer 30730 blt 0,0,640,480,0, 2880,640,480:waittimer 30751 blt 0,0,640,480,0, 2882,640,480:waittimer 30772 blt 0,0,640,480,0, 2884,640,480:waittimer 30794 blt 0,0,640,480,0, 2886,640,480:waittimer 30815 blt 0,0,640,480,0, 2888,640,480:waittimer 30836 blt 0,0,640,480,0, 2890,640,480:waittimer 30858 blt 0,0,640,480,0, 2892,640,480:waittimer 30879 blt 0,0,640,480,0, 2894,640,480:waittimer 30901 blt 0,0,640,480,0, 2896,640,480:waittimer 30922 blt 0,0,640,480,0, 2898,640,480:waittimer 30943 blt 0,0,640,480,0, 2900,640,480:waittimer 30965 blt 0,0,640,480,0, 2902,640,480:waittimer 30986 blt 0,0,640,480,0, 2904,640,480:waittimer 31007 blt 0,0,640,480,0, 2906,640,480:waittimer 31029 blt 0,0,640,480,0, 2908,640,480:waittimer 31050 blt 0,0,640,480,0, 2910,640,480:waittimer 31071 blt 0,0,640,480,0, 2912,640,480:waittimer 31093 blt 0,0,640,480,0, 2914,640,480:waittimer 31114 blt 0,0,640,480,0, 2916,640,480:waittimer 31135 blt 0,0,640,480,0, 2918,640,480:waittimer 31157 blt 0,0,640,480,0, 2920,640,480:waittimer 31178 blt 0,0,640,480,0, 2922,640,480:waittimer 31199 blt 0,0,640,480,0, 2924,640,480:waittimer 31221 blt 0,0,640,480,0, 2926,640,480:waittimer 31242 blt 0,0,640,480,0, 2928,640,480:waittimer 31264 blt 0,0,640,480,0, 2930,640,480:waittimer 31285 blt 0,0,640,480,0, 2932,640,480:waittimer 31306 blt 0,0,640,480,0, 2934,640,480:waittimer 31328 blt 0,0,640,480,0, 2936,640,480:waittimer 31349 blt 0,0,640,480,0, 2938,640,480:waittimer 31370 blt 0,0,640,480,0, 2940,640,480:waittimer 31392 blt 0,0,640,480,0, 2942,640,480:waittimer 31413 blt 0,0,640,480,0, 2944,640,480:waittimer 31434 blt 0,0,640,480,0, 2946,640,480:waittimer 31456 blt 0,0,640,480,0, 2948,640,480:waittimer 31477 blt 0,0,640,480,0, 2950,640,480:waittimer 31498 blt 0,0,640,480,0, 2952,640,480:waittimer 31520 blt 0,0,640,480,0, 2954,640,480:waittimer 31541 blt 0,0,640,480,0, 2956,640,480:waittimer 31563 blt 0,0,640,480,0, 2958,640,480:waittimer 31584 blt 0,0,640,480,0, 2960,640,480:waittimer 31605 blt 0,0,640,480,0, 2962,640,480:waittimer 31627 blt 0,0,640,480,0, 2964,640,480:waittimer 31648 blt 0,0,640,480,0, 2966,640,480:waittimer 31669 blt 0,0,640,480,0, 2968,640,480:waittimer 31691 blt 0,0,640,480,0, 2970,640,480:waittimer 31712 blt 0,0,640,480,0, 2972,640,480:waittimer 31733 blt 0,0,640,480,0, 2974,640,480:waittimer 31755 blt 0,0,640,480,0, 2976,640,480:waittimer 31776 blt 0,0,640,480,0, 2978,640,480:waittimer 31797 blt 0,0,640,480,0, 2980,640,480:waittimer 31819 blt 0,0,640,480,0, 2982,640,480:waittimer 31840 blt 0,0,640,480,0, 2984,640,480:waittimer 31862 blt 0,0,640,480,0, 2986,640,480:waittimer 31883 blt 0,0,640,480,0, 2988,640,480:waittimer 31904 blt 0,0,640,480,0, 2990,640,480:waittimer 31926 blt 0,0,640,480,0, 2992,640,480:waittimer 31947 blt 0,0,640,480,0, 2994,640,480:waittimer 31968 blt 0,0,640,480,0, 2996,640,480:waittimer 31990 blt 0,0,640,480,0, 2998,640,480:waittimer 32011 blt 0,0,640,480,0, 3000,640,480:waittimer 32032 blt 0,0,640,480,0, 3002,640,480:waittimer 32054 blt 0,0,640,480,0, 3004,640,480:waittimer 32075 blt 0,0,640,480,0, 3006,640,480:waittimer 32096 blt 0,0,640,480,0, 3008,640,480:waittimer 32118 blt 0,0,640,480,0, 3010,640,480:waittimer 32139 blt 0,0,640,480,0, 3012,640,480:waittimer 32160 blt 0,0,640,480,0, 3014,640,480:waittimer 32182 blt 0,0,640,480,0, 3016,640,480:waittimer 32203 blt 0,0,640,480,0, 3018,640,480:waittimer 32225 blt 0,0,640,480,0, 3020,640,480:waittimer 32246 blt 0,0,640,480,0, 3022,640,480:waittimer 32267 blt 0,0,640,480,0, 3024,640,480:waittimer 32289 blt 0,0,640,480,0, 3026,640,480:waittimer 32310 blt 0,0,640,480,0, 3028,640,480:waittimer 32331 blt 0,0,640,480,0, 3030,640,480:waittimer 32353 blt 0,0,640,480,0, 3032,640,480:waittimer 32374 blt 0,0,640,480,0, 3034,640,480:waittimer 32395 blt 0,0,640,480,0, 3036,640,480:waittimer 32417 blt 0,0,640,480,0, 3038,640,480:waittimer 32438 blt 0,0,640,480,0, 3040,640,480:waittimer 32459 blt 0,0,640,480,0, 3042,640,480:waittimer 32481 blt 0,0,640,480,0, 3044,640,480:waittimer 32502 blt 0,0,640,480,0, 3046,640,480:waittimer 32524 blt 0,0,640,480,0, 3048,640,480:waittimer 32545 blt 0,0,640,480,0, 3050,640,480:waittimer 32566 blt 0,0,640,480,0, 3052,640,480:waittimer 32588 blt 0,0,640,480,0, 3054,640,480:waittimer 32609 blt 0,0,640,480,0, 3056,640,480:waittimer 32630 blt 0,0,640,480,0, 3058,640,480:waittimer 32652 blt 0,0,640,480,0, 3060,640,480:waittimer 32673 blt 0,0,640,480,0, 3062,640,480:waittimer 32694 blt 0,0,640,480,0, 3064,640,480:waittimer 32716 blt 0,0,640,480,0, 3066,640,480:waittimer 32737 blt 0,0,640,480,0, 3068,640,480:waittimer 32758 blt 0,0,640,480,0, 3070,640,480:waittimer 32780 blt 0,0,640,480,0, 3072,640,480:waittimer 32801 blt 0,0,640,480,0, 3074,640,480:waittimer 32822 blt 0,0,640,480,0, 3076,640,480:waittimer 32844 blt 0,0,640,480,0, 3078,640,480:waittimer 32865 blt 0,0,640,480,0, 3080,640,480:waittimer 32887 blt 0,0,640,480,0, 3082,640,480:waittimer 32908 blt 0,0,640,480,0, 3084,640,480:waittimer 32929 blt 0,0,640,480,0, 3086,640,480:waittimer 32951 blt 0,0,640,480,0, 3088,640,480:waittimer 32972 blt 0,0,640,480,0, 3090,640,480:waittimer 32993 blt 0,0,640,480,0, 3092,640,480:waittimer 33015 blt 0,0,640,480,0, 3094,640,480:waittimer 33036 blt 0,0,640,480,0, 3096,640,480:waittimer 33057 blt 0,0,640,480,0, 3098,640,480:waittimer 33079 blt 0,0,640,480,0, 3100,640,480:waittimer 33100 blt 0,0,640,480,0, 3102,640,480:waittimer 33121 blt 0,0,640,480,0, 3104,640,480:waittimer 33143 blt 0,0,640,480,0, 3106,640,480:waittimer 33164 blt 0,0,640,480,0, 3108,640,480:waittimer 33186 blt 0,0,640,480,0, 3110,640,480:waittimer 33207 blt 0,0,640,480,0, 3112,640,480:waittimer 33228 blt 0,0,640,480,0, 3114,640,480:waittimer 33250 blt 0,0,640,480,0, 3116,640,480:waittimer 33271 blt 0,0,640,480,0, 3118,640,480:waittimer 33292 blt 0,0,640,480,0, 3120,640,480:waittimer 33314 blt 0,0,640,480,0, 3122,640,480:waittimer 33335 blt 0,0,640,480,0, 3124,640,480:waittimer 33356 blt 0,0,640,480,0, 3126,640,480:waittimer 33378 blt 0,0,640,480,0, 3128,640,480:waittimer 33399 blt 0,0,640,480,0, 3130,640,480:waittimer 33420 blt 0,0,640,480,0, 3132,640,480:waittimer 33442 blt 0,0,640,480,0, 3134,640,480:waittimer 33463 blt 0,0,640,480,0, 3136,640,480:waittimer 33485 blt 0,0,640,480,0, 3138,640,480:waittimer 33506 blt 0,0,640,480,0, 3140,640,480:waittimer 33527 blt 0,0,640,480,0, 3142,640,480:waittimer 33549 blt 0,0,640,480,0, 3144,640,480:waittimer 33570 blt 0,0,640,480,0, 3146,640,480:waittimer 33591 blt 0,0,640,480,0, 3148,640,480:waittimer 33613 blt 0,0,640,480,0, 3150,640,480:waittimer 33634 blt 0,0,640,480,0, 3152,640,480:waittimer 33655 blt 0,0,640,480,0, 3154,640,480:waittimer 33677 blt 0,0,640,480,0, 3156,640,480:waittimer 33698 blt 0,0,640,480,0, 3158,640,480:waittimer 33719 blt 0,0,640,480,0, 3160,640,480:waittimer 33741 blt 0,0,640,480,0, 3162,640,480:waittimer 33762 blt 0,0,640,480,0, 3164,640,480:waittimer 33783 blt 0,0,640,480,0, 3166,640,480:waittimer 33805 blt 0,0,640,480,0, 3168,640,480:waittimer 33826 blt 0,0,640,480,0, 3170,640,480:waittimer 33848 blt 0,0,640,480,0, 3172,640,480:waittimer 33869 blt 0,0,640,480,0, 3174,640,480:waittimer 33890 blt 0,0,640,480,0, 3176,640,480:waittimer 33912 blt 0,0,640,480,0, 3178,640,480:waittimer 33933 blt 0,0,640,480,0, 3180,640,480:waittimer 33954 blt 0,0,640,480,0, 3182,640,480:waittimer 33976 blt 0,0,640,480,0, 3184,640,480:waittimer 33997 blt 0,0,640,480,0, 3186,640,480:waittimer 34018 blt 0,0,640,480,0, 3188,640,480:waittimer 34040 blt 0,0,640,480,0, 3190,640,480:waittimer 34061 blt 0,0,640,480,0, 3192,640,480:waittimer 34082 blt 0,0,640,480,0, 3194,640,480:waittimer 34104 blt 0,0,640,480,0, 3196,640,480:waittimer 34125 blt 0,0,640,480,0, 3198,640,480:waittimer 34147 blt 0,0,640,480,0, 3200,640,480:waittimer 34168 blt 0,0,640,480,0, 3202,640,480:waittimer 34189 blt 0,0,640,480,0, 3204,640,480:waittimer 34211 blt 0,0,640,480,0, 3206,640,480:waittimer 34232 blt 0,0,640,480,0, 3208,640,480:waittimer 34253 blt 0,0,640,480,0, 3210,640,480:waittimer 34275 blt 0,0,640,480,0, 3212,640,480:waittimer 34296 blt 0,0,640,480,0, 3214,640,480:waittimer 34317 blt 0,0,640,480,0, 3216,640,480:waittimer 34339 blt 0,0,640,480,0, 3218,640,480:waittimer 34360 blt 0,0,640,480,0, 3220,640,480:waittimer 34381 blt 0,0,640,480,0, 3222,640,480:waittimer 34403 blt 0,0,640,480,0, 3224,640,480:waittimer 34424 blt 0,0,640,480,0, 3226,640,480:waittimer 34445 blt 0,0,640,480,0, 3228,640,480:waittimer 34467 blt 0,0,640,480,0, 3230,640,480:waittimer 34488 blt 0,0,640,480,0, 3232,640,480:waittimer 34510 blt 0,0,640,480,0, 3234,640,480:waittimer 34531 blt 0,0,640,480,0, 3236,640,480:waittimer 34552 blt 0,0,640,480,0, 3238,640,480:waittimer 34574 blt 0,0,640,480,0, 3240,640,480:waittimer 34595 blt 0,0,640,480,0, 3242,640,480:waittimer 34616 blt 0,0,640,480,0, 3244,640,480:waittimer 34638 blt 0,0,640,480,0, 3246,640,480:waittimer 34659 blt 0,0,640,480,0, 3248,640,480:waittimer 34680 blt 0,0,640,480,0, 3250,640,480:waittimer 34702 blt 0,0,640,480,0, 3252,640,480:waittimer 34723 blt 0,0,640,480,0, 3254,640,480:waittimer 34744 blt 0,0,640,480,0, 3256,640,480:waittimer 34766 blt 0,0,640,480,0, 3258,640,480:waittimer 34787 blt 0,0,640,480,0, 3260,640,480:waittimer 34809 blt 0,0,640,480,0, 3262,640,480:waittimer 34830 blt 0,0,640,480,0, 3264,640,480:waittimer 34851 blt 0,0,640,480,0, 3266,640,480:waittimer 34873 blt 0,0,640,480,0, 3268,640,480:waittimer 34894 blt 0,0,640,480,0, 3270,640,480:waittimer 34915 blt 0,0,640,480,0, 3272,640,480:waittimer 34937 blt 0,0,640,480,0, 3274,640,480:waittimer 34958 blt 0,0,640,480,0, 3276,640,480:waittimer 34979 blt 0,0,640,480,0, 3278,640,480:waittimer 35001 blt 0,0,640,480,0, 3280,640,480:waittimer 35022 blt 0,0,640,480,0, 3282,640,480:waittimer 35043 blt 0,0,640,480,0, 3284,640,480:waittimer 35065 blt 0,0,640,480,0, 3286,640,480:waittimer 35086 blt 0,0,640,480,0, 3288,640,480:waittimer 35108 blt 0,0,640,480,0, 3290,640,480:waittimer 35129 blt 0,0,640,480,0, 3292,640,480:waittimer 35150 blt 0,0,640,480,0, 3294,640,480:waittimer 35172 blt 0,0,640,480,0, 3296,640,480:waittimer 35193 blt 0,0,640,480,0, 3298,640,480:waittimer 35214 blt 0,0,640,480,0, 3300,640,480:waittimer 35236 blt 0,0,640,480,0, 3302,640,480:waittimer 35257 blt 0,0,640,480,0, 3304,640,480:waittimer 35278 blt 0,0,640,480,0, 3306,640,480:waittimer 35300 blt 0,0,640,480,0, 3308,640,480:waittimer 35321 blt 0,0,640,480,0, 3310,640,480:waittimer 35342 blt 0,0,640,480,0, 3312,640,480:waittimer 35364 blt 0,0,640,480,0, 3314,640,480:waittimer 35385 blt 0,0,640,480,0, 3316,640,480:waittimer 35406 blt 0,0,640,480,0, 3318,640,480:waittimer 35428 blt 0,0,640,480,0, 3320,640,480:waittimer 35449 blt 0,0,640,480,0, 3322,640,480:waittimer 35471 blt 0,0,640,480,0, 3324,640,480:waittimer 35492 blt 0,0,640,480,0, 3326,640,480:waittimer 35513 blt 0,0,640,480,0, 3328,640,480:waittimer 35535 blt 0,0,640,480,0, 3330,640,480:waittimer 35556 blt 0,0,640,480,0, 3332,640,480:waittimer 35577 blt 0,0,640,480,0, 3334,640,480:waittimer 35599 blt 0,0,640,480,0, 3336,640,480:waittimer 35620 blt 0,0,640,480,0, 3338,640,480:waittimer 35641 blt 0,0,640,480,0, 3340,640,480:waittimer 35663 blt 0,0,640,480,0, 3342,640,480:waittimer 35684 blt 0,0,640,480,0, 3344,640,480:waittimer 35705 blt 0,0,640,480,0, 3346,640,480:waittimer 35727 blt 0,0,640,480,0, 3348,640,480:waittimer 35748 blt 0,0,640,480,0, 3350,640,480:waittimer 35770 blt 0,0,640,480,0, 3352,640,480:waittimer 35791 blt 0,0,640,480,0, 3354,640,480:waittimer 35812 blt 0,0,640,480,0, 3356,640,480:waittimer 35834 blt 0,0,640,480,0, 3358,640,480:waittimer 35855 blt 0,0,640,480,0, 3360,640,480:waittimer 35876 blt 0,0,640,480,0, 3362,640,480:waittimer 35898 blt 0,0,640,480,0, 3364,640,480:waittimer 35919 blt 0,0,640,480,0, 3366,640,480:waittimer 35940 blt 0,0,640,480,0, 3368,640,480:waittimer 35962 blt 0,0,640,480,0, 3370,640,480:waittimer 35983 blt 0,0,640,480,0, 3372,640,480:waittimer 36004 blt 0,0,640,480,0, 3374,640,480:waittimer 36026 blt 0,0,640,480,0, 3376,640,480:waittimer 36047 blt 0,0,640,480,0, 3378,640,480:waittimer 36068 blt 0,0,640,480,0, 3380,640,480:waittimer 36090 blt 0,0,640,480,0, 3382,640,480:waittimer 36111 blt 0,0,640,480,0, 3384,640,480:waittimer 36133 blt 0,0,640,480,0, 3386,640,480:waittimer 36154 blt 0,0,640,480,0, 3388,640,480:waittimer 36175 blt 0,0,640,480,0, 3390,640,480:waittimer 36197 blt 0,0,640,480,0, 3392,640,480:waittimer 36218 blt 0,0,640,480,0, 3394,640,480:waittimer 36239 blt 0,0,640,480,0, 3396,640,480:waittimer 36261 blt 0,0,640,480,0, 3398,640,480:waittimer 36282 blt 0,0,640,480,0, 3400,640,480:waittimer 36303 blt 0,0,640,480,0, 3402,640,480:waittimer 36325 blt 0,0,640,480,0, 3404,640,480:waittimer 36346 blt 0,0,640,480,0, 3406,640,480:waittimer 36367 blt 0,0,640,480,0, 3408,640,480:waittimer 36389 blt 0,0,640,480,0, 3410,640,480:waittimer 36410 blt 0,0,640,480,0, 3412,640,480:waittimer 36432 blt 0,0,640,480,0, 3414,640,480:waittimer 36453 blt 0,0,640,480,0, 3416,640,480:waittimer 36474 blt 0,0,640,480,0, 3418,640,480:waittimer 36496 blt 0,0,640,480,0, 3420,640,480:waittimer 36517 blt 0,0,640,480,0, 3422,640,480:waittimer 36538 blt 0,0,640,480,0, 3424,640,480:waittimer 36560 blt 0,0,640,480,0, 3426,640,480:waittimer 36581 blt 0,0,640,480,0, 3428,640,480:waittimer 36602 blt 0,0,640,480,0, 3430,640,480:waittimer 36624 blt 0,0,640,480,0, 3432,640,480:waittimer 36645 blt 0,0,640,480,0, 3434,640,480:waittimer 36666 blt 0,0,640,480,0, 3436,640,480:waittimer 36688 blt 0,0,640,480,0, 3438,640,480:waittimer 36709 blt 0,0,640,480,0, 3440,640,480:waittimer 36731 blt 0,0,640,480,0, 3442,640,480:waittimer 36752 blt 0,0,640,480,0, 3444,640,480:waittimer 36773 blt 0,0,640,480,0, 3446,640,480:waittimer 36795 blt 0,0,640,480,0, 3448,640,480:waittimer 36816 blt 0,0,640,480,0, 3450,640,480:waittimer 36837 blt 0,0,640,480,0, 3452,640,480:waittimer 36859 blt 0,0,640,480,0, 3454,640,480:waittimer 36880 blt 0,0,640,480,0, 3456,640,480:waittimer 36901 blt 0,0,640,480,0, 3458,640,480:waittimer 36923 blt 0,0,640,480,0, 3460,640,480:waittimer 36944 blt 0,0,640,480,0, 3462,640,480:waittimer 36965 blt 0,0,640,480,0, 3464,640,480:waittimer 36987 blt 0,0,640,480,0, 3466,640,480:waittimer 37008 blt 0,0,640,480,0, 3468,640,480:waittimer 37029 blt 0,0,640,480,0, 3470,640,480:waittimer 37051 blt 0,0,640,480,0, 3472,640,480:waittimer 37072 blt 0,0,640,480,0, 3474,640,480:waittimer 37094 blt 0,0,640,480,0, 3476,640,480:waittimer 37115 blt 0,0,640,480,0, 3478,640,480:waittimer 37136 blt 0,0,640,480,0, 3480,640,480:waittimer 37158 blt 0,0,640,480,0, 3482,640,480:waittimer 37179 blt 0,0,640,480,0, 3484,640,480:waittimer 37200 blt 0,0,640,480,0, 3486,640,480:waittimer 37222 blt 0,0,640,480,0, 3488,640,480:waittimer 37243 blt 0,0,640,480,0, 3490,640,480:waittimer 37264 blt 0,0,640,480,0, 3492,640,480:waittimer 37286 blt 0,0,640,480,0, 3494,640,480:waittimer 37307 blt 0,0,640,480,0, 3496,640,480:waittimer 37328 blt 0,0,640,480,0, 3498,640,480:waittimer 37350 blt 0,0,640,480,0, 3500,640,480:waittimer 37371 blt 0,0,640,480,0, 3502,640,480:waittimer 37393 blt 0,0,640,480,0, 3504,640,480:waittimer 37414 blt 0,0,640,480,0, 3506,640,480:waittimer 37435 blt 0,0,640,480,0, 3508,640,480:waittimer 37457 blt 0,0,640,480,0, 3510,640,480:waittimer 37478 blt 0,0,640,480,0, 3512,640,480:waittimer 37499 blt 0,0,640,480,0, 3514,640,480:waittimer 37521 blt 0,0,640,480,0, 3516,640,480:waittimer 37542 blt 0,0,640,480,0, 3518,640,480:waittimer 37563 blt 0,0,640,480,0, 3520,640,480:waittimer 37585 blt 0,0,640,480,0, 3522,640,480:waittimer 37606 blt 0,0,640,480,0, 3524,640,480:waittimer 37627 blt 0,0,640,480,0, 3526,640,480:waittimer 37649 blt 0,0,640,480,0, 3528,640,480:waittimer 37670 blt 0,0,640,480,0, 3530,640,480:waittimer 37691 blt 0,0,640,480,0, 3532,640,480:waittimer 37713 blt 0,0,640,480,0, 3534,640,480:waittimer 37734 blt 0,0,640,480,0, 3536,640,480:waittimer 37756 blt 0,0,640,480,0, 3538,640,480:waittimer 37777 blt 0,0,640,480,0, 3540,640,480:waittimer 37798 blt 0,0,640,480,0, 3542,640,480:waittimer 37820 blt 0,0,640,480,0, 3544,640,480:waittimer 37841 blt 0,0,640,480,0, 3546,640,480:waittimer 37862 blt 0,0,640,480,0, 3548,640,480:waittimer 37884 blt 0,0,640,480,0, 3550,640,480:waittimer 37905 blt 0,0,640,480,0, 3552,640,480:waittimer 37926 blt 0,0,640,480,0, 3554,640,480:waittimer 37948 blt 0,0,640,480,0, 3556,640,480:waittimer 37969 blt 0,0,640,480,0, 3558,640,480:waittimer 37990 blt 0,0,640,480,0, 3560,640,480:waittimer 38012 blt 0,0,640,480,0, 3562,640,480:waittimer 38033 blt 0,0,640,480,0, 3564,640,480:waittimer 38055 blt 0,0,640,480,0, 3566,640,480:waittimer 38076 blt 0,0,640,480,0, 3568,640,480:waittimer 38097 blt 0,0,640,480,0, 3570,640,480:waittimer 38119 blt 0,0,640,480,0, 3572,640,480:waittimer 38140 blt 0,0,640,480,0, 3574,640,480:waittimer 38161 blt 0,0,640,480,0, 3576,640,480:waittimer 38183 blt 0,0,640,480,0, 3578,640,480:waittimer 38204 blt 0,0,640,480,0, 3580,640,480:waittimer 38225 blt 0,0,640,480,0, 3582,640,480:waittimer 38247 blt 0,0,640,480,0, 3584,640,480:waittimer 38268 blt 0,0,640,480,0, 3586,640,480:waittimer 38289 blt 0,0,640,480,0, 3588,640,480:waittimer 38311 blt 0,0,640,480,0, 3590,640,480:waittimer 38332 blt 0,0,640,480,0, 3592,640,480:waittimer 38354 blt 0,0,640,480,0, 3594,640,480:waittimer 38375 blt 0,0,640,480,0, 3596,640,480:waittimer 38396 blt 0,0,640,480,0, 3598,640,480:waittimer 38418 blt 0,0,640,480,0, 3600,640,480:waittimer 38439 blt 0,0,640,480,0, 3602,640,480:waittimer 38460 blt 0,0,640,480,0, 3604,640,480:waittimer 38482 blt 0,0,640,480,0, 3606,640,480:waittimer 38503 blt 0,0,640,480,0, 3608,640,480:waittimer 38524 blt 0,0,640,480,0, 3610,640,480:waittimer 38546 blt 0,0,640,480,0, 3612,640,480:waittimer 38567 blt 0,0,640,480,0, 3614,640,480:waittimer 38588 blt 0,0,640,480,0, 3616,640,480:waittimer 38610 blt 0,0,640,480,0, 3618,640,480:waittimer 38631 blt 0,0,640,480,0, 3620,640,480:waittimer 38652 blt 0,0,640,480,0, 3622,640,480:waittimer 38674 blt 0,0,640,480,0, 3624,640,480:waittimer 38695 blt 0,0,640,480,0, 3626,640,480:waittimer 38717 blt 0,0,640,480,0, 3628,640,480:waittimer 38738 blt 0,0,640,480,0, 3630,640,480:waittimer 38759 blt 0,0,640,480,0, 3632,640,480:waittimer 38781 blt 0,0,640,480,0, 3634,640,480:waittimer 38802 blt 0,0,640,480,0, 3636,640,480:waittimer 38823 blt 0,0,640,480,0, 3638,640,480:waittimer 38845 blt 0,0,640,480,0, 3640,640,480:waittimer 38866 blt 0,0,640,480,0, 3642,640,480:waittimer 38887 blt 0,0,640,480,0, 3644,640,480:waittimer 38909 blt 0,0,640,480,0, 3646,640,480:waittimer 38930 blt 0,0,640,480,0, 3648,640,480:waittimer 38951 blt 0,0,640,480,0, 3650,640,480:waittimer 38973 blt 0,0,640,480,0, 3652,640,480:waittimer 38994 blt 0,0,640,480,0, 3654,640,480:waittimer 39016 blt 0,0,640,480,0, 3656,640,480:waittimer 39037 blt 0,0,640,480,0, 3658,640,480:waittimer 39058 blt 0,0,640,480,0, 3660,640,480:waittimer 39080 blt 0,0,640,480,0, 3662,640,480:waittimer 39101 blt 0,0,640,480,0, 3664,640,480:waittimer 39122 blt 0,0,640,480,0, 3666,640,480:waittimer 39144 blt 0,0,640,480,0, 3668,640,480:waittimer 39165 blt 0,0,640,480,0, 3670,640,480:waittimer 39186 blt 0,0,640,480,0, 3672,640,480:waittimer 39208 blt 0,0,640,480,0, 3674,640,480:waittimer 39229 blt 0,0,640,480,0, 3676,640,480:waittimer 39250 blt 0,0,640,480,0, 3678,640,480:waittimer 39272 blt 0,0,640,480,0, 3680,640,480:waittimer 39293 blt 0,0,640,480,0, 3682,640,480:waittimer 39314 blt 0,0,640,480,0, 3684,640,480:waittimer 39336 blt 0,0,640,480,0, 3686,640,480:waittimer 39357 blt 0,0,640,480,0, 3688,640,480:waittimer 39379 blt 0,0,640,480,0, 3690,640,480:waittimer 39400 blt 0,0,640,480,0, 3692,640,480:waittimer 39421 blt 0,0,640,480,0, 3694,640,480:waittimer 39443 blt 0,0,640,480,0, 3696,640,480:waittimer 39464 blt 0,0,640,480,0, 3698,640,480:waittimer 39485 blt 0,0,640,480,0, 3700,640,480:waittimer 39507 blt 0,0,640,480,0, 3702,640,480:waittimer 39528 blt 0,0,640,480,0, 3704,640,480:waittimer 39549 blt 0,0,640,480,0, 3706,640,480:waittimer 39571 blt 0,0,640,480,0, 3708,640,480:waittimer 39592 blt 0,0,640,480,0, 3710,640,480:waittimer 39613 blt 0,0,640,480,0, 3712,640,480:waittimer 39635 blt 0,0,640,480,0, 3714,640,480:waittimer 39656 blt 0,0,640,480,0, 3716,640,480:waittimer 39678 blt 0,0,640,480,0, 3718,640,480:waittimer 39699 blt 0,0,640,480,0, 3720,640,480:waittimer 39720 blt 0,0,640,480,0, 3722,640,480:waittimer 39742 blt 0,0,640,480,0, 3724,640,480:waittimer 39763 blt 0,0,640,480,0, 3726,640,480:waittimer 39784 blt 0,0,640,480,0, 3728,640,480:waittimer 39806 blt 0,0,640,480,0, 3730,640,480:waittimer 39827 blt 0,0,640,480,0, 3732,640,480:waittimer 39848 blt 0,0,640,480,0, 3734,640,480:waittimer 39870 blt 0,0,640,480,0, 3736,640,480:waittimer 39891 blt 0,0,640,480,0, 3738,640,480:waittimer 39912 blt 0,0,640,480,0, 3740,640,480:waittimer 39934 blt 0,0,640,480,0, 3742,640,480:waittimer 39955 blt 0,0,640,480,0, 3744,640,480:waittimer 39977 blt 0,0,640,480,0, 3746,640,480:waittimer 39998 blt 0,0,640,480,0, 3748,640,480:waittimer 40019 blt 0,0,640,480,0, 3750,640,480:waittimer 40041 blt 0,0,640,480,0, 3752,640,480:waittimer 40062 blt 0,0,640,480,0, 3754,640,480:waittimer 40083 blt 0,0,640,480,0, 3756,640,480:waittimer 40105 blt 0,0,640,480,0, 3758,640,480:waittimer 40126 blt 0,0,640,480,0, 3760,640,480:waittimer 40147 blt 0,0,640,480,0, 3762,640,480:waittimer 40169 blt 0,0,640,480,0, 3764,640,480:waittimer 40190 blt 0,0,640,480,0, 3766,640,480:waittimer 40211 blt 0,0,640,480,0, 3768,640,480:waittimer 40233 blt 0,0,640,480,0, 3770,640,480:waittimer 40254 blt 0,0,640,480,0, 3772,640,480:waittimer 40275 blt 0,0,640,480,0, 3774,640,480:waittimer 40297 blt 0,0,640,480,0, 3776,640,480:waittimer 40318 blt 0,0,640,480,0, 3778,640,480:waittimer 40340 blt 0,0,640,480,0, 3780,640,480:waittimer 40361 blt 0,0,640,480,0, 3782,640,480:waittimer 40382 blt 0,0,640,480,0, 3784,640,480:waittimer 40404 blt 0,0,640,480,0, 3786,640,480:waittimer 40425 blt 0,0,640,480,0, 3788,640,480:waittimer 40446 blt 0,0,640,480,0, 3790,640,480:waittimer 40468 blt 0,0,640,480,0, 3792,640,480:waittimer 40489 blt 0,0,640,480,0, 3794,640,480:waittimer 40510 blt 0,0,640,480,0, 3796,640,480:waittimer 40532 blt 0,0,640,480,0, 3798,640,480:waittimer 40553 blt 0,0,640,480,0, 3800,640,480:waittimer 40574 blt 0,0,640,480,0, 3802,640,480:waittimer 40596 blt 0,0,640,480,0, 3804,640,480:waittimer 40617 blt 0,0,640,480,0, 3806,640,480:waittimer 40639 blt 0,0,640,480,0, 3808,640,480:waittimer 40660 blt 0,0,640,480,0, 3810,640,480:waittimer 40681 blt 0,0,640,480,0, 3812,640,480:waittimer 40703 blt 0,0,640,480,0, 3814,640,480:waittimer 40724 blt 0,0,640,480,0, 3816,640,480:waittimer 40745 blt 0,0,640,480,0, 3818,640,480:waittimer 40767 blt 0,0,640,480,0, 3820,640,480:waittimer 40788 blt 0,0,640,480,0, 3822,640,480:waittimer 40809 blt 0,0,640,480,0, 3824,640,480:waittimer 40831 blt 0,0,640,480,0, 3826,640,480:waittimer 40852 blt 0,0,640,480,0, 3828,640,480:waittimer 40873 blt 0,0,640,480,0, 3830,640,480:waittimer 40895 blt 0,0,640,480,0, 3832,640,480:waittimer 40916 blt 0,0,640,480,0, 3834,640,480:waittimer 40937 blt 0,0,640,480,0, 3836,640,480:waittimer 40959 blt 0,0,640,480,0, 3838,640,480:waittimer 40980 blt 0,0,640,480,0, 3840,640,480:waittimer 41002 blt 0,0,640,480,0, 3842,640,480:waittimer 41023 blt 0,0,640,480,0, 3844,640,480:waittimer 41044 blt 0,0,640,480,0, 3846,640,480:waittimer 41066 blt 0,0,640,480,0, 3848,640,480:waittimer 41087 blt 0,0,640,480,0, 3850,640,480:waittimer 41108 blt 0,0,640,480,0, 3852,640,480:waittimer 41130 blt 0,0,640,480,0, 3854,640,480:waittimer 41151 blt 0,0,640,480,0, 3856,640,480:waittimer 41172 blt 0,0,640,480,0, 3858,640,480:waittimer 41194 blt 0,0,640,480,0, 3860,640,480:waittimer 41215 blt 0,0,640,480,0, 3862,640,480:waittimer 41236 blt 0,0,640,480,0, 3864,640,480:waittimer 41258 blt 0,0,640,480,0, 3866,640,480:waittimer 41279 blt 0,0,640,480,0, 3868,640,480:waittimer 41301 blt 0,0,640,480,0, 3870,640,480:waittimer 41322 blt 0,0,640,480,0, 3872,640,480:waittimer 41343 blt 0,0,640,480,0, 3874,640,480:waittimer 41365 blt 0,0,640,480,0, 3876,640,480:waittimer 41386 blt 0,0,640,480,0, 3878,640,480:waittimer 41407 blt 0,0,640,480,0, 3880,640,480:waittimer 41429 blt 0,0,640,480,0, 3882,640,480:waittimer 41450 blt 0,0,640,480,0, 3884,640,480:waittimer 41471 blt 0,0,640,480,0, 3886,640,480:waittimer 41493 blt 0,0,640,480,0, 3888,640,480:waittimer 41514 blt 0,0,640,480,0, 3890,640,480:waittimer 41535 blt 0,0,640,480,0, 3892,640,480:waittimer 41557 blt 0,0,640,480,0, 3894,640,480:waittimer 41578 blt 0,0,640,480,0, 3896,640,480:waittimer 41599 blt 0,0,640,480,0, 3898,640,480:waittimer 41621 blt 0,0,640,480,0, 3900,640,480:waittimer 41642 blt 0,0,640,480,0, 3902,640,480:waittimer 41664 blt 0,0,640,480,0, 3904,640,480:waittimer 41685 blt 0,0,640,480,0, 3906,640,480:waittimer 41706 blt 0,0,640,480,0, 3908,640,480:waittimer 41728 blt 0,0,640,480,0, 3910,640,480:waittimer 41749 blt 0,0,640,480,0, 3912,640,480:waittimer 41770 blt 0,0,640,480,0, 3914,640,480:waittimer 41792 blt 0,0,640,480,0, 3916,640,480:waittimer 41813 blt 0,0,640,480,0, 3918,640,480:waittimer 41834 blt 0,0,640,480,0, 3920,640,480:waittimer 41856 blt 0,0,640,480,0, 3922,640,480:waittimer 41877 blt 0,0,640,480,0, 3924,640,480:waittimer 41898 blt 0,0,640,480,0, 3926,640,480:waittimer 41920 blt 0,0,640,480,0, 3928,640,480:waittimer 41941 blt 0,0,640,480,0, 3930,640,480:waittimer 41963 blt 0,0,640,480,0, 3932,640,480:waittimer 41984 blt 0,0,640,480,0, 3934,640,480:waittimer 42005 blt 0,0,640,480,0, 3936,640,480:waittimer 42027 blt 0,0,640,480,0, 3938,640,480:waittimer 42048 blt 0,0,640,480,0, 3940,640,480:waittimer 42069 blt 0,0,640,480,0, 3942,640,480:waittimer 42091 blt 0,0,640,480,0, 3944,640,480:waittimer 42112 blt 0,0,640,480,0, 3946,640,480:waittimer 42133 blt 0,0,640,480,0, 3948,640,480:waittimer 42155 blt 0,0,640,480,0, 3950,640,480:waittimer 42176 blt 0,0,640,480,0, 3952,640,480:waittimer 42197 blt 0,0,640,480,0, 3954,640,480:waittimer 42219 blt 0,0,640,480,0, 3956,640,480:waittimer 42240 blt 0,0,640,480,0, 3958,640,480:waittimer 42262 blt 0,0,640,480,0, 3960,640,480:waittimer 42283 blt 0,0,640,480,0, 3962,640,480:waittimer 42304 blt 0,0,640,480,0, 3964,640,480:waittimer 42326 blt 0,0,640,480,0, 3966,640,480:waittimer 42347 blt 0,0,640,480,0, 3968,640,480:waittimer 42368 blt 0,0,640,480,0, 3970,640,480:waittimer 42390 blt 0,0,640,480,0, 3972,640,480:waittimer 42411 blt 0,0,640,480,0, 3974,640,480:waittimer 42432 blt 0,0,640,480,0, 3976,640,480:waittimer 42454 blt 0,0,640,480,0, 3978,640,480:waittimer 42475 blt 0,0,640,480,0, 3980,640,480:waittimer 42496 blt 0,0,640,480,0, 3982,640,480:waittimer 42518 blt 0,0,640,480,0, 3984,640,480:waittimer 42539 blt 0,0,640,480,0, 3986,640,480:waittimer 42560 blt 0,0,640,480,0, 3988,640,480:waittimer 42582 blt 0,0,640,480,0, 3990,640,480:waittimer 42603 blt 0,0,640,480,0, 3992,640,480:waittimer 42625 blt 0,0,640,480,0, 3994,640,480:waittimer 42646 blt 0,0,640,480,0, 3996,640,480:waittimer 42667 blt 0,0,640,480,0, 3998,640,480:waittimer 42689 blt 0,0,640,480,0, 4000,640,480:waittimer 42710 blt 0,0,640,480,0, 4002,640,480:waittimer 42731 blt 0,0,640,480,0, 4004,640,480:waittimer 42753 blt 0,0,640,480,0, 4006,640,480:waittimer 42774 blt 0,0,640,480,0, 4008,640,480:waittimer 42795 blt 0,0,640,480,0, 4010,640,480:waittimer 42817 blt 0,0,640,480,0, 4012,640,480:waittimer 42838 blt 0,0,640,480,0, 4014,640,480:waittimer 42859 blt 0,0,640,480,0, 4016,640,480:waittimer 42881 blt 0,0,640,480,0, 4018,640,480:waittimer 42902 blt 0,0,640,480,0, 4020,640,480:waittimer 42924 blt 0,0,640,480,0, 4022,640,480:waittimer 42945 blt 0,0,640,480,0, 4024,640,480:waittimer 42966 blt 0,0,640,480,0, 4026,640,480:waittimer 42988 blt 0,0,640,480,0, 4028,640,480:waittimer 43009 blt 0,0,640,480,0, 4030,640,480:waittimer 43030 blt 0,0,640,480,0, 4032,640,480:waittimer 43052 blt 0,0,640,480,0, 4034,640,480:waittimer 43073 blt 0,0,640,480,0, 4036,640,480:waittimer 43094 blt 0,0,640,480,0, 4038,640,480:waittimer 43116 blt 0,0,640,480,0, 4040,640,480:waittimer 43137 blt 0,0,640,480,0, 4042,640,480:waittimer 43158 blt 0,0,640,480,0, 4044,640,480:waittimer 43180 blt 0,0,640,480,0, 4046,640,480:waittimer 43201 blt 0,0,640,480,0, 4048,640,480:waittimer 43222 blt 0,0,640,480,0, 4050,640,480:waittimer 43244 blt 0,0,640,480,0, 4052,640,480:waittimer 43265 blt 0,0,640,480,0, 4054,640,480:waittimer 43287 blt 0,0,640,480,0, 4056,640,480:waittimer 43308 blt 0,0,640,480,0, 4058,640,480:waittimer 43329 blt 0,0,640,480,0, 4060,640,480:waittimer 43351 blt 0,0,640,480,0, 4062,640,480:waittimer 43372 blt 0,0,640,480,0, 4064,640,480:waittimer 43393 blt 0,0,640,480,0, 4066,640,480:waittimer 43415 blt 0,0,640,480,0, 4068,640,480:waittimer 43436 blt 0,0,640,480,0, 4070,640,480:waittimer 43457 blt 0,0,640,480,0, 4072,640,480:waittimer 43479 blt 0,0,640,480,0, 4074,640,480:waittimer 43500 blt 0,0,640,480,0, 4076,640,480:waittimer 43521 blt 0,0,640,480,0, 4078,640,480:waittimer 43543 blt 0,0,640,480,0, 4080,640,480:waittimer 43564 blt 0,0,640,480,0, 4082,640,480:waittimer 43586 blt 0,0,640,480,0, 4084,640,480:waittimer 43607 blt 0,0,640,480,0, 4086,640,480:waittimer 43628 blt 0,0,640,480,0, 4088,640,480:waittimer 43650 blt 0,0,640,480,0, 4090,640,480:waittimer 43671 blt 0,0,640,480,0, 4092,640,480:waittimer 43692 blt 0,0,640,480,0, 4094,640,480:waittimer 43714 blt 0,0,640,480,0, 4096,640,480:waittimer 43735 blt 0,0,640,480,0, 4098,640,480:waittimer 43756 blt 0,0,640,480,0, 4100,640,480:waittimer 43778 blt 0,0,640,480,0, 4102,640,480:waittimer 43799 blt 0,0,640,480,0, 4104,640,480:waittimer 43820 blt 0,0,640,480,0, 4106,640,480:waittimer 43842 blt 0,0,640,480,0, 4108,640,480:waittimer 43863 blt 0,0,640,480,0, 4110,640,480:waittimer 43885 blt 0,0,640,480,0, 4112,640,480:waittimer 43906 blt 0,0,640,480,0, 4114,640,480:waittimer 43927 blt 0,0,640,480,0, 4116,640,480:waittimer 43949 blt 0,0,640,480,0, 4118,640,480:waittimer 43970 blt 0,0,640,480,0, 4120,640,480:waittimer 43991 blt 0,0,640,480,0, 4122,640,480:waittimer 44013 blt 0,0,640,480,0, 4124,640,480:waittimer 44034 blt 0,0,640,480,0, 4126,640,480:waittimer 44055 blt 0,0,640,480,0, 4128,640,480:waittimer 44077 blt 0,0,640,480,0, 4130,640,480:waittimer 44098 blt 0,0,640,480,0, 4132,640,480:waittimer 44119 blt 0,0,640,480,0, 4134,640,480:waittimer 44141 blt 0,0,640,480,0, 4136,640,480:waittimer 44162 blt 0,0,640,480,0, 4138,640,480:waittimer 44183 blt 0,0,640,480,0, 4140,640,480:waittimer 44205 blt 0,0,640,480,0, 4142,640,480:waittimer 44226 blt 0,0,640,480,0, 4144,640,480:waittimer 44248 blt 0,0,640,480,0, 4146,640,480:waittimer 44269 blt 0,0,640,480,0, 4148,640,480:waittimer 44290 blt 0,0,640,480,0, 4150,640,480:waittimer 44312 blt 0,0,640,480,0, 4152,640,480:waittimer 44333 blt 0,0,640,480,0, 4154,640,480:waittimer 44354 blt 0,0,640,480,0, 4156,640,480:waittimer 44376 blt 0,0,640,480,0, 4158,640,480:waittimer 44397 blt 0,0,640,480,0, 4160,640,480:waittimer 44418 blt 0,0,640,480,0, 4162,640,480:waittimer 44440 blt 0,0,640,480,0, 4164,640,480:waittimer 44461 blt 0,0,640,480,0, 4166,640,480:waittimer 44482 blt 0,0,640,480,0, 4168,640,480:waittimer 44504 blt 0,0,640,480,0, 4170,640,480:waittimer 44525 blt 0,0,640,480,0, 4172,640,480:waittimer 44547 blt 0,0,640,480,0, 4174,640,480:waittimer 44568 blt 0,0,640,480,0, 4176,640,480:waittimer 44589 blt 0,0,640,480,0, 4178,640,480:waittimer 44611 blt 0,0,640,480,0, 4180,640,480:waittimer 44632 blt 0,0,640,480,0, 4182,640,480:waittimer 44653 blt 0,0,640,480,0, 4184,640,480:waittimer 44675 blt 0,0,640,480,0, 4186,640,480:waittimer 44696 blt 0,0,640,480,0, 4188,640,480:waittimer 44717 blt 0,0,640,480,0, 4190,640,480:waittimer 44739 blt 0,0,640,480,0, 4192,640,480:waittimer 44760 blt 0,0,640,480,0, 4194,640,480:waittimer 44781 blt 0,0,640,480,0, 4196,640,480:waittimer 44803 blt 0,0,640,480,0, 4198,640,480:waittimer 44824 blt 0,0,640,480,0, 4200,640,480:waittimer 44845 blt 0,0,640,480,0, 4202,640,480:waittimer 44867 blt 0,0,640,480,0, 4204,640,480:waittimer 44888 blt 0,0,640,480,0, 4206,640,480:waittimer 44910 blt 0,0,640,480,0, 4208,640,480:waittimer 44931 blt 0,0,640,480,0, 4210,640,480:waittimer 44952 blt 0,0,640,480,0, 4212,640,480:waittimer 44974 blt 0,0,640,480,0, 4214,640,480:waittimer 44995 blt 0,0,640,480,0, 4216,640,480:waittimer 45016 blt 0,0,640,480,0, 4218,640,480:waittimer 45038 blt 0,0,640,480,0, 4220,640,480:waittimer 45059 blt 0,0,640,480,0, 4222,640,480:waittimer 45080 blt 0,0,640,480,0, 4224,640,480:waittimer 45102 blt 0,0,640,480,0, 4226,640,480:waittimer 45123 blt 0,0,640,480,0, 4228,640,480:waittimer 45144 blt 0,0,640,480,0, 4230,640,480:waittimer 45166 blt 0,0,640,480,0, 4232,640,480:waittimer 45187 blt 0,0,640,480,0, 4234,640,480:waittimer 45209 blt 0,0,640,480,0, 4236,640,480:waittimer 45230 blt 0,0,640,480,0, 4238,640,480:waittimer 45251 blt 0,0,640,480,0, 4240,640,480:waittimer 45273 blt 0,0,640,480,0, 4242,640,480:waittimer 45294 blt 0,0,640,480,0, 4244,640,480:waittimer 45315 blt 0,0,640,480,0, 4246,640,480:waittimer 45337 blt 0,0,640,480,0, 4248,640,480:waittimer 45358 blt 0,0,640,480,0, 4250,640,480:waittimer 45379 blt 0,0,640,480,0, 4252,640,480:waittimer 45401 blt 0,0,640,480,0, 4254,640,480:waittimer 45422 blt 0,0,640,480,0, 4256,640,480:waittimer 45443 blt 0,0,640,480,0, 4258,640,480:waittimer 45465 blt 0,0,640,480,0, 4260,640,480:waittimer 45486 blt 0,0,640,480,0, 4262,640,480:waittimer 45508 blt 0,0,640,480,0, 4264,640,480:waittimer 45529 blt 0,0,640,480,0, 4266,640,480:waittimer 45550 blt 0,0,640,480,0, 4268,640,480:waittimer 45572 blt 0,0,640,480,0, 4270,640,480:waittimer 45593 blt 0,0,640,480,0, 4272,640,480:waittimer 45614 blt 0,0,640,480,0, 4274,640,480:waittimer 45636 blt 0,0,640,480,0, 4276,640,480:waittimer 45657 blt 0,0,640,480,0, 4278,640,480:waittimer 45678 blt 0,0,640,480,0, 4280,640,480:waittimer 45700 blt 0,0,640,480,0, 4282,640,480:waittimer 45721 blt 0,0,640,480,0, 4284,640,480:waittimer 45742 blt 0,0,640,480,0, 4286,640,480:waittimer 45764 blt 0,0,640,480,0, 4288,640,480:waittimer 45785 blt 0,0,640,480,0, 4290,640,480:waittimer 45806 blt 0,0,640,480,0, 4292,640,480:waittimer 45828 blt 0,0,640,480,0, 4294,640,480:waittimer 45849 blt 0,0,640,480,0, 4296,640,480:waittimer 45871 blt 0,0,640,480,0, 4298,640,480:waittimer 45892 blt 0,0,640,480,0, 4300,640,480:waittimer 45913 blt 0,0,640,480,0, 4302,640,480:waittimer 45935 blt 0,0,640,480,0, 4304,640,480:waittimer 45956 blt 0,0,640,480,0, 4306,640,480:waittimer 45977 blt 0,0,640,480,0, 4308,640,480:waittimer 45999 blt 0,0,640,480,0, 4310,640,480:waittimer 46020 blt 0,0,640,480,0, 4312,640,480:waittimer 46041 blt 0,0,640,480,0, 4314,640,480:waittimer 46063 blt 0,0,640,480,0, 4316,640,480:waittimer 46084 blt 0,0,640,480,0, 4318,640,480:waittimer 46105 blt 0,0,640,480,0, 4320,640,480:waittimer 46127 blt 0,0,640,480,0, 4322,640,480:waittimer 46148 blt 0,0,640,480,0, 4324,640,480:waittimer 46170 blt 0,0,640,480,0, 4326,640,480:waittimer 46191 blt 0,0,640,480,0, 4328,640,480:waittimer 46212 blt 0,0,640,480,0, 4330,640,480:waittimer 46234 blt 0,0,640,480,0, 4332,640,480:waittimer 46255 blt 0,0,640,480,0, 4334,640,480:waittimer 46276 blt 0,0,640,480,0, 4336,640,480:waittimer 46298 blt 0,0,640,480,0, 4338,640,480:waittimer 46319 blt 0,0,640,480,0, 4340,640,480:waittimer 46340 blt 0,0,640,480,0, 4342,640,480:waittimer 46362 blt 0,0,640,480,0, 4344,640,480:waittimer 46383 blt 0,0,640,480,0, 4346,640,480:waittimer 46404 blt 0,0,640,480,0, 4348,640,480:waittimer 46426 blt 0,0,640,480,0, 4350,640,480:waittimer 46447 blt 0,0,640,480,0, 4352,640,480:waittimer 46468 blt 0,0,640,480,0, 4354,640,480:waittimer 46490 blt 0,0,640,480,0, 4356,640,480:waittimer 46511 blt 0,0,640,480,0, 4358,640,480:waittimer 46533 blt 0,0,640,480,0, 4360,640,480:waittimer 46554 blt 0,0,640,480,0, 4362,640,480:waittimer 46575 blt 0,0,640,480,0, 4364,640,480:waittimer 46597 blt 0,0,640,480,0, 4366,640,480:waittimer 46618 blt 0,0,640,480,0, 4368,640,480:waittimer 46639 blt 0,0,640,480,0, 4370,640,480:waittimer 46661 blt 0,0,640,480,0, 4372,640,480:waittimer 46682 blt 0,0,640,480,0, 4374,640,480:waittimer 46703 blt 0,0,640,480,0, 4376,640,480:waittimer 46725 blt 0,0,640,480,0, 4378,640,480:waittimer 46746 blt 0,0,640,480,0, 4380,640,480:waittimer 46767 blt 0,0,640,480,0, 4382,640,480:waittimer 46789 blt 0,0,640,480,0, 4384,640,480:waittimer 46810 blt 0,0,640,480,0, 4386,640,480:waittimer 46832 blt 0,0,640,480,0, 4388,640,480:waittimer 46853 blt 0,0,640,480,0, 4390,640,480:waittimer 46874 blt 0,0,640,480,0, 4392,640,480:waittimer 46896 blt 0,0,640,480,0, 4394,640,480:waittimer 46917 blt 0,0,640,480,0, 4396,640,480:waittimer 46938 blt 0,0,640,480,0, 4398,640,480:waittimer 46960 blt 0,0,640,480,0, 4400,640,480:waittimer 46981 blt 0,0,640,480,0, 4402,640,480:waittimer 47002 blt 0,0,640,480,0, 4404,640,480:waittimer 47024 blt 0,0,640,480,0, 4406,640,480:waittimer 47045 blt 0,0,640,480,0, 4408,640,480:waittimer 47066 blt 0,0,640,480,0, 4410,640,480:waittimer 47088 blt 0,0,640,480,0, 4412,640,480:waittimer 47109 blt 0,0,640,480,0, 4414,640,480:waittimer 47131 blt 0,0,640,480,0, 4416,640,480:waittimer 47152 blt 0,0,640,480,0, 4418,640,480:waittimer 47173 blt 0,0,640,480,0, 4420,640,480:waittimer 47195 blt 0,0,640,480,0, 4422,640,480:waittimer 47216 blt 0,0,640,480,0, 4424,640,480:waittimer 47237 blt 0,0,640,480,0, 4426,640,480:waittimer 47259 blt 0,0,640,480,0, 4428,640,480:waittimer 47280 blt 0,0,640,480,0, 4430,640,480:waittimer 47301 blt 0,0,640,480,0, 4432,640,480:waittimer 47323 blt 0,0,640,480,0, 4434,640,480:waittimer 47344 blt 0,0,640,480,0, 4436,640,480:waittimer 47365 blt 0,0,640,480,0, 4438,640,480:waittimer 47387 blt 0,0,640,480,0, 4440,640,480:waittimer 47408 blt 0,0,640,480,0, 4442,640,480:waittimer 47429 blt 0,0,640,480,0, 4444,640,480:waittimer 47451 blt 0,0,640,480,0, 4446,640,480:waittimer 47472 blt 0,0,640,480,0, 4448,640,480:waittimer 47494 blt 0,0,640,480,0, 4450,640,480:waittimer 47515 blt 0,0,640,480,0, 4452,640,480:waittimer 47536 blt 0,0,640,480,0, 4454,640,480:waittimer 47558 blt 0,0,640,480,0, 4456,640,480:waittimer 47579 blt 0,0,640,480,0, 4458,640,480:waittimer 47600 blt 0,0,640,480,0, 4460,640,480:waittimer 47622 blt 0,0,640,480,0, 4462,640,480:waittimer 47643 blt 0,0,640,480,0, 4464,640,480:waittimer 47664 blt 0,0,640,480,0, 4466,640,480:waittimer 47686 blt 0,0,640,480,0, 4468,640,480:waittimer 47707 blt 0,0,640,480,0, 4470,640,480:waittimer 47728 blt 0,0,640,480,0, 4472,640,480:waittimer 47750 blt 0,0,640,480,0, 4474,640,480:waittimer 47771 blt 0,0,640,480,0, 4476,640,480:waittimer 47793 blt 0,0,640,480,0, 4478,640,480:waittimer 47814 blt 0,0,640,480,0, 4480,640,480:waittimer 47835 blt 0,0,640,480,0, 4482,640,480:waittimer 47857 blt 0,0,640,480,0, 4484,640,480:waittimer 47878 blt 0,0,640,480,0, 4486,640,480:waittimer 47899 blt 0,0,640,480,0, 4488,640,480:waittimer 47921 blt 0,0,640,480,0, 4490,640,480:waittimer 47942 blt 0,0,640,480,0, 4492,640,480:waittimer 47963 blt 0,0,640,480,0, 4494,640,480:waittimer 47985 blt 0,0,640,480,0, 4496,640,480:waittimer 48006 blt 0,0,640,480,0, 4498,640,480:waittimer 48027 blt 0,0,640,480,0, 4500,640,480:waittimer 48049 blt 0,0,640,480,0, 4502,640,480:waittimer 48070 blt 0,0,640,480,0, 4504,640,480:waittimer 48091 blt 0,0,640,480,0, 4506,640,480:waittimer 48113 blt 0,0,640,480,0, 4508,640,480:waittimer 48134 blt 0,0,640,480,0, 4510,640,480:waittimer 48156 blt 0,0,640,480,0, 4512,640,480:waittimer 48177 blt 0,0,640,480,0, 4514,640,480:waittimer 48198 blt 0,0,640,480,0, 4516,640,480:waittimer 48220 blt 0,0,640,480,0, 4518,640,480:waittimer 48241 blt 0,0,640,480,0, 4520,640,480:waittimer 48262 blt 0,0,640,480,0, 4522,640,480:waittimer 48284 blt 0,0,640,480,0, 4524,640,480:waittimer 48305 blt 0,0,640,480,0, 4526,640,480:waittimer 48326 blt 0,0,640,480,0, 4528,640,480:waittimer 48348 blt 0,0,640,480,0, 4530,640,480:waittimer 48369 blt 0,0,640,480,0, 4532,640,480:waittimer 48390 blt 0,0,640,480,0, 4534,640,480:waittimer 48412 blt 0,0,640,480,0, 4536,640,480:waittimer 48433 blt 0,0,640,480,0, 4538,640,480:waittimer 48455 blt 0,0,640,480,0, 4540,640,480:waittimer 48476 blt 0,0,640,480,0, 4542,640,480:waittimer 48497 blt 0,0,640,480,0, 4544,640,480:waittimer 48519 blt 0,0,640,480,0, 4546,640,480:waittimer 48540 blt 0,0,640,480,0, 4548,640,480:waittimer 48561 blt 0,0,640,480,0, 4550,640,480:waittimer 48583 blt 0,0,640,480,0, 4552,640,480:waittimer 48604 blt 0,0,640,480,0, 4554,640,480:waittimer 48625 blt 0,0,640,480,0, 4556,640,480:waittimer 48647 blt 0,0,640,480,0, 4558,640,480:waittimer 48668 blt 0,0,640,480,0, 4560,640,480:waittimer 48689 blt 0,0,640,480,0, 4562,640,480:waittimer 48711 blt 0,0,640,480,0, 4564,640,480:waittimer 48732 blt 0,0,640,480,0, 4566,640,480:waittimer 48754 blt 0,0,640,480,0, 4568,640,480:waittimer 48775 blt 0,0,640,480,0, 4570,640,480:waittimer 48796 blt 0,0,640,480,0, 4572,640,480:waittimer 48818 blt 0,0,640,480,0, 4574,640,480:waittimer 48839 blt 0,0,640,480,0, 4576,640,480:waittimer 48860 blt 0,0,640,480,0, 4578,640,480:waittimer 48882 blt 0,0,640,480,0, 4580,640,480:waittimer 48903 blt 0,0,640,480,0, 4582,640,480:waittimer 48924 blt 0,0,640,480,0, 4584,640,480:waittimer 48946 blt 0,0,640,480,0, 4586,640,480:waittimer 48967 blt 0,0,640,480,0, 4588,640,480:waittimer 48988 blt 0,0,640,480,0, 4590,640,480:waittimer 49010 blt 0,0,640,480,0, 4592,640,480:waittimer 49031 blt 0,0,640,480,0, 4594,640,480:waittimer 49052 blt 0,0,640,480,0, 4596,640,480:waittimer 49074 blt 0,0,640,480,0, 4598,640,480:waittimer 49095 blt 0,0,640,480,0, 4600,640,480:waittimer 49117 blt 0,0,640,480,0, 4602,640,480:waittimer 49138 blt 0,0,640,480,0, 4604,640,480:waittimer 49159 blt 0,0,640,480,0, 4606,640,480:waittimer 49181 blt 0,0,640,480,0, 4608,640,480:waittimer 49202 blt 0,0,640,480,0, 4610,640,480:waittimer 49223 blt 0,0,640,480,0, 4612,640,480:waittimer 49245 blt 0,0,640,480,0, 4614,640,480:waittimer 49266 blt 0,0,640,480,0, 4616,640,480:waittimer 49287 blt 0,0,640,480,0, 4618,640,480:waittimer 49309 blt 0,0,640,480,0, 4620,640,480:waittimer 49330 blt 0,0,640,480,0, 4622,640,480:waittimer 49351 blt 0,0,640,480,0, 4624,640,480:waittimer 49373 blt 0,0,640,480,0, 4626,640,480:waittimer 49394 blt 0,0,640,480,0, 4628,640,480:waittimer 49416 blt 0,0,640,480,0, 4630,640,480:waittimer 49437 blt 0,0,640,480,0, 4632,640,480:waittimer 49458 blt 0,0,640,480,0, 4634,640,480:waittimer 49480 blt 0,0,640,480,0, 4636,640,480:waittimer 49501 blt 0,0,640,480,0, 4638,640,480:waittimer 49522 blt 0,0,640,480,0, 4640,640,480:waittimer 49544 blt 0,0,640,480,0, 4642,640,480:waittimer 49565 blt 0,0,640,480,0, 4644,640,480:waittimer 49586 blt 0,0,640,480,0, 4646,640,480:waittimer 49608 blt 0,0,640,480,0, 4648,640,480:waittimer 49629 blt 0,0,640,480,0, 4650,640,480:waittimer 49650 blt 0,0,640,480,0, 4652,640,480:waittimer 49672 blt 0,0,640,480,0, 4654,640,480:waittimer 49693 blt 0,0,640,480,0, 4656,640,480:waittimer 49714 blt 0,0,640,480,0, 4658,640,480:waittimer 49736 blt 0,0,640,480,0, 4660,640,480:waittimer 49757 blt 0,0,640,480,0, 4662,640,480:waittimer 49779 blt 0,0,640,480,0, 4664,640,480:waittimer 49800 blt 0,0,640,480,0, 4666,640,480:waittimer 49821 blt 0,0,640,480,0, 4668,640,480:waittimer 49843 blt 0,0,640,480,0, 4670,640,480:waittimer 49864 blt 0,0,640,480,0, 4672,640,480:waittimer 49885 blt 0,0,640,480,0, 4674,640,480:waittimer 49907 blt 0,0,640,480,0, 4676,640,480:waittimer 49928 blt 0,0,640,480,0, 4678,640,480:waittimer 49949 blt 0,0,640,480,0, 4680,640,480:waittimer 49971 blt 0,0,640,480,0, 4682,640,480:waittimer 49992 blt 0,0,640,480,0, 4684,640,480:waittimer 50013 blt 0,0,640,480,0, 4686,640,480:waittimer 50035 blt 0,0,640,480,0, 4688,640,480:waittimer 50056 blt 0,0,640,480,0, 4690,640,480:waittimer 50078 blt 0,0,640,480,0, 4692,640,480:waittimer 50099 blt 0,0,640,480,0, 4694,640,480:waittimer 50120 blt 0,0,640,480,0, 4696,640,480:waittimer 50142 blt 0,0,640,480,0, 4698,640,480:waittimer 50163 blt 0,0,640,480,0, 4700,640,480:waittimer 50184 blt 0,0,640,480,0, 4702,640,480:waittimer 50206 blt 0,0,640,480,0, 4704,640,480:waittimer 50227 blt 0,0,640,480,0, 4706,640,480:waittimer 50248 blt 0,0,640,480,0, 4708,640,480:waittimer 50270 blt 0,0,640,480,0, 4710,640,480:waittimer 50291 blt 0,0,640,480,0, 4712,640,480:waittimer 50312 blt 0,0,640,480,0, 4714,640,480:waittimer 50334 blt 0,0,640,480,0, 4716,640,480:waittimer 50355 blt 0,0,640,480,0, 4718,640,480:waittimer 50377 blt 0,0,640,480,0, 4720,640,480:waittimer 50398 blt 0,0,640,480,0, 4722,640,480:waittimer 50419 blt 0,0,640,480,0, 4724,640,480:waittimer 50441 blt 0,0,640,480,0, 4726,640,480:waittimer 50462 blt 0,0,640,480,0, 4728,640,480:waittimer 50483 blt 0,0,640,480,0, 4730,640,480:waittimer 50505 blt 0,0,640,480,0, 4732,640,480:waittimer 50526 blt 0,0,640,480,0, 4734,640,480:waittimer 50547 blt 0,0,640,480,0, 4736,640,480:waittimer 50569 blt 0,0,640,480,0, 4738,640,480:waittimer 50590 blt 0,0,640,480,0, 4740,640,480:waittimer 50611 blt 0,0,640,480,0, 4742,640,480:waittimer 50633 blt 0,0,640,480,0, 4744,640,480:waittimer 50654 blt 0,0,640,480,0, 4746,640,480:waittimer 50675 blt 0,0,640,480,0, 4748,640,480:waittimer 50697 blt 0,0,640,480,0, 4750,640,480:waittimer 50718 blt 0,0,640,480,0, 4752,640,480:waittimer 50740 blt 0,0,640,480,0, 4754,640,480:waittimer 50761 blt 0,0,640,480,0, 4756,640,480:waittimer 50782 blt 0,0,640,480,0, 4758,640,480:waittimer 50804 blt 0,0,640,480,0, 4760,640,480:waittimer 50825 blt 0,0,640,480,0, 4762,640,480:waittimer 50846 blt 0,0,640,480,0, 4764,640,480:waittimer 50868 blt 0,0,640,480,0, 4766,640,480:waittimer 50889 blt 0,0,640,480,0, 4768,640,480:waittimer 50910 blt 0,0,640,480,0, 4770,640,480:waittimer 50932 blt 0,0,640,480,0, 4772,640,480:waittimer 50953 blt 0,0,640,480,0, 4774,640,480:waittimer 50974 blt 0,0,640,480,0, 4776,640,480:waittimer 50996 blt 0,0,640,480,0, 4778,640,480:waittimer 51017 blt 0,0,640,480,0, 4780,640,480:waittimer 51039 blt 0,0,640,480,0, 4782,640,480:waittimer 51060 blt 0,0,640,480,0, 4784,640,480:waittimer 51081 blt 0,0,640,480,0, 4786,640,480:waittimer 51103 blt 0,0,640,480,0, 4788,640,480:waittimer 51124 blt 0,0,640,480,0, 4790,640,480:waittimer 51145 blt 0,0,640,480,0, 4792,640,480:waittimer 51167 blt 0,0,640,480,0, 4794,640,480:waittimer 51188 blt 0,0,640,480,0, 4796,640,480:waittimer 51209 blt 0,0,640,480,0, 4798,640,480:waittimer 51231 blt 0,0,640,480,0, 4800,640,480:waittimer 51252 blt 0,0,640,480,0, 4802,640,480:waittimer 51273 blt 0,0,640,480,0, 4804,640,480:waittimer 51295 blt 0,0,640,480,0, 4806,640,480:waittimer 51316 blt 0,0,640,480,0, 4808,640,480:waittimer 51337 blt 0,0,640,480,0, 4810,640,480:waittimer 51359 blt 0,0,640,480,0, 4812,640,480:waittimer 51380 blt 0,0,640,480,0, 4814,640,480:waittimer 51402 blt 0,0,640,480,0, 4816,640,480:waittimer 51423 blt 0,0,640,480,0, 4818,640,480:waittimer 51444 blt 0,0,640,480,0, 4820,640,480:waittimer 51466 blt 0,0,640,480,0, 4822,640,480:waittimer 51487 blt 0,0,640,480,0, 4824,640,480:waittimer 51508 blt 0,0,640,480,0, 4826,640,480:waittimer 51530 blt 0,0,640,480,0, 4828,640,480:waittimer 51551 blt 0,0,640,480,0, 4830,640,480:waittimer 51572 blt 0,0,640,480,0, 4832,640,480:waittimer 51594 blt 0,0,640,480,0, 4834,640,480:waittimer 51615 blt 0,0,640,480,0, 4836,640,480:waittimer 51636 blt 0,0,640,480,0, 4838,640,480:waittimer 51658 blt 0,0,640,480,0, 4840,640,480:waittimer 51679 blt 0,0,640,480,0, 4842,640,480:waittimer 51701 blt 0,0,640,480,0, 4844,640,480:waittimer 51722 blt 0,0,640,480,0, 4846,640,480:waittimer 51743 blt 0,0,640,480,0, 4848,640,480:waittimer 51765 blt 0,0,640,480,0, 4850,640,480:waittimer 51786 blt 0,0,640,480,0, 4852,640,480:waittimer 51807 blt 0,0,640,480,0, 4854,640,480:waittimer 51829 blt 0,0,640,480,0, 4856,640,480:waittimer 51850 blt 0,0,640,480,0, 4858,640,480:waittimer 51871 blt 0,0,640,480,0, 4860,640,480:waittimer 51893 blt 0,0,640,480,0, 4862,640,480:waittimer 51914 blt 0,0,640,480,0, 4864,640,480:waittimer 51935 blt 0,0,640,480,0, 4866,640,480:waittimer 51957 blt 0,0,640,480,0, 4868,640,480:waittimer 51978 blt 0,0,640,480,0, 4870,640,480:waittimer 51999 blt 0,0,640,480,0, 4872,640,480:waittimer 52021 blt 0,0,640,480,0, 4874,640,480:waittimer 52042 blt 0,0,640,480,0, 4876,640,480:waittimer 52064 blt 0,0,640,480,0, 4878,640,480:waittimer 52085 blt 0,0,640,480,0, 4880,640,480:waittimer 52106 blt 0,0,640,480,0, 4882,640,480:waittimer 52128 blt 0,0,640,480,0, 4884,640,480:waittimer 52149 blt 0,0,640,480,0, 4886,640,480:waittimer 52170 blt 0,0,640,480,0, 4888,640,480:waittimer 52192 blt 0,0,640,480,0, 4890,640,480:waittimer 52213 blt 0,0,640,480,0, 4892,640,480:waittimer 52234 blt 0,0,640,480,0, 4894,640,480:waittimer 52256 blt 0,0,640,480,0, 4896,640,480:waittimer 52277 blt 0,0,640,480,0, 4898,640,480:waittimer 52298 blt 0,0,640,480,0, 4900,640,480:waittimer 52320 blt 0,0,640,480,0, 4902,640,480:waittimer 52341 blt 0,0,640,480,0, 4904,640,480:waittimer 52363 blt 0,0,640,480,0, 4906,640,480:waittimer 52384 blt 0,0,640,480,0, 4908,640,480:waittimer 52405 blt 0,0,640,480,0, 4910,640,480:waittimer 52427 blt 0,0,640,480,0, 4912,640,480:waittimer 52448 blt 0,0,640,480,0, 4914,640,480:waittimer 52469 blt 0,0,640,480,0, 4916,640,480:waittimer 52491 blt 0,0,640,480,0, 4918,640,480:waittimer 52512 blt 0,0,640,480,0, 4920,640,480:waittimer 52533 blt 0,0,640,480,0, 4922,640,480:waittimer 52555 blt 0,0,640,480,0, 4924,640,480:waittimer 52576 blt 0,0,640,480,0, 4926,640,480:waittimer 52597 blt 0,0,640,480,0, 4928,640,480:waittimer 52619 blt 0,0,640,480,0, 4930,640,480:waittimer 52640 blt 0,0,640,480,0, 4932,640,480:waittimer 52662 blt 0,0,640,480,0, 4934,640,480:waittimer 52683 blt 0,0,640,480,0, 4936,640,480:waittimer 52704 blt 0,0,640,480,0, 4938,640,480:waittimer 52726 blt 0,0,640,480,0, 4940,640,480:waittimer 52747 blt 0,0,640,480,0, 4942,640,480:waittimer 52768 blt 0,0,640,480,0, 4944,640,480:waittimer 52790 blt 0,0,640,480,0, 4946,640,480:waittimer 52811 blt 0,0,640,480,0, 4948,640,480:waittimer 52832 blt 0,0,640,480,0, 4950,640,480:waittimer 52854 blt 0,0,640,480,0, 4952,640,480:waittimer 52875 blt 0,0,640,480,0, 4954,640,480:waittimer 52896 blt 0,0,640,480,0, 4956,640,480:waittimer 52918 blt 0,0,640,480,0, 4958,640,480:waittimer 52939 blt 0,0,640,480,0, 4960,640,480:waittimer 52960 blt 0,0,640,480,0, 4962,640,480:waittimer 52982 blt 0,0,640,480,0, 4964,640,480:waittimer 53003 blt 0,0,640,480,0, 4966,640,480:waittimer 53025 blt 0,0,640,480,0, 4968,640,480:waittimer 53046 blt 0,0,640,480,0, 4970,640,480:waittimer 53067 blt 0,0,640,480,0, 4972,640,480:waittimer 53089 blt 0,0,640,480,0, 4974,640,480:waittimer 53110 blt 0,0,640,480,0, 4976,640,480:waittimer 53131 blt 0,0,640,480,0, 4978,640,480:waittimer 53153 blt 0,0,640,480,0, 4980,640,480:waittimer 53174 blt 0,0,640,480,0, 4982,640,480:waittimer 53195 blt 0,0,640,480,0, 4984,640,480:waittimer 53217 blt 0,0,640,480,0, 4986,640,480:waittimer 53238 blt 0,0,640,480,0, 4988,640,480:waittimer 53259 blt 0,0,640,480,0, 4990,640,480:waittimer 53281 blt 0,0,640,480,0, 4992,640,480:waittimer 53302 blt 0,0,640,480,0, 4994,640,480:waittimer 53324 blt 0,0,640,480,0, 4996,640,480:waittimer 53345 blt 0,0,640,480,0, 4998,640,480:waittimer 53366 blt 0,0,640,480,0, 5000,640,480:waittimer 53388 blt 0,0,640,480,0, 5002,640,480:waittimer 53409 blt 0,0,640,480,0, 5004,640,480:waittimer 53430 blt 0,0,640,480,0, 5006,640,480:waittimer 53452 blt 0,0,640,480,0, 5008,640,480:waittimer 53473 blt 0,0,640,480,0, 5010,640,480:waittimer 53494 blt 0,0,640,480,0, 5012,640,480:waittimer 53516 blt 0,0,640,480,0, 5014,640,480:waittimer 53537 blt 0,0,640,480,0, 5016,640,480:waittimer 53558 blt 0,0,640,480,0, 5018,640,480:waittimer 53580 blt 0,0,640,480,0, 5020,640,480:waittimer 53601 blt 0,0,640,480,0, 5022,640,480:waittimer 53622 blt 0,0,640,480,0, 5024,640,480:waittimer 53644 blt 0,0,640,480,0, 5026,640,480:waittimer 53665 blt 0,0,640,480,0, 5028,640,480:waittimer 53687 blt 0,0,640,480,0, 5030,640,480:waittimer 53708 blt 0,0,640,480,0, 5032,640,480:waittimer 53729 blt 0,0,640,480,0, 5034,640,480:waittimer 53751 blt 0,0,640,480,0, 5036,640,480:waittimer 53772 blt 0,0,640,480,0, 5038,640,480:waittimer 53793 blt 0,0,640,480,0, 5040,640,480:waittimer 53815 blt 0,0,640,480,0, 5042,640,480:waittimer 53836 blt 0,0,640,480,0, 5044,640,480:waittimer 53857 blt 0,0,640,480,0, 5046,640,480:waittimer 53879 blt 0,0,640,480,0, 5048,640,480:waittimer 53900 blt 0,0,640,480,0, 5050,640,480:waittimer 53921 blt 0,0,640,480,0, 5052,640,480:waittimer 53943 blt 0,0,640,480,0, 5054,640,480:waittimer 53964 blt 0,0,640,480,0, 5056,640,480:waittimer 53986 blt 0,0,640,480,0, 5058,640,480:waittimer 54007 blt 0,0,640,480,0, 5060,640,480:waittimer 54028 blt 0,0,640,480,0, 5062,640,480:waittimer 54050 blt 0,0,640,480,0, 5064,640,480:waittimer 54071 blt 0,0,640,480,0, 5066,640,480:waittimer 54092 blt 0,0,640,480,0, 5068,640,480:waittimer 54114 blt 0,0,640,480,0, 5070,640,480:waittimer 54135 blt 0,0,640,480,0, 5072,640,480:waittimer 54156 blt 0,0,640,480,0, 5074,640,480:waittimer 54178 blt 0,0,640,480,0, 5076,640,480:waittimer 54199 blt 0,0,640,480,0, 5078,640,480:waittimer 54220 blt 0,0,640,480,0, 5080,640,480:waittimer 54242 blt 0,0,640,480,0, 5082,640,480:waittimer 54263 blt 0,0,640,480,0, 5084,640,480:waittimer 54285 blt 0,0,640,480,0, 5086,640,480:waittimer 54306 blt 0,0,640,480,0, 5088,640,480:waittimer 54327 blt 0,0,640,480,0, 5090,640,480:waittimer 54349 blt 0,0,640,480,0, 5092,640,480:waittimer 54370 blt 0,0,640,480,0, 5094,640,480:waittimer 54391 blt 0,0,640,480,0, 5096,640,480:waittimer 54413 blt 0,0,640,480,0, 5098,640,480:waittimer 54434 blt 0,0,640,480,0, 5100,640,480:waittimer 54455 blt 0,0,640,480,0, 5102,640,480:waittimer 54477 blt 0,0,640,480,0, 5104,640,480:waittimer 54498 blt 0,0,640,480,0, 5106,640,480:waittimer 54519 blt 0,0,640,480,0, 5108,640,480:waittimer 54541 blt 0,0,640,480,0, 5110,640,480:waittimer 54562 blt 0,0,640,480,0, 5112,640,480:waittimer 54583 blt 0,0,640,480,0, 5114,640,480:waittimer 54605 blt 0,0,640,480,0, 5116,640,480:waittimer 54626 blt 0,0,640,480,0, 5118,640,480:waittimer 54648 blt 0,0,640,480,0, 5120,640,480:waittimer 54669 blt 0,0,640,480,0, 5122,640,480:waittimer 54690 blt 0,0,640,480,0, 5124,640,480:waittimer 54712 blt 0,0,640,480,0, 5126,640,480:waittimer 54733 blt 0,0,640,480,0, 5128,640,480:waittimer 54754 blt 0,0,640,480,0, 5130,640,480:waittimer 54776 blt 0,0,640,480,0, 5132,640,480:waittimer 54797 blt 0,0,640,480,0, 5134,640,480:waittimer 54818 blt 0,0,640,480,0, 5136,640,480:waittimer 54840 blt 0,0,640,480,0, 5138,640,480:waittimer 54861 blt 0,0,640,480,0, 5140,640,480:waittimer 54882 blt 0,0,640,480,0, 5142,640,480:waittimer 54904 blt 0,0,640,480,0, 5144,640,480:waittimer 54925 blt 0,0,640,480,0, 5146,640,480:waittimer 54947 blt 0,0,640,480,0, 5148,640,480:waittimer 54968 blt 0,0,640,480,0, 5150,640,480:waittimer 54989 blt 0,0,640,480,0, 5152,640,480:waittimer 55011 blt 0,0,640,480,0, 5154,640,480:waittimer 55032 blt 0,0,640,480,0, 5156,640,480:waittimer 55053 blt 0,0,640,480,0, 5158,640,480:waittimer 55075 blt 0,0,640,480,0, 5160,640,480:waittimer 55096 blt 0,0,640,480,0, 5162,640,480:waittimer 55117 blt 0,0,640,480,0, 5164,640,480:waittimer 55139 blt 0,0,640,480,0, 5166,640,480:waittimer 55160 blt 0,0,640,480,0, 5168,640,480:waittimer 55181 blt 0,0,640,480,0, 5170,640,480:waittimer 55203 blt 0,0,640,480,0, 5172,640,480:waittimer 55224 blt 0,0,640,480,0, 5174,640,480:waittimer 55245 blt 0,0,640,480,0, 5176,640,480:waittimer 55267 blt 0,0,640,480,0, 5178,640,480:waittimer 55288 blt 0,0,640,480,0, 5180,640,480:waittimer 55310 blt 0,0,640,480,0, 5182,640,480:waittimer 55331 blt 0,0,640,480,0, 5184,640,480:waittimer 55352 blt 0,0,640,480,0, 5186,640,480:waittimer 55374 blt 0,0,640,480,0, 5188,640,480:waittimer 55395 blt 0,0,640,480,0, 5190,640,480:waittimer 55416 blt 0,0,640,480,0, 5192,640,480:waittimer 55438 blt 0,0,640,480,0, 5194,640,480:waittimer 55459 blt 0,0,640,480,0, 5196,640,480:waittimer 55480 blt 0,0,640,480,0, 5198,640,480:waittimer 55502 blt 0,0,640,480,0, 5200,640,480:waittimer 55523 blt 0,0,640,480,0, 5202,640,480:waittimer 55544 blt 0,0,640,480,0, 5204,640,480:waittimer 55566 blt 0,0,640,480,0, 5206,640,480:waittimer 55587 blt 0,0,640,480,0, 5208,640,480:waittimer 55609 blt 0,0,640,480,0, 5210,640,480:waittimer 55630 blt 0,0,640,480,0, 5212,640,480:waittimer 55651 blt 0,0,640,480,0, 5214,640,480:waittimer 55673 blt 0,0,640,480,0, 5216,640,480:waittimer 55694 blt 0,0,640,480,0, 5218,640,480:waittimer 55715 blt 0,0,640,480,0, 5220,640,480:waittimer 55737 blt 0,0,640,480,0, 5222,640,480:waittimer 55758 blt 0,0,640,480,0, 5224,640,480:waittimer 55779 blt 0,0,640,480,0, 5226,640,480:waittimer 55801 blt 0,0,640,480,0, 5228,640,480:waittimer 55822 blt 0,0,640,480,0, 5230,640,480:waittimer 55843 blt 0,0,640,480,0, 5232,640,480:waittimer 55865 blt 0,0,640,480,0, 5234,640,480:waittimer 55886 blt 0,0,640,480,0, 5236,640,480:waittimer 55908 blt 0,0,640,480,0, 5238,640,480:waittimer 55929 blt 0,0,640,480,0, 5240,640,480:waittimer 55950 blt 0,0,640,480,0, 5242,640,480:waittimer 55972 blt 0,0,640,480,0, 5244,640,480:waittimer 55993 blt 0,0,640,480,0, 5246,640,480:waittimer 56014 blt 0,0,640,480,0, 5248,640,480:waittimer 56036 blt 0,0,640,480,0, 5250,640,480:waittimer 56057 blt 0,0,640,480,0, 5252,640,480:waittimer 56078 blt 0,0,640,480,0, 5254,640,480:waittimer 56100 blt 0,0,640,480,0, 5256,640,480:waittimer 56121 blt 0,0,640,480,0, 5258,640,480:waittimer 56142 blt 0,0,640,480,0, 5260,640,480:waittimer 56164 blt 0,0,640,480,0, 5262,640,480:waittimer 56185 blt 0,0,640,480,0, 5264,640,480:waittimer 56206 blt 0,0,640,480,0, 5266,640,480:waittimer 56228 blt 0,0,640,480,0, 5268,640,480:waittimer 56249 blt 0,0,640,480,0, 5270,640,480:waittimer 56271 blt 0,0,640,480,0, 5272,640,480:waittimer 56292 blt 0,0,640,480,0, 5274,640,480:waittimer 56313 blt 0,0,640,480,0, 5276,640,480:waittimer 56335 blt 0,0,640,480,0, 5278,640,480:waittimer 56356 blt 0,0,640,480,0, 5280,640,480:waittimer 56377 blt 0,0,640,480,0, 5282,640,480:waittimer 56399 blt 0,0,640,480,0, 5284,640,480:waittimer 56420 blt 0,0,640,480,0, 5286,640,480:waittimer 56441 blt 0,0,640,480,0, 5288,640,480:waittimer 56463 blt 0,0,640,480,0, 5290,640,480:waittimer 56484 blt 0,0,640,480,0, 5292,640,480:waittimer 56505 blt 0,0,640,480,0, 5294,640,480:waittimer 56527 blt 0,0,640,480,0, 5296,640,480:waittimer 56548 blt 0,0,640,480,0, 5298,640,480:waittimer 56570 blt 0,0,640,480,0, 5300,640,480:waittimer 56591 blt 0,0,640,480,0, 5302,640,480:waittimer 56612 blt 0,0,640,480,0, 5304,640,480:waittimer 56634 blt 0,0,640,480,0, 5306,640,480:waittimer 56655 blt 0,0,640,480,0, 5308,640,480:waittimer 56676 blt 0,0,640,480,0, 5310,640,480:waittimer 56698 blt 0,0,640,480,0, 5312,640,480:waittimer 56719 blt 0,0,640,480,0, 5314,640,480:waittimer 56740 blt 0,0,640,480,0, 5316,640,480:waittimer 56762 blt 0,0,640,480,0, 5318,640,480:waittimer 56783 blt 0,0,640,480,0, 5320,640,480:waittimer 56804 blt 0,0,640,480,0, 5322,640,480:waittimer 56826 blt 0,0,640,480,0, 5324,640,480:waittimer 56847 blt 0,0,640,480,0, 5326,640,480:waittimer 56868 blt 0,0,640,480,0, 5328,640,480:waittimer 56890 blt 0,0,640,480,0, 5330,640,480:waittimer 56911 blt 0,0,640,480,0, 5332,640,480:waittimer 56933 blt 0,0,640,480,0, 5334,640,480:waittimer 56954 blt 0,0,640,480,0, 5336,640,480:waittimer 56975 blt 0,0,640,480,0, 5338,640,480:waittimer 56997 blt 0,0,640,480,0, 5340,640,480:waittimer 57018 blt 0,0,640,480,0, 5342,640,480:waittimer 57039 blt 0,0,640,480,0, 5344,640,480:waittimer 57061 blt 0,0,640,480,0, 5346,640,480:waittimer 57082 blt 0,0,640,480,0, 5348,640,480:waittimer 57103 blt 0,0,640,480,0, 5350,640,480:waittimer 57125 blt 0,0,640,480,0, 5352,640,480:waittimer 57146 blt 0,0,640,480,0, 5354,640,480:waittimer 57167 blt 0,0,640,480,0, 5356,640,480:waittimer 57189 blt 0,0,640,480,0, 5358,640,480:waittimer 57210 blt 0,0,640,480,0, 5360,640,480:waittimer 57232 blt 0,0,640,480,0, 5362,640,480:waittimer 57253 blt 0,0,640,480,0, 5364,640,480:waittimer 57274 blt 0,0,640,480,0, 5366,640,480:waittimer 57296 blt 0,0,640,480,0, 5368,640,480:waittimer 57317 blt 0,0,640,480,0, 5370,640,480:waittimer 57338 blt 0,0,640,480,0, 5372,640,480:waittimer 57360 blt 0,0,640,480,0, 5374,640,480:waittimer 57381 blt 0,0,640,480,0, 5376,640,480:waittimer 57402 blt 0,0,640,480,0, 5378,640,480:waittimer 57424 blt 0,0,640,480,0, 5380,640,480:waittimer 57445 blt 0,0,640,480,0, 5382,640,480:waittimer 57466 blt 0,0,640,480,0, 5384,640,480:waittimer 57488 blt 0,0,640,480,0, 5386,640,480:waittimer 57509 blt 0,0,640,480,0, 5388,640,480:waittimer 57531 blt 0,0,640,480,0, 5390,640,480:waittimer 57552 blt 0,0,640,480,0, 5392,640,480:waittimer 57573 blt 0,0,640,480,0, 5394,640,480:waittimer 57595 blt 0,0,640,480,0, 5396,640,480:waittimer 57616 blt 0,0,640,480,0, 5398,640,480:waittimer 57637 blt 0,0,640,480,0, 5400,640,480:waittimer 57659 blt 0,0,640,480,0, 5402,640,480:waittimer 57680 blt 0,0,640,480,0, 5404,640,480:waittimer 57701 blt 0,0,640,480,0, 5406,640,480:waittimer 57723 blt 0,0,640,480,0, 5408,640,480:waittimer 57744 blt 0,0,640,480,0, 5410,640,480:waittimer 57765 blt 0,0,640,480,0, 5412,640,480:waittimer 57787 blt 0,0,640,480,0, 5414,640,480:waittimer 57808 blt 0,0,640,480,0, 5416,640,480:waittimer 57829 blt 0,0,640,480,0, 5418,640,480:waittimer 57851 blt 0,0,640,480,0, 5420,640,480:waittimer 57872 blt 0,0,640,480,0, 5422,640,480:waittimer 57894 blt 0,0,640,480,0, 5424,640,480:waittimer 57915 blt 0,0,640,480,0, 5426,640,480:waittimer 57936 blt 0,0,640,480,0, 5428,640,480:waittimer 57958 blt 0,0,640,480,0, 5430,640,480:waittimer 57979 blt 0,0,640,480,0, 5432,640,480:waittimer 58000 blt 0,0,640,480,0, 5434,640,480:waittimer 58022 blt 0,0,640,480,0, 5436,640,480:waittimer 58043 blt 0,0,640,480,0, 5438,640,480:waittimer 58064 blt 0,0,640,480,0, 5440,640,480:waittimer 58086 blt 0,0,640,480,0, 5442,640,480:waittimer 58107 blt 0,0,640,480,0, 5444,640,480:waittimer 58128 blt 0,0,640,480,0, 5446,640,480:waittimer 58150 blt 0,0,640,480,0, 5448,640,480:waittimer 58171 blt 0,0,640,480,0, 5450,640,480:waittimer 58193 blt 0,0,640,480,0, 5452,640,480:waittimer 58214 blt 0,0,640,480,0, 5454,640,480:waittimer 58235 blt 0,0,640,480,0, 5456,640,480:waittimer 58257 blt 0,0,640,480,0, 5458,640,480:waittimer 58278 blt 0,0,640,480,0, 5460,640,480:waittimer 58299 blt 0,0,640,480,0, 5462,640,480:waittimer 58321 blt 0,0,640,480,0, 5464,640,480:waittimer 58342 blt 0,0,640,480,0, 5466,640,480:waittimer 58363 blt 0,0,640,480,0, 5468,640,480:waittimer 58385 blt 0,0,640,480,0, 5470,640,480:waittimer 58406 blt 0,0,640,480,0, 5472,640,480:waittimer 58427 blt 0,0,640,480,0, 5474,640,480:waittimer 58449 blt 0,0,640,480,0, 5476,640,480:waittimer 58470 blt 0,0,640,480,0, 5478,640,480:waittimer 58491 blt 0,0,640,480,0, 5480,640,480:waittimer 58513 blt 0,0,640,480,0, 5482,640,480:waittimer 58534 blt 0,0,640,480,0, 5484,640,480:waittimer 58556 blt 0,0,640,480,0, 5486,640,480:waittimer 58577 blt 0,0,640,480,0, 5488,640,480:waittimer 58598 blt 0,0,640,480,0, 5490,640,480:waittimer 58620 blt 0,0,640,480,0, 5492,640,480:waittimer 58641 blt 0,0,640,480,0, 5494,640,480:waittimer 58662 blt 0,0,640,480,0, 5496,640,480:waittimer 58684 blt 0,0,640,480,0, 5498,640,480:waittimer 58705 blt 0,0,640,480,0, 5500,640,480:waittimer 58726 blt 0,0,640,480,0, 5502,640,480:waittimer 58748 blt 0,0,640,480,0, 5504,640,480:waittimer 58769 blt 0,0,640,480,0, 5506,640,480:waittimer 58790 blt 0,0,640,480,0, 5508,640,480:waittimer 58812 blt 0,0,640,480,0, 5510,640,480:waittimer 58833 blt 0,0,640,480,0, 5512,640,480:waittimer 58855 blt 0,0,640,480,0, 5514,640,480:waittimer 58876 blt 0,0,640,480,0, 5516,640,480:waittimer 58897 blt 0,0,640,480,0, 5518,640,480:waittimer 58919 blt 0,0,640,480,0, 5520,640,480:waittimer 58940 blt 0,0,640,480,0, 5522,640,480:waittimer 58961 blt 0,0,640,480,0, 5524,640,480:waittimer 58983 blt 0,0,640,480,0, 5526,640,480:waittimer 59004 blt 0,0,640,480,0, 5528,640,480:waittimer 59025 blt 0,0,640,480,0, 5530,640,480:waittimer 59047 blt 0,0,640,480,0, 5532,640,480:waittimer 59068 blt 0,0,640,480,0, 5534,640,480:waittimer 59089 blt 0,0,640,480,0, 5536,640,480:waittimer 59111 blt 0,0,640,480,0, 5538,640,480:waittimer 59132 blt 0,0,640,480,0, 5540,640,480:waittimer 59154 blt 0,0,640,480,0, 5542,640,480:waittimer 59175 blt 0,0,640,480,0, 5544,640,480:waittimer 59196 blt 0,0,640,480,0, 5546,640,480:waittimer 59218 blt 0,0,640,480,0, 5548,640,480:waittimer 59239 blt 0,0,640,480,0, 5550,640,480:waittimer 59260 blt 0,0,640,480,0, 5552,640,480:waittimer 59282 blt 0,0,640,480,0, 5554,640,480:waittimer 59303 blt 0,0,640,480,0, 5556,640,480:waittimer 59324 blt 0,0,640,480,0, 5558,640,480:waittimer 59346 blt 0,0,640,480,0, 5560,640,480:waittimer 59367 blt 0,0,640,480,0, 5562,640,480:waittimer 59388 blt 0,0,640,480,0, 5564,640,480:waittimer 59410 blt 0,0,640,480,0, 5566,640,480:waittimer 59431 blt 0,0,640,480,0, 5568,640,480:waittimer 59452 blt 0,0,640,480,0, 5570,640,480:waittimer 59474 blt 0,0,640,480,0, 5572,640,480:waittimer 59495 blt 0,0,640,480,0, 5574,640,480:waittimer 59517 blt 0,0,640,480,0, 5576,640,480:waittimer 59538 blt 0,0,640,480,0, 5578,640,480:waittimer 59559 blt 0,0,640,480,0, 5580,640,480:waittimer 59581 blt 0,0,640,480,0, 5582,640,480:waittimer 59602 blt 0,0,640,480,0, 5584,640,480:waittimer 59623 blt 0,0,640,480,0, 5586,640,480:waittimer 59645 blt 0,0,640,480,0, 5588,640,480:waittimer 59666 blt 0,0,640,480,0, 5590,640,480:waittimer 59687 blt 0,0,640,480,0, 5592,640,480:waittimer 59709 blt 0,0,640,480,0, 5594,640,480:waittimer 59730 blt 0,0,640,480,0, 5596,640,480:waittimer 59751 blt 0,0,640,480,0, 5598,640,480:waittimer 59773 blt 0,0,640,480,0, 5600,640,480:waittimer 59794 blt 0,0,640,480,0, 5602,640,480:waittimer 59816 blt 0,0,640,480,0, 5604,640,480:waittimer 59837 blt 0,0,640,480,0, 5606,640,480:waittimer 59858 blt 0,0,640,480,0, 5608,640,480:waittimer 59880 blt 0,0,640,480,0, 5610,640,480:waittimer 59901 blt 0,0,640,480,0, 5612,640,480:waittimer 59922 blt 0,0,640,480,0, 5614,640,480:waittimer 59944 blt 0,0,640,480,0, 5616,640,480:waittimer 59965 blt 0,0,640,480,0, 5618,640,480:waittimer 59986 blt 0,0,640,480,0, 5620,640,480:waittimer 60008 blt 0,0,640,480,0, 5622,640,480:waittimer 60029 blt 0,0,640,480,0, 5624,640,480:waittimer 60050 blt 0,0,640,480,0, 5626,640,480:waittimer 60072 blt 0,0,640,480,0, 5628,640,480:waittimer 60093 blt 0,0,640,480,0, 5630,640,480:waittimer 60114 blt 0,0,640,480,0, 5632,640,480:waittimer 60136 blt 0,0,640,480,0, 5634,640,480:waittimer 60157 blt 0,0,640,480,0, 5636,640,480:waittimer 60179 blt 0,0,640,480,0, 5638,640,480:waittimer 60200 blt 0,0,640,480,0, 5640,640,480:waittimer 60221 blt 0,0,640,480,0, 5642,640,480:waittimer 60243 blt 0,0,640,480,0, 5644,640,480:waittimer 60264 blt 0,0,640,480,0, 5646,640,480:waittimer 60285 blt 0,0,640,480,0, 5648,640,480:waittimer 60307 blt 0,0,640,480,0, 5650,640,480:waittimer 60328 blt 0,0,640,480,0, 5652,640,480:waittimer 60349 blt 0,0,640,480,0, 5654,640,480:waittimer 60371 blt 0,0,640,480,0, 5656,640,480:waittimer 60392 blt 0,0,640,480,0, 5658,640,480:waittimer 60413 blt 0,0,640,480,0, 5660,640,480:waittimer 60435 blt 0,0,640,480,0, 5662,640,480:waittimer 60456 blt 0,0,640,480,0, 5664,640,480:waittimer 60478 blt 0,0,640,480,0, 5666,640,480:waittimer 60499 blt 0,0,640,480,0, 5668,640,480:waittimer 60520 blt 0,0,640,480,0, 5670,640,480:waittimer 60542 blt 0,0,640,480,0, 5672,640,480:waittimer 60563 blt 0,0,640,480,0, 5674,640,480:waittimer 60584 blt 0,0,640,480,0, 5676,640,480:waittimer 60606 blt 0,0,640,480,0, 5678,640,480:waittimer 60627 blt 0,0,640,480,0, 5680,640,480:waittimer 60648 blt 0,0,640,480,0, 5682,640,480:waittimer 60670 blt 0,0,640,480,0, 5684,640,480:waittimer 60691 blt 0,0,640,480,0, 5686,640,480:waittimer 60712 blt 0,0,640,480,0, 5688,640,480:waittimer 60734 blt 0,0,640,480,0, 5690,640,480:waittimer 60755 blt 0,0,640,480,0, 5692,640,480:waittimer 60777 blt 0,0,640,480,0, 5694,640,480:waittimer 60798 blt 0,0,640,480,0, 5696,640,480:waittimer 60819 blt 0,0,640,480,0, 5698,640,480:waittimer 60841 blt 0,0,640,480,0, 5700,640,480:waittimer 60862 blt 0,0,640,480,0, 5702,640,480:waittimer 60883 blt 0,0,640,480,0, 5704,640,480:waittimer 60905 blt 0,0,640,480,0, 5706,640,480:waittimer 60926 blt 0,0,640,480,0, 5708,640,480:waittimer 60947 blt 0,0,640,480,0, 5710,640,480:waittimer 60969 blt 0,0,640,480,0, 5712,640,480:waittimer 60990 blt 0,0,640,480,0, 5714,640,480:waittimer 61011 blt 0,0,640,480,0, 5716,640,480:waittimer 61033 blt 0,0,640,480,0, 5718,640,480:waittimer 61054 blt 0,0,640,480,0, 5720,640,480:waittimer 61075 blt 0,0,640,480,0, 5722,640,480:waittimer 61097 blt 0,0,640,480,0, 5724,640,480:waittimer 61118 blt 0,0,640,480,0, 5726,640,480:waittimer 61140 blt 0,0,640,480,0, 5728,640,480:waittimer 61161 blt 0,0,640,480,0, 5730,640,480:waittimer 61182 blt 0,0,640,480,0, 5732,640,480:waittimer 61204 blt 0,0,640,480,0, 5734,640,480:waittimer 61225 blt 0,0,640,480,0, 5736,640,480:waittimer 61246 blt 0,0,640,480,0, 5738,640,480:waittimer 61268 blt 0,0,640,480,0, 5740,640,480:waittimer 61289 blt 0,0,640,480,0, 5742,640,480:waittimer 61310 blt 0,0,640,480,0, 5744,640,480:waittimer 61332 blt 0,0,640,480,0, 5746,640,480:waittimer 61353 blt 0,0,640,480,0, 5748,640,480:waittimer 61374 blt 0,0,640,480,0, 5750,640,480:waittimer 61396 blt 0,0,640,480,0, 5752,640,480:waittimer 61417 blt 0,0,640,480,0, 5754,640,480:waittimer 61439 blt 0,0,640,480,0, 5756,640,480:waittimer 61460 blt 0,0,640,480,0, 5758,640,480:waittimer 61481 blt 0,0,640,480,0, 5760,640,480:waittimer 61503 blt 0,0,640,480,0, 5762,640,480:waittimer 61524 blt 0,0,640,480,0, 5764,640,480:waittimer 61545 blt 0,0,640,480,0, 5766,640,480:waittimer 61567 blt 0,0,640,480,0, 5768,640,480:waittimer 61588 blt 0,0,640,480,0, 5770,640,480:waittimer 61609 blt 0,0,640,480,0, 5772,640,480:waittimer 61631 blt 0,0,640,480,0, 5774,640,480:waittimer 61652 blt 0,0,640,480,0, 5776,640,480:waittimer 61673 blt 0,0,640,480,0, 5778,640,480:waittimer 61695 blt 0,0,640,480,0, 5780,640,480:waittimer 61716 blt 0,0,640,480,0, 5782,640,480:waittimer 61737 blt 0,0,640,480,0, 5784,640,480:waittimer 61759 blt 0,0,640,480,0, 5786,640,480:waittimer 61780 blt 0,0,640,480,0, 5788,640,480:waittimer 61802 blt 0,0,640,480,0, 5790,640,480:waittimer 61823 blt 0,0,640,480,0, 5792,640,480:waittimer 61844 blt 0,0,640,480,0, 5794,640,480:waittimer 61866 blt 0,0,640,480,0, 5796,640,480:waittimer 61887 blt 0,0,640,480,0, 5798,640,480:waittimer 61908 blt 0,0,640,480,0, 5800,640,480:waittimer 61930 blt 0,0,640,480,0, 5802,640,480:waittimer 61951 blt 0,0,640,480,0, 5804,640,480:waittimer 61972 blt 0,0,640,480,0, 5806,640,480:waittimer 61994 blt 0,0,640,480,0, 5808,640,480:waittimer 62015 blt 0,0,640,480,0, 5810,640,480:waittimer 62036 blt 0,0,640,480,0, 5812,640,480:waittimer 62058 blt 0,0,640,480,0, 5814,640,480:waittimer 62079 blt 0,0,640,480,0, 5816,640,480:waittimer 62101 blt 0,0,640,480,0, 5818,640,480:waittimer 62122 blt 0,0,640,480,0, 5820,640,480:waittimer 62143 blt 0,0,640,480,0, 5822,640,480:waittimer 62165 blt 0,0,640,480,0, 5824,640,480:waittimer 62186 blt 0,0,640,480,0, 5826,640,480:waittimer 62207 blt 0,0,640,480,0, 5828,640,480:waittimer 62229 blt 0,0,640,480,0, 5830,640,480:waittimer 62250 blt 0,0,640,480,0, 5832,640,480:waittimer 62271 blt 0,0,640,480,0, 5834,640,480:waittimer 62293 blt 0,0,640,480,0, 5836,640,480:waittimer 62314 blt 0,0,640,480,0, 5838,640,480:waittimer 62335 blt 0,0,640,480,0, 5840,640,480:waittimer 62357 blt 0,0,640,480,0, 5842,640,480:waittimer 62378 blt 0,0,640,480,0, 5844,640,480:waittimer 62399 blt 0,0,640,480,0, 5846,640,480:waittimer 62421 blt 0,0,640,480,0, 5848,640,480:waittimer 62442 blt 0,0,640,480,0, 5850,640,480:waittimer 62464 blt 0,0,640,480,0, 5852,640,480:waittimer 62485 blt 0,0,640,480,0, 5854,640,480:waittimer 62506 blt 0,0,640,480,0, 5856,640,480:waittimer 62528 blt 0,0,640,480,0, 5858,640,480:waittimer 62549 blt 0,0,640,480,0, 5860,640,480:waittimer 62570 blt 0,0,640,480,0, 5862,640,480:waittimer 62592 blt 0,0,640,480,0, 5864,640,480:waittimer 62613 blt 0,0,640,480,0, 5866,640,480:waittimer 62634 blt 0,0,640,480,0, 5868,640,480:waittimer 62656 blt 0,0,640,480,0, 5870,640,480:waittimer 62677 blt 0,0,640,480,0, 5872,640,480:waittimer 62698 blt 0,0,640,480,0, 5874,640,480:waittimer 62720 blt 0,0,640,480,0, 5876,640,480:waittimer 62741 blt 0,0,640,480,0, 5878,640,480:waittimer 62763 blt 0,0,640,480,0, 5880,640,480:waittimer 62784 blt 0,0,640,480,0, 5882,640,480:waittimer 62805 blt 0,0,640,480,0, 5884,640,480:waittimer 62827 blt 0,0,640,480,0, 5886,640,480:waittimer 62848 blt 0,0,640,480,0, 5888,640,480:waittimer 62869 blt 0,0,640,480,0, 5890,640,480:waittimer 62891 blt 0,0,640,480,0, 5892,640,480:waittimer 62912 blt 0,0,640,480,0, 5894,640,480:waittimer 62933 blt 0,0,640,480,0, 5896,640,480:waittimer 62955 blt 0,0,640,480,0, 5898,640,480:waittimer 62976 blt 0,0,640,480,0, 5900,640,480:waittimer 62997 blt 0,0,640,480,0, 5902,640,480:waittimer 63019 blt 0,0,640,480,0, 5904,640,480:waittimer 63040 blt 0,0,640,480,0, 5906,640,480:waittimer 63062 blt 0,0,640,480,0, 5908,640,480:waittimer 63083 blt 0,0,640,480,0, 5910,640,480:waittimer 63104 blt 0,0,640,480,0, 5912,640,480:waittimer 63126 blt 0,0,640,480,0, 5914,640,480:waittimer 63147 blt 0,0,640,480,0, 5916,640,480:waittimer 63168 blt 0,0,640,480,0, 5918,640,480:waittimer 63190 blt 0,0,640,480,0, 5920,640,480:waittimer 63211 blt 0,0,640,480,0, 5922,640,480:waittimer 63232 blt 0,0,640,480,0, 5924,640,480:waittimer 63254 blt 0,0,640,480,0, 5926,640,480:waittimer 63275 blt 0,0,640,480,0, 5928,640,480:waittimer 63296 blt 0,0,640,480,0, 5930,640,480:waittimer 63318 blt 0,0,640,480,0, 5932,640,480:waittimer 63339 blt 0,0,640,480,0, 5934,640,480:waittimer 63360 blt 0,0,640,480,0, 5936,640,480:waittimer 63382 blt 0,0,640,480,0, 5938,640,480:waittimer 63403 blt 0,0,640,480,0, 5940,640,480:waittimer 63425 blt 0,0,640,480,0, 5942,640,480:waittimer 63446 blt 0,0,640,480,0, 5944,640,480:waittimer 63467 blt 0,0,640,480,0, 5946,640,480:waittimer 63489 blt 0,0,640,480,0, 5948,640,480:waittimer 63510 blt 0,0,640,480,0, 5950,640,480:waittimer 63531 blt 0,0,640,480,0, 5952,640,480:waittimer 63553 blt 0,0,640,480,0, 5954,640,480:waittimer 63574 blt 0,0,640,480,0, 5956,640,480:waittimer 63595 blt 0,0,640,480,0, 5958,640,480:waittimer 63617 blt 0,0,640,480,0, 5960,640,480:waittimer 63638 blt 0,0,640,480,0, 5962,640,480:waittimer 63659 blt 0,0,640,480,0, 5964,640,480:waittimer 63681 blt 0,0,640,480,0, 5966,640,480:waittimer 63702 blt 0,0,640,480,0, 5968,640,480:waittimer 63724 blt 0,0,640,480,0, 5970,640,480:waittimer 63745 blt 0,0,640,480,0, 5972,640,480:waittimer 63766 blt 0,0,640,480,0, 5974,640,480:waittimer 63788 blt 0,0,640,480,0, 5976,640,480:waittimer 63809 blt 0,0,640,480,0, 5978,640,480:waittimer 63830 blt 0,0,640,480,0, 5980,640,480:waittimer 63852 blt 0,0,640,480,0, 5982,640,480:waittimer 63873 blt 0,0,640,480,0, 5984,640,480:waittimer 63894 blt 0,0,640,480,0, 5986,640,480:waittimer 63916 blt 0,0,640,480,0, 5988,640,480:waittimer 63937 blt 0,0,640,480,0, 5990,640,480:waittimer 63958 blt 0,0,640,480,0, 5992,640,480:waittimer 63980 blt 0,0,640,480,0, 5994,640,480:waittimer 64001 blt 0,0,640,480,0, 5996,640,480:waittimer 64022 blt 0,0,640,480,0, 5998,640,480:waittimer 64044 blt 0,0,640,480,0, 6000,640,480:waittimer 64065 blt 0,0,640,480,0, 6002,640,480:waittimer 64087 blt 0,0,640,480,0, 6004,640,480:waittimer 64108 blt 0,0,640,480,0, 6006,640,480:waittimer 64129 blt 0,0,640,480,0, 6008,640,480:waittimer 64151 blt 0,0,640,480,0, 6010,640,480:waittimer 64172 blt 0,0,640,480,0, 6012,640,480:waittimer 64193 blt 0,0,640,480,0, 6014,640,480:waittimer 64215 blt 0,0,640,480,0, 6016,640,480:waittimer 64236 blt 0,0,640,480,0, 6018,640,480:waittimer 64257 blt 0,0,640,480,0, 6020,640,480:waittimer 64279 blt 0,0,640,480,0, 6022,640,480:waittimer 64300 blt 0,0,640,480,0, 6024,640,480:waittimer 64321 blt 0,0,640,480,0, 6026,640,480:waittimer 64343 blt 0,0,640,480,0, 6028,640,480:waittimer 64364 blt 0,0,640,480,0, 6030,640,480:waittimer 64386 blt 0,0,640,480,0, 6032,640,480:waittimer 64407 blt 0,0,640,480,0, 6034,640,480:waittimer 64428 blt 0,0,640,480,0, 6036,640,480:waittimer 64450 blt 0,0,640,480,0, 6038,640,480:waittimer 64471 blt 0,0,640,480,0, 6040,640,480:waittimer 64492 blt 0,0,640,480,0, 6042,640,480:waittimer 64514 blt 0,0,640,480,0, 6044,640,480:waittimer 64535 blt 0,0,640,480,0, 6046,640,480:waittimer 64556 blt 0,0,640,480,0, 6048,640,480:waittimer 64578 blt 0,0,640,480,0, 6050,640,480:waittimer 64599 blt 0,0,640,480,0, 6052,640,480:waittimer 64620 blt 0,0,640,480,0, 6054,640,480:waittimer 64642 blt 0,0,640,480,0, 6056,640,480:waittimer 64663 blt 0,0,640,480,0, 6058,640,480:waittimer 64685 blt 0,0,640,480,0, 6060,640,480:waittimer 64706 blt 0,0,640,480,0, 6062,640,480:waittimer 64727 blt 0,0,640,480,0, 6064,640,480:waittimer 64749 blt 0,0,640,480,0, 6066,640,480:waittimer 64770 blt 0,0,640,480,0, 6068,640,480:waittimer 64791 blt 0,0,640,480,0, 6070,640,480:waittimer 64813 blt 0,0,640,480,0, 6072,640,480:waittimer 64834 blt 0,0,640,480,0, 6074,640,480:waittimer 64855 blt 0,0,640,480,0, 6076,640,480:waittimer 64877 blt 0,0,640,480,0, 6078,640,480:waittimer 64898 blt 0,0,640,480,0, 6080,640,480:waittimer 64919 blt 0,0,640,480,0, 6082,640,480:waittimer 64941 blt 0,0,640,480,0, 6084,640,480:waittimer 64962 blt 0,0,640,480,0, 6086,640,480:waittimer 64983 blt 0,0,640,480,0, 6088,640,480:waittimer 65005 blt 0,0,640,480,0, 6090,640,480:waittimer 65026 blt 0,0,640,480,0, 6092,640,480:waittimer 65048 blt 0,0,640,480,0, 6094,640,480:waittimer 65069 blt 0,0,640,480,0, 6096,640,480:waittimer 65090 blt 0,0,640,480,0, 6098,640,480:waittimer 65112 blt 0,0,640,480,0, 6100,640,480:waittimer 65133 blt 0,0,640,480,0, 6102,640,480:waittimer 65154 blt 0,0,640,480,0, 6104,640,480:waittimer 65176 blt 0,0,640,480,0, 6106,640,480:waittimer 65197 blt 0,0,640,480,0, 6108,640,480:waittimer 65218 blt 0,0,640,480,0, 6110,640,480:waittimer 65240 blt 0,0,640,480,0, 6112,640,480:waittimer 65261 blt 0,0,640,480,0, 6114,640,480:waittimer 65282 blt 0,0,640,480,0, 6116,640,480:waittimer 65304 blt 0,0,640,480,0, 6118,640,480:waittimer 65325 blt 0,0,640,480,0, 6120,640,480:waittimer 65347 blt 0,0,640,480,0, 6122,640,480:waittimer 65368 blt 0,0,640,480,0, 6124,640,480:waittimer 65389 blt 0,0,640,480,0, 6126,640,480:waittimer 65411 blt 0,0,640,480,0, 6128,640,480:waittimer 65432 blt 0,0,640,480,0, 6130,640,480:waittimer 65453 blt 0,0,640,480,0, 6132,640,480:waittimer 65475 blt 0,0,640,480,0, 6134,640,480:waittimer 65496 blt 0,0,640,480,0, 6136,640,480:waittimer 65517 blt 0,0,640,480,0, 6138,640,480:waittimer 65539 blt 0,0,640,480,0, 6140,640,480:waittimer 65560 blt 0,0,640,480,0, 6142,640,480:waittimer 65581 blt 0,0,640,480,0, 6144,640,480:waittimer 65603 blt 0,0,640,480,0, 6146,640,480:waittimer 65624 blt 0,0,640,480,0, 6148,640,480:waittimer 65645 blt 0,0,640,480,0, 6150,640,480:waittimer 65667 blt 0,0,640,480,0, 6152,640,480:waittimer 65688 blt 0,0,640,480,0, 6154,640,480:waittimer 65710 blt 0,0,640,480,0, 6156,640,480:waittimer 65731 blt 0,0,640,480,0, 6158,640,480:waittimer 65752 blt 0,0,640,480,0, 6160,640,480:waittimer 65774 blt 0,0,640,480,0, 6162,640,480:waittimer 65795 blt 0,0,640,480,0, 6164,640,480:waittimer 65816 blt 0,0,640,480,0, 6166,640,480:waittimer 65838 blt 0,0,640,480,0, 6168,640,480:waittimer 65859 blt 0,0,640,480,0, 6170,640,480:waittimer 65880 blt 0,0,640,480,0, 6172,640,480:waittimer 65902 blt 0,0,640,480,0, 6174,640,480:waittimer 65923 blt 0,0,640,480,0, 6176,640,480:waittimer 65944 blt 0,0,640,480,0, 6178,640,480:waittimer 65966 blt 0,0,640,480,0, 6180,640,480:waittimer 65987 blt 0,0,640,480,0, 6182,640,480:waittimer 66009 blt 0,0,640,480,0, 6184,640,480:waittimer 66030 blt 0,0,640,480,0, 6186,640,480:waittimer 66051 blt 0,0,640,480,0, 6188,640,480:waittimer 66073 blt 0,0,640,480,0, 6190,640,480:waittimer 66094 blt 0,0,640,480,0, 6192,640,480:waittimer 66115 blt 0,0,640,480,0, 6194,640,480:waittimer 66137 blt 0,0,640,480,0, 6196,640,480:waittimer 66158 blt 0,0,640,480,0, 6198,640,480:waittimer 66179 blt 0,0,640,480,0, 6200,640,480:waittimer 66201 blt 0,0,640,480,0, 6202,640,480:waittimer 66222 blt 0,0,640,480,0, 6204,640,480:waittimer 66243 blt 0,0,640,480,0, 6206,640,480:waittimer 66265 blt 0,0,640,480,0, 6208,640,480:waittimer 66286 blt 0,0,640,480,0, 6210,640,480:waittimer 66308 blt 0,0,640,480,0, 6212,640,480:waittimer 66329 blt 0,0,640,480,0, 6214,640,480:waittimer 66350 blt 0,0,640,480,0, 6216,640,480:waittimer 66372 blt 0,0,640,480,0, 6218,640,480:waittimer 66393 blt 0,0,640,480,0, 6220,640,480:waittimer 66414 blt 0,0,640,480,0, 6222,640,480:waittimer 66436 blt 0,0,640,480,0, 6224,640,480:waittimer 66457 blt 0,0,640,480,0, 6226,640,480:waittimer 66478 blt 0,0,640,480,0, 6228,640,480:waittimer 66500 blt 0,0,640,480,0, 6230,640,480:waittimer 66521 blt 0,0,640,480,0, 6232,640,480:waittimer 66542 blt 0,0,640,480,0, 6234,640,480:waittimer 66564 blt 0,0,640,480,0, 6236,640,480:waittimer 66585 blt 0,0,640,480,0, 6238,640,480:waittimer 66606 blt 0,0,640,480,0, 6240,640,480:waittimer 66628 blt 0,0,640,480,0, 6242,640,480:waittimer 66649 blt 0,0,640,480,0, 6244,640,480:waittimer 66671 blt 0,0,640,480,0, 6246,640,480:waittimer 66692 blt 0,0,640,480,0, 6248,640,480:waittimer 66713 blt 0,0,640,480,0, 6250,640,480:waittimer 66735 blt 0,0,640,480,0, 6252,640,480:waittimer 66756 blt 0,0,640,480,0, 6254,640,480:waittimer 66777 blt 0,0,640,480,0, 6256,640,480:waittimer 66799 blt 0,0,640,480,0, 6258,640,480:waittimer 66820 blt 0,0,640,480,0, 6260,640,480:waittimer 66841 blt 0,0,640,480,0, 6262,640,480:waittimer 66863 blt 0,0,640,480,0, 6264,640,480:waittimer 66884 blt 0,0,640,480,0, 6266,640,480:waittimer 66905 blt 0,0,640,480,0, 6268,640,480:waittimer 66927 blt 0,0,640,480,0, 6270,640,480:waittimer 66948 blt 0,0,640,480,0, 6272,640,480:waittimer 66970 blt 0,0,640,480,0, 6274,640,480:waittimer 66991 blt 0,0,640,480,0, 6276,640,480:waittimer 67012 blt 0,0,640,480,0, 6278,640,480:waittimer 67034 blt 0,0,640,480,0, 6280,640,480:waittimer 67055 blt 0,0,640,480,0, 6282,640,480:waittimer 67076 blt 0,0,640,480,0, 6284,640,480:waittimer 67098 blt 0,0,640,480,0, 6286,640,480:waittimer 67119 blt 0,0,640,480,0, 6288,640,480:waittimer 67140 blt 0,0,640,480,0, 6290,640,480:waittimer 67162 blt 0,0,640,480,0, 6292,640,480:waittimer 67183 blt 0,0,640,480,0, 6294,640,480:waittimer 67204 blt 0,0,640,480,0, 6296,640,480:waittimer 67226 blt 0,0,640,480,0, 6298,640,480:waittimer 67247 blt 0,0,640,480,0, 6300,640,480:waittimer 67268 blt 0,0,640,480,0, 6302,640,480:waittimer 67290 blt 0,0,640,480,0, 6304,640,480:waittimer 67311 blt 0,0,640,480,0, 6306,640,480:waittimer 67333 blt 0,0,640,480,0, 6308,640,480:waittimer 67354 blt 0,0,640,480,0, 6310,640,480:waittimer 67375 blt 0,0,640,480,0, 6312,640,480:waittimer 67397 blt 0,0,640,480,0, 6314,640,480:waittimer 67418 blt 0,0,640,480,0, 6316,640,480:waittimer 67439 blt 0,0,640,480,0, 6318,640,480:waittimer 67461 blt 0,0,640,480,0, 6320,640,480:waittimer 67482 blt 0,0,640,480,0, 6322,640,480:waittimer 67503 blt 0,0,640,480,0, 6324,640,480:waittimer 67525 blt 0,0,640,480,0, 6326,640,480:waittimer 67546 blt 0,0,640,480,0, 6328,640,480:waittimer 67567 blt 0,0,640,480,0, 6330,640,480:waittimer 67589 blt 0,0,640,480,0, 6332,640,480:waittimer 67610 blt 0,0,640,480,0, 6334,640,480:waittimer 67632 blt 0,0,640,480,0, 6336,640,480:waittimer 67653 blt 0,0,640,480,0, 6338,640,480:waittimer 67674 blt 0,0,640,480,0, 6340,640,480:waittimer 67696 blt 0,0,640,480,0, 6342,640,480:waittimer 67717 blt 0,0,640,480,0, 6344,640,480:waittimer 67738 blt 0,0,640,480,0, 6346,640,480:waittimer 67760 blt 0,0,640,480,0, 6348,640,480:waittimer 67781 blt 0,0,640,480,0, 6350,640,480:waittimer 67802 blt 0,0,640,480,0, 6352,640,480:waittimer 67824 blt 0,0,640,480,0, 6354,640,480:waittimer 67845 blt 0,0,640,480,0, 6356,640,480:waittimer 67866 blt 0,0,640,480,0, 6358,640,480:waittimer 67888 blt 0,0,640,480,0, 6360,640,480:waittimer 67909 blt 0,0,640,480,0, 6362,640,480:waittimer 67931 blt 0,0,640,480,0, 6364,640,480:waittimer 67952 blt 0,0,640,480,0, 6366,640,480:waittimer 67973 blt 0,0,640,480,0, 6368,640,480:waittimer 67995 blt 0,0,640,480,0, 6370,640,480:waittimer 68016 blt 0,0,640,480,0, 6372,640,480:waittimer 68037 blt 0,0,640,480,0, 6374,640,480:waittimer 68059 blt 0,0,640,480,0, 6376,640,480:waittimer 68080 blt 0,0,640,480,0, 6378,640,480:waittimer 68101 blt 0,0,640,480,0, 6380,640,480:waittimer 68123 blt 0,0,640,480,0, 6382,640,480:waittimer 68144 blt 0,0,640,480,0, 6384,640,480:waittimer 68165 blt 0,0,640,480,0, 6386,640,480:waittimer 68187 blt 0,0,640,480,0, 6388,640,480:waittimer 68208 blt 0,0,640,480,0, 6390,640,480:waittimer 68229 blt 0,0,640,480,0, 6392,640,480:waittimer 68251 blt 0,0,640,480,0, 6394,640,480:waittimer 68272 blt 0,0,640,480,0, 6396,640,480:waittimer 68294 blt 0,0,640,480,0, 6398,640,480:waittimer 68315 blt 0,0,640,480,0, 6400,640,480:waittimer 68336 blt 0,0,640,480,0, 6402,640,480:waittimer 68358 blt 0,0,640,480,0, 6404,640,480:waittimer 68379 blt 0,0,640,480,0, 6406,640,480:waittimer 68400 blt 0,0,640,480,0, 6408,640,480:waittimer 68422 blt 0,0,640,480,0, 6410,640,480:waittimer 68443 blt 0,0,640,480,0, 6412,640,480:waittimer 68464 blt 0,0,640,480,0, 6414,640,480:waittimer 68486 blt 0,0,640,480,0, 6416,640,480:waittimer 68507 blt 0,0,640,480,0, 6418,640,480:waittimer 68528 blt 0,0,640,480,0, 6420,640,480:waittimer 68550 blt 0,0,640,480,0, 6422,640,480:waittimer 68571 blt 0,0,640,480,0, 6424,640,480:waittimer 68593 blt 0,0,640,480,0, 6426,640,480:waittimer 68614 blt 0,0,640,480,0, 6428,640,480:waittimer 68635 blt 0,0,640,480,0, 6430,640,480:waittimer 68657 blt 0,0,640,480,0, 6432,640,480:waittimer 68678 blt 0,0,640,480,0, 6434,640,480:waittimer 68699 blt 0,0,640,480,0, 6436,640,480:waittimer 68721 blt 0,0,640,480,0, 6438,640,480:waittimer 68742 blt 0,0,640,480,0, 6440,640,480:waittimer 68763 blt 0,0,640,480,0, 6442,640,480:waittimer 68785 blt 0,0,640,480,0, 6444,640,480:waittimer 68806 blt 0,0,640,480,0, 6446,640,480:waittimer 68827 blt 0,0,640,480,0, 6448,640,480:waittimer 68849 blt 0,0,640,480,0, 6450,640,480:waittimer 68870 blt 0,0,640,480,0, 6452,640,480:waittimer 68891 blt 0,0,640,480,0, 6454,640,480:waittimer 68913 blt 0,0,640,480,0, 6456,640,480:waittimer 68934 blt 0,0,640,480,0, 6458,640,480:waittimer 68956 blt 0,0,640,480,0, 6460,640,480:waittimer 68977 blt 0,0,640,480,0, 6462,640,480:waittimer 68998 blt 0,0,640,480,0, 6464,640,480:waittimer 69020 blt 0,0,640,480,0, 6466,640,480:waittimer 69041 blt 0,0,640,480,0, 6468,640,480:waittimer 69062 blt 0,0,640,480,0, 6470,640,480:waittimer 69084 blt 0,0,640,480,0, 6472,640,480:waittimer 69105 blt 0,0,640,480,0, 6474,640,480:waittimer 69126 blt 0,0,640,480,0, 6476,640,480:waittimer 69148 blt 0,0,640,480,0, 6478,640,480:waittimer 69169 blt 0,0,640,480,0, 6480,640,480:waittimer 69190 blt 0,0,640,480,0, 6482,640,480:waittimer 69212 blt 0,0,640,480,0, 6484,640,480:waittimer 69233 blt 0,0,640,480,0, 6486,640,480:waittimer 69255 blt 0,0,640,480,0, 6488,640,480:waittimer 69276 blt 0,0,640,480,0, 6490,640,480:waittimer 69297 blt 0,0,640,480,0, 6492,640,480:waittimer 69319 blt 0,0,640,480,0, 6494,640,480:waittimer 69340 blt 0,0,640,480,0, 6496,640,480:waittimer 69361 blt 0,0,640,480,0, 6498,640,480:waittimer 69383 blt 0,0,640,480,0, 6500,640,480:waittimer 69404 blt 0,0,640,480,0, 6502,640,480:waittimer 69425 blt 0,0,640,480,0, 6504,640,480:waittimer 69447 blt 0,0,640,480,0, 6506,640,480:waittimer 69468 blt 0,0,640,480,0, 6508,640,480:waittimer 69489 blt 0,0,640,480,0, 6510,640,480:waittimer 69511 blt 0,0,640,480,0, 6512,640,480:waittimer 69532 blt 0,0,640,480,0, 6514,640,480:waittimer 69554 blt 0,0,640,480,0, 6516,640,480:waittimer 69575 blt 0,0,640,480,0, 6518,640,480:waittimer 69596 blt 0,0,640,480,0, 6520,640,480:waittimer 69618 blt 0,0,640,480,0, 6522,640,480:waittimer 69639 blt 0,0,640,480,0, 6524,640,480:waittimer 69660 blt 0,0,640,480,0, 6526,640,480:waittimer 69682 blt 0,0,640,480,0, 6528,640,480:waittimer 69703 blt 0,0,640,480,0, 6530,640,480:waittimer 69724 blt 0,0,640,480,0, 6532,640,480:waittimer 69746 blt 0,0,640,480,0, 6534,640,480:waittimer 69767 blt 0,0,640,480,0, 6536,640,480:waittimer 69788 blt 0,0,640,480,0, 6538,640,480:waittimer 69810 blt 0,0,640,480,0, 6540,640,480:waittimer 69831 blt 0,0,640,480,0, 6542,640,480:waittimer 69852 blt 0,0,640,480,0, 6544,640,480:waittimer 69874 blt 0,0,640,480,0, 6546,640,480:waittimer 69895 blt 0,0,640,480,0, 6548,640,480:waittimer 69917 blt 0,0,640,480,0, 6550,640,480:waittimer 69938 blt 0,0,640,480,0, 6552,640,480:waittimer 69959 blt 0,0,640,480,0, 6554,640,480:waittimer 69981 blt 0,0,640,480,0, 6556,640,480:waittimer 70002 blt 0,0,640,480,0, 6558,640,480:waittimer 70023 blt 0,0,640,480,0, 6560,640,480:waittimer 70045 blt 0,0,640,480,0, 6562,640,480:waittimer 70066 blt 0,0,640,480,0, 6564,640,480:waittimer 70087 blt 0,0,640,480,0, 6566,640,480:waittimer 70109 blt 0,0,640,480,0, 6568,640,480:waittimer 70130 blt 0,0,640,480,0, 6570,640,480:waittimer 70151 blt 0,0,640,480,0, 6572,640,480:waittimer 70173 blt 0,0,640,480,0, 6574,640,480:waittimer 70194 blt 0,0,640,480,0, 6576,640,480:waittimer 70216 blt 0,0,640,480,0, 6578,640,480:waittimer 70237 blt 0,0,640,480,0, 6580,640,480:waittimer 70258 blt 0,0,640,480,0, 6582,640,480:waittimer 70280 blt 0,0,640,480,0, 6584,640,480:waittimer 70301 blt 0,0,640,480,0, 6586,640,480:waittimer 70322 blt 0,0,640,480,0, 6588,640,480:waittimer 70344 blt 0,0,640,480,0, 6590,640,480:waittimer 70365 blt 0,0,640,480,0, 6592,640,480:waittimer 70386 blt 0,0,640,480,0, 6594,640,480:waittimer 70408 blt 0,0,640,480,0, 6596,640,480:waittimer 70429 blt 0,0,640,480,0, 6598,640,480:waittimer 70450 blt 0,0,640,480,0, 6600,640,480:waittimer 70472 blt 0,0,640,480,0, 6602,640,480:waittimer 70493 blt 0,0,640,480,0, 6604,640,480:waittimer 70514 blt 0,0,640,480,0, 6606,640,480:waittimer 70536 blt 0,0,640,480,0, 6608,640,480:waittimer 70557 blt 0,0,640,480,0, 6610,640,480:waittimer 70579 blt 0,0,640,480,0, 6612,640,480:waittimer 70600 blt 0,0,640,480,0, 6614,640,480:waittimer 70621 blt 0,0,640,480,0, 6616,640,480:waittimer 70643 blt 0,0,640,480,0, 6618,640,480:waittimer 70664 blt 0,0,640,480,0, 6620,640,480:waittimer 70685 blt 0,0,640,480,0, 6622,640,480:waittimer 70707 blt 0,0,640,480,0, 6624,640,480:waittimer 70728 blt 0,0,640,480,0, 6626,640,480:waittimer 70749 blt 0,0,640,480,0, 6628,640,480:waittimer 70771 blt 0,0,640,480,0, 6630,640,480:waittimer 70792 blt 0,0,640,480,0, 6632,640,480:waittimer 70813 blt 0,0,640,480,0, 6634,640,480:waittimer 70835 blt 0,0,640,480,0, 6636,640,480:waittimer 70856 blt 0,0,640,480,0, 6638,640,480:waittimer 70878 blt 0,0,640,480,0, 6640,640,480:waittimer 70899 blt 0,0,640,480,0, 6642,640,480:waittimer 70920 blt 0,0,640,480,0, 6644,640,480:waittimer 70942 blt 0,0,640,480,0, 6646,640,480:waittimer 70963 blt 0,0,640,480,0, 6648,640,480:waittimer 70984 blt 0,0,640,480,0, 6650,640,480:waittimer 71006 blt 0,0,640,480,0, 6652,640,480:waittimer 71027 blt 0,0,640,480,0, 6654,640,480:waittimer 71048 blt 0,0,640,480,0, 6656,640,480:waittimer 71070 blt 0,0,640,480,0, 6658,640,480:waittimer 71091 blt 0,0,640,480,0, 6660,640,480:waittimer 71112 blt 0,0,640,480,0, 6662,640,480:waittimer 71134 blt 0,0,640,480,0, 6664,640,480:waittimer 71155 blt 0,0,640,480,0, 6666,640,480:waittimer 71177 blt 0,0,640,480,0, 6668,640,480:waittimer 71198 blt 0,0,640,480,0, 6670,640,480:waittimer 71219 blt 0,0,640,480,0, 6672,640,480:waittimer 71241 blt 0,0,640,480,0, 6674,640,480:waittimer 71262 blt 0,0,640,480,0, 6676,640,480:waittimer 71283 blt 0,0,640,480,0, 6678,640,480:waittimer 71305 blt 0,0,640,480,0, 6680,640,480:waittimer 71326 blt 0,0,640,480,0, 6682,640,480:waittimer 71347 blt 0,0,640,480,0, 6684,640,480:waittimer 71369 blt 0,0,640,480,0, 6686,640,480:waittimer 71390 blt 0,0,640,480,0, 6688,640,480:waittimer 71411 blt 0,0,640,480,0, 6690,640,480:waittimer 71433 blt 0,0,640,480,0, 6692,640,480:waittimer 71454 blt 0,0,640,480,0, 6694,640,480:waittimer 71475 blt 0,0,640,480,0, 6696,640,480:waittimer 71497 blt 0,0,640,480,0, 6698,640,480:waittimer 71518 blt 0,0,640,480,0, 6700,640,480:waittimer 71540 blt 0,0,640,480,0, 6702,640,480:waittimer 71561 blt 0,0,640,480,0, 6704,640,480:waittimer 71582 blt 0,0,640,480,0, 6706,640,480:waittimer 71604 blt 0,0,640,480,0, 6708,640,480:waittimer 71625 blt 0,0,640,480,0, 6710,640,480:waittimer 71646 blt 0,0,640,480,0, 6712,640,480:waittimer 71668 blt 0,0,640,480,0, 6714,640,480:waittimer 71689 blt 0,0,640,480,0, 6716,640,480:waittimer 71710 blt 0,0,640,480,0, 6718,640,480:waittimer 71732 blt 0,0,640,480,0, 6720,640,480:waittimer 71753 blt 0,0,640,480,0, 6722,640,480:waittimer 71774 blt 0,0,640,480,0, 6724,640,480:waittimer 71796 blt 0,0,640,480,0, 6726,640,480:waittimer 71817 blt 0,0,640,480,0, 6728,640,480:waittimer 71839 blt 0,0,640,480,0, 6730,640,480:waittimer 71860 blt 0,0,640,480,0, 6732,640,480:waittimer 71881 blt 0,0,640,480,0, 6734,640,480:waittimer 71903 blt 0,0,640,480,0, 6736,640,480:waittimer 71924 blt 0,0,640,480,0, 6738,640,480:waittimer 71945 blt 0,0,640,480,0, 6740,640,480:waittimer 71967 blt 0,0,640,480,0, 6742,640,480:waittimer 71988 blt 0,0,640,480,0, 6744,640,480:waittimer 72009 blt 0,0,640,480,0, 6746,640,480:waittimer 72031 blt 0,0,640,480,0, 6748,640,480:waittimer 72052 blt 0,0,640,480,0, 6750,640,480:waittimer 72073 blt 0,0,640,480,0, 6752,640,480:waittimer 72095 blt 0,0,640,480,0, 6754,640,480:waittimer 72116 blt 0,0,640,480,0, 6756,640,480:waittimer 72137 blt 0,0,640,480,0, 6758,640,480:waittimer 72159 blt 0,0,640,480,0, 6760,640,480:waittimer 72180 blt 0,0,640,480,0, 6762,640,480:waittimer 72202 blt 0,0,640,480,0, 6764,640,480:waittimer 72223 blt 0,0,640,480,0, 6766,640,480:waittimer 72244 blt 0,0,640,480,0, 6768,640,480:waittimer 72266 blt 0,0,640,480,0, 6770,640,480:waittimer 72287 blt 0,0,640,480,0, 6772,640,480:waittimer 72308 blt 0,0,640,480,0, 6774,640,480:waittimer 72330 blt 0,0,640,480,0, 6776,640,480:waittimer 72351 blt 0,0,640,480,0, 6778,640,480:waittimer 72372 blt 0,0,640,480,0, 6780,640,480:waittimer 72394 blt 0,0,640,480,0, 6782,640,480:waittimer 72415 blt 0,0,640,480,0, 6784,640,480:waittimer 72436 blt 0,0,640,480,0, 6786,640,480:waittimer 72458 blt 0,0,640,480,0, 6788,640,480:waittimer 72479 blt 0,0,640,480,0, 6790,640,480:waittimer 72501 blt 0,0,640,480,0, 6792,640,480:waittimer 72522 blt 0,0,640,480,0, 6794,640,480:waittimer 72543 blt 0,0,640,480,0, 6796,640,480:waittimer 72565 blt 0,0,640,480,0, 6798,640,480:waittimer 72586 blt 0,0,640,480,0, 6800,640,480:waittimer 72607 blt 0,0,640,480,0, 6802,640,480:waittimer 72629 blt 0,0,640,480,0, 6804,640,480:waittimer 72650 blt 0,0,640,480,0, 6806,640,480:waittimer 72671 blt 0,0,640,480,0, 6808,640,480:waittimer 72693 blt 0,0,640,480,0, 6810,640,480:waittimer 72714 blt 0,0,640,480,0, 6812,640,480:waittimer 72735 blt 0,0,640,480,0, 6814,640,480:waittimer 72757 blt 0,0,640,480,0, 6816,640,480:waittimer 72778 blt 0,0,640,480,0, 6818,640,480:waittimer 72799 blt 0,0,640,480,0, 6820,640,480:waittimer 72821 blt 0,0,640,480,0, 6822,640,480:waittimer 72842 blt 0,0,640,480,0, 6824,640,480:waittimer 72864 blt 0,0,640,480,0, 6826,640,480:waittimer 72885 blt 0,0,640,480,0, 6828,640,480:waittimer 72906 blt 0,0,640,480,0, 6830,640,480:waittimer 72928 blt 0,0,640,480,0, 6832,640,480:waittimer 72949 blt 0,0,640,480,0, 6834,640,480:waittimer 72970 blt 0,0,640,480,0, 6836,640,480:waittimer 72992 blt 0,0,640,480,0, 6838,640,480:waittimer 73013 blt 0,0,640,480,0, 6840,640,480:waittimer 73034 blt 0,0,640,480,0, 6842,640,480:waittimer 73056 blt 0,0,640,480,0, 6844,640,480:waittimer 73077 blt 0,0,640,480,0, 6846,640,480:waittimer 73098 blt 0,0,640,480,0, 6848,640,480:waittimer 73120 blt 0,0,640,480,0, 6850,640,480:waittimer 73141 blt 0,0,640,480,0, 6852,640,480:waittimer 73163 blt 0,0,640,480,0, 6854,640,480:waittimer 73184 blt 0,0,640,480,0, 6856,640,480:waittimer 73205 blt 0,0,640,480,0, 6858,640,480:waittimer 73227 blt 0,0,640,480,0, 6860,640,480:waittimer 73248 blt 0,0,640,480,0, 6862,640,480:waittimer 73269 blt 0,0,640,480,0, 6864,640,480:waittimer 73291 blt 0,0,640,480,0, 6866,640,480:waittimer 73312 blt 0,0,640,480,0, 6868,640,480:waittimer 73333 blt 0,0,640,480,0, 6870,640,480:waittimer 73355 blt 0,0,640,480,0, 6872,640,480:waittimer 73376 blt 0,0,640,480,0, 6874,640,480:waittimer 73397 blt 0,0,640,480,0, 6876,640,480:waittimer 73419 blt 0,0,640,480,0, 6878,640,480:waittimer 73440 blt 0,0,640,480,0, 6880,640,480:waittimer 73462 blt 0,0,640,480,0, 6882,640,480:waittimer 73483 blt 0,0,640,480,0, 6884,640,480:waittimer 73504 blt 0,0,640,480,0, 6886,640,480:waittimer 73526 blt 0,0,640,480,0, 6888,640,480:waittimer 73547 blt 0,0,640,480,0, 6890,640,480:waittimer 73568 blt 0,0,640,480,0, 6892,640,480:waittimer 73590 blt 0,0,640,480,0, 6894,640,480:waittimer 73611 blt 0,0,640,480,0, 6896,640,480:waittimer 73632 blt 0,0,640,480,0, 6898,640,480:waittimer 73654 blt 0,0,640,480,0, 6900,640,480:waittimer 73675 blt 0,0,640,480,0, 6902,640,480:waittimer 73696 blt 0,0,640,480,0, 6904,640,480:waittimer 73718 blt 0,0,640,480,0, 6906,640,480:waittimer 73739 blt 0,0,640,480,0, 6908,640,480:waittimer 73760 blt 0,0,640,480,0, 6910,640,480:waittimer 73782 blt 0,0,640,480,0, 6912,640,480:waittimer 73803 blt 0,0,640,480,0, 6914,640,480:waittimer 73825 blt 0,0,640,480,0, 6916,640,480:waittimer 73846 blt 0,0,640,480,0, 6918,640,480:waittimer 73867 blt 0,0,640,480,0, 6920,640,480:waittimer 73889 blt 0,0,640,480,0, 6922,640,480:waittimer 73910 blt 0,0,640,480,0, 6924,640,480:waittimer 73931 blt 0,0,640,480,0, 6926,640,480:waittimer 73953 blt 0,0,640,480,0, 6928,640,480:waittimer 73974 blt 0,0,640,480,0, 6930,640,480:waittimer 73995 blt 0,0,640,480,0, 6932,640,480:waittimer 74017 blt 0,0,640,480,0, 6934,640,480:waittimer 74038 blt 0,0,640,480,0, 6936,640,480:waittimer 74059 blt 0,0,640,480,0, 6938,640,480:waittimer 74081 blt 0,0,640,480,0, 6940,640,480:waittimer 74102 blt 0,0,640,480,0, 6942,640,480:waittimer 74124 blt 0,0,640,480,0, 6944,640,480:waittimer 74145 blt 0,0,640,480,0, 6946,640,480:waittimer 74166 blt 0,0,640,480,0, 6948,640,480:waittimer 74188 blt 0,0,640,480,0, 6950,640,480:waittimer 74209 blt 0,0,640,480,0, 6952,640,480:waittimer 74230 blt 0,0,640,480,0, 6954,640,480:waittimer 74252 blt 0,0,640,480,0, 6956,640,480:waittimer 74273 blt 0,0,640,480,0, 6958,640,480:waittimer 74294 blt 0,0,640,480,0, 6960,640,480:waittimer 74316 blt 0,0,640,480,0, 6962,640,480:waittimer 74337 blt 0,0,640,480,0, 6964,640,480:waittimer 74358 blt 0,0,640,480,0, 6966,640,480:waittimer 74380 blt 0,0,640,480,0, 6968,640,480:waittimer 74401 blt 0,0,640,480,0, 6970,640,480:waittimer 74422 blt 0,0,640,480,0, 6972,640,480:waittimer 74444 blt 0,0,640,480,0, 6974,640,480:waittimer 74465 blt 0,0,640,480,0, 6976,640,480:waittimer 74487 blt 0,0,640,480,0, 6978,640,480:waittimer 74508 blt 0,0,640,480,0, 6980,640,480:waittimer 74529 blt 0,0,640,480,0, 6982,640,480:waittimer 74551 blt 0,0,640,480,0, 6984,640,480:waittimer 74572 blt 0,0,640,480,0, 6986,640,480:waittimer 74593 blt 0,0,640,480,0, 6988,640,480:waittimer 74615 blt 0,0,640,480,0, 6990,640,480:waittimer 74636 blt 0,0,640,480,0, 6992,640,480:waittimer 74657 blt 0,0,640,480,0, 6994,640,480:waittimer 74679 blt 0,0,640,480,0, 6996,640,480:waittimer 74700 blt 0,0,640,480,0, 6998,640,480:waittimer 74721 blt 0,0,640,480,0, 7000,640,480:waittimer 74743 blt 0,0,640,480,0, 7002,640,480:waittimer 74764 blt 0,0,640,480,0, 7004,640,480:waittimer 74786 blt 0,0,640,480,0, 7006,640,480:waittimer 74807 blt 0,0,640,480,0, 7008,640,480:waittimer 74828 blt 0,0,640,480,0, 7010,640,480:waittimer 74850 blt 0,0,640,480,0, 7012,640,480:waittimer 74871 blt 0,0,640,480,0, 7014,640,480:waittimer 74892 blt 0,0,640,480,0, 7016,640,480:waittimer 74914 blt 0,0,640,480,0, 7018,640,480:waittimer 74935 blt 0,0,640,480,0, 7020,640,480:waittimer 74956 blt 0,0,640,480,0, 7022,640,480:waittimer 74978 blt 0,0,640,480,0, 7024,640,480:waittimer 74999 blt 0,0,640,480,0, 7026,640,480:waittimer 75020 blt 0,0,640,480,0, 7028,640,480:waittimer 75042 blt 0,0,640,480,0, 7030,640,480:waittimer 75063 blt 0,0,640,480,0, 7032,640,480:waittimer 75085 blt 0,0,640,480,0, 7034,640,480:waittimer 75106 blt 0,0,640,480,0, 7036,640,480:waittimer 75127 blt 0,0,640,480,0, 7038,640,480:waittimer 75149 blt 0,0,640,480,0, 7040,640,480:waittimer 75170 blt 0,0,640,480,0, 7042,640,480:waittimer 75191 blt 0,0,640,480,0, 7044,640,480:waittimer 75213 blt 0,0,640,480,0, 7046,640,480:waittimer 75234 blt 0,0,640,480,0, 7048,640,480:waittimer 75255 blt 0,0,640,480,0, 7050,640,480:waittimer 75277 blt 0,0,640,480,0, 7052,640,480:waittimer 75298 blt 0,0,640,480,0, 7054,640,480:waittimer 75319 blt 0,0,640,480,0, 7056,640,480:waittimer 75341 blt 0,0,640,480,0, 7058,640,480:waittimer 75362 blt 0,0,640,480,0, 7060,640,480:waittimer 75383 blt 0,0,640,480,0, 7062,640,480:waittimer 75405 blt 0,0,640,480,0, 7064,640,480:waittimer 75426 blt 0,0,640,480,0, 7066,640,480:waittimer 75448 blt 0,0,640,480,0, 7068,640,480:waittimer 75469 blt 0,0,640,480,0, 7070,640,480:waittimer 75490 blt 0,0,640,480,0, 7072,640,480:waittimer 75512 blt 0,0,640,480,0, 7074,640,480:waittimer 75533 blt 0,0,640,480,0, 7076,640,480:waittimer 75554 blt 0,0,640,480,0, 7078,640,480:waittimer 75576 blt 0,0,640,480,0, 7080,640,480:waittimer 75597 blt 0,0,640,480,0, 7082,640,480:waittimer 75618 blt 0,0,640,480,0, 7084,640,480:waittimer 75640 blt 0,0,640,480,0, 7086,640,480:waittimer 75661 blt 0,0,640,480,0, 7088,640,480:waittimer 75682 blt 0,0,640,480,0, 7090,640,480:waittimer 75704 blt 0,0,640,480,0, 7092,640,480:waittimer 75725 blt 0,0,640,480,0, 7094,640,480:waittimer 75747 blt 0,0,640,480,0, 7096,640,480:waittimer 75768 blt 0,0,640,480,0, 7098,640,480:waittimer 75789 blt 0,0,640,480,0, 7100,640,480:waittimer 75811 blt 0,0,640,480,0, 7102,640,480:waittimer 75832 blt 0,0,640,480,0, 7104,640,480:waittimer 75853 blt 0,0,640,480,0, 7106,640,480:waittimer 75875 blt 0,0,640,480,0, 7108,640,480:waittimer 75896 blt 0,0,640,480,0, 7110,640,480:waittimer 75917 blt 0,0,640,480,0, 7112,640,480:waittimer 75939 blt 0,0,640,480,0, 7114,640,480:waittimer 75960 blt 0,0,640,480,0, 7116,640,480:waittimer 75981 blt 0,0,640,480,0, 7118,640,480:waittimer 76003 blt 0,0,640,480,0, 7120,640,480:waittimer 76024 blt 0,0,640,480,0, 7122,640,480:waittimer 76045 blt 0,0,640,480,0, 7124,640,480:waittimer 76067 blt 0,0,640,480,0, 7126,640,480:waittimer 76088 blt 0,0,640,480,0, 7128,640,480:waittimer 76110 blt 0,0,640,480,0, 7130,640,480:waittimer 76131 blt 0,0,640,480,0, 7132,640,480:waittimer 76152 blt 0,0,640,480,0, 7134,640,480:waittimer 76174 blt 0,0,640,480,0, 7136,640,480:waittimer 76195 blt 0,0,640,480,0, 7138,640,480:waittimer 76216 blt 0,0,640,480,0, 7140,640,480:waittimer 76238 blt 0,0,640,480,0, 7142,640,480:waittimer 76259 blt 0,0,640,480,0, 7144,640,480:waittimer 76280 blt 0,0,640,480,0, 7146,640,480:waittimer 76302 blt 0,0,640,480,0, 7148,640,480:waittimer 76323 blt 0,0,640,480,0, 7150,640,480:waittimer 76344 blt 0,0,640,480,0, 7152,640,480:waittimer 76366 blt 0,0,640,480,0, 7154,640,480:waittimer 76387 blt 0,0,640,480,0, 7156,640,480:waittimer 76409 blt 0,0,640,480,0, 7158,640,480:waittimer 76430 blt 0,0,640,480,0, 7160,640,480:waittimer 76451 blt 0,0,640,480,0, 7162,640,480:waittimer 76473 blt 0,0,640,480,0, 7164,640,480:waittimer 76494 blt 0,0,640,480,0, 7166,640,480:waittimer 76515 blt 0,0,640,480,0, 7168,640,480:waittimer 76537 blt 0,0,640,480,0, 7170,640,480:waittimer 76558 blt 0,0,640,480,0, 7172,640,480:waittimer 76579 blt 0,0,640,480,0, 7174,640,480:waittimer 76601 blt 0,0,640,480,0, 7176,640,480:waittimer 76622 blt 0,0,640,480,0, 7178,640,480:waittimer 76643 blt 0,0,640,480,0, 7180,640,480:waittimer 76665 blt 0,0,640,480,0, 7182,640,480:waittimer 76686 blt 0,0,640,480,0, 7184,640,480:waittimer 76708 blt 0,0,640,480,0, 7186,640,480:waittimer 76729 blt 0,0,640,480,0, 7188,640,480:waittimer 76750 blt 0,0,640,480,0, 7190,640,480:waittimer 76772 blt 0,0,640,480,0, 7192,640,480:waittimer 76793 blt 0,0,640,480,0, 7194,640,480:waittimer 76814 blt 0,0,640,480,0, 7196,640,480:waittimer 76836 blt 0,0,640,480,0, 7198,640,480:waittimer 76857 blt 0,0,640,480,0, 7200,640,480:waittimer 76878 blt 0,0,640,480,0, 7202,640,480:waittimer 76900 blt 0,0,640,480,0, 7204,640,480:waittimer 76921 blt 0,0,640,480,0, 7206,640,480:waittimer 76942 blt 0,0,640,480,0, 7208,640,480:waittimer 76964 blt 0,0,640,480,0, 7210,640,480:waittimer 76985 blt 0,0,640,480,0, 7212,640,480:waittimer 77006 blt 0,0,640,480,0, 7214,640,480:waittimer 77028 blt 0,0,640,480,0, 7216,640,480:waittimer 77049 blt 0,0,640,480,0, 7218,640,480:waittimer 77071 blt 0,0,640,480,0, 7220,640,480:waittimer 77092 blt 0,0,640,480,0, 7222,640,480:waittimer 77113 blt 0,0,640,480,0, 7224,640,480:waittimer 77135 blt 0,0,640,480,0, 7226,640,480:waittimer 77156 blt 0,0,640,480,0, 7228,640,480:waittimer 77177 blt 0,0,640,480,0, 7230,640,480:waittimer 77199 blt 0,0,640,480,0, 7232,640,480:waittimer 77220 blt 0,0,640,480,0, 7234,640,480:waittimer 77241 blt 0,0,640,480,0, 7236,640,480:waittimer 77263 blt 0,0,640,480,0, 7238,640,480:waittimer 77284 blt 0,0,640,480,0, 7240,640,480:waittimer 77305 blt 0,0,640,480,0, 7242,640,480:waittimer 77327 blt 0,0,640,480,0, 7244,640,480:waittimer 77348 blt 0,0,640,480,0, 7246,640,480:waittimer 77370 blt 0,0,640,480,0, 7248,640,480:waittimer 77391 blt 0,0,640,480,0, 7250,640,480:waittimer 77412 blt 0,0,640,480,0, 7252,640,480:waittimer 77434 blt 0,0,640,480,0, 7254,640,480:waittimer 77455 blt 0,0,640,480,0, 7256,640,480:waittimer 77476 blt 0,0,640,480,0, 7258,640,480:waittimer 77498 blt 0,0,640,480,0, 7260,640,480:waittimer 77519 blt 0,0,640,480,0, 7262,640,480:waittimer 77540 blt 0,0,640,480,0, 7264,640,480:waittimer 77562 blt 0,0,640,480,0, 7266,640,480:waittimer 77583 blt 0,0,640,480,0, 7268,640,480:waittimer 77604 blt 0,0,640,480,0, 7270,640,480:waittimer 77626 blt 0,0,640,480,0, 7272,640,480:waittimer 77647 blt 0,0,640,480,0, 7274,640,480:waittimer 77668 blt 0,0,640,480,0, 7276,640,480:waittimer 77690 blt 0,0,640,480,0, 7278,640,480:waittimer 77711 blt 0,0,640,480,0, 7280,640,480:waittimer 77733 blt 0,0,640,480,0, 7282,640,480:waittimer 77754 blt 0,0,640,480,0, 7284,640,480:waittimer 77775 blt 0,0,640,480,0, 7286,640,480:waittimer 77797 blt 0,0,640,480,0, 7288,640,480:waittimer 77818 blt 0,0,640,480,0, 7290,640,480:waittimer 77839 blt 0,0,640,480,0, 7292,640,480:waittimer 77861 blt 0,0,640,480,0, 7294,640,480:waittimer 77882 blt 0,0,640,480,0, 7296,640,480:waittimer 77903 blt 0,0,640,480,0, 7298,640,480:waittimer 77925 blt 0,0,640,480,0, 7300,640,480:waittimer 77946 blt 0,0,640,480,0, 7302,640,480:waittimer 77967 blt 0,0,640,480,0, 7304,640,480:waittimer 77989 blt 0,0,640,480,0, 7306,640,480:waittimer 78010 blt 0,0,640,480,0, 7308,640,480:waittimer 78032 blt 0,0,640,480,0, 7310,640,480:waittimer 78053 blt 0,0,640,480,0, 7312,640,480:waittimer 78074 blt 0,0,640,480,0, 7314,640,480:waittimer 78096 blt 0,0,640,480,0, 7316,640,480:waittimer 78117 blt 0,0,640,480,0, 7318,640,480:waittimer 78138 blt 0,0,640,480,0, 7320,640,480:waittimer 78160 blt 0,0,640,480,0, 7322,640,480:waittimer 78181 blt 0,0,640,480,0, 7324,640,480:waittimer 78202 blt 0,0,640,480,0, 7326,640,480:waittimer 78224 blt 0,0,640,480,0, 7328,640,480:waittimer 78245 blt 0,0,640,480,0, 7330,640,480:waittimer 78266 blt 0,0,640,480,0, 7332,640,480:waittimer 78288 blt 0,0,640,480,0, 7334,640,480:waittimer 78309 blt 0,0,640,480,0, 7336,640,480:waittimer 78331 blt 0,0,640,480,0, 7338,640,480:waittimer 78352 blt 0,0,640,480,0, 7340,640,480:waittimer 78373 blt 0,0,640,480,0, 7342,640,480:waittimer 78395 blt 0,0,640,480,0, 7344,640,480:waittimer 78416 blt 0,0,640,480,0, 7346,640,480:waittimer 78437 blt 0,0,640,480,0, 7348,640,480:waittimer 78459 blt 0,0,640,480,0, 7350,640,480:waittimer 78480 blt 0,0,640,480,0, 7352,640,480:waittimer 78501 blt 0,0,640,480,0, 7354,640,480:waittimer 78523 blt 0,0,640,480,0, 7356,640,480:waittimer 78544 blt 0,0,640,480,0, 7358,640,480:waittimer 78565 blt 0,0,640,480,0, 7360,640,480:waittimer 78587 blt 0,0,640,480,0, 7362,640,480:waittimer 78608 blt 0,0,640,480,0, 7364,640,480:waittimer 78629 blt 0,0,640,480,0, 7366,640,480:waittimer 78651 blt 0,0,640,480,0, 7368,640,480:waittimer 78672 blt 0,0,640,480,0, 7370,640,480:waittimer 78694 blt 0,0,640,480,0, 7372,640,480:waittimer 78715 blt 0,0,640,480,0, 7374,640,480:waittimer 78736 blt 0,0,640,480,0, 7376,640,480:waittimer 78758 blt 0,0,640,480,0, 7378,640,480:waittimer 78779 blt 0,0,640,480,0, 7380,640,480:waittimer 78800 blt 0,0,640,480,0, 7382,640,480:waittimer 78822 blt 0,0,640,480,0, 7384,640,480:waittimer 78843 blt 0,0,640,480,0, 7386,640,480:waittimer 78864 blt 0,0,640,480,0, 7388,640,480:waittimer 78886 blt 0,0,640,480,0, 7390,640,480:waittimer 78907 blt 0,0,640,480,0, 7392,640,480:waittimer 78928 blt 0,0,640,480,0, 7394,640,480:waittimer 78950 blt 0,0,640,480,0, 7396,640,480:waittimer 78971 blt 0,0,640,480,0, 7398,640,480:waittimer 78993 blt 0,0,640,480,0, 7400,640,480:waittimer 79014 blt 0,0,640,480,0, 7402,640,480:waittimer 79035 blt 0,0,640,480,0, 7404,640,480:waittimer 79057 blt 0,0,640,480,0, 7406,640,480:waittimer 79078 blt 0,0,640,480,0, 7408,640,480:waittimer 79099 blt 0,0,640,480,0, 7410,640,480:waittimer 79121 blt 0,0,640,480,0, 7412,640,480:waittimer 79142 blt 0,0,640,480,0, 7414,640,480:waittimer 79163 blt 0,0,640,480,0, 7416,640,480:waittimer 79185 blt 0,0,640,480,0, 7418,640,480:waittimer 79206 blt 0,0,640,480,0, 7420,640,480:waittimer 79227 blt 0,0,640,480,0, 7422,640,480:waittimer 79249 blt 0,0,640,480,0, 7424,640,480:waittimer 79270 blt 0,0,640,480,0, 7426,640,480:waittimer 79291 blt 0,0,640,480,0, 7428,640,480:waittimer 79313 blt 0,0,640,480,0, 7430,640,480:waittimer 79334 blt 0,0,640,480,0, 7432,640,480:waittimer 79356 blt 0,0,640,480,0, 7434,640,480:waittimer 79377 blt 0,0,640,480,0, 7436,640,480:waittimer 79398 blt 0,0,640,480,0, 7438,640,480:waittimer 79420 blt 0,0,640,480,0, 7440,640,480:waittimer 79441 blt 0,0,640,480,0, 7442,640,480:waittimer 79462 blt 0,0,640,480,0, 7444,640,480:waittimer 79484 blt 0,0,640,480,0, 7446,640,480:waittimer 79505 blt 0,0,640,480,0, 7448,640,480:waittimer 79526 blt 0,0,640,480,0, 7450,640,480:waittimer 79548 blt 0,0,640,480,0, 7452,640,480:waittimer 79569 blt 0,0,640,480,0, 7454,640,480:waittimer 79590 blt 0,0,640,480,0, 7456,640,480:waittimer 79612 blt 0,0,640,480,0, 7458,640,480:waittimer 79633 blt 0,0,640,480,0, 7460,640,480:waittimer 79655 blt 0,0,640,480,0, 7462,640,480:waittimer 79676 blt 0,0,640,480,0, 7464,640,480:waittimer 79697 blt 0,0,640,480,0, 7466,640,480:waittimer 79719 blt 0,0,640,480,0, 7468,640,480:waittimer 79740 blt 0,0,640,480,0, 7470,640,480:waittimer 79761 blt 0,0,640,480,0, 7472,640,480:waittimer 79783 blt 0,0,640,480,0, 7474,640,480:waittimer 79804 blt 0,0,640,480,0, 7476,640,480:waittimer 79825 blt 0,0,640,480,0, 7478,640,480:waittimer 79847 blt 0,0,640,480,0, 7480,640,480:waittimer 79868 blt 0,0,640,480,0, 7482,640,480:waittimer 79889 blt 0,0,640,480,0, 7484,640,480:waittimer 79911 blt 0,0,640,480,0, 7486,640,480:waittimer 79932 blt 0,0,640,480,0, 7488,640,480:waittimer 79954 blt 0,0,640,480,0, 7490,640,480:waittimer 79975 blt 0,0,640,480,0, 7492,640,480:waittimer 79996 blt 0,0,640,480,0, 7494,640,480:waittimer 80018 blt 0,0,640,480,0, 7496,640,480:waittimer 80039 blt 0,0,640,480,0, 7498,640,480:waittimer 80060 blt 0,0,640,480,0, 7500,640,480:waittimer 80082 blt 0,0,640,480,0, 7502,640,480:waittimer 80103 blt 0,0,640,480,0, 7504,640,480:waittimer 80124 blt 0,0,640,480,0, 7506,640,480:waittimer 80146 blt 0,0,640,480,0, 7508,640,480:waittimer 80167 blt 0,0,640,480,0, 7510,640,480:waittimer 80188 blt 0,0,640,480,0, 7512,640,480:waittimer 80210 blt 0,0,640,480,0, 7514,640,480:waittimer 80231 blt 0,0,640,480,0, 7516,640,480:waittimer 80252 blt 0,0,640,480,0, 7518,640,480:waittimer 80274 blt 0,0,640,480,0, 7520,640,480:waittimer 80295 blt 0,0,640,480,0, 7522,640,480:waittimer 80317 blt 0,0,640,480,0, 7524,640,480:waittimer 80338 blt 0,0,640,480,0, 7526,640,480:waittimer 80359 blt 0,0,640,480,0, 7528,640,480:waittimer 80381 blt 0,0,640,480,0, 7530,640,480:waittimer 80402 blt 0,0,640,480,0, 7532,640,480:waittimer 80423 blt 0,0,640,480,0, 7534,640,480:waittimer 80445 blt 0,0,640,480,0, 7536,640,480:waittimer 80466 blt 0,0,640,480,0, 7538,640,480:waittimer 80487 blt 0,0,640,480,0, 7540,640,480:waittimer 80509 blt 0,0,640,480,0, 7542,640,480:waittimer 80530 blt 0,0,640,480,0, 7544,640,480:waittimer 80551 blt 0,0,640,480,0, 7546,640,480:waittimer 80573 blt 0,0,640,480,0, 7548,640,480:waittimer 80594 blt 0,0,640,480,0, 7550,640,480:waittimer 80616 blt 0,0,640,480,0, 7552,640,480:waittimer 80637 blt 0,0,640,480,0, 7554,640,480:waittimer 80658 blt 0,0,640,480,0, 7556,640,480:waittimer 80680 blt 0,0,640,480,0, 7558,640,480:waittimer 80701 blt 0,0,640,480,0, 7560,640,480:waittimer 80722 blt 0,0,640,480,0, 7562,640,480:waittimer 80744 blt 0,0,640,480,0, 7564,640,480:waittimer 80765 blt 0,0,640,480,0, 7566,640,480:waittimer 80786 blt 0,0,640,480,0, 7568,640,480:waittimer 80808 blt 0,0,640,480,0, 7570,640,480:waittimer 80829 blt 0,0,640,480,0, 7572,640,480:waittimer 80850 blt 0,0,640,480,0, 7574,640,480:waittimer 80872 blt 0,0,640,480,0, 7576,640,480:waittimer 80893 blt 0,0,640,480,0, 7578,640,480:waittimer 80914 blt 0,0,640,480,0, 7580,640,480:waittimer 80936 blt 0,0,640,480,0, 7582,640,480:waittimer 80957 blt 0,0,640,480,0, 7584,640,480:waittimer 80979 blt 0,0,640,480,0, 7586,640,480:waittimer 81000 blt 0,0,640,480,0, 7588,640,480:waittimer 81021 blt 0,0,640,480,0, 7590,640,480:waittimer 81043 blt 0,0,640,480,0, 7592,640,480:waittimer 81064 blt 0,0,640,480,0, 7594,640,480:waittimer 81085 blt 0,0,640,480,0, 7596,640,480:waittimer 81107 blt 0,0,640,480,0, 7598,640,480:waittimer 81128 blt 0,0,640,480,0, 7600,640,480:waittimer 81149 blt 0,0,640,480,0, 7602,640,480:waittimer 81171 blt 0,0,640,480,0, 7604,640,480:waittimer 81192 blt 0,0,640,480,0, 7606,640,480:waittimer 81213 blt 0,0,640,480,0, 7608,640,480:waittimer 81235 blt 0,0,640,480,0, 7610,640,480:waittimer 81256 blt 0,0,640,480,0, 7612,640,480:waittimer 81278 blt 0,0,640,480,0, 7614,640,480:waittimer 81299 blt 0,0,640,480,0, 7616,640,480:waittimer 81320 blt 0,0,640,480,0, 7618,640,480:waittimer 81342 blt 0,0,640,480,0, 7620,640,480:waittimer 81363 blt 0,0,640,480,0, 7622,640,480:waittimer 81384 blt 0,0,640,480,0, 7624,640,480:waittimer 81406 blt 0,0,640,480,0, 7626,640,480:waittimer 81427 blt 0,0,640,480,0, 7628,640,480:waittimer 81448 blt 0,0,640,480,0, 7630,640,480:waittimer 81470 blt 0,0,640,480,0, 7632,640,480:waittimer 81491 blt 0,0,640,480,0, 7634,640,480:waittimer 81512 blt 0,0,640,480,0, 7636,640,480:waittimer 81534 blt 0,0,640,480,0, 7638,640,480:waittimer 81555 blt 0,0,640,480,0, 7640,640,480:waittimer 81577 blt 0,0,640,480,0, 7642,640,480:waittimer 81598 blt 0,0,640,480,0, 7644,640,480:waittimer 81619 blt 0,0,640,480,0, 7646,640,480:waittimer 81641 blt 0,0,640,480,0, 7648,640,480:waittimer 81662 blt 0,0,640,480,0, 7650,640,480:waittimer 81683 blt 0,0,640,480,0, 7652,640,480:waittimer 81705 blt 0,0,640,480,0, 7654,640,480:waittimer 81726 blt 0,0,640,480,0, 7656,640,480:waittimer 81747 blt 0,0,640,480,0, 7658,640,480:waittimer 81769 blt 0,0,640,480,0, 7660,640,480:waittimer 81790 blt 0,0,640,480,0, 7662,640,480:waittimer 81811 blt 0,0,640,480,0, 7664,640,480:waittimer 81833 blt 0,0,640,480,0, 7666,640,480:waittimer 81854 blt 0,0,640,480,0, 7668,640,480:waittimer 81875 blt 0,0,640,480,0, 7670,640,480:waittimer 81897 blt 0,0,640,480,0, 7672,640,480:waittimer 81918 blt 0,0,640,480,0, 7674,640,480:waittimer 81940 blt 0,0,640,480,0, 7676,640,480:waittimer 81961 blt 0,0,640,480,0, 7678,640,480:waittimer 81982 blt 0,0,640,480,0, 7680,640,480:waittimer 82004 blt 0,0,640,480,0, 7682,640,480:waittimer 82025 blt 0,0,640,480,0, 7684,640,480:waittimer 82046 blt 0,0,640,480,0, 7686,640,480:waittimer 82068 blt 0,0,640,480,0, 7688,640,480:waittimer 82089 blt 0,0,640,480,0, 7690,640,480:waittimer 82110 blt 0,0,640,480,0, 7692,640,480:waittimer 82132 blt 0,0,640,480,0, 7694,640,480:waittimer 82153 blt 0,0,640,480,0, 7696,640,480:waittimer 82174 blt 0,0,640,480,0, 7698,640,480:waittimer 82196 blt 0,0,640,480,0, 7700,640,480:waittimer 82217 blt 0,0,640,480,0, 7702,640,480:waittimer 82239 blt 0,0,640,480,0, 7704,640,480:waittimer 82260 blt 0,0,640,480,0, 7706,640,480:waittimer 82281 blt 0,0,640,480,0, 7708,640,480:waittimer 82303 blt 0,0,640,480,0, 7710,640,480:waittimer 82324 blt 0,0,640,480,0, 7712,640,480:waittimer 82345 blt 0,0,640,480,0, 7714,640,480:waittimer 82367 blt 0,0,640,480,0, 7716,640,480:waittimer 82388 blt 0,0,640,480,0, 7718,640,480:waittimer 82409 blt 0,0,640,480,0, 7720,640,480:waittimer 82431 blt 0,0,640,480,0, 7722,640,480:waittimer 82452 blt 0,0,640,480,0, 7724,640,480:waittimer 82473 blt 0,0,640,480,0, 7726,640,480:waittimer 82495 blt 0,0,640,480,0, 7728,640,480:waittimer 82516 blt 0,0,640,480,0, 7730,640,480:waittimer 82537 blt 0,0,640,480,0, 7732,640,480:waittimer 82559 blt 0,0,640,480,0, 7734,640,480:waittimer 82580 blt 0,0,640,480,0, 7736,640,480:waittimer 82602 blt 0,0,640,480,0, 7738,640,480:waittimer 82623 blt 0,0,640,480,0, 7740,640,480:waittimer 82644 blt 0,0,640,480,0, 7742,640,480:waittimer 82666 blt 0,0,640,480,0, 7744,640,480:waittimer 82687 blt 0,0,640,480,0, 7746,640,480:waittimer 82708 blt 0,0,640,480,0, 7748,640,480:waittimer 82730 blt 0,0,640,480,0, 7750,640,480:waittimer 82751 blt 0,0,640,480,0, 7752,640,480:waittimer 82772 blt 0,0,640,480,0, 7754,640,480:waittimer 82794 blt 0,0,640,480,0, 7756,640,480:waittimer 82815 blt 0,0,640,480,0, 7758,640,480:waittimer 82836 blt 0,0,640,480,0, 7760,640,480:waittimer 82858 blt 0,0,640,480,0, 7762,640,480:waittimer 82879 blt 0,0,640,480,0, 7764,640,480:waittimer 82901 blt 0,0,640,480,0, 7766,640,480:waittimer 82922 blt 0,0,640,480,0, 7768,640,480:waittimer 82943 blt 0,0,640,480,0, 7770,640,480:waittimer 82965 blt 0,0,640,480,0, 7772,640,480:waittimer 82986 blt 0,0,640,480,0, 7774,640,480:waittimer 83007 blt 0,0,640,480,0, 7776,640,480:waittimer 83029 blt 0,0,640,480,0, 7778,640,480:waittimer 83050 blt 0,0,640,480,0, 7780,640,480:waittimer 83071 blt 0,0,640,480,0, 7782,640,480:waittimer 83093 blt 0,0,640,480,0, 7784,640,480:waittimer 83114 blt 0,0,640,480,0, 7786,640,480:waittimer 83135 blt 0,0,640,480,0, 7788,640,480:waittimer 83157 blt 0,0,640,480,0, 7790,640,480:waittimer 83178 blt 0,0,640,480,0, 7792,640,480:waittimer 83199 blt 0,0,640,480,0, 7794,640,480:waittimer 83221 blt 0,0,640,480,0, 7796,640,480:waittimer 83242 blt 0,0,640,480,0, 7798,640,480:waittimer 83264 blt 0,0,640,480,0, 7800,640,480:waittimer 83285 blt 0,0,640,480,0, 7802,640,480:waittimer 83306 blt 0,0,640,480,0, 7804,640,480:waittimer 83328 blt 0,0,640,480,0, 7806,640,480:waittimer 83349 blt 0,0,640,480,0, 7808,640,480:waittimer 83370 blt 0,0,640,480,0, 7810,640,480:waittimer 83392 blt 0,0,640,480,0, 7812,640,480:waittimer 83413 blt 0,0,640,480,0, 7814,640,480:waittimer 83434 blt 0,0,640,480,0, 7816,640,480:waittimer 83456 blt 0,0,640,480,0, 7818,640,480:waittimer 83477 blt 0,0,640,480,0, 7820,640,480:waittimer 83498 blt 0,0,640,480,0, 7822,640,480:waittimer 83520 blt 0,0,640,480,0, 7824,640,480:waittimer 83541 blt 0,0,640,480,0, 7826,640,480:waittimer 83563 blt 0,0,640,480,0, 7828,640,480:waittimer 83584 blt 0,0,640,480,0, 7830,640,480:waittimer 83605 blt 0,0,640,480,0, 7832,640,480:waittimer 83627 blt 0,0,640,480,0, 7834,640,480:waittimer 83648 blt 0,0,640,480,0, 7836,640,480:waittimer 83669 blt 0,0,640,480,0, 7838,640,480:waittimer 83691 blt 0,0,640,480,0, 7840,640,480:waittimer 83712 blt 0,0,640,480,0, 7842,640,480:waittimer 83733 blt 0,0,640,480,0, 7844,640,480:waittimer 83755 blt 0,0,640,480,0, 7846,640,480:waittimer 83776 blt 0,0,640,480,0, 7848,640,480:waittimer 83797 blt 0,0,640,480,0, 7850,640,480:waittimer 83819 blt 0,0,640,480,0, 7852,640,480:waittimer 83840 blt 0,0,640,480,0, 7854,640,480:waittimer 83862 blt 0,0,640,480,0, 7856,640,480:waittimer 83883 blt 0,0,640,480,0, 7858,640,480:waittimer 83904 blt 0,0,640,480,0, 7860,640,480:waittimer 83926 blt 0,0,640,480,0, 7862,640,480:waittimer 83947 blt 0,0,640,480,0, 7864,640,480:waittimer 83968 blt 0,0,640,480,0, 7866,640,480:waittimer 83990 blt 0,0,640,480,0, 7868,640,480:waittimer 84011 blt 0,0,640,480,0, 7870,640,480:waittimer 84032 blt 0,0,640,480,0, 7872,640,480:waittimer 84054 blt 0,0,640,480,0, 7874,640,480:waittimer 84075 blt 0,0,640,480,0, 7876,640,480:waittimer 84096 blt 0,0,640,480,0, 7878,640,480:waittimer 84118 blt 0,0,640,480,0, 7880,640,480:waittimer 84139 blt 0,0,640,480,0, 7882,640,480:waittimer 84160 blt 0,0,640,480,0, 7884,640,480:waittimer 84182 blt 0,0,640,480,0, 7886,640,480:waittimer 84203 blt 0,0,640,480,0, 7888,640,480:waittimer 84225 blt 0,0,640,480,0, 7890,640,480:waittimer 84246 blt 0,0,640,480,0, 7892,640,480:waittimer 84267 blt 0,0,640,480,0, 7894,640,480:waittimer 84289 blt 0,0,640,480,0, 7896,640,480:waittimer 84310 blt 0,0,640,480,0, 7898,640,480:waittimer 84331 blt 0,0,640,480,0, 7900,640,480:waittimer 84353 blt 0,0,640,480,0, 7902,640,480:waittimer 84374 blt 0,0,640,480,0, 7904,640,480:waittimer 84395 blt 0,0,640,480,0, 7906,640,480:waittimer 84417 blt 0,0,640,480,0, 7908,640,480:waittimer 84438 blt 0,0,640,480,0, 7910,640,480:waittimer 84459 blt 0,0,640,480,0, 7912,640,480:waittimer 84481 blt 0,0,640,480,0, 7914,640,480:waittimer 84502 blt 0,0,640,480,0, 7916,640,480:waittimer 84524 blt 0,0,640,480,0, 7918,640,480:waittimer 84545 blt 0,0,640,480,0, 7920,640,480:waittimer 84566 blt 0,0,640,480,0, 7922,640,480:waittimer 84588 blt 0,0,640,480,0, 7924,640,480:waittimer 84609 blt 0,0,640,480,0, 7926,640,480:waittimer 84630 blt 0,0,640,480,0, 7928,640,480:waittimer 84652 blt 0,0,640,480,0, 7930,640,480:waittimer 84673 blt 0,0,640,480,0, 7932,640,480:waittimer 84694 blt 0,0,640,480,0, 7934,640,480:waittimer 84716 blt 0,0,640,480,0, 7936,640,480:waittimer 84737 blt 0,0,640,480,0, 7938,640,480:waittimer 84758 blt 0,0,640,480,0, 7940,640,480:waittimer 84780 blt 0,0,640,480,0, 7942,640,480:waittimer 84801 blt 0,0,640,480,0, 7944,640,480:waittimer 84822 blt 0,0,640,480,0, 7946,640,480:waittimer 84844 blt 0,0,640,480,0, 7948,640,480:waittimer 84865 blt 0,0,640,480,0, 7950,640,480:waittimer 84887 blt 0,0,640,480,0, 7952,640,480:waittimer 84908 blt 0,0,640,480,0, 7954,640,480:waittimer 84929 blt 0,0,640,480,0, 7956,640,480:waittimer 84951 blt 0,0,640,480,0, 7958,640,480:waittimer 84972 blt 0,0,640,480,0, 7960,640,480:waittimer 84993 blt 0,0,640,480,0, 7962,640,480:waittimer 85015 blt 0,0,640,480,0, 7964,640,480:waittimer 85036 blt 0,0,640,480,0, 7966,640,480:waittimer 85057 blt 0,0,640,480,0, 7968,640,480:waittimer 85079 blt 0,0,640,480,0, 7970,640,480:waittimer 85100 blt 0,0,640,480,0, 7972,640,480:waittimer 85121 blt 0,0,640,480,0, 7974,640,480:waittimer 85143 blt 0,0,640,480,0, 7976,640,480:waittimer 85164 blt 0,0,640,480,0, 7978,640,480:waittimer 85186 blt 0,0,640,480,0, 7980,640,480:waittimer 85207 blt 0,0,640,480,0, 7982,640,480:waittimer 85228 blt 0,0,640,480,0, 7984,640,480:waittimer 85250 blt 0,0,640,480,0, 7986,640,480:waittimer 85271 blt 0,0,640,480,0, 7988,640,480:waittimer 85292 blt 0,0,640,480,0, 7990,640,480:waittimer 85314 blt 0,0,640,480,0, 7992,640,480:waittimer 85335 blt 0,0,640,480,0, 7994,640,480:waittimer 85356 blt 0,0,640,480,0, 7996,640,480:waittimer 85378 blt 0,0,640,480,0, 7998,640,480:waittimer 85399 blt 0,0,640,480,0, 8000,640,480:waittimer 85420 blt 0,0,640,480,0, 8002,640,480:waittimer 85442 blt 0,0,640,480,0, 8004,640,480:waittimer 85463 blt 0,0,640,480,0, 8006,640,480:waittimer 85485 blt 0,0,640,480,0, 8008,640,480:waittimer 85506 blt 0,0,640,480,0, 8010,640,480:waittimer 85527 blt 0,0,640,480,0, 8012,640,480:waittimer 85549 blt 0,0,640,480,0, 8014,640,480:waittimer 85570 blt 0,0,640,480,0, 8016,640,480:waittimer 85591 blt 0,0,640,480,0, 8018,640,480:waittimer 85613 blt 0,0,640,480,0, 8020,640,480:waittimer 85634 blt 0,0,640,480,0, 8022,640,480:waittimer 85655 blt 0,0,640,480,0, 8024,640,480:waittimer 85677 blt 0,0,640,480,0, 8026,640,480:waittimer 85698 blt 0,0,640,480,0, 8028,640,480:waittimer 85719 blt 0,0,640,480,0, 8030,640,480:waittimer 85741 blt 0,0,640,480,0, 8032,640,480:waittimer 85762 blt 0,0,640,480,0, 8034,640,480:waittimer 85783 blt 0,0,640,480,0, 8036,640,480:waittimer 85805 blt 0,0,640,480,0, 8038,640,480:waittimer 85826 blt 0,0,640,480,0, 8040,640,480:waittimer 85848 blt 0,0,640,480,0, 8042,640,480:waittimer 85869 blt 0,0,640,480,0, 8044,640,480:waittimer 85890 blt 0,0,640,480,0, 8046,640,480:waittimer 85912 blt 0,0,640,480,0, 8048,640,480:waittimer 85933 blt 0,0,640,480,0, 8050,640,480:waittimer 85954 blt 0,0,640,480,0, 8052,640,480:waittimer 85976 blt 0,0,640,480,0, 8054,640,480:waittimer 85997 blt 0,0,640,480,0, 8056,640,480:waittimer 86018 blt 0,0,640,480,0, 8058,640,480:waittimer 86040 blt 0,0,640,480,0, 8060,640,480:waittimer 86061 blt 0,0,640,480,0, 8062,640,480:waittimer 86082 blt 0,0,640,480,0, 8064,640,480:waittimer 86104 blt 0,0,640,480,0, 8066,640,480:waittimer 86125 blt 0,0,640,480,0, 8068,640,480:waittimer 86147 blt 0,0,640,480,0, 8070,640,480:waittimer 86168 blt 0,0,640,480,0, 8072,640,480:waittimer 86189 blt 0,0,640,480,0, 8074,640,480:waittimer 86211 blt 0,0,640,480,0, 8076,640,480:waittimer 86232 blt 0,0,640,480,0, 8078,640,480:waittimer 86253 blt 0,0,640,480,0, 8080,640,480:waittimer 86275 blt 0,0,640,480,0, 8082,640,480:waittimer 86296 blt 0,0,640,480,0, 8084,640,480:waittimer 86317 blt 0,0,640,480,0, 8086,640,480:waittimer 86339 blt 0,0,640,480,0, 8088,640,480:waittimer 86360 blt 0,0,640,480,0, 8090,640,480:waittimer 86381 blt 0,0,640,480,0, 8092,640,480:waittimer 86403 blt 0,0,640,480,0, 8094,640,480:waittimer 86424 blt 0,0,640,480,0, 8096,640,480:waittimer 86445 blt 0,0,640,480,0, 8098,640,480:waittimer 86467 blt 0,0,640,480,0, 8100,640,480:waittimer 86488 blt 0,0,640,480,0, 8102,640,480:waittimer 86510 blt 0,0,640,480,0, 8104,640,480:waittimer 86531 blt 0,0,640,480,0, 8106,640,480:waittimer 86552 blt 0,0,640,480,0, 8108,640,480:waittimer 86574 blt 0,0,640,480,0, 8110,640,480:waittimer 86595 blt 0,0,640,480,0, 8112,640,480:waittimer 86616 blt 0,0,640,480,0, 8114,640,480:waittimer 86638 blt 0,0,640,480,0, 8116,640,480:waittimer 86659 blt 0,0,640,480,0, 8118,640,480:waittimer 86680 blt 0,0,640,480,0, 8120,640,480:waittimer 86702 blt 0,0,640,480,0, 8122,640,480:waittimer 86723 blt 0,0,640,480,0, 8124,640,480:waittimer 86744 blt 0,0,640,480,0, 8126,640,480:waittimer 86766 blt 0,0,640,480,0, 8128,640,480:waittimer 86787 blt 0,0,640,480,0, 8130,640,480:waittimer 86809 blt 0,0,640,480,0, 8132,640,480:waittimer 86830 blt 0,0,640,480,0, 8134,640,480:waittimer 86851 blt 0,0,640,480,0, 8136,640,480:waittimer 86873 blt 0,0,640,480,0, 8138,640,480:waittimer 86894 blt 0,0,640,480,0, 8140,640,480:waittimer 86915 blt 0,0,640,480,0, 8142,640,480:waittimer 86937 blt 0,0,640,480,0, 8144,640,480:waittimer 86958 blt 0,0,640,480,0, 8146,640,480:waittimer 86979 blt 0,0,640,480,0, 8148,640,480:waittimer 87001 blt 0,0,640,480,0, 8150,640,480:waittimer 87022 blt 0,0,640,480,0, 8152,640,480:waittimer 87043 blt 0,0,640,480,0, 8154,640,480:waittimer 87065 blt 0,0,640,480,0, 8156,640,480:waittimer 87086 blt 0,0,640,480,0, 8158,640,480:waittimer 87108 blt 0,0,640,480,0, 8160,640,480:waittimer 87129 blt 0,0,640,480,0, 8162,640,480:waittimer 87150 blt 0,0,640,480,0, 8164,640,480:waittimer 87172 blt 0,0,640,480,0, 8166,640,480:waittimer 87193 blt 0,0,640,480,0, 8168,640,480:waittimer 87214 blt 0,0,640,480,0, 8170,640,480:waittimer 87236 blt 0,0,640,480,0, 8172,640,480:waittimer 87257 blt 0,0,640,480,0, 8174,640,480:waittimer 87278 blt 0,0,640,480,0, 8176,640,480:waittimer 87300 blt 0,0,640,480,0, 8178,640,480:waittimer 87321 blt 0,0,640,480,0, 8180,640,480:waittimer 87342 blt 0,0,640,480,0, 8182,640,480:waittimer 87364 blt 0,0,640,480,0, 8184,640,480:waittimer 87385 blt 0,0,640,480,0, 8186,640,480:waittimer 87406 blt 0,0,640,480,0, 8188,640,480:waittimer 87428 blt 0,0,640,480,0, 8190,640,480:waittimer 87449 blt 0,0,640,480,0, 8192,640,480:waittimer 87471 blt 0,0,640,480,0, 8194,640,480:waittimer 87492 blt 0,0,640,480,0, 8196,640,480:waittimer 87513 blt 0,0,640,480,0, 8198,640,480:waittimer 87535 blt 0,0,640,480,0, 8200,640,480:waittimer 87556 blt 0,0,640,480,0, 8202,640,480:waittimer 87577 blt 0,0,640,480,0, 8204,640,480:waittimer 87599 blt 0,0,640,480,0, 8206,640,480:waittimer 87620 blt 0,0,640,480,0, 8208,640,480:waittimer 87641 blt 0,0,640,480,0, 8210,640,480:waittimer 87663 blt 0,0,640,480,0, 8212,640,480:waittimer 87684 blt 0,0,640,480,0, 8214,640,480:waittimer 87705 blt 0,0,640,480,0, 8216,640,480:waittimer 87727 blt 0,0,640,480,0, 8218,640,480:waittimer 87748 blt 0,0,640,480,0, 8220,640,480:waittimer 87770 blt 0,0,640,480,0, 8222,640,480:waittimer 87791 blt 0,0,640,480,0, 8224,640,480:waittimer 87812 blt 0,0,640,480,0, 8226,640,480:waittimer 87834 blt 0,0,640,480,0, 8228,640,480:waittimer 87855 blt 0,0,640,480,0, 8230,640,480:waittimer 87876 blt 0,0,640,480,0, 8232,640,480:waittimer 87898 blt 0,0,640,480,0, 8234,640,480:waittimer 87919 blt 0,0,640,480,0, 8236,640,480:waittimer 87940 blt 0,0,640,480,0, 8238,640,480:waittimer 87962 blt 0,0,640,480,0, 8240,640,480:waittimer 87983 blt 0,0,640,480,0, 8242,640,480:waittimer 88004 blt 0,0,640,480,0, 8244,640,480:waittimer 88026 blt 0,0,640,480,0, 8246,640,480:waittimer 88047 blt 0,0,640,480,0, 8248,640,480:waittimer 88068 blt 0,0,640,480,0, 8250,640,480:waittimer 88090 blt 0,0,640,480,0, 8252,640,480:waittimer 88111 blt 0,0,640,480,0, 8254,640,480:waittimer 88133 blt 0,0,640,480,0, 8256,640,480:waittimer 88154 blt 0,0,640,480,0, 8258,640,480:waittimer 88175 blt 0,0,640,480,0, 8260,640,480:waittimer 88197 blt 0,0,640,480,0, 8262,640,480:waittimer 88218 blt 0,0,640,480,0, 8264,640,480:waittimer 88239 blt 0,0,640,480,0, 8266,640,480:waittimer 88261 blt 0,0,640,480,0, 8268,640,480:waittimer 88282 blt 0,0,640,480,0, 8270,640,480:waittimer 88303 blt 0,0,640,480,0, 8272,640,480:waittimer 88325 blt 0,0,640,480,0, 8274,640,480:waittimer 88346 blt 0,0,640,480,0, 8276,640,480:waittimer 88367 blt 0,0,640,480,0, 8278,640,480:waittimer 88389 blt 0,0,640,480,0, 8280,640,480:waittimer 88410 blt 0,0,640,480,0, 8282,640,480:waittimer 88432 blt 0,0,640,480,0, 8284,640,480:waittimer 88453 blt 0,0,640,480,0, 8286,640,480:waittimer 88474 blt 0,0,640,480,0, 8288,640,480:waittimer 88496 blt 0,0,640,480,0, 8290,640,480:waittimer 88517 blt 0,0,640,480,0, 8292,640,480:waittimer 88538 blt 0,0,640,480,0, 8294,640,480:waittimer 88560 blt 0,0,640,480,0, 8296,640,480:waittimer 88581 blt 0,0,640,480,0, 8298,640,480:waittimer 88602 blt 0,0,640,480,0, 8300,640,480:waittimer 88624 blt 0,0,640,480,0, 8302,640,480:waittimer 88645 blt 0,0,640,480,0, 8304,640,480:waittimer 88666 blt 0,0,640,480,0, 8306,640,480:waittimer 88688 blt 0,0,640,480,0, 8308,640,480:waittimer 88709 blt 0,0,640,480,0, 8310,640,480:waittimer 88731 blt 0,0,640,480,0, 8312,640,480:waittimer 88752 blt 0,0,640,480,0, 8314,640,480:waittimer 88773 blt 0,0,640,480,0, 8316,640,480:waittimer 88795 blt 0,0,640,480,0, 8318,640,480:waittimer 88816 blt 0,0,640,480,0, 8320,640,480:waittimer 88837 blt 0,0,640,480,0, 8322,640,480:waittimer 88859 blt 0,0,640,480,0, 8324,640,480:waittimer 88880 blt 0,0,640,480,0, 8326,640,480:waittimer 88901 blt 0,0,640,480,0, 8328,640,480:waittimer 88923 blt 0,0,640,480,0, 8330,640,480:waittimer 88944 blt 0,0,640,480,0, 8332,640,480:waittimer 88965 blt 0,0,640,480,0, 8334,640,480:waittimer 88987 blt 0,0,640,480,0, 8336,640,480:waittimer 89008 blt 0,0,640,480,0, 8338,640,480:waittimer 89029 blt 0,0,640,480,0, 8340,640,480:waittimer 89051 blt 0,0,640,480,0, 8342,640,480:waittimer 89072 blt 0,0,640,480,0, 8344,640,480:waittimer 89094 blt 0,0,640,480,0, 8346,640,480:waittimer 89115 blt 0,0,640,480,0, 8348,640,480:waittimer 89136 blt 0,0,640,480,0, 8350,640,480:waittimer 89158 blt 0,0,640,480,0, 8352,640,480:waittimer 89179 blt 0,0,640,480,0, 8354,640,480:waittimer 89200 blt 0,0,640,480,0, 8356,640,480:waittimer 89222 blt 0,0,640,480,0, 8358,640,480:waittimer 89243 blt 0,0,640,480,0, 8360,640,480:waittimer 89264 blt 0,0,640,480,0, 8362,640,480:waittimer 89286 blt 0,0,640,480,0, 8364,640,480:waittimer 89307 blt 0,0,640,480,0, 8366,640,480:waittimer 89328 blt 0,0,640,480,0, 8368,640,480:waittimer 89350 blt 0,0,640,480,0, 8370,640,480:waittimer 89371 blt 0,0,640,480,0, 8372,640,480:waittimer 89393 blt 0,0,640,480,0, 8374,640,480:waittimer 89414 blt 0,0,640,480,0, 8376,640,480:waittimer 89435 blt 0,0,640,480,0, 8378,640,480:waittimer 89457 blt 0,0,640,480,0, 8380,640,480:waittimer 89478 blt 0,0,640,480,0, 8382,640,480:waittimer 89499 blt 0,0,640,480,0, 8384,640,480:waittimer 89521 blt 0,0,640,480,0, 8386,640,480:waittimer 89542 blt 0,0,640,480,0, 8388,640,480:waittimer 89563 blt 0,0,640,480,0, 8390,640,480:waittimer 89585 blt 0,0,640,480,0, 8392,640,480:waittimer 89606 blt 0,0,640,480,0, 8394,640,480:waittimer 89627 blt 0,0,640,480,0, 8396,640,480:waittimer 89649 blt 0,0,640,480,0, 8398,640,480:waittimer 89670 blt 0,0,640,480,0, 8400,640,480:waittimer 89691 blt 0,0,640,480,0, 8402,640,480:waittimer 89713 blt 0,0,640,480,0, 8404,640,480:waittimer 89734 blt 0,0,640,480,0, 8406,640,480:waittimer 89756 blt 0,0,640,480,0, 8408,640,480:waittimer 89777 blt 0,0,640,480,0, 8410,640,480:waittimer 89798 blt 0,0,640,480,0, 8412,640,480:waittimer 89820 blt 0,0,640,480,0, 8414,640,480:waittimer 89841 blt 0,0,640,480,0, 8416,640,480:waittimer 89862 blt 0,0,640,480,0, 8418,640,480:waittimer 89884 blt 0,0,640,480,0, 8420,640,480:waittimer 89905 blt 0,0,640,480,0, 8422,640,480:waittimer 89926 blt 0,0,640,480,0, 8424,640,480:waittimer 89948 blt 0,0,640,480,0, 8426,640,480:waittimer 89969 blt 0,0,640,480,0, 8428,640,480:waittimer 89990 blt 0,0,640,480,0, 8430,640,480:waittimer 90012 blt 0,0,640,480,0, 8432,640,480:waittimer 90033 blt 0,0,640,480,0, 8434,640,480:waittimer 90055 blt 0,0,640,480,0, 8436,640,480:waittimer 90076 blt 0,0,640,480,0, 8438,640,480:waittimer 90097 blt 0,0,640,480,0, 8440,640,480:waittimer 90119 blt 0,0,640,480,0, 8442,640,480:waittimer 90140 blt 0,0,640,480,0, 8444,640,480:waittimer 90161 blt 0,0,640,480,0, 8446,640,480:waittimer 90183 blt 0,0,640,480,0, 8448,640,480:waittimer 90204 blt 0,0,640,480,0, 8450,640,480:waittimer 90225 blt 0,0,640,480,0, 8452,640,480:waittimer 90247 blt 0,0,640,480,0, 8454,640,480:waittimer 90268 blt 0,0,640,480,0, 8456,640,480:waittimer 90289 blt 0,0,640,480,0, 8458,640,480:waittimer 90311 blt 0,0,640,480,0, 8460,640,480:waittimer 90332 blt 0,0,640,480,0, 8462,640,480:waittimer 90354 blt 0,0,640,480,0, 8464,640,480:waittimer 90375 blt 0,0,640,480,0, 8466,640,480:waittimer 90396 blt 0,0,640,480,0, 8468,640,480:waittimer 90418 blt 0,0,640,480,0, 8470,640,480:waittimer 90439 blt 0,0,640,480,0, 8472,640,480:waittimer 90460 blt 0,0,640,480,0, 8474,640,480:waittimer 90482 blt 0,0,640,480,0, 8476,640,480:waittimer 90503 blt 0,0,640,480,0, 8478,640,480:waittimer 90524 blt 0,0,640,480,0, 8480,640,480:waittimer 90546 blt 0,0,640,480,0, 8482,640,480:waittimer 90567 blt 0,0,640,480,0, 8484,640,480:waittimer 90588 blt 0,0,640,480,0, 8486,640,480:waittimer 90610 blt 0,0,640,480,0, 8488,640,480:waittimer 90631 blt 0,0,640,480,0, 8490,640,480:waittimer 90652 blt 0,0,640,480,0, 8492,640,480:waittimer 90674 blt 0,0,640,480,0, 8494,640,480:waittimer 90695 blt 0,0,640,480,0, 8496,640,480:waittimer 90717 blt 0,0,640,480,0, 8498,640,480:waittimer 90738 blt 0,0,640,480,0, 8500,640,480:waittimer 90759 blt 0,0,640,480,0, 8502,640,480:waittimer 90781 blt 0,0,640,480,0, 8504,640,480:waittimer 90802 blt 0,0,640,480,0, 8506,640,480:waittimer 90823 blt 0,0,640,480,0, 8508,640,480:waittimer 90845 blt 0,0,640,480,0, 8510,640,480:waittimer 90866 blt 0,0,640,480,0, 8512,640,480:waittimer 90887 blt 0,0,640,480,0, 8514,640,480:waittimer 90909 blt 0,0,640,480,0, 8516,640,480:waittimer 90930 blt 0,0,640,480,0, 8518,640,480:waittimer 90951 blt 0,0,640,480,0, 8520,640,480:waittimer 90973 blt 0,0,640,480,0, 8522,640,480:waittimer 90994 blt 0,0,640,480,0, 8524,640,480:waittimer 91016 blt 0,0,640,480,0, 8526,640,480:waittimer 91037 blt 0,0,640,480,0, 8528,640,480:waittimer 91058 blt 0,0,640,480,0, 8530,640,480:waittimer 91080 blt 0,0,640,480,0, 8532,640,480:waittimer 91101 blt 0,0,640,480,0, 8534,640,480:waittimer 91122 blt 0,0,640,480,0, 8536,640,480:waittimer 91144 blt 0,0,640,480,0, 8538,640,480:waittimer 91165 blt 0,0,640,480,0, 8540,640,480:waittimer 91186 blt 0,0,640,480,0, 8542,640,480:waittimer 91208 blt 0,0,640,480,0, 8544,640,480:waittimer 91229 blt 0,0,640,480,0, 8546,640,480:waittimer 91250 blt 0,0,640,480,0, 8548,640,480:waittimer 91272 blt 0,0,640,480,0, 8550,640,480:waittimer 91293 blt 0,0,640,480,0, 8552,640,480:waittimer 91314 blt 0,0,640,480,0, 8554,640,480:waittimer 91336 blt 0,0,640,480,0, 8556,640,480:waittimer 91357 blt 0,0,640,480,0, 8558,640,480:waittimer 91379 blt 0,0,640,480,0, 8560,640,480:waittimer 91400 blt 0,0,640,480,0, 8562,640,480:waittimer 91421 blt 0,0,640,480,0, 8564,640,480:waittimer 91443 blt 0,0,640,480,0, 8566,640,480:waittimer 91464 blt 0,0,640,480,0, 8568,640,480:waittimer 91485 blt 0,0,640,480,0, 8570,640,480:waittimer 91507 blt 0,0,640,480,0, 8572,640,480:waittimer 91528 blt 0,0,640,480,0, 8574,640,480:waittimer 91549 blt 0,0,640,480,0, 8576,640,480:waittimer 91571 blt 0,0,640,480,0, 8578,640,480:waittimer 91592 blt 0,0,640,480,0, 8580,640,480:waittimer 91613 blt 0,0,640,480,0, 8582,640,480:waittimer 91635 blt 0,0,640,480,0, 8584,640,480:waittimer 91656 blt 0,0,640,480,0, 8586,640,480:waittimer 91678 blt 0,0,640,480,0, 8588,640,480:waittimer 91699 blt 0,0,640,480,0, 8590,640,480:waittimer 91720 blt 0,0,640,480,0, 8592,640,480:waittimer 91742 blt 0,0,640,480,0, 8594,640,480:waittimer 91763 blt 0,0,640,480,0, 8596,640,480:waittimer 91784 blt 0,0,640,480,0, 8598,640,480:waittimer 91806 blt 0,0,640,480,0, 8600,640,480:waittimer 91827 blt 0,0,640,480,0, 8602,640,480:waittimer 91848 blt 0,0,640,480,0, 8604,640,480:waittimer 91870 blt 0,0,640,480,0, 8606,640,480:waittimer 91891 blt 0,0,640,480,0, 8608,640,480:waittimer 91912 blt 0,0,640,480,0, 8610,640,480:waittimer 91934 blt 0,0,640,480,0, 8612,640,480:waittimer 91955 blt 0,0,640,480,0, 8614,640,480:waittimer 91977 blt 0,0,640,480,0, 8616,640,480:waittimer 91998 blt 0,0,640,480,0, 8618,640,480:waittimer 92019 blt 0,0,640,480,0, 8620,640,480:waittimer 92041 blt 0,0,640,480,0, 8622,640,480:waittimer 92062 blt 0,0,640,480,0, 8624,640,480:waittimer 92083 blt 0,0,640,480,0, 8626,640,480:waittimer 92105 blt 0,0,640,480,0, 8628,640,480:waittimer 92126 blt 0,0,640,480,0, 8630,640,480:waittimer 92147 blt 0,0,640,480,0, 8632,640,480:waittimer 92169 blt 0,0,640,480,0, 8634,640,480:waittimer 92190 blt 0,0,640,480,0, 8636,640,480:waittimer 92211 blt 0,0,640,480,0, 8638,640,480:waittimer 92233 blt 0,0,640,480,0, 8640,640,480:waittimer 92254 blt 0,0,640,480,0, 8642,640,480:waittimer 92275 blt 0,0,640,480,0, 8644,640,480:waittimer 92297 blt 0,0,640,480,0, 8646,640,480:waittimer 92318 blt 0,0,640,480,0, 8648,640,480:waittimer 92340 blt 0,0,640,480,0, 8650,640,480:waittimer 92361 blt 0,0,640,480,0, 8652,640,480:waittimer 92382 blt 0,0,640,480,0, 8654,640,480:waittimer 92404 blt 0,0,640,480,0, 8656,640,480:waittimer 92425 blt 0,0,640,480,0, 8658,640,480:waittimer 92446 blt 0,0,640,480,0, 8660,640,480:waittimer 92468 blt 0,0,640,480,0, 8662,640,480:waittimer 92489 blt 0,0,640,480,0, 8664,640,480:waittimer 92510 blt 0,0,640,480,0, 8666,640,480:waittimer 92532 blt 0,0,640,480,0, 8668,640,480:waittimer 92553 blt 0,0,640,480,0, 8670,640,480:waittimer 92574 blt 0,0,640,480,0, 8672,640,480:waittimer 92596 blt 0,0,640,480,0, 8674,640,480:waittimer 92617 blt 0,0,640,480,0, 8676,640,480:waittimer 92639 blt 0,0,640,480,0, 8678,640,480:waittimer 92660 blt 0,0,640,480,0, 8680,640,480:waittimer 92681 blt 0,0,640,480,0, 8682,640,480:waittimer 92703 blt 0,0,640,480,0, 8684,640,480:waittimer 92724 blt 0,0,640,480,0, 8686,640,480:waittimer 92745 blt 0,0,640,480,0, 8688,640,480:waittimer 92767 blt 0,0,640,480,0, 8690,640,480:waittimer 92788 blt 0,0,640,480,0, 8692,640,480:waittimer 92809 blt 0,0,640,480,0, 8694,640,480:waittimer 92831 blt 0,0,640,480,0, 8696,640,480:waittimer 92852 blt 0,0,640,480,0, 8698,640,480:waittimer 92873 blt 0,0,640,480,0, 8700,640,480:waittimer 92895 blt 0,0,640,480,0, 8702,640,480:waittimer 92916 blt 0,0,640,480,0, 8704,640,480:waittimer 92937 blt 0,0,640,480,0, 8706,640,480:waittimer 92959 blt 0,0,640,480,0, 8708,640,480:waittimer 92980 blt 0,0,640,480,0, 8710,640,480:waittimer 93002 blt 0,0,640,480,0, 8712,640,480:waittimer 93023 blt 0,0,640,480,0, 8714,640,480:waittimer 93044 blt 0,0,640,480,0, 8716,640,480:waittimer 93066 blt 0,0,640,480,0, 8718,640,480:waittimer 93087 blt 0,0,640,480,0, 8720,640,480:waittimer 93108 blt 0,0,640,480,0, 8722,640,480:waittimer 93130 blt 0,0,640,480,0, 8724,640,480:waittimer 93151 blt 0,0,640,480,0, 8726,640,480:waittimer 93172 blt 0,0,640,480,0, 8728,640,480:waittimer 93194 blt 0,0,640,480,0, 8730,640,480:waittimer 93215 blt 0,0,640,480,0, 8732,640,480:waittimer 93236 blt 0,0,640,480,0, 8734,640,480:waittimer 93258 blt 0,0,640,480,0, 8736,640,480:waittimer 93279 blt 0,0,640,480,0, 8738,640,480:waittimer 93301 blt 0,0,640,480,0, 8740,640,480:waittimer 93322 blt 0,0,640,480,0, 8742,640,480:waittimer 93343 blt 0,0,640,480,0, 8744,640,480:waittimer 93365 blt 0,0,640,480,0, 8746,640,480:waittimer 93386 blt 0,0,640,480,0, 8748,640,480:waittimer 93407 blt 0,0,640,480,0, 8750,640,480:waittimer 93429 blt 0,0,640,480,0, 8752,640,480:waittimer 93450 blt 0,0,640,480,0, 8754,640,480:waittimer 93471 blt 0,0,640,480,0, 8756,640,480:waittimer 93493 blt 0,0,640,480,0, 8758,640,480:waittimer 93514 blt 0,0,640,480,0, 8760,640,480:waittimer 93535 blt 0,0,640,480,0, 8762,640,480:waittimer 93557 blt 0,0,640,480,0, 8764,640,480:waittimer 93578 blt 0,0,640,480,0, 8766,640,480:waittimer 93599 blt 0,0,640,480,0, 8768,640,480:waittimer 93621 blt 0,0,640,480,0, 8770,640,480:waittimer 93642 blt 0,0,640,480,0, 8772,640,480:waittimer 93664 blt 0,0,640,480,0, 8774,640,480:waittimer 93685 blt 0,0,640,480,0, 8776,640,480:waittimer 93706 blt 0,0,640,480,0, 8778,640,480:waittimer 93728 blt 0,0,640,480,0, 8780,640,480:waittimer 93749 blt 0,0,640,480,0, 8782,640,480:waittimer 93770 blt 0,0,640,480,0, 8784,640,480:waittimer 93792 blt 0,0,640,480,0, 8786,640,480:waittimer 93813 blt 0,0,640,480,0, 8788,640,480:waittimer 93834 blt 0,0,640,480,0, 8790,640,480:waittimer 93856 blt 0,0,640,480,0, 8792,640,480:waittimer 93877 blt 0,0,640,480,0, 8794,640,480:waittimer 93898 blt 0,0,640,480,0, 8796,640,480:waittimer 93920 blt 0,0,640,480,0, 8798,640,480:waittimer 93941 blt 0,0,640,480,0, 8800,640,480:waittimer 93963 blt 0,0,640,480,0, 8802,640,480:waittimer 93984 blt 0,0,640,480,0, 8804,640,480:waittimer 94005 blt 0,0,640,480,0, 8806,640,480:waittimer 94027 blt 0,0,640,480,0, 8808,640,480:waittimer 94048 blt 0,0,640,480,0, 8810,640,480:waittimer 94069 blt 0,0,640,480,0, 8812,640,480:waittimer 94091 blt 0,0,640,480,0, 8814,640,480:waittimer 94112 blt 0,0,640,480,0, 8816,640,480:waittimer 94133 blt 0,0,640,480,0, 8818,640,480:waittimer 94155 blt 0,0,640,480,0, 8820,640,480:waittimer 94176 blt 0,0,640,480,0, 8822,640,480:waittimer 94197 blt 0,0,640,480,0, 8824,640,480:waittimer 94219 blt 0,0,640,480,0, 8826,640,480:waittimer 94240 blt 0,0,640,480,0, 8828,640,480:waittimer 94262 blt 0,0,640,480,0, 8830,640,480:waittimer 94283 blt 0,0,640,480,0, 8832,640,480:waittimer 94304 blt 0,0,640,480,0, 8834,640,480:waittimer 94326 blt 0,0,640,480,0, 8836,640,480:waittimer 94347 blt 0,0,640,480,0, 8838,640,480:waittimer 94368 blt 0,0,640,480,0, 8840,640,480:waittimer 94390 blt 0,0,640,480,0, 8842,640,480:waittimer 94411 blt 0,0,640,480,0, 8844,640,480:waittimer 94432 blt 0,0,640,480,0, 8846,640,480:waittimer 94454 blt 0,0,640,480,0, 8848,640,480:waittimer 94475 blt 0,0,640,480,0, 8850,640,480:waittimer 94496 blt 0,0,640,480,0, 8852,640,480:waittimer 94518 blt 0,0,640,480,0, 8854,640,480:waittimer 94539 blt 0,0,640,480,0, 8856,640,480:waittimer 94560 blt 0,0,640,480,0, 8858,640,480:waittimer 94582 blt 0,0,640,480,0, 8860,640,480:waittimer 94603 blt 0,0,640,480,0, 8862,640,480:waittimer 94625 blt 0,0,640,480,0, 8864,640,480:waittimer 94646 blt 0,0,640,480,0, 8866,640,480:waittimer 94667 blt 0,0,640,480,0, 8868,640,480:waittimer 94689 blt 0,0,640,480,0, 8870,640,480:waittimer 94710 blt 0,0,640,480,0, 8872,640,480:waittimer 94731 blt 0,0,640,480,0, 8874,640,480:waittimer 94753 blt 0,0,640,480,0, 8876,640,480:waittimer 94774 blt 0,0,640,480,0, 8878,640,480:waittimer 94795 blt 0,0,640,480,0, 8880,640,480:waittimer 94817 blt 0,0,640,480,0, 8882,640,480:waittimer 94838 blt 0,0,640,480,0, 8884,640,480:waittimer 94859 blt 0,0,640,480,0, 8886,640,480:waittimer 94881 blt 0,0,640,480,0, 8888,640,480:waittimer 94902 blt 0,0,640,480,0, 8890,640,480:waittimer 94924 blt 0,0,640,480,0, 8892,640,480:waittimer 94945 blt 0,0,640,480,0, 8894,640,480:waittimer 94966 blt 0,0,640,480,0, 8896,640,480:waittimer 94988 blt 0,0,640,480,0, 8898,640,480:waittimer 95009 blt 0,0,640,480,0, 8900,640,480:waittimer 95030 blt 0,0,640,480,0, 8902,640,480:waittimer 95052 blt 0,0,640,480,0, 8904,640,480:waittimer 95073 blt 0,0,640,480,0, 8906,640,480:waittimer 95094 blt 0,0,640,480,0, 8908,640,480:waittimer 95116 blt 0,0,640,480,0, 8910,640,480:waittimer 95137 blt 0,0,640,480,0, 8912,640,480:waittimer 95158 blt 0,0,640,480,0, 8914,640,480:waittimer 95180 blt 0,0,640,480,0, 8916,640,480:waittimer 95201 blt 0,0,640,480,0, 8918,640,480:waittimer 95222 blt 0,0,640,480,0, 8920,640,480:waittimer 95244 blt 0,0,640,480,0, 8922,640,480:waittimer 95265 blt 0,0,640,480,0, 8924,640,480:waittimer 95287 blt 0,0,640,480,0, 8926,640,480:waittimer 95308 blt 0,0,640,480,0, 8928,640,480:waittimer 95329 blt 0,0,640,480,0, 8930,640,480:waittimer 95351 blt 0,0,640,480,0, 8932,640,480:waittimer 95372 blt 0,0,640,480,0, 8934,640,480:waittimer 95393 blt 0,0,640,480,0, 8936,640,480:waittimer 95415 blt 0,0,640,480,0, 8938,640,480:waittimer 95436 blt 0,0,640,480,0, 8940,640,480:waittimer 95457 blt 0,0,640,480,0, 8942,640,480:waittimer 95479 blt 0,0,640,480,0, 8944,640,480:waittimer 95500 blt 0,0,640,480,0, 8946,640,480:waittimer 95521 blt 0,0,640,480,0, 8948,640,480:waittimer 95543 blt 0,0,640,480,0, 8950,640,480:waittimer 95564 blt 0,0,640,480,0, 8952,640,480:waittimer 95586 blt 0,0,640,480,0, 8954,640,480:waittimer 95607 blt 0,0,640,480,0, 8956,640,480:waittimer 95628 blt 0,0,640,480,0, 8958,640,480:waittimer 95650 blt 0,0,640,480,0, 8960,640,480:waittimer 95671 blt 0,0,640,480,0, 8962,640,480:waittimer 95692 blt 0,0,640,480,0, 8964,640,480:waittimer 95714 blt 0,0,640,480,0, 8966,640,480:waittimer 95735 blt 0,0,640,480,0, 8968,640,480:waittimer 95756 blt 0,0,640,480,0, 8970,640,480:waittimer 95778 blt 0,0,640,480,0, 8972,640,480:waittimer 95799 blt 0,0,640,480,0, 8974,640,480:waittimer 95820 blt 0,0,640,480,0, 8976,640,480:waittimer 95842 blt 0,0,640,480,0, 8978,640,480:waittimer 95863 blt 0,0,640,480,0, 8980,640,480:waittimer 95885 blt 0,0,640,480,0, 8982,640,480:waittimer 95906 blt 0,0,640,480,0, 8984,640,480:waittimer 95927 blt 0,0,640,480,0, 8986,640,480:waittimer 95949 blt 0,0,640,480,0, 8988,640,480:waittimer 95970 blt 0,0,640,480,0, 8990,640,480:waittimer 95991 blt 0,0,640,480,0, 8992,640,480:waittimer 96013 blt 0,0,640,480,0, 8994,640,480:waittimer 96034 blt 0,0,640,480,0, 8996,640,480:waittimer 96055 blt 0,0,640,480,0, 8998,640,480:waittimer 96077 blt 0,0,640,480,0, 9000,640,480:waittimer 96098 blt 0,0,640,480,0, 9002,640,480:waittimer 96119 blt 0,0,640,480,0, 9004,640,480:waittimer 96141 blt 0,0,640,480,0, 9006,640,480:waittimer 96162 blt 0,0,640,480,0, 9008,640,480:waittimer 96183 blt 0,0,640,480,0, 9010,640,480:waittimer 96205 blt 0,0,640,480,0, 9012,640,480:waittimer 96226 blt 0,0,640,480,0, 9014,640,480:waittimer 96248 blt 0,0,640,480,0, 9016,640,480:waittimer 96269 blt 0,0,640,480,0, 9018,640,480:waittimer 96290 blt 0,0,640,480,0, 9020,640,480:waittimer 96312 blt 0,0,640,480,0, 9022,640,480:waittimer 96333 blt 0,0,640,480,0, 9024,640,480:waittimer 96354 blt 0,0,640,480,0, 9026,640,480:waittimer 96376 blt 0,0,640,480,0, 9028,640,480:waittimer 96397 blt 0,0,640,480,0, 9030,640,480:waittimer 96418 blt 0,0,640,480,0, 9032,640,480:waittimer 96440 blt 0,0,640,480,0, 9034,640,480:waittimer 96461 blt 0,0,640,480,0, 9036,640,480:waittimer 96482 blt 0,0,640,480,0, 9038,640,480:waittimer 96504 blt 0,0,640,480,0, 9040,640,480:waittimer 96525 blt 0,0,640,480,0, 9042,640,480:waittimer 96547 blt 0,0,640,480,0, 9044,640,480:waittimer 96568 blt 0,0,640,480,0, 9046,640,480:waittimer 96589 blt 0,0,640,480,0, 9048,640,480:waittimer 96611 blt 0,0,640,480,0, 9050,640,480:waittimer 96632 blt 0,0,640,480,0, 9052,640,480:waittimer 96653 blt 0,0,640,480,0, 9054,640,480:waittimer 96675 blt 0,0,640,480,0, 9056,640,480:waittimer 96696 blt 0,0,640,480,0, 9058,640,480:waittimer 96717 blt 0,0,640,480,0, 9060,640,480:waittimer 96739 blt 0,0,640,480,0, 9062,640,480:waittimer 96760 blt 0,0,640,480,0, 9064,640,480:waittimer 96781 blt 0,0,640,480,0, 9066,640,480:waittimer 96803 blt 0,0,640,480,0, 9068,640,480:waittimer 96824 blt 0,0,640,480,0, 9070,640,480:waittimer 96845 blt 0,0,640,480,0, 9072,640,480:waittimer 96867 blt 0,0,640,480,0, 9074,640,480:waittimer 96888 blt 0,0,640,480,0, 9076,640,480:waittimer 96910 blt 0,0,640,480,0, 9078,640,480:waittimer 96931 blt 0,0,640,480,0, 9080,640,480:waittimer 96952 blt 0,0,640,480,0, 9082,640,480:waittimer 96974 blt 0,0,640,480,0, 9084,640,480:waittimer 96995 blt 0,0,640,480,0, 9086,640,480:waittimer 97016 blt 0,0,640,480,0, 9088,640,480:waittimer 97038 blt 0,0,640,480,0, 9090,640,480:waittimer 97059 blt 0,0,640,480,0, 9092,640,480:waittimer 97080 blt 0,0,640,480,0, 9094,640,480:waittimer 97102 blt 0,0,640,480,0, 9096,640,480:waittimer 97123 blt 0,0,640,480,0, 9098,640,480:waittimer 97144 blt 0,0,640,480,0, 9100,640,480:waittimer 97166 blt 0,0,640,480,0, 9102,640,480:waittimer 97187 blt 0,0,640,480,0, 9104,640,480:waittimer 97209 blt 0,0,640,480,0, 9106,640,480:waittimer 97230 blt 0,0,640,480,0, 9108,640,480:waittimer 97251 blt 0,0,640,480,0, 9110,640,480:waittimer 97273 blt 0,0,640,480,0, 9112,640,480:waittimer 97294 blt 0,0,640,480,0, 9114,640,480:waittimer 97315 blt 0,0,640,480,0, 9116,640,480:waittimer 97337 blt 0,0,640,480,0, 9118,640,480:waittimer 97358 blt 0,0,640,480,0, 9120,640,480:waittimer 97379 blt 0,0,640,480,0, 9122,640,480:waittimer 97401 blt 0,0,640,480,0, 9124,640,480:waittimer 97422 blt 0,0,640,480,0, 9126,640,480:waittimer 97443 blt 0,0,640,480,0, 9128,640,480:waittimer 97465 blt 0,0,640,480,0, 9130,640,480:waittimer 97486 blt 0,0,640,480,0, 9132,640,480:waittimer 97508 blt 0,0,640,480,0, 9134,640,480:waittimer 97529 blt 0,0,640,480,0, 9136,640,480:waittimer 97550 blt 0,0,640,480,0, 9138,640,480:waittimer 97572 blt 0,0,640,480,0, 9140,640,480:waittimer 97593 blt 0,0,640,480,0, 9142,640,480:waittimer 97614 blt 0,0,640,480,0, 9144,640,480:waittimer 97636 blt 0,0,640,480,0, 9146,640,480:waittimer 97657 blt 0,0,640,480,0, 9148,640,480:waittimer 97678 blt 0,0,640,480,0, 9150,640,480:waittimer 97700 blt 0,0,640,480,0, 9152,640,480:waittimer 97721 blt 0,0,640,480,0, 9154,640,480:waittimer 97742 blt 0,0,640,480,0, 9156,640,480:waittimer 97764 blt 0,0,640,480,0, 9158,640,480:waittimer 97785 blt 0,0,640,480,0, 9160,640,480:waittimer 97806 blt 0,0,640,480,0, 9162,640,480:waittimer 97828 blt 0,0,640,480,0, 9164,640,480:waittimer 97849 blt 0,0,640,480,0, 9166,640,480:waittimer 97871 blt 0,0,640,480,0, 9168,640,480:waittimer 97892 blt 0,0,640,480,0, 9170,640,480:waittimer 97913 blt 0,0,640,480,0, 9172,640,480:waittimer 97935 blt 0,0,640,480,0, 9174,640,480:waittimer 97956 blt 0,0,640,480,0, 9176,640,480:waittimer 97977 blt 0,0,640,480,0, 9178,640,480:waittimer 97999 blt 0,0,640,480,0, 9180,640,480:waittimer 98020 blt 0,0,640,480,0, 9182,640,480:waittimer 98041 blt 0,0,640,480,0, 9184,640,480:waittimer 98063 blt 0,0,640,480,0, 9186,640,480:waittimer 98084 blt 0,0,640,480,0, 9188,640,480:waittimer 98105 blt 0,0,640,480,0, 9190,640,480:waittimer 98127 blt 0,0,640,480,0, 9192,640,480:waittimer 98148 blt 0,0,640,480,0, 9194,640,480:waittimer 98170 blt 0,0,640,480,0, 9196,640,480:waittimer 98191 blt 0,0,640,480,0, 9198,640,480:waittimer 98212 blt 0,0,640,480,0, 9200,640,480:waittimer 98234 blt 0,0,640,480,0, 9202,640,480:waittimer 98255 blt 0,0,640,480,0, 9204,640,480:waittimer 98276 blt 0,0,640,480,0, 9206,640,480:waittimer 98298 blt 0,0,640,480,0, 9208,640,480:waittimer 98319 blt 0,0,640,480,0, 9210,640,480:waittimer 98340 blt 0,0,640,480,0, 9212,640,480:waittimer 98362 blt 0,0,640,480,0, 9214,640,480:waittimer 98383 blt 0,0,640,480,0, 9216,640,480:waittimer 98404 blt 0,0,640,480,0, 9218,640,480:waittimer 98426 blt 0,0,640,480,0, 9220,640,480:waittimer 98447 blt 0,0,640,480,0, 9222,640,480:waittimer 98468 blt 0,0,640,480,0, 9224,640,480:waittimer 98490 blt 0,0,640,480,0, 9226,640,480:waittimer 98511 blt 0,0,640,480,0, 9228,640,480:waittimer 98533 blt 0,0,640,480,0, 9230,640,480:waittimer 98554 blt 0,0,640,480,0, 9232,640,480:waittimer 98575 blt 0,0,640,480,0, 9234,640,480:waittimer 98597 blt 0,0,640,480,0, 9236,640,480:waittimer 98618 blt 0,0,640,480,0, 9238,640,480:waittimer 98639 blt 0,0,640,480,0, 9240,640,480:waittimer 98661 blt 0,0,640,480,0, 9242,640,480:waittimer 98682 blt 0,0,640,480,0, 9244,640,480:waittimer 98703 blt 0,0,640,480,0, 9246,640,480:waittimer 98725 blt 0,0,640,480,0, 9248,640,480:waittimer 98746 blt 0,0,640,480,0, 9250,640,480:waittimer 98767 blt 0,0,640,480,0, 9252,640,480:waittimer 98789 blt 0,0,640,480,0, 9254,640,480:waittimer 98810 blt 0,0,640,480,0, 9256,640,480:waittimer 98832 blt 0,0,640,480,0, 9258,640,480:waittimer 98853 blt 0,0,640,480,0, 9260,640,480:waittimer 98874 blt 0,0,640,480,0, 9262,640,480:waittimer 98896 blt 0,0,640,480,0, 9264,640,480:waittimer 98917 blt 0,0,640,480,0, 9266,640,480:waittimer 98938 blt 0,0,640,480,0, 9268,640,480:waittimer 98960 blt 0,0,640,480,0, 9270,640,480:waittimer 98981 blt 0,0,640,480,0, 9272,640,480:waittimer 99002 blt 0,0,640,480,0, 9274,640,480:waittimer 99024 blt 0,0,640,480,0, 9276,640,480:waittimer 99045 blt 0,0,640,480,0, 9278,640,480:waittimer 99066 blt 0,0,640,480,0, 9280,640,480:waittimer 99088 blt 0,0,640,480,0, 9282,640,480:waittimer 99109 blt 0,0,640,480,0, 9284,640,480:waittimer 99131 blt 0,0,640,480,0, 9286,640,480:waittimer 99152 blt 0,0,640,480,0, 9288,640,480:waittimer 99173 blt 0,0,640,480,0, 9290,640,480:waittimer 99195 blt 0,0,640,480,0, 9292,640,480:waittimer 99216 blt 0,0,640,480,0, 9294,640,480:waittimer 99237 blt 0,0,640,480,0, 9296,640,480:waittimer 99259 blt 0,0,640,480,0, 9298,640,480:waittimer 99280 blt 0,0,640,480,0, 9300,640,480:waittimer 99301 blt 0,0,640,480,0, 9302,640,480:waittimer 99323 blt 0,0,640,480,0, 9304,640,480:waittimer 99344 blt 0,0,640,480,0, 9306,640,480:waittimer 99365 blt 0,0,640,480,0, 9308,640,480:waittimer 99387 blt 0,0,640,480,0, 9310,640,480:waittimer 99408 blt 0,0,640,480,0, 9312,640,480:waittimer 99429 blt 0,0,640,480,0, 9314,640,480:waittimer 99451 blt 0,0,640,480,0, 9316,640,480:waittimer 99472 blt 0,0,640,480,0, 9318,640,480:waittimer 99494 blt 0,0,640,480,0, 9320,640,480:waittimer 99515 blt 0,0,640,480,0, 9322,640,480:waittimer 99536 blt 0,0,640,480,0, 9324,640,480:waittimer 99558 blt 0,0,640,480,0, 9326,640,480:waittimer 99579 blt 0,0,640,480,0, 9328,640,480:waittimer 99600 blt 0,0,640,480,0, 9330,640,480:waittimer 99622 blt 0,0,640,480,0, 9332,640,480:waittimer 99643 blt 0,0,640,480,0, 9334,640,480:waittimer 99664 blt 0,0,640,480,0, 9336,640,480:waittimer 99686 blt 0,0,640,480,0, 9338,640,480:waittimer 99707 blt 0,0,640,480,0, 9340,640,480:waittimer 99728 blt 0,0,640,480,0, 9342,640,480:waittimer 99750 blt 0,0,640,480,0, 9344,640,480:waittimer 99771 blt 0,0,640,480,0, 9346,640,480:waittimer 99793 blt 0,0,640,480,0, 9348,640,480:waittimer 99814 blt 0,0,640,480,0, 9350,640,480:waittimer 99835 blt 0,0,640,480,0, 9352,640,480:waittimer 99857 blt 0,0,640,480,0, 9354,640,480:waittimer 99878 blt 0,0,640,480,0, 9356,640,480:waittimer 99899 blt 0,0,640,480,0, 9358,640,480:waittimer 99921 blt 0,0,640,480,0, 9360,640,480:waittimer 99942 blt 0,0,640,480,0, 9362,640,480:waittimer 99963 blt 0,0,640,480,0, 9364,640,480:waittimer 99985 blt 0,0,640,480,0, 9366,640,480:waittimer 100006 blt 0,0,640,480,0, 9368,640,480:waittimer 100027 blt 0,0,640,480,0, 9370,640,480:waittimer 100049 blt 0,0,640,480,0, 9372,640,480:waittimer 100070 blt 0,0,640,480,0, 9374,640,480:waittimer 100091 blt 0,0,640,480,0, 9376,640,480:waittimer 100113 blt 0,0,640,480,0, 9378,640,480:waittimer 100134 blt 0,0,640,480,0, 9380,640,480:waittimer 100156 blt 0,0,640,480,0, 9382,640,480:waittimer 100177 blt 0,0,640,480,0, 9384,640,480:waittimer 100198 blt 0,0,640,480,0, 9386,640,480:waittimer 100220 blt 0,0,640,480,0, 9388,640,480:waittimer 100241 blt 0,0,640,480,0, 9390,640,480:waittimer 100262 blt 0,0,640,480,0, 9392,640,480:waittimer 100284 blt 0,0,640,480,0, 9394,640,480:waittimer 100305 blt 0,0,640,480,0, 9396,640,480:waittimer 100326 blt 0,0,640,480,0, 9398,640,480:waittimer 100348 blt 0,0,640,480,0, 9400,640,480:waittimer 100369 blt 0,0,640,480,0, 9402,640,480:waittimer 100390 blt 0,0,640,480,0, 9404,640,480:waittimer 100412 blt 0,0,640,480,0, 9406,640,480:waittimer 100433 blt 0,0,640,480,0, 9408,640,480:waittimer 100455 blt 0,0,640,480,0, 9410,640,480:waittimer 100476 blt 0,0,640,480,0, 9412,640,480:waittimer 100497 blt 0,0,640,480,0, 9414,640,480:waittimer 100519 blt 0,0,640,480,0, 9416,640,480:waittimer 100540 blt 0,0,640,480,0, 9418,640,480:waittimer 100561 blt 0,0,640,480,0, 9420,640,480:waittimer 100583 blt 0,0,640,480,0, 9422,640,480:waittimer 100604 blt 0,0,640,480,0, 9424,640,480:waittimer 100625 blt 0,0,640,480,0, 9426,640,480:waittimer 100647 blt 0,0,640,480,0, 9428,640,480:waittimer 100668 blt 0,0,640,480,0, 9430,640,480:waittimer 100689 blt 0,0,640,480,0, 9432,640,480:waittimer 100711 blt 0,0,640,480,0, 9434,640,480:waittimer 100732 blt 0,0,640,480,0, 9436,640,480:waittimer 100754 blt 0,0,640,480,0, 9438,640,480:waittimer 100775 blt 0,0,640,480,0, 9440,640,480:waittimer 100796 blt 0,0,640,480,0, 9442,640,480:waittimer 100818 blt 0,0,640,480,0, 9444,640,480:waittimer 100839 blt 0,0,640,480,0, 9446,640,480:waittimer 100860 blt 0,0,640,480,0, 9448,640,480:waittimer 100882 blt 0,0,640,480,0, 9450,640,480:waittimer 100903 blt 0,0,640,480,0, 9452,640,480:waittimer 100924 blt 0,0,640,480,0, 9454,640,480:waittimer 100946 blt 0,0,640,480,0, 9456,640,480:waittimer 100967 blt 0,0,640,480,0, 9458,640,480:waittimer 100988 blt 0,0,640,480,0, 9460,640,480:waittimer 101010 blt 0,0,640,480,0, 9462,640,480:waittimer 101031 blt 0,0,640,480,0, 9464,640,480:waittimer 101052 blt 0,0,640,480,0, 9466,640,480:waittimer 101074 blt 0,0,640,480,0, 9468,640,480:waittimer 101095 blt 0,0,640,480,0, 9470,640,480:waittimer 101117 blt 0,0,640,480,0, 9472,640,480:waittimer 101138 blt 0,0,640,480,0, 9474,640,480:waittimer 101159 blt 0,0,640,480,0, 9476,640,480:waittimer 101181 blt 0,0,640,480,0, 9478,640,480:waittimer 101202 blt 0,0,640,480,0, 9480,640,480:waittimer 101223 blt 0,0,640,480,0, 9482,640,480:waittimer 101245 blt 0,0,640,480,0, 9484,640,480:waittimer 101266 blt 0,0,640,480,0, 9486,640,480:waittimer 101287 blt 0,0,640,480,0, 9488,640,480:waittimer 101309 blt 0,0,640,480,0, 9490,640,480:waittimer 101330 blt 0,0,640,480,0, 9492,640,480:waittimer 101351 blt 0,0,640,480,0, 9494,640,480:waittimer 101373 blt 0,0,640,480,0, 9496,640,480:waittimer 101394 blt 0,0,640,480,0, 9498,640,480:waittimer 101416 blt 0,0,640,480,0, 9500,640,480:waittimer 101437 blt 0,0,640,480,0, 9502,640,480:waittimer 101458 blt 0,0,640,480,0, 9504,640,480:waittimer 101480 blt 0,0,640,480,0, 9506,640,480:waittimer 101501 blt 0,0,640,480,0, 9508,640,480:waittimer 101522 blt 0,0,640,480,0, 9510,640,480:waittimer 101544 blt 0,0,640,480,0, 9512,640,480:waittimer 101565 blt 0,0,640,480,0, 9514,640,480:waittimer 101586 blt 0,0,640,480,0, 9516,640,480:waittimer 101608 blt 0,0,640,480,0, 9518,640,480:waittimer 101629 blt 0,0,640,480,0, 9520,640,480:waittimer 101650 blt 0,0,640,480,0, 9522,640,480:waittimer 101672 blt 0,0,640,480,0, 9524,640,480:waittimer 101693 blt 0,0,640,480,0, 9526,640,480:waittimer 101714 blt 0,0,640,480,0, 9528,640,480:waittimer 101736 blt 0,0,640,480,0, 9530,640,480:waittimer 101757 blt 0,0,640,480,0, 9532,640,480:waittimer 101779 blt 0,0,640,480,0, 9534,640,480:waittimer 101800 blt 0,0,640,480,0, 9536,640,480:waittimer 101821 blt 0,0,640,480,0, 9538,640,480:waittimer 101843 blt 0,0,640,480,0, 9540,640,480:waittimer 101864 blt 0,0,640,480,0, 9542,640,480:waittimer 101885 blt 0,0,640,480,0, 9544,640,480:waittimer 101907 blt 0,0,640,480,0, 9546,640,480:waittimer 101928 blt 0,0,640,480,0, 9548,640,480:waittimer 101949 blt 0,0,640,480,0, 9550,640,480:waittimer 101971 blt 0,0,640,480,0, 9552,640,480:waittimer 101992 blt 0,0,640,480,0, 9554,640,480:waittimer 102013 blt 0,0,640,480,0, 9556,640,480:waittimer 102035 blt 0,0,640,480,0, 9558,640,480:waittimer 102056 blt 0,0,640,480,0, 9560,640,480:waittimer 102078 blt 0,0,640,480,0, 9562,640,480:waittimer 102099 blt 0,0,640,480,0, 9564,640,480:waittimer 102120 blt 0,0,640,480,0, 9566,640,480:waittimer 102142 blt 0,0,640,480,0, 9568,640,480:waittimer 102163 blt 0,0,640,480,0, 9570,640,480:waittimer 102184 blt 0,0,640,480,0, 9572,640,480:waittimer 102206 blt 0,0,640,480,0, 9574,640,480:waittimer 102227 blt 0,0,640,480,0, 9576,640,480:waittimer 102248 blt 0,0,640,480,0, 9578,640,480:waittimer 102270 blt 0,0,640,480,0, 9580,640,480:waittimer 102291 blt 0,0,640,480,0, 9582,640,480:waittimer 102312 blt 0,0,640,480,0, 9584,640,480:waittimer 102334 blt 0,0,640,480,0, 9586,640,480:waittimer 102355 blt 0,0,640,480,0, 9588,640,480:waittimer 102377 blt 0,0,640,480,0, 9590,640,480:waittimer 102398 blt 0,0,640,480,0, 9592,640,480:waittimer 102419 blt 0,0,640,480,0, 9594,640,480:waittimer 102441 blt 0,0,640,480,0, 9596,640,480:waittimer 102462 blt 0,0,640,480,0, 9598,640,480:waittimer 102483 blt 0,0,640,480,0, 9600,640,480:waittimer 102505 blt 0,0,640,480,0, 9602,640,480:waittimer 102526 blt 0,0,640,480,0, 9604,640,480:waittimer 102547 blt 0,0,640,480,0, 9606,640,480:waittimer 102569 blt 0,0,640,480,0, 9608,640,480:waittimer 102590 blt 0,0,640,480,0, 9610,640,480:waittimer 102611 blt 0,0,640,480,0, 9612,640,480:waittimer 102633 blt 0,0,640,480,0, 9614,640,480:waittimer 102654 blt 0,0,640,480,0, 9616,640,480:waittimer 102675 blt 0,0,640,480,0, 9618,640,480:waittimer 102697 blt 0,0,640,480,0, 9620,640,480:waittimer 102718 blt 0,0,640,480,0, 9622,640,480:waittimer 102740 blt 0,0,640,480,0, 9624,640,480:waittimer 102761 blt 0,0,640,480,0, 9626,640,480:waittimer 102782 blt 0,0,640,480,0, 9628,640,480:waittimer 102804 blt 0,0,640,480,0, 9630,640,480:waittimer 102825 blt 0,0,640,480,0, 9632,640,480:waittimer 102846 blt 0,0,640,480,0, 9634,640,480:waittimer 102868 blt 0,0,640,480,0, 9636,640,480:waittimer 102889 blt 0,0,640,480,0, 9638,640,480:waittimer 102910 blt 0,0,640,480,0, 9640,640,480:waittimer 102932 blt 0,0,640,480,0, 9642,640,480:waittimer 102953 blt 0,0,640,480,0, 9644,640,480:waittimer 102974 blt 0,0,640,480,0, 9646,640,480:waittimer 102996 blt 0,0,640,480,0, 9648,640,480:waittimer 103017 blt 0,0,640,480,0, 9650,640,480:waittimer 103039 blt 0,0,640,480,0, 9652,640,480:waittimer 103060 blt 0,0,640,480,0, 9654,640,480:waittimer 103081 blt 0,0,640,480,0, 9656,640,480:waittimer 103103 blt 0,0,640,480,0, 9658,640,480:waittimer 103124 blt 0,0,640,480,0, 9660,640,480:waittimer 103145 blt 0,0,640,480,0, 9662,640,480:waittimer 103167 blt 0,0,640,480,0, 9664,640,480:waittimer 103188 blt 0,0,640,480,0, 9666,640,480:waittimer 103209 blt 0,0,640,480,0, 9668,640,480:waittimer 103231 blt 0,0,640,480,0, 9670,640,480:waittimer 103252 blt 0,0,640,480,0, 9672,640,480:waittimer 103273 blt 0,0,640,480,0, 9674,640,480:waittimer 103295 blt 0,0,640,480,0, 9676,640,480:waittimer 103316 blt 0,0,640,480,0, 9678,640,480:waittimer 103337 blt 0,0,640,480,0, 9680,640,480:waittimer 103359 blt 0,0,640,480,0, 9682,640,480:waittimer 103380 blt 0,0,640,480,0, 9684,640,480:waittimer 103402 blt 0,0,640,480,0, 9686,640,480:waittimer 103423 blt 0,0,640,480,0, 9688,640,480:waittimer 103444 blt 0,0,640,480,0, 9690,640,480:waittimer 103466 blt 0,0,640,480,0, 9692,640,480:waittimer 103487 blt 0,0,640,480,0, 9694,640,480:waittimer 103508 blt 0,0,640,480,0, 9696,640,480:waittimer 103530 blt 0,0,640,480,0, 9698,640,480:waittimer 103551 blt 0,0,640,480,0, 9700,640,480:waittimer 103572 blt 0,0,640,480,0, 9702,640,480:waittimer 103594 blt 0,0,640,480,0, 9704,640,480:waittimer 103615 blt 0,0,640,480,0, 9706,640,480:waittimer 103636 blt 0,0,640,480,0, 9708,640,480:waittimer 103658 blt 0,0,640,480,0, 9710,640,480:waittimer 103679 blt 0,0,640,480,0, 9712,640,480:waittimer 103701 blt 0,0,640,480,0, 9714,640,480:waittimer 103722 blt 0,0,640,480,0, 9716,640,480:waittimer 103743 blt 0,0,640,480,0, 9718,640,480:waittimer 103765 blt 0,0,640,480,0, 9720,640,480:waittimer 103786 blt 0,0,640,480,0, 9722,640,480:waittimer 103807 blt 0,0,640,480,0, 9724,640,480:waittimer 103829 blt 0,0,640,480,0, 9726,640,480:waittimer 103850 blt 0,0,640,480,0, 9728,640,480:waittimer 103871 blt 0,0,640,480,0, 9730,640,480:waittimer 103893 blt 0,0,640,480,0, 9732,640,480:waittimer 103914 blt 0,0,640,480,0, 9734,640,480:waittimer 103935 blt 0,0,640,480,0, 9736,640,480:waittimer 103957 blt 0,0,640,480,0, 9738,640,480:waittimer 103978 blt 0,0,640,480,0, 9740,640,480:waittimer 103999 return ;*********************************************** *ep5_scroll ;スクロール実行本体 saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 22 blt 0,0,640,480,0, 4,640,480:waittimer 45 blt 0,0,640,480,0, 6,640,480:waittimer 68 blt 0,0,640,480,0, 8,640,480:waittimer 91 blt 0,0,640,480,0, 10,640,480:waittimer 113 blt 0,0,640,480,0, 12,640,480:waittimer 136 blt 0,0,640,480,0, 14,640,480:waittimer 159 blt 0,0,640,480,0, 16,640,480:waittimer 182 blt 0,0,640,480,0, 18,640,480:waittimer 205 blt 0,0,640,480,0, 20,640,480:waittimer 227 blt 0,0,640,480,0, 22,640,480:waittimer 250 blt 0,0,640,480,0, 24,640,480:waittimer 273 blt 0,0,640,480,0, 26,640,480:waittimer 296 blt 0,0,640,480,0, 28,640,480:waittimer 319 blt 0,0,640,480,0, 30,640,480:waittimer 341 blt 0,0,640,480,0, 32,640,480:waittimer 364 blt 0,0,640,480,0, 34,640,480:waittimer 387 blt 0,0,640,480,0, 36,640,480:waittimer 410 blt 0,0,640,480,0, 38,640,480:waittimer 433 blt 0,0,640,480,0, 40,640,480:waittimer 455 blt 0,0,640,480,0, 42,640,480:waittimer 478 blt 0,0,640,480,0, 44,640,480:waittimer 501 blt 0,0,640,480,0, 46,640,480:waittimer 524 blt 0,0,640,480,0, 48,640,480:waittimer 547 blt 0,0,640,480,0, 50,640,480:waittimer 569 blt 0,0,640,480,0, 52,640,480:waittimer 592 blt 0,0,640,480,0, 54,640,480:waittimer 615 blt 0,0,640,480,0, 56,640,480:waittimer 638 blt 0,0,640,480,0, 58,640,480:waittimer 660 blt 0,0,640,480,0, 60,640,480:waittimer 683 blt 0,0,640,480,0, 62,640,480:waittimer 706 blt 0,0,640,480,0, 64,640,480:waittimer 729 blt 0,0,640,480,0, 66,640,480:waittimer 752 blt 0,0,640,480,0, 68,640,480:waittimer 774 blt 0,0,640,480,0, 70,640,480:waittimer 797 blt 0,0,640,480,0, 72,640,480:waittimer 820 blt 0,0,640,480,0, 74,640,480:waittimer 843 blt 0,0,640,480,0, 76,640,480:waittimer 866 blt 0,0,640,480,0, 78,640,480:waittimer 888 blt 0,0,640,480,0, 80,640,480:waittimer 911 blt 0,0,640,480,0, 82,640,480:waittimer 934 blt 0,0,640,480,0, 84,640,480:waittimer 957 blt 0,0,640,480,0, 86,640,480:waittimer 980 blt 0,0,640,480,0, 88,640,480:waittimer 1002 blt 0,0,640,480,0, 90,640,480:waittimer 1025 blt 0,0,640,480,0, 92,640,480:waittimer 1048 blt 0,0,640,480,0, 94,640,480:waittimer 1071 blt 0,0,640,480,0, 96,640,480:waittimer 1094 blt 0,0,640,480,0, 98,640,480:waittimer 1116 blt 0,0,640,480,0, 100,640,480:waittimer 1139 blt 0,0,640,480,0, 102,640,480:waittimer 1162 blt 0,0,640,480,0, 104,640,480:waittimer 1185 blt 0,0,640,480,0, 106,640,480:waittimer 1207 blt 0,0,640,480,0, 108,640,480:waittimer 1230 blt 0,0,640,480,0, 110,640,480:waittimer 1253 blt 0,0,640,480,0, 112,640,480:waittimer 1276 blt 0,0,640,480,0, 114,640,480:waittimer 1299 blt 0,0,640,480,0, 116,640,480:waittimer 1321 blt 0,0,640,480,0, 118,640,480:waittimer 1344 blt 0,0,640,480,0, 120,640,480:waittimer 1367 blt 0,0,640,480,0, 122,640,480:waittimer 1390 blt 0,0,640,480,0, 124,640,480:waittimer 1413 blt 0,0,640,480,0, 126,640,480:waittimer 1435 blt 0,0,640,480,0, 128,640,480:waittimer 1458 blt 0,0,640,480,0, 130,640,480:waittimer 1481 blt 0,0,640,480,0, 132,640,480:waittimer 1504 blt 0,0,640,480,0, 134,640,480:waittimer 1527 blt 0,0,640,480,0, 136,640,480:waittimer 1549 blt 0,0,640,480,0, 138,640,480:waittimer 1572 blt 0,0,640,480,0, 140,640,480:waittimer 1595 blt 0,0,640,480,0, 142,640,480:waittimer 1618 blt 0,0,640,480,0, 144,640,480:waittimer 1641 blt 0,0,640,480,0, 146,640,480:waittimer 1663 blt 0,0,640,480,0, 148,640,480:waittimer 1686 blt 0,0,640,480,0, 150,640,480:waittimer 1709 blt 0,0,640,480,0, 152,640,480:waittimer 1732 blt 0,0,640,480,0, 154,640,480:waittimer 1754 blt 0,0,640,480,0, 156,640,480:waittimer 1777 blt 0,0,640,480,0, 158,640,480:waittimer 1800 blt 0,0,640,480,0, 160,640,480:waittimer 1823 blt 0,0,640,480,0, 162,640,480:waittimer 1846 blt 0,0,640,480,0, 164,640,480:waittimer 1868 blt 0,0,640,480,0, 166,640,480:waittimer 1891 blt 0,0,640,480,0, 168,640,480:waittimer 1914 blt 0,0,640,480,0, 170,640,480:waittimer 1937 blt 0,0,640,480,0, 172,640,480:waittimer 1960 blt 0,0,640,480,0, 174,640,480:waittimer 1982 blt 0,0,640,480,0, 176,640,480:waittimer 2005 blt 0,0,640,480,0, 178,640,480:waittimer 2028 blt 0,0,640,480,0, 180,640,480:waittimer 2051 blt 0,0,640,480,0, 182,640,480:waittimer 2074 blt 0,0,640,480,0, 184,640,480:waittimer 2096 blt 0,0,640,480,0, 186,640,480:waittimer 2119 blt 0,0,640,480,0, 188,640,480:waittimer 2142 blt 0,0,640,480,0, 190,640,480:waittimer 2165 blt 0,0,640,480,0, 192,640,480:waittimer 2188 blt 0,0,640,480,0, 194,640,480:waittimer 2210 blt 0,0,640,480,0, 196,640,480:waittimer 2233 blt 0,0,640,480,0, 198,640,480:waittimer 2256 blt 0,0,640,480,0, 200,640,480:waittimer 2279 blt 0,0,640,480,0, 202,640,480:waittimer 2301 blt 0,0,640,480,0, 204,640,480:waittimer 2324 blt 0,0,640,480,0, 206,640,480:waittimer 2347 blt 0,0,640,480,0, 208,640,480:waittimer 2370 blt 0,0,640,480,0, 210,640,480:waittimer 2393 blt 0,0,640,480,0, 212,640,480:waittimer 2415 blt 0,0,640,480,0, 214,640,480:waittimer 2438 blt 0,0,640,480,0, 216,640,480:waittimer 2461 blt 0,0,640,480,0, 218,640,480:waittimer 2484 blt 0,0,640,480,0, 220,640,480:waittimer 2507 blt 0,0,640,480,0, 222,640,480:waittimer 2529 blt 0,0,640,480,0, 224,640,480:waittimer 2552 blt 0,0,640,480,0, 226,640,480:waittimer 2575 blt 0,0,640,480,0, 228,640,480:waittimer 2598 blt 0,0,640,480,0, 230,640,480:waittimer 2621 blt 0,0,640,480,0, 232,640,480:waittimer 2643 blt 0,0,640,480,0, 234,640,480:waittimer 2666 blt 0,0,640,480,0, 236,640,480:waittimer 2689 blt 0,0,640,480,0, 238,640,480:waittimer 2712 blt 0,0,640,480,0, 240,640,480:waittimer 2735 blt 0,0,640,480,0, 242,640,480:waittimer 2757 blt 0,0,640,480,0, 244,640,480:waittimer 2780 blt 0,0,640,480,0, 246,640,480:waittimer 2803 blt 0,0,640,480,0, 248,640,480:waittimer 2826 blt 0,0,640,480,0, 250,640,480:waittimer 2849 blt 0,0,640,480,0, 252,640,480:waittimer 2871 blt 0,0,640,480,0, 254,640,480:waittimer 2894 blt 0,0,640,480,0, 256,640,480:waittimer 2917 blt 0,0,640,480,0, 258,640,480:waittimer 2940 blt 0,0,640,480,0, 260,640,480:waittimer 2962 blt 0,0,640,480,0, 262,640,480:waittimer 2985 blt 0,0,640,480,0, 264,640,480:waittimer 3008 blt 0,0,640,480,0, 266,640,480:waittimer 3031 blt 0,0,640,480,0, 268,640,480:waittimer 3054 blt 0,0,640,480,0, 270,640,480:waittimer 3076 blt 0,0,640,480,0, 272,640,480:waittimer 3099 blt 0,0,640,480,0, 274,640,480:waittimer 3122 blt 0,0,640,480,0, 276,640,480:waittimer 3145 blt 0,0,640,480,0, 278,640,480:waittimer 3168 blt 0,0,640,480,0, 280,640,480:waittimer 3190 blt 0,0,640,480,0, 282,640,480:waittimer 3213 blt 0,0,640,480,0, 284,640,480:waittimer 3236 blt 0,0,640,480,0, 286,640,480:waittimer 3259 blt 0,0,640,480,0, 288,640,480:waittimer 3282 blt 0,0,640,480,0, 290,640,480:waittimer 3304 blt 0,0,640,480,0, 292,640,480:waittimer 3327 blt 0,0,640,480,0, 294,640,480:waittimer 3350 blt 0,0,640,480,0, 296,640,480:waittimer 3373 blt 0,0,640,480,0, 298,640,480:waittimer 3396 blt 0,0,640,480,0, 300,640,480:waittimer 3418 blt 0,0,640,480,0, 302,640,480:waittimer 3441 blt 0,0,640,480,0, 304,640,480:waittimer 3464 blt 0,0,640,480,0, 306,640,480:waittimer 3487 blt 0,0,640,480,0, 308,640,480:waittimer 3509 blt 0,0,640,480,0, 310,640,480:waittimer 3532 blt 0,0,640,480,0, 312,640,480:waittimer 3555 blt 0,0,640,480,0, 314,640,480:waittimer 3578 blt 0,0,640,480,0, 316,640,480:waittimer 3601 blt 0,0,640,480,0, 318,640,480:waittimer 3623 blt 0,0,640,480,0, 320,640,480:waittimer 3646 blt 0,0,640,480,0, 322,640,480:waittimer 3669 blt 0,0,640,480,0, 324,640,480:waittimer 3692 blt 0,0,640,480,0, 326,640,480:waittimer 3715 blt 0,0,640,480,0, 328,640,480:waittimer 3737 blt 0,0,640,480,0, 330,640,480:waittimer 3760 blt 0,0,640,480,0, 332,640,480:waittimer 3783 blt 0,0,640,480,0, 334,640,480:waittimer 3806 blt 0,0,640,480,0, 336,640,480:waittimer 3829 blt 0,0,640,480,0, 338,640,480:waittimer 3851 blt 0,0,640,480,0, 340,640,480:waittimer 3874 blt 0,0,640,480,0, 342,640,480:waittimer 3897 blt 0,0,640,480,0, 344,640,480:waittimer 3920 blt 0,0,640,480,0, 346,640,480:waittimer 3943 blt 0,0,640,480,0, 348,640,480:waittimer 3965 blt 0,0,640,480,0, 350,640,480:waittimer 3988 blt 0,0,640,480,0, 352,640,480:waittimer 4011 blt 0,0,640,480,0, 354,640,480:waittimer 4034 blt 0,0,640,480,0, 356,640,480:waittimer 4056 blt 0,0,640,480,0, 358,640,480:waittimer 4079 blt 0,0,640,480,0, 360,640,480:waittimer 4102 blt 0,0,640,480,0, 362,640,480:waittimer 4125 blt 0,0,640,480,0, 364,640,480:waittimer 4148 blt 0,0,640,480,0, 366,640,480:waittimer 4170 blt 0,0,640,480,0, 368,640,480:waittimer 4193 blt 0,0,640,480,0, 370,640,480:waittimer 4216 blt 0,0,640,480,0, 372,640,480:waittimer 4239 blt 0,0,640,480,0, 374,640,480:waittimer 4262 blt 0,0,640,480,0, 376,640,480:waittimer 4284 blt 0,0,640,480,0, 378,640,480:waittimer 4307 blt 0,0,640,480,0, 380,640,480:waittimer 4330 blt 0,0,640,480,0, 382,640,480:waittimer 4353 blt 0,0,640,480,0, 384,640,480:waittimer 4376 blt 0,0,640,480,0, 386,640,480:waittimer 4398 blt 0,0,640,480,0, 388,640,480:waittimer 4421 blt 0,0,640,480,0, 390,640,480:waittimer 4444 blt 0,0,640,480,0, 392,640,480:waittimer 4467 blt 0,0,640,480,0, 394,640,480:waittimer 4490 blt 0,0,640,480,0, 396,640,480:waittimer 4512 blt 0,0,640,480,0, 398,640,480:waittimer 4535 blt 0,0,640,480,0, 400,640,480:waittimer 4558 blt 0,0,640,480,0, 402,640,480:waittimer 4581 blt 0,0,640,480,0, 404,640,480:waittimer 4603 blt 0,0,640,480,0, 406,640,480:waittimer 4626 blt 0,0,640,480,0, 408,640,480:waittimer 4649 blt 0,0,640,480,0, 410,640,480:waittimer 4672 blt 0,0,640,480,0, 412,640,480:waittimer 4695 blt 0,0,640,480,0, 414,640,480:waittimer 4717 blt 0,0,640,480,0, 416,640,480:waittimer 4740 blt 0,0,640,480,0, 418,640,480:waittimer 4763 blt 0,0,640,480,0, 420,640,480:waittimer 4786 blt 0,0,640,480,0, 422,640,480:waittimer 4809 blt 0,0,640,480,0, 424,640,480:waittimer 4831 blt 0,0,640,480,0, 426,640,480:waittimer 4854 blt 0,0,640,480,0, 428,640,480:waittimer 4877 blt 0,0,640,480,0, 430,640,480:waittimer 4900 blt 0,0,640,480,0, 432,640,480:waittimer 4923 blt 0,0,640,480,0, 434,640,480:waittimer 4945 blt 0,0,640,480,0, 436,640,480:waittimer 4968 blt 0,0,640,480,0, 438,640,480:waittimer 4991 blt 0,0,640,480,0, 440,640,480:waittimer 5014 blt 0,0,640,480,0, 442,640,480:waittimer 5037 blt 0,0,640,480,0, 444,640,480:waittimer 5059 blt 0,0,640,480,0, 446,640,480:waittimer 5082 blt 0,0,640,480,0, 448,640,480:waittimer 5105 blt 0,0,640,480,0, 450,640,480:waittimer 5128 blt 0,0,640,480,0, 452,640,480:waittimer 5150 blt 0,0,640,480,0, 454,640,480:waittimer 5173 blt 0,0,640,480,0, 456,640,480:waittimer 5196 blt 0,0,640,480,0, 458,640,480:waittimer 5219 blt 0,0,640,480,0, 460,640,480:waittimer 5242 blt 0,0,640,480,0, 462,640,480:waittimer 5264 blt 0,0,640,480,0, 464,640,480:waittimer 5287 blt 0,0,640,480,0, 466,640,480:waittimer 5310 blt 0,0,640,480,0, 468,640,480:waittimer 5333 blt 0,0,640,480,0, 470,640,480:waittimer 5356 blt 0,0,640,480,0, 472,640,480:waittimer 5378 blt 0,0,640,480,0, 474,640,480:waittimer 5401 blt 0,0,640,480,0, 476,640,480:waittimer 5424 blt 0,0,640,480,0, 478,640,480:waittimer 5447 blt 0,0,640,480,0, 480,640,480:waittimer 5470 blt 0,0,640,480,0, 482,640,480:waittimer 5492 blt 0,0,640,480,0, 484,640,480:waittimer 5515 blt 0,0,640,480,0, 486,640,480:waittimer 5538 blt 0,0,640,480,0, 488,640,480:waittimer 5561 blt 0,0,640,480,0, 490,640,480:waittimer 5584 blt 0,0,640,480,0, 492,640,480:waittimer 5606 blt 0,0,640,480,0, 494,640,480:waittimer 5629 blt 0,0,640,480,0, 496,640,480:waittimer 5652 blt 0,0,640,480,0, 498,640,480:waittimer 5675 blt 0,0,640,480,0, 500,640,480:waittimer 5698 blt 0,0,640,480,0, 502,640,480:waittimer 5720 blt 0,0,640,480,0, 504,640,480:waittimer 5743 blt 0,0,640,480,0, 506,640,480:waittimer 5766 blt 0,0,640,480,0, 508,640,480:waittimer 5789 blt 0,0,640,480,0, 510,640,480:waittimer 5811 blt 0,0,640,480,0, 512,640,480:waittimer 5834 blt 0,0,640,480,0, 514,640,480:waittimer 5857 blt 0,0,640,480,0, 516,640,480:waittimer 5880 blt 0,0,640,480,0, 518,640,480:waittimer 5903 blt 0,0,640,480,0, 520,640,480:waittimer 5925 blt 0,0,640,480,0, 522,640,480:waittimer 5948 blt 0,0,640,480,0, 524,640,480:waittimer 5971 blt 0,0,640,480,0, 526,640,480:waittimer 5994 blt 0,0,640,480,0, 528,640,480:waittimer 6017 blt 0,0,640,480,0, 530,640,480:waittimer 6039 blt 0,0,640,480,0, 532,640,480:waittimer 6062 blt 0,0,640,480,0, 534,640,480:waittimer 6085 blt 0,0,640,480,0, 536,640,480:waittimer 6108 blt 0,0,640,480,0, 538,640,480:waittimer 6131 blt 0,0,640,480,0, 540,640,480:waittimer 6153 blt 0,0,640,480,0, 542,640,480:waittimer 6176 blt 0,0,640,480,0, 544,640,480:waittimer 6199 blt 0,0,640,480,0, 546,640,480:waittimer 6222 blt 0,0,640,480,0, 548,640,480:waittimer 6245 blt 0,0,640,480,0, 550,640,480:waittimer 6267 blt 0,0,640,480,0, 552,640,480:waittimer 6290 blt 0,0,640,480,0, 554,640,480:waittimer 6313 blt 0,0,640,480,0, 556,640,480:waittimer 6336 blt 0,0,640,480,0, 558,640,480:waittimer 6358 blt 0,0,640,480,0, 560,640,480:waittimer 6381 blt 0,0,640,480,0, 562,640,480:waittimer 6404 blt 0,0,640,480,0, 564,640,480:waittimer 6427 blt 0,0,640,480,0, 566,640,480:waittimer 6450 blt 0,0,640,480,0, 568,640,480:waittimer 6472 blt 0,0,640,480,0, 570,640,480:waittimer 6495 blt 0,0,640,480,0, 572,640,480:waittimer 6518 blt 0,0,640,480,0, 574,640,480:waittimer 6541 blt 0,0,640,480,0, 576,640,480:waittimer 6564 blt 0,0,640,480,0, 578,640,480:waittimer 6586 blt 0,0,640,480,0, 580,640,480:waittimer 6609 blt 0,0,640,480,0, 582,640,480:waittimer 6632 blt 0,0,640,480,0, 584,640,480:waittimer 6655 blt 0,0,640,480,0, 586,640,480:waittimer 6678 blt 0,0,640,480,0, 588,640,480:waittimer 6700 blt 0,0,640,480,0, 590,640,480:waittimer 6723 blt 0,0,640,480,0, 592,640,480:waittimer 6746 blt 0,0,640,480,0, 594,640,480:waittimer 6769 blt 0,0,640,480,0, 596,640,480:waittimer 6792 blt 0,0,640,480,0, 598,640,480:waittimer 6814 blt 0,0,640,480,0, 600,640,480:waittimer 6837 blt 0,0,640,480,0, 602,640,480:waittimer 6860 blt 0,0,640,480,0, 604,640,480:waittimer 6883 blt 0,0,640,480,0, 606,640,480:waittimer 6905 blt 0,0,640,480,0, 608,640,480:waittimer 6928 blt 0,0,640,480,0, 610,640,480:waittimer 6951 blt 0,0,640,480,0, 612,640,480:waittimer 6974 blt 0,0,640,480,0, 614,640,480:waittimer 6997 blt 0,0,640,480,0, 616,640,480:waittimer 7019 blt 0,0,640,480,0, 618,640,480:waittimer 7042 blt 0,0,640,480,0, 620,640,480:waittimer 7065 blt 0,0,640,480,0, 622,640,480:waittimer 7088 blt 0,0,640,480,0, 624,640,480:waittimer 7111 blt 0,0,640,480,0, 626,640,480:waittimer 7133 blt 0,0,640,480,0, 628,640,480:waittimer 7156 blt 0,0,640,480,0, 630,640,480:waittimer 7179 blt 0,0,640,480,0, 632,640,480:waittimer 7202 blt 0,0,640,480,0, 634,640,480:waittimer 7225 blt 0,0,640,480,0, 636,640,480:waittimer 7247 blt 0,0,640,480,0, 638,640,480:waittimer 7270 blt 0,0,640,480,0, 640,640,480:waittimer 7293 blt 0,0,640,480,0, 642,640,480:waittimer 7316 blt 0,0,640,480,0, 644,640,480:waittimer 7339 blt 0,0,640,480,0, 646,640,480:waittimer 7361 blt 0,0,640,480,0, 648,640,480:waittimer 7384 blt 0,0,640,480,0, 650,640,480:waittimer 7407 blt 0,0,640,480,0, 652,640,480:waittimer 7430 blt 0,0,640,480,0, 654,640,480:waittimer 7452 blt 0,0,640,480,0, 656,640,480:waittimer 7475 blt 0,0,640,480,0, 658,640,480:waittimer 7498 blt 0,0,640,480,0, 660,640,480:waittimer 7521 blt 0,0,640,480,0, 662,640,480:waittimer 7544 blt 0,0,640,480,0, 664,640,480:waittimer 7566 blt 0,0,640,480,0, 666,640,480:waittimer 7589 blt 0,0,640,480,0, 668,640,480:waittimer 7612 blt 0,0,640,480,0, 670,640,480:waittimer 7635 blt 0,0,640,480,0, 672,640,480:waittimer 7658 blt 0,0,640,480,0, 674,640,480:waittimer 7680 blt 0,0,640,480,0, 676,640,480:waittimer 7703 blt 0,0,640,480,0, 678,640,480:waittimer 7726 blt 0,0,640,480,0, 680,640,480:waittimer 7749 blt 0,0,640,480,0, 682,640,480:waittimer 7772 blt 0,0,640,480,0, 684,640,480:waittimer 7794 blt 0,0,640,480,0, 686,640,480:waittimer 7817 blt 0,0,640,480,0, 688,640,480:waittimer 7840 blt 0,0,640,480,0, 690,640,480:waittimer 7863 blt 0,0,640,480,0, 692,640,480:waittimer 7886 blt 0,0,640,480,0, 694,640,480:waittimer 7908 blt 0,0,640,480,0, 696,640,480:waittimer 7931 blt 0,0,640,480,0, 698,640,480:waittimer 7954 blt 0,0,640,480,0, 700,640,480:waittimer 7977 blt 0,0,640,480,0, 702,640,480:waittimer 7999 blt 0,0,640,480,0, 704,640,480:waittimer 8022 blt 0,0,640,480,0, 706,640,480:waittimer 8045 blt 0,0,640,480,0, 708,640,480:waittimer 8068 blt 0,0,640,480,0, 710,640,480:waittimer 8091 blt 0,0,640,480,0, 712,640,480:waittimer 8113 blt 0,0,640,480,0, 714,640,480:waittimer 8136 blt 0,0,640,480,0, 716,640,480:waittimer 8159 blt 0,0,640,480,0, 718,640,480:waittimer 8182 blt 0,0,640,480,0, 720,640,480:waittimer 8205 blt 0,0,640,480,0, 722,640,480:waittimer 8227 blt 0,0,640,480,0, 724,640,480:waittimer 8250 blt 0,0,640,480,0, 726,640,480:waittimer 8273 blt 0,0,640,480,0, 728,640,480:waittimer 8296 blt 0,0,640,480,0, 730,640,480:waittimer 8319 blt 0,0,640,480,0, 732,640,480:waittimer 8341 blt 0,0,640,480,0, 734,640,480:waittimer 8364 blt 0,0,640,480,0, 736,640,480:waittimer 8387 blt 0,0,640,480,0, 738,640,480:waittimer 8410 blt 0,0,640,480,0, 740,640,480:waittimer 8433 blt 0,0,640,480,0, 742,640,480:waittimer 8455 blt 0,0,640,480,0, 744,640,480:waittimer 8478 blt 0,0,640,480,0, 746,640,480:waittimer 8501 blt 0,0,640,480,0, 748,640,480:waittimer 8524 blt 0,0,640,480,0, 750,640,480:waittimer 8547 blt 0,0,640,480,0, 752,640,480:waittimer 8569 blt 0,0,640,480,0, 754,640,480:waittimer 8592 blt 0,0,640,480,0, 756,640,480:waittimer 8615 blt 0,0,640,480,0, 758,640,480:waittimer 8638 blt 0,0,640,480,0, 760,640,480:waittimer 8660 blt 0,0,640,480,0, 762,640,480:waittimer 8683 blt 0,0,640,480,0, 764,640,480:waittimer 8706 blt 0,0,640,480,0, 766,640,480:waittimer 8729 blt 0,0,640,480,0, 768,640,480:waittimer 8752 blt 0,0,640,480,0, 770,640,480:waittimer 8774 blt 0,0,640,480,0, 772,640,480:waittimer 8797 blt 0,0,640,480,0, 774,640,480:waittimer 8820 blt 0,0,640,480,0, 776,640,480:waittimer 8843 blt 0,0,640,480,0, 778,640,480:waittimer 8866 blt 0,0,640,480,0, 780,640,480:waittimer 8888 blt 0,0,640,480,0, 782,640,480:waittimer 8911 blt 0,0,640,480,0, 784,640,480:waittimer 8934 blt 0,0,640,480,0, 786,640,480:waittimer 8957 blt 0,0,640,480,0, 788,640,480:waittimer 8980 blt 0,0,640,480,0, 790,640,480:waittimer 9002 blt 0,0,640,480,0, 792,640,480:waittimer 9025 blt 0,0,640,480,0, 794,640,480:waittimer 9048 blt 0,0,640,480,0, 796,640,480:waittimer 9071 blt 0,0,640,480,0, 798,640,480:waittimer 9094 blt 0,0,640,480,0, 800,640,480:waittimer 9116 blt 0,0,640,480,0, 802,640,480:waittimer 9139 blt 0,0,640,480,0, 804,640,480:waittimer 9162 blt 0,0,640,480,0, 806,640,480:waittimer 9185 blt 0,0,640,480,0, 808,640,480:waittimer 9207 blt 0,0,640,480,0, 810,640,480:waittimer 9230 blt 0,0,640,480,0, 812,640,480:waittimer 9253 blt 0,0,640,480,0, 814,640,480:waittimer 9276 blt 0,0,640,480,0, 816,640,480:waittimer 9299 blt 0,0,640,480,0, 818,640,480:waittimer 9321 blt 0,0,640,480,0, 820,640,480:waittimer 9344 blt 0,0,640,480,0, 822,640,480:waittimer 9367 blt 0,0,640,480,0, 824,640,480:waittimer 9390 blt 0,0,640,480,0, 826,640,480:waittimer 9413 blt 0,0,640,480,0, 828,640,480:waittimer 9435 blt 0,0,640,480,0, 830,640,480:waittimer 9458 blt 0,0,640,480,0, 832,640,480:waittimer 9481 blt 0,0,640,480,0, 834,640,480:waittimer 9504 blt 0,0,640,480,0, 836,640,480:waittimer 9527 blt 0,0,640,480,0, 838,640,480:waittimer 9549 blt 0,0,640,480,0, 840,640,480:waittimer 9572 blt 0,0,640,480,0, 842,640,480:waittimer 9595 blt 0,0,640,480,0, 844,640,480:waittimer 9618 blt 0,0,640,480,0, 846,640,480:waittimer 9641 blt 0,0,640,480,0, 848,640,480:waittimer 9663 blt 0,0,640,480,0, 850,640,480:waittimer 9686 blt 0,0,640,480,0, 852,640,480:waittimer 9709 blt 0,0,640,480,0, 854,640,480:waittimer 9732 blt 0,0,640,480,0, 856,640,480:waittimer 9754 blt 0,0,640,480,0, 858,640,480:waittimer 9777 blt 0,0,640,480,0, 860,640,480:waittimer 9800 blt 0,0,640,480,0, 862,640,480:waittimer 9823 blt 0,0,640,480,0, 864,640,480:waittimer 9846 blt 0,0,640,480,0, 866,640,480:waittimer 9868 blt 0,0,640,480,0, 868,640,480:waittimer 9891 blt 0,0,640,480,0, 870,640,480:waittimer 9914 blt 0,0,640,480,0, 872,640,480:waittimer 9937 blt 0,0,640,480,0, 874,640,480:waittimer 9960 blt 0,0,640,480,0, 876,640,480:waittimer 9982 blt 0,0,640,480,0, 878,640,480:waittimer 10005 blt 0,0,640,480,0, 880,640,480:waittimer 10028 blt 0,0,640,480,0, 882,640,480:waittimer 10051 blt 0,0,640,480,0, 884,640,480:waittimer 10074 blt 0,0,640,480,0, 886,640,480:waittimer 10096 blt 0,0,640,480,0, 888,640,480:waittimer 10119 blt 0,0,640,480,0, 890,640,480:waittimer 10142 blt 0,0,640,480,0, 892,640,480:waittimer 10165 blt 0,0,640,480,0, 894,640,480:waittimer 10188 blt 0,0,640,480,0, 896,640,480:waittimer 10210 blt 0,0,640,480,0, 898,640,480:waittimer 10233 blt 0,0,640,480,0, 900,640,480:waittimer 10256 blt 0,0,640,480,0, 902,640,480:waittimer 10279 blt 0,0,640,480,0, 904,640,480:waittimer 10301 blt 0,0,640,480,0, 906,640,480:waittimer 10324 blt 0,0,640,480,0, 908,640,480:waittimer 10347 blt 0,0,640,480,0, 910,640,480:waittimer 10370 blt 0,0,640,480,0, 912,640,480:waittimer 10393 blt 0,0,640,480,0, 914,640,480:waittimer 10415 blt 0,0,640,480,0, 916,640,480:waittimer 10438 blt 0,0,640,480,0, 918,640,480:waittimer 10461 blt 0,0,640,480,0, 920,640,480:waittimer 10484 blt 0,0,640,480,0, 922,640,480:waittimer 10507 blt 0,0,640,480,0, 924,640,480:waittimer 10529 blt 0,0,640,480,0, 926,640,480:waittimer 10552 blt 0,0,640,480,0, 928,640,480:waittimer 10575 blt 0,0,640,480,0, 930,640,480:waittimer 10598 blt 0,0,640,480,0, 932,640,480:waittimer 10621 blt 0,0,640,480,0, 934,640,480:waittimer 10643 blt 0,0,640,480,0, 936,640,480:waittimer 10666 blt 0,0,640,480,0, 938,640,480:waittimer 10689 blt 0,0,640,480,0, 940,640,480:waittimer 10712 blt 0,0,640,480,0, 942,640,480:waittimer 10735 blt 0,0,640,480,0, 944,640,480:waittimer 10757 blt 0,0,640,480,0, 946,640,480:waittimer 10780 blt 0,0,640,480,0, 948,640,480:waittimer 10803 blt 0,0,640,480,0, 950,640,480:waittimer 10826 blt 0,0,640,480,0, 952,640,480:waittimer 10849 blt 0,0,640,480,0, 954,640,480:waittimer 10871 blt 0,0,640,480,0, 956,640,480:waittimer 10894 blt 0,0,640,480,0, 958,640,480:waittimer 10917 blt 0,0,640,480,0, 960,640,480:waittimer 10940 blt 0,0,640,480,0, 962,640,480:waittimer 10962 blt 0,0,640,480,0, 964,640,480:waittimer 10985 blt 0,0,640,480,0, 966,640,480:waittimer 11008 blt 0,0,640,480,0, 968,640,480:waittimer 11031 blt 0,0,640,480,0, 970,640,480:waittimer 11054 blt 0,0,640,480,0, 972,640,480:waittimer 11076 blt 0,0,640,480,0, 974,640,480:waittimer 11099 blt 0,0,640,480,0, 976,640,480:waittimer 11122 blt 0,0,640,480,0, 978,640,480:waittimer 11145 blt 0,0,640,480,0, 980,640,480:waittimer 11168 blt 0,0,640,480,0, 982,640,480:waittimer 11190 blt 0,0,640,480,0, 984,640,480:waittimer 11213 blt 0,0,640,480,0, 986,640,480:waittimer 11236 blt 0,0,640,480,0, 988,640,480:waittimer 11259 blt 0,0,640,480,0, 990,640,480:waittimer 11282 blt 0,0,640,480,0, 992,640,480:waittimer 11304 blt 0,0,640,480,0, 994,640,480:waittimer 11327 blt 0,0,640,480,0, 996,640,480:waittimer 11350 blt 0,0,640,480,0, 998,640,480:waittimer 11373 blt 0,0,640,480,0, 1000,640,480:waittimer 11396 blt 0,0,640,480,0, 1002,640,480:waittimer 11418 blt 0,0,640,480,0, 1004,640,480:waittimer 11441 blt 0,0,640,480,0, 1006,640,480:waittimer 11464 blt 0,0,640,480,0, 1008,640,480:waittimer 11487 blt 0,0,640,480,0, 1010,640,480:waittimer 11509 blt 0,0,640,480,0, 1012,640,480:waittimer 11532 blt 0,0,640,480,0, 1014,640,480:waittimer 11555 blt 0,0,640,480,0, 1016,640,480:waittimer 11578 blt 0,0,640,480,0, 1018,640,480:waittimer 11601 blt 0,0,640,480,0, 1020,640,480:waittimer 11623 blt 0,0,640,480,0, 1022,640,480:waittimer 11646 blt 0,0,640,480,0, 1024,640,480:waittimer 11669 blt 0,0,640,480,0, 1026,640,480:waittimer 11692 blt 0,0,640,480,0, 1028,640,480:waittimer 11715 blt 0,0,640,480,0, 1030,640,480:waittimer 11737 blt 0,0,640,480,0, 1032,640,480:waittimer 11760 blt 0,0,640,480,0, 1034,640,480:waittimer 11783 blt 0,0,640,480,0, 1036,640,480:waittimer 11806 blt 0,0,640,480,0, 1038,640,480:waittimer 11829 blt 0,0,640,480,0, 1040,640,480:waittimer 11851 blt 0,0,640,480,0, 1042,640,480:waittimer 11874 blt 0,0,640,480,0, 1044,640,480:waittimer 11897 blt 0,0,640,480,0, 1046,640,480:waittimer 11920 blt 0,0,640,480,0, 1048,640,480:waittimer 11943 blt 0,0,640,480,0, 1050,640,480:waittimer 11965 blt 0,0,640,480,0, 1052,640,480:waittimer 11988 blt 0,0,640,480,0, 1054,640,480:waittimer 12011 blt 0,0,640,480,0, 1056,640,480:waittimer 12034 blt 0,0,640,480,0, 1058,640,480:waittimer 12056 blt 0,0,640,480,0, 1060,640,480:waittimer 12079 blt 0,0,640,480,0, 1062,640,480:waittimer 12102 blt 0,0,640,480,0, 1064,640,480:waittimer 12125 blt 0,0,640,480,0, 1066,640,480:waittimer 12148 blt 0,0,640,480,0, 1068,640,480:waittimer 12170 blt 0,0,640,480,0, 1070,640,480:waittimer 12193 blt 0,0,640,480,0, 1072,640,480:waittimer 12216 blt 0,0,640,480,0, 1074,640,480:waittimer 12239 blt 0,0,640,480,0, 1076,640,480:waittimer 12262 blt 0,0,640,480,0, 1078,640,480:waittimer 12284 blt 0,0,640,480,0, 1080,640,480:waittimer 12307 blt 0,0,640,480,0, 1082,640,480:waittimer 12330 blt 0,0,640,480,0, 1084,640,480:waittimer 12353 blt 0,0,640,480,0, 1086,640,480:waittimer 12376 blt 0,0,640,480,0, 1088,640,480:waittimer 12398 blt 0,0,640,480,0, 1090,640,480:waittimer 12421 blt 0,0,640,480,0, 1092,640,480:waittimer 12444 blt 0,0,640,480,0, 1094,640,480:waittimer 12467 blt 0,0,640,480,0, 1096,640,480:waittimer 12490 blt 0,0,640,480,0, 1098,640,480:waittimer 12512 blt 0,0,640,480,0, 1100,640,480:waittimer 12535 blt 0,0,640,480,0, 1102,640,480:waittimer 12558 blt 0,0,640,480,0, 1104,640,480:waittimer 12581 blt 0,0,640,480,0, 1106,640,480:waittimer 12603 blt 0,0,640,480,0, 1108,640,480:waittimer 12626 blt 0,0,640,480,0, 1110,640,480:waittimer 12649 blt 0,0,640,480,0, 1112,640,480:waittimer 12672 blt 0,0,640,480,0, 1114,640,480:waittimer 12695 blt 0,0,640,480,0, 1116,640,480:waittimer 12717 blt 0,0,640,480,0, 1118,640,480:waittimer 12740 blt 0,0,640,480,0, 1120,640,480:waittimer 12763 blt 0,0,640,480,0, 1122,640,480:waittimer 12786 blt 0,0,640,480,0, 1124,640,480:waittimer 12809 blt 0,0,640,480,0, 1126,640,480:waittimer 12831 blt 0,0,640,480,0, 1128,640,480:waittimer 12854 blt 0,0,640,480,0, 1130,640,480:waittimer 12877 blt 0,0,640,480,0, 1132,640,480:waittimer 12900 blt 0,0,640,480,0, 1134,640,480:waittimer 12923 blt 0,0,640,480,0, 1136,640,480:waittimer 12945 blt 0,0,640,480,0, 1138,640,480:waittimer 12968 blt 0,0,640,480,0, 1140,640,480:waittimer 12991 blt 0,0,640,480,0, 1142,640,480:waittimer 13014 blt 0,0,640,480,0, 1144,640,480:waittimer 13037 blt 0,0,640,480,0, 1146,640,480:waittimer 13059 blt 0,0,640,480,0, 1148,640,480:waittimer 13082 blt 0,0,640,480,0, 1150,640,480:waittimer 13105 blt 0,0,640,480,0, 1152,640,480:waittimer 13128 blt 0,0,640,480,0, 1154,640,480:waittimer 13150 blt 0,0,640,480,0, 1156,640,480:waittimer 13173 blt 0,0,640,480,0, 1158,640,480:waittimer 13196 blt 0,0,640,480,0, 1160,640,480:waittimer 13219 blt 0,0,640,480,0, 1162,640,480:waittimer 13242 blt 0,0,640,480,0, 1164,640,480:waittimer 13264 blt 0,0,640,480,0, 1166,640,480:waittimer 13287 blt 0,0,640,480,0, 1168,640,480:waittimer 13310 blt 0,0,640,480,0, 1170,640,480:waittimer 13333 blt 0,0,640,480,0, 1172,640,480:waittimer 13356 blt 0,0,640,480,0, 1174,640,480:waittimer 13378 blt 0,0,640,480,0, 1176,640,480:waittimer 13401 blt 0,0,640,480,0, 1178,640,480:waittimer 13424 blt 0,0,640,480,0, 1180,640,480:waittimer 13447 blt 0,0,640,480,0, 1182,640,480:waittimer 13470 blt 0,0,640,480,0, 1184,640,480:waittimer 13492 blt 0,0,640,480,0, 1186,640,480:waittimer 13515 blt 0,0,640,480,0, 1188,640,480:waittimer 13538 blt 0,0,640,480,0, 1190,640,480:waittimer 13561 blt 0,0,640,480,0, 1192,640,480:waittimer 13584 blt 0,0,640,480,0, 1194,640,480:waittimer 13606 blt 0,0,640,480,0, 1196,640,480:waittimer 13629 blt 0,0,640,480,0, 1198,640,480:waittimer 13652 blt 0,0,640,480,0, 1200,640,480:waittimer 13675 blt 0,0,640,480,0, 1202,640,480:waittimer 13698 blt 0,0,640,480,0, 1204,640,480:waittimer 13720 blt 0,0,640,480,0, 1206,640,480:waittimer 13743 blt 0,0,640,480,0, 1208,640,480:waittimer 13766 blt 0,0,640,480,0, 1210,640,480:waittimer 13789 blt 0,0,640,480,0, 1212,640,480:waittimer 13811 blt 0,0,640,480,0, 1214,640,480:waittimer 13834 blt 0,0,640,480,0, 1216,640,480:waittimer 13857 blt 0,0,640,480,0, 1218,640,480:waittimer 13880 blt 0,0,640,480,0, 1220,640,480:waittimer 13903 blt 0,0,640,480,0, 1222,640,480:waittimer 13925 blt 0,0,640,480,0, 1224,640,480:waittimer 13948 blt 0,0,640,480,0, 1226,640,480:waittimer 13971 blt 0,0,640,480,0, 1228,640,480:waittimer 13994 blt 0,0,640,480,0, 1230,640,480:waittimer 14017 blt 0,0,640,480,0, 1232,640,480:waittimer 14039 blt 0,0,640,480,0, 1234,640,480:waittimer 14062 blt 0,0,640,480,0, 1236,640,480:waittimer 14085 blt 0,0,640,480,0, 1238,640,480:waittimer 14108 blt 0,0,640,480,0, 1240,640,480:waittimer 14131 blt 0,0,640,480,0, 1242,640,480:waittimer 14153 blt 0,0,640,480,0, 1244,640,480:waittimer 14176 blt 0,0,640,480,0, 1246,640,480:waittimer 14199 blt 0,0,640,480,0, 1248,640,480:waittimer 14222 blt 0,0,640,480,0, 1250,640,480:waittimer 14245 blt 0,0,640,480,0, 1252,640,480:waittimer 14267 blt 0,0,640,480,0, 1254,640,480:waittimer 14290 blt 0,0,640,480,0, 1256,640,480:waittimer 14313 blt 0,0,640,480,0, 1258,640,480:waittimer 14336 blt 0,0,640,480,0, 1260,640,480:waittimer 14358 blt 0,0,640,480,0, 1262,640,480:waittimer 14381 blt 0,0,640,480,0, 1264,640,480:waittimer 14404 blt 0,0,640,480,0, 1266,640,480:waittimer 14427 blt 0,0,640,480,0, 1268,640,480:waittimer 14450 blt 0,0,640,480,0, 1270,640,480:waittimer 14472 blt 0,0,640,480,0, 1272,640,480:waittimer 14495 blt 0,0,640,480,0, 1274,640,480:waittimer 14518 blt 0,0,640,480,0, 1276,640,480:waittimer 14541 blt 0,0,640,480,0, 1278,640,480:waittimer 14564 blt 0,0,640,480,0, 1280,640,480:waittimer 14586 blt 0,0,640,480,0, 1282,640,480:waittimer 14609 blt 0,0,640,480,0, 1284,640,480:waittimer 14632 blt 0,0,640,480,0, 1286,640,480:waittimer 14655 blt 0,0,640,480,0, 1288,640,480:waittimer 14678 blt 0,0,640,480,0, 1290,640,480:waittimer 14700 blt 0,0,640,480,0, 1292,640,480:waittimer 14723 blt 0,0,640,480,0, 1294,640,480:waittimer 14746 blt 0,0,640,480,0, 1296,640,480:waittimer 14769 blt 0,0,640,480,0, 1298,640,480:waittimer 14792 blt 0,0,640,480,0, 1300,640,480:waittimer 14814 blt 0,0,640,480,0, 1302,640,480:waittimer 14837 blt 0,0,640,480,0, 1304,640,480:waittimer 14860 blt 0,0,640,480,0, 1306,640,480:waittimer 14883 blt 0,0,640,480,0, 1308,640,480:waittimer 14905 blt 0,0,640,480,0, 1310,640,480:waittimer 14928 blt 0,0,640,480,0, 1312,640,480:waittimer 14951 blt 0,0,640,480,0, 1314,640,480:waittimer 14974 blt 0,0,640,480,0, 1316,640,480:waittimer 14997 blt 0,0,640,480,0, 1318,640,480:waittimer 15019 blt 0,0,640,480,0, 1320,640,480:waittimer 15042 blt 0,0,640,480,0, 1322,640,480:waittimer 15065 blt 0,0,640,480,0, 1324,640,480:waittimer 15088 blt 0,0,640,480,0, 1326,640,480:waittimer 15111 blt 0,0,640,480,0, 1328,640,480:waittimer 15133 blt 0,0,640,480,0, 1330,640,480:waittimer 15156 blt 0,0,640,480,0, 1332,640,480:waittimer 15179 blt 0,0,640,480,0, 1334,640,480:waittimer 15202 blt 0,0,640,480,0, 1336,640,480:waittimer 15225 blt 0,0,640,480,0, 1338,640,480:waittimer 15247 blt 0,0,640,480,0, 1340,640,480:waittimer 15270 blt 0,0,640,480,0, 1342,640,480:waittimer 15293 blt 0,0,640,480,0, 1344,640,480:waittimer 15316 blt 0,0,640,480,0, 1346,640,480:waittimer 15339 blt 0,0,640,480,0, 1348,640,480:waittimer 15361 blt 0,0,640,480,0, 1350,640,480:waittimer 15384 blt 0,0,640,480,0, 1352,640,480:waittimer 15407 blt 0,0,640,480,0, 1354,640,480:waittimer 15430 blt 0,0,640,480,0, 1356,640,480:waittimer 15452 blt 0,0,640,480,0, 1358,640,480:waittimer 15475 blt 0,0,640,480,0, 1360,640,480:waittimer 15498 blt 0,0,640,480,0, 1362,640,480:waittimer 15521 blt 0,0,640,480,0, 1364,640,480:waittimer 15544 blt 0,0,640,480,0, 1366,640,480:waittimer 15566 blt 0,0,640,480,0, 1368,640,480:waittimer 15589 blt 0,0,640,480,0, 1370,640,480:waittimer 15612 blt 0,0,640,480,0, 1372,640,480:waittimer 15635 blt 0,0,640,480,0, 1374,640,480:waittimer 15658 blt 0,0,640,480,0, 1376,640,480:waittimer 15680 blt 0,0,640,480,0, 1378,640,480:waittimer 15703 blt 0,0,640,480,0, 1380,640,480:waittimer 15726 blt 0,0,640,480,0, 1382,640,480:waittimer 15749 blt 0,0,640,480,0, 1384,640,480:waittimer 15772 blt 0,0,640,480,0, 1386,640,480:waittimer 15794 blt 0,0,640,480,0, 1388,640,480:waittimer 15817 blt 0,0,640,480,0, 1390,640,480:waittimer 15840 blt 0,0,640,480,0, 1392,640,480:waittimer 15863 blt 0,0,640,480,0, 1394,640,480:waittimer 15886 blt 0,0,640,480,0, 1396,640,480:waittimer 15908 blt 0,0,640,480,0, 1398,640,480:waittimer 15931 blt 0,0,640,480,0, 1400,640,480:waittimer 15954 blt 0,0,640,480,0, 1402,640,480:waittimer 15977 blt 0,0,640,480,0, 1404,640,480:waittimer 15999 blt 0,0,640,480,0, 1406,640,480:waittimer 16022 blt 0,0,640,480,0, 1408,640,480:waittimer 16045 blt 0,0,640,480,0, 1410,640,480:waittimer 16068 blt 0,0,640,480,0, 1412,640,480:waittimer 16091 blt 0,0,640,480,0, 1414,640,480:waittimer 16113 blt 0,0,640,480,0, 1416,640,480:waittimer 16136 blt 0,0,640,480,0, 1418,640,480:waittimer 16159 blt 0,0,640,480,0, 1420,640,480:waittimer 16182 blt 0,0,640,480,0, 1422,640,480:waittimer 16205 blt 0,0,640,480,0, 1424,640,480:waittimer 16227 blt 0,0,640,480,0, 1426,640,480:waittimer 16250 blt 0,0,640,480,0, 1428,640,480:waittimer 16273 blt 0,0,640,480,0, 1430,640,480:waittimer 16296 blt 0,0,640,480,0, 1432,640,480:waittimer 16319 blt 0,0,640,480,0, 1434,640,480:waittimer 16341 blt 0,0,640,480,0, 1436,640,480:waittimer 16364 blt 0,0,640,480,0, 1438,640,480:waittimer 16387 blt 0,0,640,480,0, 1440,640,480:waittimer 16410 blt 0,0,640,480,0, 1442,640,480:waittimer 16433 blt 0,0,640,480,0, 1444,640,480:waittimer 16455 blt 0,0,640,480,0, 1446,640,480:waittimer 16478 blt 0,0,640,480,0, 1448,640,480:waittimer 16501 blt 0,0,640,480,0, 1450,640,480:waittimer 16524 blt 0,0,640,480,0, 1452,640,480:waittimer 16547 blt 0,0,640,480,0, 1454,640,480:waittimer 16569 blt 0,0,640,480,0, 1456,640,480:waittimer 16592 blt 0,0,640,480,0, 1458,640,480:waittimer 16615 blt 0,0,640,480,0, 1460,640,480:waittimer 16638 blt 0,0,640,480,0, 1462,640,480:waittimer 16660 blt 0,0,640,480,0, 1464,640,480:waittimer 16683 blt 0,0,640,480,0, 1466,640,480:waittimer 16706 blt 0,0,640,480,0, 1468,640,480:waittimer 16729 blt 0,0,640,480,0, 1470,640,480:waittimer 16752 blt 0,0,640,480,0, 1472,640,480:waittimer 16774 blt 0,0,640,480,0, 1474,640,480:waittimer 16797 blt 0,0,640,480,0, 1476,640,480:waittimer 16820 blt 0,0,640,480,0, 1478,640,480:waittimer 16843 blt 0,0,640,480,0, 1480,640,480:waittimer 16866 blt 0,0,640,480,0, 1482,640,480:waittimer 16888 blt 0,0,640,480,0, 1484,640,480:waittimer 16911 blt 0,0,640,480,0, 1486,640,480:waittimer 16934 blt 0,0,640,480,0, 1488,640,480:waittimer 16957 blt 0,0,640,480,0, 1490,640,480:waittimer 16980 blt 0,0,640,480,0, 1492,640,480:waittimer 17002 blt 0,0,640,480,0, 1494,640,480:waittimer 17025 blt 0,0,640,480,0, 1496,640,480:waittimer 17048 blt 0,0,640,480,0, 1498,640,480:waittimer 17071 blt 0,0,640,480,0, 1500,640,480:waittimer 17094 blt 0,0,640,480,0, 1502,640,480:waittimer 17116 blt 0,0,640,480,0, 1504,640,480:waittimer 17139 blt 0,0,640,480,0, 1506,640,480:waittimer 17162 blt 0,0,640,480,0, 1508,640,480:waittimer 17185 blt 0,0,640,480,0, 1510,640,480:waittimer 17207 blt 0,0,640,480,0, 1512,640,480:waittimer 17230 blt 0,0,640,480,0, 1514,640,480:waittimer 17253 blt 0,0,640,480,0, 1516,640,480:waittimer 17276 blt 0,0,640,480,0, 1518,640,480:waittimer 17299 blt 0,0,640,480,0, 1520,640,480:waittimer 17321 blt 0,0,640,480,0, 1522,640,480:waittimer 17344 blt 0,0,640,480,0, 1524,640,480:waittimer 17367 blt 0,0,640,480,0, 1526,640,480:waittimer 17390 blt 0,0,640,480,0, 1528,640,480:waittimer 17413 blt 0,0,640,480,0, 1530,640,480:waittimer 17435 blt 0,0,640,480,0, 1532,640,480:waittimer 17458 blt 0,0,640,480,0, 1534,640,480:waittimer 17481 blt 0,0,640,480,0, 1536,640,480:waittimer 17504 blt 0,0,640,480,0, 1538,640,480:waittimer 17527 blt 0,0,640,480,0, 1540,640,480:waittimer 17549 blt 0,0,640,480,0, 1542,640,480:waittimer 17572 blt 0,0,640,480,0, 1544,640,480:waittimer 17595 blt 0,0,640,480,0, 1546,640,480:waittimer 17618 blt 0,0,640,480,0, 1548,640,480:waittimer 17641 blt 0,0,640,480,0, 1550,640,480:waittimer 17663 blt 0,0,640,480,0, 1552,640,480:waittimer 17686 blt 0,0,640,480,0, 1554,640,480:waittimer 17709 blt 0,0,640,480,0, 1556,640,480:waittimer 17732 blt 0,0,640,480,0, 1558,640,480:waittimer 17754 blt 0,0,640,480,0, 1560,640,480:waittimer 17777 blt 0,0,640,480,0, 1562,640,480:waittimer 17800 blt 0,0,640,480,0, 1564,640,480:waittimer 17823 blt 0,0,640,480,0, 1566,640,480:waittimer 17846 blt 0,0,640,480,0, 1568,640,480:waittimer 17868 blt 0,0,640,480,0, 1570,640,480:waittimer 17891 blt 0,0,640,480,0, 1572,640,480:waittimer 17914 blt 0,0,640,480,0, 1574,640,480:waittimer 17937 blt 0,0,640,480,0, 1576,640,480:waittimer 17960 blt 0,0,640,480,0, 1578,640,480:waittimer 17982 blt 0,0,640,480,0, 1580,640,480:waittimer 18005 blt 0,0,640,480,0, 1582,640,480:waittimer 18028 blt 0,0,640,480,0, 1584,640,480:waittimer 18051 blt 0,0,640,480,0, 1586,640,480:waittimer 18074 blt 0,0,640,480,0, 1588,640,480:waittimer 18096 blt 0,0,640,480,0, 1590,640,480:waittimer 18119 blt 0,0,640,480,0, 1592,640,480:waittimer 18142 blt 0,0,640,480,0, 1594,640,480:waittimer 18165 blt 0,0,640,480,0, 1596,640,480:waittimer 18188 blt 0,0,640,480,0, 1598,640,480:waittimer 18210 blt 0,0,640,480,0, 1600,640,480:waittimer 18233 blt 0,0,640,480,0, 1602,640,480:waittimer 18256 blt 0,0,640,480,0, 1604,640,480:waittimer 18279 blt 0,0,640,480,0, 1606,640,480:waittimer 18301 blt 0,0,640,480,0, 1608,640,480:waittimer 18324 blt 0,0,640,480,0, 1610,640,480:waittimer 18347 blt 0,0,640,480,0, 1612,640,480:waittimer 18370 blt 0,0,640,480,0, 1614,640,480:waittimer 18393 blt 0,0,640,480,0, 1616,640,480:waittimer 18415 blt 0,0,640,480,0, 1618,640,480:waittimer 18438 blt 0,0,640,480,0, 1620,640,480:waittimer 18461 blt 0,0,640,480,0, 1622,640,480:waittimer 18484 blt 0,0,640,480,0, 1624,640,480:waittimer 18507 blt 0,0,640,480,0, 1626,640,480:waittimer 18529 blt 0,0,640,480,0, 1628,640,480:waittimer 18552 blt 0,0,640,480,0, 1630,640,480:waittimer 18575 blt 0,0,640,480,0, 1632,640,480:waittimer 18598 blt 0,0,640,480,0, 1634,640,480:waittimer 18621 blt 0,0,640,480,0, 1636,640,480:waittimer 18643 blt 0,0,640,480,0, 1638,640,480:waittimer 18666 blt 0,0,640,480,0, 1640,640,480:waittimer 18689 blt 0,0,640,480,0, 1642,640,480:waittimer 18712 blt 0,0,640,480,0, 1644,640,480:waittimer 18735 blt 0,0,640,480,0, 1646,640,480:waittimer 18757 blt 0,0,640,480,0, 1648,640,480:waittimer 18780 blt 0,0,640,480,0, 1650,640,480:waittimer 18803 blt 0,0,640,480,0, 1652,640,480:waittimer 18826 blt 0,0,640,480,0, 1654,640,480:waittimer 18849 blt 0,0,640,480,0, 1656,640,480:waittimer 18871 blt 0,0,640,480,0, 1658,640,480:waittimer 18894 blt 0,0,640,480,0, 1660,640,480:waittimer 18917 blt 0,0,640,480,0, 1662,640,480:waittimer 18940 blt 0,0,640,480,0, 1664,640,480:waittimer 18962 blt 0,0,640,480,0, 1666,640,480:waittimer 18985 blt 0,0,640,480,0, 1668,640,480:waittimer 19008 blt 0,0,640,480,0, 1670,640,480:waittimer 19031 blt 0,0,640,480,0, 1672,640,480:waittimer 19054 blt 0,0,640,480,0, 1674,640,480:waittimer 19076 blt 0,0,640,480,0, 1676,640,480:waittimer 19099 blt 0,0,640,480,0, 1678,640,480:waittimer 19122 blt 0,0,640,480,0, 1680,640,480:waittimer 19145 blt 0,0,640,480,0, 1682,640,480:waittimer 19168 blt 0,0,640,480,0, 1684,640,480:waittimer 19190 blt 0,0,640,480,0, 1686,640,480:waittimer 19213 blt 0,0,640,480,0, 1688,640,480:waittimer 19236 blt 0,0,640,480,0, 1690,640,480:waittimer 19259 blt 0,0,640,480,0, 1692,640,480:waittimer 19282 blt 0,0,640,480,0, 1694,640,480:waittimer 19304 blt 0,0,640,480,0, 1696,640,480:waittimer 19327 blt 0,0,640,480,0, 1698,640,480:waittimer 19350 blt 0,0,640,480,0, 1700,640,480:waittimer 19373 blt 0,0,640,480,0, 1702,640,480:waittimer 19396 blt 0,0,640,480,0, 1704,640,480:waittimer 19418 blt 0,0,640,480,0, 1706,640,480:waittimer 19441 blt 0,0,640,480,0, 1708,640,480:waittimer 19464 blt 0,0,640,480,0, 1710,640,480:waittimer 19487 blt 0,0,640,480,0, 1712,640,480:waittimer 19509 blt 0,0,640,480,0, 1714,640,480:waittimer 19532 blt 0,0,640,480,0, 1716,640,480:waittimer 19555 blt 0,0,640,480,0, 1718,640,480:waittimer 19578 blt 0,0,640,480,0, 1720,640,480:waittimer 19601 blt 0,0,640,480,0, 1722,640,480:waittimer 19623 blt 0,0,640,480,0, 1724,640,480:waittimer 19646 blt 0,0,640,480,0, 1726,640,480:waittimer 19669 blt 0,0,640,480,0, 1728,640,480:waittimer 19692 blt 0,0,640,480,0, 1730,640,480:waittimer 19715 blt 0,0,640,480,0, 1732,640,480:waittimer 19737 blt 0,0,640,480,0, 1734,640,480:waittimer 19760 blt 0,0,640,480,0, 1736,640,480:waittimer 19783 blt 0,0,640,480,0, 1738,640,480:waittimer 19806 blt 0,0,640,480,0, 1740,640,480:waittimer 19829 blt 0,0,640,480,0, 1742,640,480:waittimer 19851 blt 0,0,640,480,0, 1744,640,480:waittimer 19874 blt 0,0,640,480,0, 1746,640,480:waittimer 19897 blt 0,0,640,480,0, 1748,640,480:waittimer 19920 blt 0,0,640,480,0, 1750,640,480:waittimer 19943 blt 0,0,640,480,0, 1752,640,480:waittimer 19965 blt 0,0,640,480,0, 1754,640,480:waittimer 19988 blt 0,0,640,480,0, 1756,640,480:waittimer 20011 blt 0,0,640,480,0, 1758,640,480:waittimer 20034 blt 0,0,640,480,0, 1760,640,480:waittimer 20056 blt 0,0,640,480,0, 1762,640,480:waittimer 20079 blt 0,0,640,480,0, 1764,640,480:waittimer 20102 blt 0,0,640,480,0, 1766,640,480:waittimer 20125 blt 0,0,640,480,0, 1768,640,480:waittimer 20148 blt 0,0,640,480,0, 1770,640,480:waittimer 20170 blt 0,0,640,480,0, 1772,640,480:waittimer 20193 blt 0,0,640,480,0, 1774,640,480:waittimer 20216 blt 0,0,640,480,0, 1776,640,480:waittimer 20239 blt 0,0,640,480,0, 1778,640,480:waittimer 20262 blt 0,0,640,480,0, 1780,640,480:waittimer 20284 blt 0,0,640,480,0, 1782,640,480:waittimer 20307 blt 0,0,640,480,0, 1784,640,480:waittimer 20330 blt 0,0,640,480,0, 1786,640,480:waittimer 20353 blt 0,0,640,480,0, 1788,640,480:waittimer 20376 blt 0,0,640,480,0, 1790,640,480:waittimer 20398 blt 0,0,640,480,0, 1792,640,480:waittimer 20421 blt 0,0,640,480,0, 1794,640,480:waittimer 20444 blt 0,0,640,480,0, 1796,640,480:waittimer 20467 blt 0,0,640,480,0, 1798,640,480:waittimer 20490 blt 0,0,640,480,0, 1800,640,480:waittimer 20512 blt 0,0,640,480,0, 1802,640,480:waittimer 20535 blt 0,0,640,480,0, 1804,640,480:waittimer 20558 blt 0,0,640,480,0, 1806,640,480:waittimer 20581 blt 0,0,640,480,0, 1808,640,480:waittimer 20603 blt 0,0,640,480,0, 1810,640,480:waittimer 20626 blt 0,0,640,480,0, 1812,640,480:waittimer 20649 blt 0,0,640,480,0, 1814,640,480:waittimer 20672 blt 0,0,640,480,0, 1816,640,480:waittimer 20695 blt 0,0,640,480,0, 1818,640,480:waittimer 20717 blt 0,0,640,480,0, 1820,640,480:waittimer 20740 blt 0,0,640,480,0, 1822,640,480:waittimer 20763 blt 0,0,640,480,0, 1824,640,480:waittimer 20786 blt 0,0,640,480,0, 1826,640,480:waittimer 20809 blt 0,0,640,480,0, 1828,640,480:waittimer 20831 blt 0,0,640,480,0, 1830,640,480:waittimer 20854 blt 0,0,640,480,0, 1832,640,480:waittimer 20877 blt 0,0,640,480,0, 1834,640,480:waittimer 20900 blt 0,0,640,480,0, 1836,640,480:waittimer 20923 blt 0,0,640,480,0, 1838,640,480:waittimer 20945 blt 0,0,640,480,0, 1840,640,480:waittimer 20968 blt 0,0,640,480,0, 1842,640,480:waittimer 20991 blt 0,0,640,480,0, 1844,640,480:waittimer 21014 blt 0,0,640,480,0, 1846,640,480:waittimer 21037 blt 0,0,640,480,0, 1848,640,480:waittimer 21059 blt 0,0,640,480,0, 1850,640,480:waittimer 21082 blt 0,0,640,480,0, 1852,640,480:waittimer 21105 blt 0,0,640,480,0, 1854,640,480:waittimer 21128 blt 0,0,640,480,0, 1856,640,480:waittimer 21150 blt 0,0,640,480,0, 1858,640,480:waittimer 21173 blt 0,0,640,480,0, 1860,640,480:waittimer 21196 blt 0,0,640,480,0, 1862,640,480:waittimer 21219 blt 0,0,640,480,0, 1864,640,480:waittimer 21242 blt 0,0,640,480,0, 1866,640,480:waittimer 21264 blt 0,0,640,480,0, 1868,640,480:waittimer 21287 blt 0,0,640,480,0, 1870,640,480:waittimer 21310 blt 0,0,640,480,0, 1872,640,480:waittimer 21333 blt 0,0,640,480,0, 1874,640,480:waittimer 21356 blt 0,0,640,480,0, 1876,640,480:waittimer 21378 blt 0,0,640,480,0, 1878,640,480:waittimer 21401 blt 0,0,640,480,0, 1880,640,480:waittimer 21424 blt 0,0,640,480,0, 1882,640,480:waittimer 21447 blt 0,0,640,480,0, 1884,640,480:waittimer 21470 blt 0,0,640,480,0, 1886,640,480:waittimer 21492 blt 0,0,640,480,0, 1888,640,480:waittimer 21515 blt 0,0,640,480,0, 1890,640,480:waittimer 21538 blt 0,0,640,480,0, 1892,640,480:waittimer 21561 blt 0,0,640,480,0, 1894,640,480:waittimer 21584 blt 0,0,640,480,0, 1896,640,480:waittimer 21606 blt 0,0,640,480,0, 1898,640,480:waittimer 21629 blt 0,0,640,480,0, 1900,640,480:waittimer 21652 blt 0,0,640,480,0, 1902,640,480:waittimer 21675 blt 0,0,640,480,0, 1904,640,480:waittimer 21698 blt 0,0,640,480,0, 1906,640,480:waittimer 21720 blt 0,0,640,480,0, 1908,640,480:waittimer 21743 blt 0,0,640,480,0, 1910,640,480:waittimer 21766 blt 0,0,640,480,0, 1912,640,480:waittimer 21789 blt 0,0,640,480,0, 1914,640,480:waittimer 21811 blt 0,0,640,480,0, 1916,640,480:waittimer 21834 blt 0,0,640,480,0, 1918,640,480:waittimer 21857 blt 0,0,640,480,0, 1920,640,480:waittimer 21880 blt 0,0,640,480,0, 1922,640,480:waittimer 21903 blt 0,0,640,480,0, 1924,640,480:waittimer 21925 blt 0,0,640,480,0, 1926,640,480:waittimer 21948 blt 0,0,640,480,0, 1928,640,480:waittimer 21971 blt 0,0,640,480,0, 1930,640,480:waittimer 21994 blt 0,0,640,480,0, 1932,640,480:waittimer 22017 blt 0,0,640,480,0, 1934,640,480:waittimer 22039 blt 0,0,640,480,0, 1936,640,480:waittimer 22062 blt 0,0,640,480,0, 1938,640,480:waittimer 22085 blt 0,0,640,480,0, 1940,640,480:waittimer 22108 blt 0,0,640,480,0, 1942,640,480:waittimer 22131 blt 0,0,640,480,0, 1944,640,480:waittimer 22153 blt 0,0,640,480,0, 1946,640,480:waittimer 22176 blt 0,0,640,480,0, 1948,640,480:waittimer 22199 blt 0,0,640,480,0, 1950,640,480:waittimer 22222 blt 0,0,640,480,0, 1952,640,480:waittimer 22245 blt 0,0,640,480,0, 1954,640,480:waittimer 22267 blt 0,0,640,480,0, 1956,640,480:waittimer 22290 blt 0,0,640,480,0, 1958,640,480:waittimer 22313 blt 0,0,640,480,0, 1960,640,480:waittimer 22336 blt 0,0,640,480,0, 1962,640,480:waittimer 22358 blt 0,0,640,480,0, 1964,640,480:waittimer 22381 blt 0,0,640,480,0, 1966,640,480:waittimer 22404 blt 0,0,640,480,0, 1968,640,480:waittimer 22427 blt 0,0,640,480,0, 1970,640,480:waittimer 22450 blt 0,0,640,480,0, 1972,640,480:waittimer 22472 blt 0,0,640,480,0, 1974,640,480:waittimer 22495 blt 0,0,640,480,0, 1976,640,480:waittimer 22518 blt 0,0,640,480,0, 1978,640,480:waittimer 22541 blt 0,0,640,480,0, 1980,640,480:waittimer 22564 blt 0,0,640,480,0, 1982,640,480:waittimer 22586 blt 0,0,640,480,0, 1984,640,480:waittimer 22609 blt 0,0,640,480,0, 1986,640,480:waittimer 22632 blt 0,0,640,480,0, 1988,640,480:waittimer 22655 blt 0,0,640,480,0, 1990,640,480:waittimer 22678 blt 0,0,640,480,0, 1992,640,480:waittimer 22700 blt 0,0,640,480,0, 1994,640,480:waittimer 22723 blt 0,0,640,480,0, 1996,640,480:waittimer 22746 blt 0,0,640,480,0, 1998,640,480:waittimer 22769 blt 0,0,640,480,0, 2000,640,480:waittimer 22792 blt 0,0,640,480,0, 2002,640,480:waittimer 22814 blt 0,0,640,480,0, 2004,640,480:waittimer 22837 blt 0,0,640,480,0, 2006,640,480:waittimer 22860 blt 0,0,640,480,0, 2008,640,480:waittimer 22883 blt 0,0,640,480,0, 2010,640,480:waittimer 22905 blt 0,0,640,480,0, 2012,640,480:waittimer 22928 blt 0,0,640,480,0, 2014,640,480:waittimer 22951 blt 0,0,640,480,0, 2016,640,480:waittimer 22974 blt 0,0,640,480,0, 2018,640,480:waittimer 22997 blt 0,0,640,480,0, 2020,640,480:waittimer 23019 blt 0,0,640,480,0, 2022,640,480:waittimer 23042 blt 0,0,640,480,0, 2024,640,480:waittimer 23065 blt 0,0,640,480,0, 2026,640,480:waittimer 23088 blt 0,0,640,480,0, 2028,640,480:waittimer 23111 blt 0,0,640,480,0, 2030,640,480:waittimer 23133 blt 0,0,640,480,0, 2032,640,480:waittimer 23156 blt 0,0,640,480,0, 2034,640,480:waittimer 23179 blt 0,0,640,480,0, 2036,640,480:waittimer 23202 blt 0,0,640,480,0, 2038,640,480:waittimer 23225 blt 0,0,640,480,0, 2040,640,480:waittimer 23247 blt 0,0,640,480,0, 2042,640,480:waittimer 23270 blt 0,0,640,480,0, 2044,640,480:waittimer 23293 blt 0,0,640,480,0, 2046,640,480:waittimer 23316 blt 0,0,640,480,0, 2048,640,480:waittimer 23339 blt 0,0,640,480,0, 2050,640,480:waittimer 23361 blt 0,0,640,480,0, 2052,640,480:waittimer 23384 blt 0,0,640,480,0, 2054,640,480:waittimer 23407 blt 0,0,640,480,0, 2056,640,480:waittimer 23430 blt 0,0,640,480,0, 2058,640,480:waittimer 23452 blt 0,0,640,480,0, 2060,640,480:waittimer 23475 blt 0,0,640,480,0, 2062,640,480:waittimer 23498 blt 0,0,640,480,0, 2064,640,480:waittimer 23521 blt 0,0,640,480,0, 2066,640,480:waittimer 23544 blt 0,0,640,480,0, 2068,640,480:waittimer 23566 blt 0,0,640,480,0, 2070,640,480:waittimer 23589 blt 0,0,640,480,0, 2072,640,480:waittimer 23612 blt 0,0,640,480,0, 2074,640,480:waittimer 23635 blt 0,0,640,480,0, 2076,640,480:waittimer 23658 blt 0,0,640,480,0, 2078,640,480:waittimer 23680 blt 0,0,640,480,0, 2080,640,480:waittimer 23703 blt 0,0,640,480,0, 2082,640,480:waittimer 23726 blt 0,0,640,480,0, 2084,640,480:waittimer 23749 blt 0,0,640,480,0, 2086,640,480:waittimer 23772 blt 0,0,640,480,0, 2088,640,480:waittimer 23794 blt 0,0,640,480,0, 2090,640,480:waittimer 23817 blt 0,0,640,480,0, 2092,640,480:waittimer 23840 blt 0,0,640,480,0, 2094,640,480:waittimer 23863 blt 0,0,640,480,0, 2096,640,480:waittimer 23886 blt 0,0,640,480,0, 2098,640,480:waittimer 23908 blt 0,0,640,480,0, 2100,640,480:waittimer 23931 blt 0,0,640,480,0, 2102,640,480:waittimer 23954 blt 0,0,640,480,0, 2104,640,480:waittimer 23977 blt 0,0,640,480,0, 2106,640,480:waittimer 23999 blt 0,0,640,480,0, 2108,640,480:waittimer 24022 blt 0,0,640,480,0, 2110,640,480:waittimer 24045 blt 0,0,640,480,0, 2112,640,480:waittimer 24068 blt 0,0,640,480,0, 2114,640,480:waittimer 24091 blt 0,0,640,480,0, 2116,640,480:waittimer 24113 blt 0,0,640,480,0, 2118,640,480:waittimer 24136 blt 0,0,640,480,0, 2120,640,480:waittimer 24159 blt 0,0,640,480,0, 2122,640,480:waittimer 24182 blt 0,0,640,480,0, 2124,640,480:waittimer 24205 blt 0,0,640,480,0, 2126,640,480:waittimer 24227 blt 0,0,640,480,0, 2128,640,480:waittimer 24250 blt 0,0,640,480,0, 2130,640,480:waittimer 24273 blt 0,0,640,480,0, 2132,640,480:waittimer 24296 blt 0,0,640,480,0, 2134,640,480:waittimer 24319 blt 0,0,640,480,0, 2136,640,480:waittimer 24341 blt 0,0,640,480,0, 2138,640,480:waittimer 24364 blt 0,0,640,480,0, 2140,640,480:waittimer 24387 blt 0,0,640,480,0, 2142,640,480:waittimer 24410 blt 0,0,640,480,0, 2144,640,480:waittimer 24433 blt 0,0,640,480,0, 2146,640,480:waittimer 24455 blt 0,0,640,480,0, 2148,640,480:waittimer 24478 blt 0,0,640,480,0, 2150,640,480:waittimer 24501 blt 0,0,640,480,0, 2152,640,480:waittimer 24524 blt 0,0,640,480,0, 2154,640,480:waittimer 24547 blt 0,0,640,480,0, 2156,640,480:waittimer 24569 blt 0,0,640,480,0, 2158,640,480:waittimer 24592 blt 0,0,640,480,0, 2160,640,480:waittimer 24615 blt 0,0,640,480,0, 2162,640,480:waittimer 24638 blt 0,0,640,480,0, 2164,640,480:waittimer 24660 blt 0,0,640,480,0, 2166,640,480:waittimer 24683 blt 0,0,640,480,0, 2168,640,480:waittimer 24706 blt 0,0,640,480,0, 2170,640,480:waittimer 24729 blt 0,0,640,480,0, 2172,640,480:waittimer 24752 blt 0,0,640,480,0, 2174,640,480:waittimer 24774 blt 0,0,640,480,0, 2176,640,480:waittimer 24797 blt 0,0,640,480,0, 2178,640,480:waittimer 24820 blt 0,0,640,480,0, 2180,640,480:waittimer 24843 blt 0,0,640,480,0, 2182,640,480:waittimer 24866 blt 0,0,640,480,0, 2184,640,480:waittimer 24888 blt 0,0,640,480,0, 2186,640,480:waittimer 24911 blt 0,0,640,480,0, 2188,640,480:waittimer 24934 blt 0,0,640,480,0, 2190,640,480:waittimer 24957 blt 0,0,640,480,0, 2192,640,480:waittimer 24980 blt 0,0,640,480,0, 2194,640,480:waittimer 25002 blt 0,0,640,480,0, 2196,640,480:waittimer 25025 blt 0,0,640,480,0, 2198,640,480:waittimer 25048 blt 0,0,640,480,0, 2200,640,480:waittimer 25071 blt 0,0,640,480,0, 2202,640,480:waittimer 25094 blt 0,0,640,480,0, 2204,640,480:waittimer 25116 blt 0,0,640,480,0, 2206,640,480:waittimer 25139 blt 0,0,640,480,0, 2208,640,480:waittimer 25162 blt 0,0,640,480,0, 2210,640,480:waittimer 25185 blt 0,0,640,480,0, 2212,640,480:waittimer 25207 blt 0,0,640,480,0, 2214,640,480:waittimer 25230 blt 0,0,640,480,0, 2216,640,480:waittimer 25253 blt 0,0,640,480,0, 2218,640,480:waittimer 25276 blt 0,0,640,480,0, 2220,640,480:waittimer 25299 blt 0,0,640,480,0, 2222,640,480:waittimer 25321 blt 0,0,640,480,0, 2224,640,480:waittimer 25344 blt 0,0,640,480,0, 2226,640,480:waittimer 25367 blt 0,0,640,480,0, 2228,640,480:waittimer 25390 blt 0,0,640,480,0, 2230,640,480:waittimer 25413 blt 0,0,640,480,0, 2232,640,480:waittimer 25435 blt 0,0,640,480,0, 2234,640,480:waittimer 25458 blt 0,0,640,480,0, 2236,640,480:waittimer 25481 blt 0,0,640,480,0, 2238,640,480:waittimer 25504 blt 0,0,640,480,0, 2240,640,480:waittimer 25527 blt 0,0,640,480,0, 2242,640,480:waittimer 25549 blt 0,0,640,480,0, 2244,640,480:waittimer 25572 blt 0,0,640,480,0, 2246,640,480:waittimer 25595 blt 0,0,640,480,0, 2248,640,480:waittimer 25618 blt 0,0,640,480,0, 2250,640,480:waittimer 25641 blt 0,0,640,480,0, 2252,640,480:waittimer 25663 blt 0,0,640,480,0, 2254,640,480:waittimer 25686 blt 0,0,640,480,0, 2256,640,480:waittimer 25709 blt 0,0,640,480,0, 2258,640,480:waittimer 25732 blt 0,0,640,480,0, 2260,640,480:waittimer 25754 blt 0,0,640,480,0, 2262,640,480:waittimer 25777 blt 0,0,640,480,0, 2264,640,480:waittimer 25800 blt 0,0,640,480,0, 2266,640,480:waittimer 25823 blt 0,0,640,480,0, 2268,640,480:waittimer 25846 blt 0,0,640,480,0, 2270,640,480:waittimer 25868 blt 0,0,640,480,0, 2272,640,480:waittimer 25891 blt 0,0,640,480,0, 2274,640,480:waittimer 25914 blt 0,0,640,480,0, 2276,640,480:waittimer 25937 blt 0,0,640,480,0, 2278,640,480:waittimer 25960 blt 0,0,640,480,0, 2280,640,480:waittimer 25982 blt 0,0,640,480,0, 2282,640,480:waittimer 26005 blt 0,0,640,480,0, 2284,640,480:waittimer 26028 blt 0,0,640,480,0, 2286,640,480:waittimer 26051 blt 0,0,640,480,0, 2288,640,480:waittimer 26074 blt 0,0,640,480,0, 2290,640,480:waittimer 26096 blt 0,0,640,480,0, 2292,640,480:waittimer 26119 blt 0,0,640,480,0, 2294,640,480:waittimer 26142 blt 0,0,640,480,0, 2296,640,480:waittimer 26165 blt 0,0,640,480,0, 2298,640,480:waittimer 26188 blt 0,0,640,480,0, 2300,640,480:waittimer 26210 blt 0,0,640,480,0, 2302,640,480:waittimer 26233 blt 0,0,640,480,0, 2304,640,480:waittimer 26256 blt 0,0,640,480,0, 2306,640,480:waittimer 26279 blt 0,0,640,480,0, 2308,640,480:waittimer 26301 blt 0,0,640,480,0, 2310,640,480:waittimer 26324 blt 0,0,640,480,0, 2312,640,480:waittimer 26347 blt 0,0,640,480,0, 2314,640,480:waittimer 26370 blt 0,0,640,480,0, 2316,640,480:waittimer 26393 blt 0,0,640,480,0, 2318,640,480:waittimer 26415 blt 0,0,640,480,0, 2320,640,480:waittimer 26438 blt 0,0,640,480,0, 2322,640,480:waittimer 26461 blt 0,0,640,480,0, 2324,640,480:waittimer 26484 blt 0,0,640,480,0, 2326,640,480:waittimer 26507 blt 0,0,640,480,0, 2328,640,480:waittimer 26529 blt 0,0,640,480,0, 2330,640,480:waittimer 26552 blt 0,0,640,480,0, 2332,640,480:waittimer 26575 blt 0,0,640,480,0, 2334,640,480:waittimer 26598 blt 0,0,640,480,0, 2336,640,480:waittimer 26621 blt 0,0,640,480,0, 2338,640,480:waittimer 26643 blt 0,0,640,480,0, 2340,640,480:waittimer 26666 blt 0,0,640,480,0, 2342,640,480:waittimer 26689 blt 0,0,640,480,0, 2344,640,480:waittimer 26712 blt 0,0,640,480,0, 2346,640,480:waittimer 26735 blt 0,0,640,480,0, 2348,640,480:waittimer 26757 blt 0,0,640,480,0, 2350,640,480:waittimer 26780 blt 0,0,640,480,0, 2352,640,480:waittimer 26803 blt 0,0,640,480,0, 2354,640,480:waittimer 26826 blt 0,0,640,480,0, 2356,640,480:waittimer 26849 blt 0,0,640,480,0, 2358,640,480:waittimer 26871 blt 0,0,640,480,0, 2360,640,480:waittimer 26894 blt 0,0,640,480,0, 2362,640,480:waittimer 26917 blt 0,0,640,480,0, 2364,640,480:waittimer 26940 blt 0,0,640,480,0, 2366,640,480:waittimer 26962 blt 0,0,640,480,0, 2368,640,480:waittimer 26985 blt 0,0,640,480,0, 2370,640,480:waittimer 27008 blt 0,0,640,480,0, 2372,640,480:waittimer 27031 blt 0,0,640,480,0, 2374,640,480:waittimer 27054 blt 0,0,640,480,0, 2376,640,480:waittimer 27076 blt 0,0,640,480,0, 2378,640,480:waittimer 27099 blt 0,0,640,480,0, 2380,640,480:waittimer 27122 blt 0,0,640,480,0, 2382,640,480:waittimer 27145 blt 0,0,640,480,0, 2384,640,480:waittimer 27168 blt 0,0,640,480,0, 2386,640,480:waittimer 27190 blt 0,0,640,480,0, 2388,640,480:waittimer 27213 blt 0,0,640,480,0, 2390,640,480:waittimer 27236 blt 0,0,640,480,0, 2392,640,480:waittimer 27259 blt 0,0,640,480,0, 2394,640,480:waittimer 27282 blt 0,0,640,480,0, 2396,640,480:waittimer 27304 blt 0,0,640,480,0, 2398,640,480:waittimer 27327 blt 0,0,640,480,0, 2400,640,480:waittimer 27350 blt 0,0,640,480,0, 2402,640,480:waittimer 27373 blt 0,0,640,480,0, 2404,640,480:waittimer 27396 blt 0,0,640,480,0, 2406,640,480:waittimer 27418 blt 0,0,640,480,0, 2408,640,480:waittimer 27441 blt 0,0,640,480,0, 2410,640,480:waittimer 27464 blt 0,0,640,480,0, 2412,640,480:waittimer 27487 blt 0,0,640,480,0, 2414,640,480:waittimer 27509 blt 0,0,640,480,0, 2416,640,480:waittimer 27532 blt 0,0,640,480,0, 2418,640,480:waittimer 27555 blt 0,0,640,480,0, 2420,640,480:waittimer 27578 blt 0,0,640,480,0, 2422,640,480:waittimer 27601 blt 0,0,640,480,0, 2424,640,480:waittimer 27623 blt 0,0,640,480,0, 2426,640,480:waittimer 27646 blt 0,0,640,480,0, 2428,640,480:waittimer 27669 blt 0,0,640,480,0, 2430,640,480:waittimer 27692 blt 0,0,640,480,0, 2432,640,480:waittimer 27715 blt 0,0,640,480,0, 2434,640,480:waittimer 27737 blt 0,0,640,480,0, 2436,640,480:waittimer 27760 blt 0,0,640,480,0, 2438,640,480:waittimer 27783 blt 0,0,640,480,0, 2440,640,480:waittimer 27806 blt 0,0,640,480,0, 2442,640,480:waittimer 27829 blt 0,0,640,480,0, 2444,640,480:waittimer 27851 blt 0,0,640,480,0, 2446,640,480:waittimer 27874 blt 0,0,640,480,0, 2448,640,480:waittimer 27897 blt 0,0,640,480,0, 2450,640,480:waittimer 27920 blt 0,0,640,480,0, 2452,640,480:waittimer 27943 blt 0,0,640,480,0, 2454,640,480:waittimer 27965 blt 0,0,640,480,0, 2456,640,480:waittimer 27988 blt 0,0,640,480,0, 2458,640,480:waittimer 28011 blt 0,0,640,480,0, 2460,640,480:waittimer 28034 blt 0,0,640,480,0, 2462,640,480:waittimer 28056 blt 0,0,640,480,0, 2464,640,480:waittimer 28079 blt 0,0,640,480,0, 2466,640,480:waittimer 28102 blt 0,0,640,480,0, 2468,640,480:waittimer 28125 blt 0,0,640,480,0, 2470,640,480:waittimer 28148 blt 0,0,640,480,0, 2472,640,480:waittimer 28170 blt 0,0,640,480,0, 2474,640,480:waittimer 28193 blt 0,0,640,480,0, 2476,640,480:waittimer 28216 blt 0,0,640,480,0, 2478,640,480:waittimer 28239 blt 0,0,640,480,0, 2480,640,480:waittimer 28262 blt 0,0,640,480,0, 2482,640,480:waittimer 28284 blt 0,0,640,480,0, 2484,640,480:waittimer 28307 blt 0,0,640,480,0, 2486,640,480:waittimer 28330 blt 0,0,640,480,0, 2488,640,480:waittimer 28353 blt 0,0,640,480,0, 2490,640,480:waittimer 28376 blt 0,0,640,480,0, 2492,640,480:waittimer 28398 blt 0,0,640,480,0, 2494,640,480:waittimer 28421 blt 0,0,640,480,0, 2496,640,480:waittimer 28444 blt 0,0,640,480,0, 2498,640,480:waittimer 28467 blt 0,0,640,480,0, 2500,640,480:waittimer 28490 blt 0,0,640,480,0, 2502,640,480:waittimer 28512 blt 0,0,640,480,0, 2504,640,480:waittimer 28535 blt 0,0,640,480,0, 2506,640,480:waittimer 28558 blt 0,0,640,480,0, 2508,640,480:waittimer 28581 blt 0,0,640,480,0, 2510,640,480:waittimer 28603 blt 0,0,640,480,0, 2512,640,480:waittimer 28626 blt 0,0,640,480,0, 2514,640,480:waittimer 28649 blt 0,0,640,480,0, 2516,640,480:waittimer 28672 blt 0,0,640,480,0, 2518,640,480:waittimer 28695 blt 0,0,640,480,0, 2520,640,480:waittimer 28717 blt 0,0,640,480,0, 2522,640,480:waittimer 28740 blt 0,0,640,480,0, 2524,640,480:waittimer 28763 blt 0,0,640,480,0, 2526,640,480:waittimer 28786 blt 0,0,640,480,0, 2528,640,480:waittimer 28809 blt 0,0,640,480,0, 2530,640,480:waittimer 28831 blt 0,0,640,480,0, 2532,640,480:waittimer 28854 blt 0,0,640,480,0, 2534,640,480:waittimer 28877 blt 0,0,640,480,0, 2536,640,480:waittimer 28900 blt 0,0,640,480,0, 2538,640,480:waittimer 28923 blt 0,0,640,480,0, 2540,640,480:waittimer 28945 blt 0,0,640,480,0, 2542,640,480:waittimer 28968 blt 0,0,640,480,0, 2544,640,480:waittimer 28991 blt 0,0,640,480,0, 2546,640,480:waittimer 29014 blt 0,0,640,480,0, 2548,640,480:waittimer 29037 blt 0,0,640,480,0, 2550,640,480:waittimer 29059 blt 0,0,640,480,0, 2552,640,480:waittimer 29082 blt 0,0,640,480,0, 2554,640,480:waittimer 29105 blt 0,0,640,480,0, 2556,640,480:waittimer 29128 blt 0,0,640,480,0, 2558,640,480:waittimer 29150 blt 0,0,640,480,0, 2560,640,480:waittimer 29173 blt 0,0,640,480,0, 2562,640,480:waittimer 29196 blt 0,0,640,480,0, 2564,640,480:waittimer 29219 blt 0,0,640,480,0, 2566,640,480:waittimer 29242 blt 0,0,640,480,0, 2568,640,480:waittimer 29264 blt 0,0,640,480,0, 2570,640,480:waittimer 29287 blt 0,0,640,480,0, 2572,640,480:waittimer 29310 blt 0,0,640,480,0, 2574,640,480:waittimer 29333 blt 0,0,640,480,0, 2576,640,480:waittimer 29356 blt 0,0,640,480,0, 2578,640,480:waittimer 29378 blt 0,0,640,480,0, 2580,640,480:waittimer 29401 blt 0,0,640,480,0, 2582,640,480:waittimer 29424 blt 0,0,640,480,0, 2584,640,480:waittimer 29447 blt 0,0,640,480,0, 2586,640,480:waittimer 29470 blt 0,0,640,480,0, 2588,640,480:waittimer 29492 blt 0,0,640,480,0, 2590,640,480:waittimer 29515 blt 0,0,640,480,0, 2592,640,480:waittimer 29538 blt 0,0,640,480,0, 2594,640,480:waittimer 29561 blt 0,0,640,480,0, 2596,640,480:waittimer 29584 blt 0,0,640,480,0, 2598,640,480:waittimer 29606 blt 0,0,640,480,0, 2600,640,480:waittimer 29629 blt 0,0,640,480,0, 2602,640,480:waittimer 29652 blt 0,0,640,480,0, 2604,640,480:waittimer 29675 blt 0,0,640,480,0, 2606,640,480:waittimer 29698 blt 0,0,640,480,0, 2608,640,480:waittimer 29720 blt 0,0,640,480,0, 2610,640,480:waittimer 29743 blt 0,0,640,480,0, 2612,640,480:waittimer 29766 blt 0,0,640,480,0, 2614,640,480:waittimer 29789 blt 0,0,640,480,0, 2616,640,480:waittimer 29811 blt 0,0,640,480,0, 2618,640,480:waittimer 29834 blt 0,0,640,480,0, 2620,640,480:waittimer 29857 blt 0,0,640,480,0, 2622,640,480:waittimer 29880 blt 0,0,640,480,0, 2624,640,480:waittimer 29903 blt 0,0,640,480,0, 2626,640,480:waittimer 29925 blt 0,0,640,480,0, 2628,640,480:waittimer 29948 blt 0,0,640,480,0, 2630,640,480:waittimer 29971 blt 0,0,640,480,0, 2632,640,480:waittimer 29994 blt 0,0,640,480,0, 2634,640,480:waittimer 30017 blt 0,0,640,480,0, 2636,640,480:waittimer 30039 blt 0,0,640,480,0, 2638,640,480:waittimer 30062 blt 0,0,640,480,0, 2640,640,480:waittimer 30085 blt 0,0,640,480,0, 2642,640,480:waittimer 30108 blt 0,0,640,480,0, 2644,640,480:waittimer 30131 blt 0,0,640,480,0, 2646,640,480:waittimer 30153 blt 0,0,640,480,0, 2648,640,480:waittimer 30176 blt 0,0,640,480,0, 2650,640,480:waittimer 30199 blt 0,0,640,480,0, 2652,640,480:waittimer 30222 blt 0,0,640,480,0, 2654,640,480:waittimer 30245 blt 0,0,640,480,0, 2656,640,480:waittimer 30267 blt 0,0,640,480,0, 2658,640,480:waittimer 30290 blt 0,0,640,480,0, 2660,640,480:waittimer 30313 blt 0,0,640,480,0, 2662,640,480:waittimer 30336 blt 0,0,640,480,0, 2664,640,480:waittimer 30358 blt 0,0,640,480,0, 2666,640,480:waittimer 30381 blt 0,0,640,480,0, 2668,640,480:waittimer 30404 blt 0,0,640,480,0, 2670,640,480:waittimer 30427 blt 0,0,640,480,0, 2672,640,480:waittimer 30450 blt 0,0,640,480,0, 2674,640,480:waittimer 30472 blt 0,0,640,480,0, 2676,640,480:waittimer 30495 blt 0,0,640,480,0, 2678,640,480:waittimer 30518 blt 0,0,640,480,0, 2680,640,480:waittimer 30541 blt 0,0,640,480,0, 2682,640,480:waittimer 30564 blt 0,0,640,480,0, 2684,640,480:waittimer 30586 blt 0,0,640,480,0, 2686,640,480:waittimer 30609 blt 0,0,640,480,0, 2688,640,480:waittimer 30632 blt 0,0,640,480,0, 2690,640,480:waittimer 30655 blt 0,0,640,480,0, 2692,640,480:waittimer 30678 blt 0,0,640,480,0, 2694,640,480:waittimer 30700 blt 0,0,640,480,0, 2696,640,480:waittimer 30723 blt 0,0,640,480,0, 2698,640,480:waittimer 30746 blt 0,0,640,480,0, 2700,640,480:waittimer 30769 blt 0,0,640,480,0, 2702,640,480:waittimer 30792 blt 0,0,640,480,0, 2704,640,480:waittimer 30814 blt 0,0,640,480,0, 2706,640,480:waittimer 30837 blt 0,0,640,480,0, 2708,640,480:waittimer 30860 blt 0,0,640,480,0, 2710,640,480:waittimer 30883 blt 0,0,640,480,0, 2712,640,480:waittimer 30905 blt 0,0,640,480,0, 2714,640,480:waittimer 30928 blt 0,0,640,480,0, 2716,640,480:waittimer 30951 blt 0,0,640,480,0, 2718,640,480:waittimer 30974 blt 0,0,640,480,0, 2720,640,480:waittimer 30997 blt 0,0,640,480,0, 2722,640,480:waittimer 31019 blt 0,0,640,480,0, 2724,640,480:waittimer 31042 blt 0,0,640,480,0, 2726,640,480:waittimer 31065 blt 0,0,640,480,0, 2728,640,480:waittimer 31088 blt 0,0,640,480,0, 2730,640,480:waittimer 31111 blt 0,0,640,480,0, 2732,640,480:waittimer 31133 blt 0,0,640,480,0, 2734,640,480:waittimer 31156 blt 0,0,640,480,0, 2736,640,480:waittimer 31179 blt 0,0,640,480,0, 2738,640,480:waittimer 31202 blt 0,0,640,480,0, 2740,640,480:waittimer 31225 blt 0,0,640,480,0, 2742,640,480:waittimer 31247 blt 0,0,640,480,0, 2744,640,480:waittimer 31270 blt 0,0,640,480,0, 2746,640,480:waittimer 31293 blt 0,0,640,480,0, 2748,640,480:waittimer 31316 blt 0,0,640,480,0, 2750,640,480:waittimer 31339 blt 0,0,640,480,0, 2752,640,480:waittimer 31361 blt 0,0,640,480,0, 2754,640,480:waittimer 31384 blt 0,0,640,480,0, 2756,640,480:waittimer 31407 blt 0,0,640,480,0, 2758,640,480:waittimer 31430 blt 0,0,640,480,0, 2760,640,480:waittimer 31452 blt 0,0,640,480,0, 2762,640,480:waittimer 31475 blt 0,0,640,480,0, 2764,640,480:waittimer 31498 blt 0,0,640,480,0, 2766,640,480:waittimer 31521 blt 0,0,640,480,0, 2768,640,480:waittimer 31544 blt 0,0,640,480,0, 2770,640,480:waittimer 31566 blt 0,0,640,480,0, 2772,640,480:waittimer 31589 blt 0,0,640,480,0, 2774,640,480:waittimer 31612 blt 0,0,640,480,0, 2776,640,480:waittimer 31635 blt 0,0,640,480,0, 2778,640,480:waittimer 31658 blt 0,0,640,480,0, 2780,640,480:waittimer 31680 blt 0,0,640,480,0, 2782,640,480:waittimer 31703 blt 0,0,640,480,0, 2784,640,480:waittimer 31726 blt 0,0,640,480,0, 2786,640,480:waittimer 31749 blt 0,0,640,480,0, 2788,640,480:waittimer 31772 blt 0,0,640,480,0, 2790,640,480:waittimer 31794 blt 0,0,640,480,0, 2792,640,480:waittimer 31817 blt 0,0,640,480,0, 2794,640,480:waittimer 31840 blt 0,0,640,480,0, 2796,640,480:waittimer 31863 blt 0,0,640,480,0, 2798,640,480:waittimer 31886 blt 0,0,640,480,0, 2800,640,480:waittimer 31908 blt 0,0,640,480,0, 2802,640,480:waittimer 31931 blt 0,0,640,480,0, 2804,640,480:waittimer 31954 blt 0,0,640,480,0, 2806,640,480:waittimer 31977 blt 0,0,640,480,0, 2808,640,480:waittimer 31999 blt 0,0,640,480,0, 2810,640,480:waittimer 32022 blt 0,0,640,480,0, 2812,640,480:waittimer 32045 blt 0,0,640,480,0, 2814,640,480:waittimer 32068 blt 0,0,640,480,0, 2816,640,480:waittimer 32091 blt 0,0,640,480,0, 2818,640,480:waittimer 32113 blt 0,0,640,480,0, 2820,640,480:waittimer 32136 blt 0,0,640,480,0, 2822,640,480:waittimer 32159 blt 0,0,640,480,0, 2824,640,480:waittimer 32182 blt 0,0,640,480,0, 2826,640,480:waittimer 32205 blt 0,0,640,480,0, 2828,640,480:waittimer 32227 blt 0,0,640,480,0, 2830,640,480:waittimer 32250 blt 0,0,640,480,0, 2832,640,480:waittimer 32273 blt 0,0,640,480,0, 2834,640,480:waittimer 32296 blt 0,0,640,480,0, 2836,640,480:waittimer 32319 blt 0,0,640,480,0, 2838,640,480:waittimer 32341 blt 0,0,640,480,0, 2840,640,480:waittimer 32364 blt 0,0,640,480,0, 2842,640,480:waittimer 32387 blt 0,0,640,480,0, 2844,640,480:waittimer 32410 blt 0,0,640,480,0, 2846,640,480:waittimer 32433 blt 0,0,640,480,0, 2848,640,480:waittimer 32455 blt 0,0,640,480,0, 2850,640,480:waittimer 32478 blt 0,0,640,480,0, 2852,640,480:waittimer 32501 blt 0,0,640,480,0, 2854,640,480:waittimer 32524 blt 0,0,640,480,0, 2856,640,480:waittimer 32547 blt 0,0,640,480,0, 2858,640,480:waittimer 32569 blt 0,0,640,480,0, 2860,640,480:waittimer 32592 blt 0,0,640,480,0, 2862,640,480:waittimer 32615 blt 0,0,640,480,0, 2864,640,480:waittimer 32638 blt 0,0,640,480,0, 2866,640,480:waittimer 32660 blt 0,0,640,480,0, 2868,640,480:waittimer 32683 blt 0,0,640,480,0, 2870,640,480:waittimer 32706 blt 0,0,640,480,0, 2872,640,480:waittimer 32729 blt 0,0,640,480,0, 2874,640,480:waittimer 32752 blt 0,0,640,480,0, 2876,640,480:waittimer 32774 blt 0,0,640,480,0, 2878,640,480:waittimer 32797 blt 0,0,640,480,0, 2880,640,480:waittimer 32820 blt 0,0,640,480,0, 2882,640,480:waittimer 32843 blt 0,0,640,480,0, 2884,640,480:waittimer 32866 blt 0,0,640,480,0, 2886,640,480:waittimer 32888 blt 0,0,640,480,0, 2888,640,480:waittimer 32911 blt 0,0,640,480,0, 2890,640,480:waittimer 32934 blt 0,0,640,480,0, 2892,640,480:waittimer 32957 blt 0,0,640,480,0, 2894,640,480:waittimer 32980 blt 0,0,640,480,0, 2896,640,480:waittimer 33002 blt 0,0,640,480,0, 2898,640,480:waittimer 33025 blt 0,0,640,480,0, 2900,640,480:waittimer 33048 blt 0,0,640,480,0, 2902,640,480:waittimer 33071 blt 0,0,640,480,0, 2904,640,480:waittimer 33094 blt 0,0,640,480,0, 2906,640,480:waittimer 33116 blt 0,0,640,480,0, 2908,640,480:waittimer 33139 blt 0,0,640,480,0, 2910,640,480:waittimer 33162 blt 0,0,640,480,0, 2912,640,480:waittimer 33185 blt 0,0,640,480,0, 2914,640,480:waittimer 33207 blt 0,0,640,480,0, 2916,640,480:waittimer 33230 blt 0,0,640,480,0, 2918,640,480:waittimer 33253 blt 0,0,640,480,0, 2920,640,480:waittimer 33276 blt 0,0,640,480,0, 2922,640,480:waittimer 33299 blt 0,0,640,480,0, 2924,640,480:waittimer 33321 blt 0,0,640,480,0, 2926,640,480:waittimer 33344 blt 0,0,640,480,0, 2928,640,480:waittimer 33367 blt 0,0,640,480,0, 2930,640,480:waittimer 33390 blt 0,0,640,480,0, 2932,640,480:waittimer 33413 blt 0,0,640,480,0, 2934,640,480:waittimer 33435 blt 0,0,640,480,0, 2936,640,480:waittimer 33458 blt 0,0,640,480,0, 2938,640,480:waittimer 33481 blt 0,0,640,480,0, 2940,640,480:waittimer 33504 blt 0,0,640,480,0, 2942,640,480:waittimer 33527 blt 0,0,640,480,0, 2944,640,480:waittimer 33549 blt 0,0,640,480,0, 2946,640,480:waittimer 33572 blt 0,0,640,480,0, 2948,640,480:waittimer 33595 blt 0,0,640,480,0, 2950,640,480:waittimer 33618 blt 0,0,640,480,0, 2952,640,480:waittimer 33641 blt 0,0,640,480,0, 2954,640,480:waittimer 33663 blt 0,0,640,480,0, 2956,640,480:waittimer 33686 blt 0,0,640,480,0, 2958,640,480:waittimer 33709 blt 0,0,640,480,0, 2960,640,480:waittimer 33732 blt 0,0,640,480,0, 2962,640,480:waittimer 33754 blt 0,0,640,480,0, 2964,640,480:waittimer 33777 blt 0,0,640,480,0, 2966,640,480:waittimer 33800 blt 0,0,640,480,0, 2968,640,480:waittimer 33823 blt 0,0,640,480,0, 2970,640,480:waittimer 33846 blt 0,0,640,480,0, 2972,640,480:waittimer 33868 blt 0,0,640,480,0, 2974,640,480:waittimer 33891 blt 0,0,640,480,0, 2976,640,480:waittimer 33914 blt 0,0,640,480,0, 2978,640,480:waittimer 33937 blt 0,0,640,480,0, 2980,640,480:waittimer 33960 blt 0,0,640,480,0, 2982,640,480:waittimer 33982 blt 0,0,640,480,0, 2984,640,480:waittimer 34005 blt 0,0,640,480,0, 2986,640,480:waittimer 34028 blt 0,0,640,480,0, 2988,640,480:waittimer 34051 blt 0,0,640,480,0, 2990,640,480:waittimer 34074 blt 0,0,640,480,0, 2992,640,480:waittimer 34096 blt 0,0,640,480,0, 2994,640,480:waittimer 34119 blt 0,0,640,480,0, 2996,640,480:waittimer 34142 blt 0,0,640,480,0, 2998,640,480:waittimer 34165 blt 0,0,640,480,0, 3000,640,480:waittimer 34188 blt 0,0,640,480,0, 3002,640,480:waittimer 34210 blt 0,0,640,480,0, 3004,640,480:waittimer 34233 blt 0,0,640,480,0, 3006,640,480:waittimer 34256 blt 0,0,640,480,0, 3008,640,480:waittimer 34279 blt 0,0,640,480,0, 3010,640,480:waittimer 34301 blt 0,0,640,480,0, 3012,640,480:waittimer 34324 blt 0,0,640,480,0, 3014,640,480:waittimer 34347 blt 0,0,640,480,0, 3016,640,480:waittimer 34370 blt 0,0,640,480,0, 3018,640,480:waittimer 34393 blt 0,0,640,480,0, 3020,640,480:waittimer 34415 blt 0,0,640,480,0, 3022,640,480:waittimer 34438 blt 0,0,640,480,0, 3024,640,480:waittimer 34461 blt 0,0,640,480,0, 3026,640,480:waittimer 34484 blt 0,0,640,480,0, 3028,640,480:waittimer 34507 blt 0,0,640,480,0, 3030,640,480:waittimer 34529 blt 0,0,640,480,0, 3032,640,480:waittimer 34552 blt 0,0,640,480,0, 3034,640,480:waittimer 34575 blt 0,0,640,480,0, 3036,640,480:waittimer 34598 blt 0,0,640,480,0, 3038,640,480:waittimer 34621 blt 0,0,640,480,0, 3040,640,480:waittimer 34643 blt 0,0,640,480,0, 3042,640,480:waittimer 34666 blt 0,0,640,480,0, 3044,640,480:waittimer 34689 blt 0,0,640,480,0, 3046,640,480:waittimer 34712 blt 0,0,640,480,0, 3048,640,480:waittimer 34735 blt 0,0,640,480,0, 3050,640,480:waittimer 34757 blt 0,0,640,480,0, 3052,640,480:waittimer 34780 blt 0,0,640,480,0, 3054,640,480:waittimer 34803 blt 0,0,640,480,0, 3056,640,480:waittimer 34826 blt 0,0,640,480,0, 3058,640,480:waittimer 34849 blt 0,0,640,480,0, 3060,640,480:waittimer 34871 blt 0,0,640,480,0, 3062,640,480:waittimer 34894 blt 0,0,640,480,0, 3064,640,480:waittimer 34917 blt 0,0,640,480,0, 3066,640,480:waittimer 34940 blt 0,0,640,480,0, 3068,640,480:waittimer 34962 blt 0,0,640,480,0, 3070,640,480:waittimer 34985 blt 0,0,640,480,0, 3072,640,480:waittimer 35008 blt 0,0,640,480,0, 3074,640,480:waittimer 35031 blt 0,0,640,480,0, 3076,640,480:waittimer 35054 blt 0,0,640,480,0, 3078,640,480:waittimer 35076 blt 0,0,640,480,0, 3080,640,480:waittimer 35099 blt 0,0,640,480,0, 3082,640,480:waittimer 35122 blt 0,0,640,480,0, 3084,640,480:waittimer 35145 blt 0,0,640,480,0, 3086,640,480:waittimer 35168 blt 0,0,640,480,0, 3088,640,480:waittimer 35190 blt 0,0,640,480,0, 3090,640,480:waittimer 35213 blt 0,0,640,480,0, 3092,640,480:waittimer 35236 blt 0,0,640,480,0, 3094,640,480:waittimer 35259 blt 0,0,640,480,0, 3096,640,480:waittimer 35282 blt 0,0,640,480,0, 3098,640,480:waittimer 35304 blt 0,0,640,480,0, 3100,640,480:waittimer 35327 blt 0,0,640,480,0, 3102,640,480:waittimer 35350 blt 0,0,640,480,0, 3104,640,480:waittimer 35373 blt 0,0,640,480,0, 3106,640,480:waittimer 35396 blt 0,0,640,480,0, 3108,640,480:waittimer 35418 blt 0,0,640,480,0, 3110,640,480:waittimer 35441 blt 0,0,640,480,0, 3112,640,480:waittimer 35464 blt 0,0,640,480,0, 3114,640,480:waittimer 35487 blt 0,0,640,480,0, 3116,640,480:waittimer 35509 blt 0,0,640,480,0, 3118,640,480:waittimer 35532 blt 0,0,640,480,0, 3120,640,480:waittimer 35555 blt 0,0,640,480,0, 3122,640,480:waittimer 35578 blt 0,0,640,480,0, 3124,640,480:waittimer 35601 blt 0,0,640,480,0, 3126,640,480:waittimer 35623 blt 0,0,640,480,0, 3128,640,480:waittimer 35646 blt 0,0,640,480,0, 3130,640,480:waittimer 35669 blt 0,0,640,480,0, 3132,640,480:waittimer 35692 blt 0,0,640,480,0, 3134,640,480:waittimer 35715 blt 0,0,640,480,0, 3136,640,480:waittimer 35737 blt 0,0,640,480,0, 3138,640,480:waittimer 35760 blt 0,0,640,480,0, 3140,640,480:waittimer 35783 blt 0,0,640,480,0, 3142,640,480:waittimer 35806 blt 0,0,640,480,0, 3144,640,480:waittimer 35829 blt 0,0,640,480,0, 3146,640,480:waittimer 35851 blt 0,0,640,480,0, 3148,640,480:waittimer 35874 blt 0,0,640,480,0, 3150,640,480:waittimer 35897 blt 0,0,640,480,0, 3152,640,480:waittimer 35920 blt 0,0,640,480,0, 3154,640,480:waittimer 35943 blt 0,0,640,480,0, 3156,640,480:waittimer 35965 blt 0,0,640,480,0, 3158,640,480:waittimer 35988 blt 0,0,640,480,0, 3160,640,480:waittimer 36011 blt 0,0,640,480,0, 3162,640,480:waittimer 36034 blt 0,0,640,480,0, 3164,640,480:waittimer 36056 blt 0,0,640,480,0, 3166,640,480:waittimer 36079 blt 0,0,640,480,0, 3168,640,480:waittimer 36102 blt 0,0,640,480,0, 3170,640,480:waittimer 36125 blt 0,0,640,480,0, 3172,640,480:waittimer 36148 blt 0,0,640,480,0, 3174,640,480:waittimer 36170 blt 0,0,640,480,0, 3176,640,480:waittimer 36193 blt 0,0,640,480,0, 3178,640,480:waittimer 36216 blt 0,0,640,480,0, 3180,640,480:waittimer 36239 blt 0,0,640,480,0, 3182,640,480:waittimer 36262 blt 0,0,640,480,0, 3184,640,480:waittimer 36284 blt 0,0,640,480,0, 3186,640,480:waittimer 36307 blt 0,0,640,480,0, 3188,640,480:waittimer 36330 blt 0,0,640,480,0, 3190,640,480:waittimer 36353 blt 0,0,640,480,0, 3192,640,480:waittimer 36376 blt 0,0,640,480,0, 3194,640,480:waittimer 36398 blt 0,0,640,480,0, 3196,640,480:waittimer 36421 blt 0,0,640,480,0, 3198,640,480:waittimer 36444 blt 0,0,640,480,0, 3200,640,480:waittimer 36467 blt 0,0,640,480,0, 3202,640,480:waittimer 36490 blt 0,0,640,480,0, 3204,640,480:waittimer 36512 blt 0,0,640,480,0, 3206,640,480:waittimer 36535 blt 0,0,640,480,0, 3208,640,480:waittimer 36558 blt 0,0,640,480,0, 3210,640,480:waittimer 36581 blt 0,0,640,480,0, 3212,640,480:waittimer 36603 blt 0,0,640,480,0, 3214,640,480:waittimer 36626 blt 0,0,640,480,0, 3216,640,480:waittimer 36649 blt 0,0,640,480,0, 3218,640,480:waittimer 36672 blt 0,0,640,480,0, 3220,640,480:waittimer 36695 blt 0,0,640,480,0, 3222,640,480:waittimer 36717 blt 0,0,640,480,0, 3224,640,480:waittimer 36740 blt 0,0,640,480,0, 3226,640,480:waittimer 36763 blt 0,0,640,480,0, 3228,640,480:waittimer 36786 blt 0,0,640,480,0, 3230,640,480:waittimer 36809 blt 0,0,640,480,0, 3232,640,480:waittimer 36831 blt 0,0,640,480,0, 3234,640,480:waittimer 36854 blt 0,0,640,480,0, 3236,640,480:waittimer 36877 blt 0,0,640,480,0, 3238,640,480:waittimer 36900 blt 0,0,640,480,0, 3240,640,480:waittimer 36923 blt 0,0,640,480,0, 3242,640,480:waittimer 36945 blt 0,0,640,480,0, 3244,640,480:waittimer 36968 blt 0,0,640,480,0, 3246,640,480:waittimer 36991 blt 0,0,640,480,0, 3248,640,480:waittimer 37014 blt 0,0,640,480,0, 3250,640,480:waittimer 37037 blt 0,0,640,480,0, 3252,640,480:waittimer 37059 blt 0,0,640,480,0, 3254,640,480:waittimer 37082 blt 0,0,640,480,0, 3256,640,480:waittimer 37105 blt 0,0,640,480,0, 3258,640,480:waittimer 37128 blt 0,0,640,480,0, 3260,640,480:waittimer 37150 blt 0,0,640,480,0, 3262,640,480:waittimer 37173 blt 0,0,640,480,0, 3264,640,480:waittimer 37196 blt 0,0,640,480,0, 3266,640,480:waittimer 37219 blt 0,0,640,480,0, 3268,640,480:waittimer 37242 blt 0,0,640,480,0, 3270,640,480:waittimer 37264 blt 0,0,640,480,0, 3272,640,480:waittimer 37287 blt 0,0,640,480,0, 3274,640,480:waittimer 37310 blt 0,0,640,480,0, 3276,640,480:waittimer 37333 blt 0,0,640,480,0, 3278,640,480:waittimer 37356 blt 0,0,640,480,0, 3280,640,480:waittimer 37378 blt 0,0,640,480,0, 3282,640,480:waittimer 37401 blt 0,0,640,480,0, 3284,640,480:waittimer 37424 blt 0,0,640,480,0, 3286,640,480:waittimer 37447 blt 0,0,640,480,0, 3288,640,480:waittimer 37470 blt 0,0,640,480,0, 3290,640,480:waittimer 37492 blt 0,0,640,480,0, 3292,640,480:waittimer 37515 blt 0,0,640,480,0, 3294,640,480:waittimer 37538 blt 0,0,640,480,0, 3296,640,480:waittimer 37561 blt 0,0,640,480,0, 3298,640,480:waittimer 37584 blt 0,0,640,480,0, 3300,640,480:waittimer 37606 blt 0,0,640,480,0, 3302,640,480:waittimer 37629 blt 0,0,640,480,0, 3304,640,480:waittimer 37652 blt 0,0,640,480,0, 3306,640,480:waittimer 37675 blt 0,0,640,480,0, 3308,640,480:waittimer 37698 blt 0,0,640,480,0, 3310,640,480:waittimer 37720 blt 0,0,640,480,0, 3312,640,480:waittimer 37743 blt 0,0,640,480,0, 3314,640,480:waittimer 37766 blt 0,0,640,480,0, 3316,640,480:waittimer 37789 blt 0,0,640,480,0, 3318,640,480:waittimer 37811 blt 0,0,640,480,0, 3320,640,480:waittimer 37834 blt 0,0,640,480,0, 3322,640,480:waittimer 37857 blt 0,0,640,480,0, 3324,640,480:waittimer 37880 blt 0,0,640,480,0, 3326,640,480:waittimer 37903 blt 0,0,640,480,0, 3328,640,480:waittimer 37925 blt 0,0,640,480,0, 3330,640,480:waittimer 37948 blt 0,0,640,480,0, 3332,640,480:waittimer 37971 blt 0,0,640,480,0, 3334,640,480:waittimer 37994 blt 0,0,640,480,0, 3336,640,480:waittimer 38017 blt 0,0,640,480,0, 3338,640,480:waittimer 38039 blt 0,0,640,480,0, 3340,640,480:waittimer 38062 blt 0,0,640,480,0, 3342,640,480:waittimer 38085 blt 0,0,640,480,0, 3344,640,480:waittimer 38108 blt 0,0,640,480,0, 3346,640,480:waittimer 38131 blt 0,0,640,480,0, 3348,640,480:waittimer 38153 blt 0,0,640,480,0, 3350,640,480:waittimer 38176 blt 0,0,640,480,0, 3352,640,480:waittimer 38199 blt 0,0,640,480,0, 3354,640,480:waittimer 38222 blt 0,0,640,480,0, 3356,640,480:waittimer 38245 blt 0,0,640,480,0, 3358,640,480:waittimer 38267 blt 0,0,640,480,0, 3360,640,480:waittimer 38290 blt 0,0,640,480,0, 3362,640,480:waittimer 38313 blt 0,0,640,480,0, 3364,640,480:waittimer 38336 blt 0,0,640,480,0, 3366,640,480:waittimer 38358 blt 0,0,640,480,0, 3368,640,480:waittimer 38381 blt 0,0,640,480,0, 3370,640,480:waittimer 38404 blt 0,0,640,480,0, 3372,640,480:waittimer 38427 blt 0,0,640,480,0, 3374,640,480:waittimer 38450 blt 0,0,640,480,0, 3376,640,480:waittimer 38472 blt 0,0,640,480,0, 3378,640,480:waittimer 38495 blt 0,0,640,480,0, 3380,640,480:waittimer 38518 blt 0,0,640,480,0, 3382,640,480:waittimer 38541 blt 0,0,640,480,0, 3384,640,480:waittimer 38564 blt 0,0,640,480,0, 3386,640,480:waittimer 38586 blt 0,0,640,480,0, 3388,640,480:waittimer 38609 blt 0,0,640,480,0, 3390,640,480:waittimer 38632 blt 0,0,640,480,0, 3392,640,480:waittimer 38655 blt 0,0,640,480,0, 3394,640,480:waittimer 38678 blt 0,0,640,480,0, 3396,640,480:waittimer 38700 blt 0,0,640,480,0, 3398,640,480:waittimer 38723 blt 0,0,640,480,0, 3400,640,480:waittimer 38746 blt 0,0,640,480,0, 3402,640,480:waittimer 38769 blt 0,0,640,480,0, 3404,640,480:waittimer 38792 blt 0,0,640,480,0, 3406,640,480:waittimer 38814 blt 0,0,640,480,0, 3408,640,480:waittimer 38837 blt 0,0,640,480,0, 3410,640,480:waittimer 38860 blt 0,0,640,480,0, 3412,640,480:waittimer 38883 blt 0,0,640,480,0, 3414,640,480:waittimer 38905 blt 0,0,640,480,0, 3416,640,480:waittimer 38928 blt 0,0,640,480,0, 3418,640,480:waittimer 38951 blt 0,0,640,480,0, 3420,640,480:waittimer 38974 blt 0,0,640,480,0, 3422,640,480:waittimer 38997 blt 0,0,640,480,0, 3424,640,480:waittimer 39019 blt 0,0,640,480,0, 3426,640,480:waittimer 39042 blt 0,0,640,480,0, 3428,640,480:waittimer 39065 blt 0,0,640,480,0, 3430,640,480:waittimer 39088 blt 0,0,640,480,0, 3432,640,480:waittimer 39111 blt 0,0,640,480,0, 3434,640,480:waittimer 39133 blt 0,0,640,480,0, 3436,640,480:waittimer 39156 blt 0,0,640,480,0, 3438,640,480:waittimer 39179 blt 0,0,640,480,0, 3440,640,480:waittimer 39202 blt 0,0,640,480,0, 3442,640,480:waittimer 39225 blt 0,0,640,480,0, 3444,640,480:waittimer 39247 blt 0,0,640,480,0, 3446,640,480:waittimer 39270 blt 0,0,640,480,0, 3448,640,480:waittimer 39293 blt 0,0,640,480,0, 3450,640,480:waittimer 39316 blt 0,0,640,480,0, 3452,640,480:waittimer 39339 blt 0,0,640,480,0, 3454,640,480:waittimer 39361 blt 0,0,640,480,0, 3456,640,480:waittimer 39384 blt 0,0,640,480,0, 3458,640,480:waittimer 39407 blt 0,0,640,480,0, 3460,640,480:waittimer 39430 blt 0,0,640,480,0, 3462,640,480:waittimer 39452 blt 0,0,640,480,0, 3464,640,480:waittimer 39475 blt 0,0,640,480,0, 3466,640,480:waittimer 39498 blt 0,0,640,480,0, 3468,640,480:waittimer 39521 blt 0,0,640,480,0, 3470,640,480:waittimer 39544 blt 0,0,640,480,0, 3472,640,480:waittimer 39566 blt 0,0,640,480,0, 3474,640,480:waittimer 39589 blt 0,0,640,480,0, 3476,640,480:waittimer 39612 blt 0,0,640,480,0, 3478,640,480:waittimer 39635 blt 0,0,640,480,0, 3480,640,480:waittimer 39658 blt 0,0,640,480,0, 3482,640,480:waittimer 39680 blt 0,0,640,480,0, 3484,640,480:waittimer 39703 blt 0,0,640,480,0, 3486,640,480:waittimer 39726 blt 0,0,640,480,0, 3488,640,480:waittimer 39749 blt 0,0,640,480,0, 3490,640,480:waittimer 39772 blt 0,0,640,480,0, 3492,640,480:waittimer 39794 blt 0,0,640,480,0, 3494,640,480:waittimer 39817 blt 0,0,640,480,0, 3496,640,480:waittimer 39840 blt 0,0,640,480,0, 3498,640,480:waittimer 39863 blt 0,0,640,480,0, 3500,640,480:waittimer 39886 blt 0,0,640,480,0, 3502,640,480:waittimer 39908 blt 0,0,640,480,0, 3504,640,480:waittimer 39931 blt 0,0,640,480,0, 3506,640,480:waittimer 39954 blt 0,0,640,480,0, 3508,640,480:waittimer 39977 blt 0,0,640,480,0, 3510,640,480:waittimer 39999 blt 0,0,640,480,0, 3512,640,480:waittimer 40022 blt 0,0,640,480,0, 3514,640,480:waittimer 40045 blt 0,0,640,480,0, 3516,640,480:waittimer 40068 blt 0,0,640,480,0, 3518,640,480:waittimer 40091 blt 0,0,640,480,0, 3520,640,480:waittimer 40113 blt 0,0,640,480,0, 3522,640,480:waittimer 40136 blt 0,0,640,480,0, 3524,640,480:waittimer 40159 blt 0,0,640,480,0, 3526,640,480:waittimer 40182 blt 0,0,640,480,0, 3528,640,480:waittimer 40205 blt 0,0,640,480,0, 3530,640,480:waittimer 40227 blt 0,0,640,480,0, 3532,640,480:waittimer 40250 blt 0,0,640,480,0, 3534,640,480:waittimer 40273 blt 0,0,640,480,0, 3536,640,480:waittimer 40296 blt 0,0,640,480,0, 3538,640,480:waittimer 40319 blt 0,0,640,480,0, 3540,640,480:waittimer 40341 blt 0,0,640,480,0, 3542,640,480:waittimer 40364 blt 0,0,640,480,0, 3544,640,480:waittimer 40387 blt 0,0,640,480,0, 3546,640,480:waittimer 40410 blt 0,0,640,480,0, 3548,640,480:waittimer 40433 blt 0,0,640,480,0, 3550,640,480:waittimer 40455 blt 0,0,640,480,0, 3552,640,480:waittimer 40478 blt 0,0,640,480,0, 3554,640,480:waittimer 40501 blt 0,0,640,480,0, 3556,640,480:waittimer 40524 blt 0,0,640,480,0, 3558,640,480:waittimer 40547 blt 0,0,640,480,0, 3560,640,480:waittimer 40569 blt 0,0,640,480,0, 3562,640,480:waittimer 40592 blt 0,0,640,480,0, 3564,640,480:waittimer 40615 blt 0,0,640,480,0, 3566,640,480:waittimer 40638 blt 0,0,640,480,0, 3568,640,480:waittimer 40660 blt 0,0,640,480,0, 3570,640,480:waittimer 40683 blt 0,0,640,480,0, 3572,640,480:waittimer 40706 blt 0,0,640,480,0, 3574,640,480:waittimer 40729 blt 0,0,640,480,0, 3576,640,480:waittimer 40752 blt 0,0,640,480,0, 3578,640,480:waittimer 40774 blt 0,0,640,480,0, 3580,640,480:waittimer 40797 blt 0,0,640,480,0, 3582,640,480:waittimer 40820 blt 0,0,640,480,0, 3584,640,480:waittimer 40843 blt 0,0,640,480,0, 3586,640,480:waittimer 40866 blt 0,0,640,480,0, 3588,640,480:waittimer 40888 blt 0,0,640,480,0, 3590,640,480:waittimer 40911 blt 0,0,640,480,0, 3592,640,480:waittimer 40934 blt 0,0,640,480,0, 3594,640,480:waittimer 40957 blt 0,0,640,480,0, 3596,640,480:waittimer 40980 blt 0,0,640,480,0, 3598,640,480:waittimer 41002 blt 0,0,640,480,0, 3600,640,480:waittimer 41025 blt 0,0,640,480,0, 3602,640,480:waittimer 41048 blt 0,0,640,480,0, 3604,640,480:waittimer 41071 blt 0,0,640,480,0, 3606,640,480:waittimer 41094 blt 0,0,640,480,0, 3608,640,480:waittimer 41116 blt 0,0,640,480,0, 3610,640,480:waittimer 41139 blt 0,0,640,480,0, 3612,640,480:waittimer 41162 blt 0,0,640,480,0, 3614,640,480:waittimer 41185 blt 0,0,640,480,0, 3616,640,480:waittimer 41207 blt 0,0,640,480,0, 3618,640,480:waittimer 41230 blt 0,0,640,480,0, 3620,640,480:waittimer 41253 blt 0,0,640,480,0, 3622,640,480:waittimer 41276 blt 0,0,640,480,0, 3624,640,480:waittimer 41299 blt 0,0,640,480,0, 3626,640,480:waittimer 41321 blt 0,0,640,480,0, 3628,640,480:waittimer 41344 blt 0,0,640,480,0, 3630,640,480:waittimer 41367 blt 0,0,640,480,0, 3632,640,480:waittimer 41390 blt 0,0,640,480,0, 3634,640,480:waittimer 41413 blt 0,0,640,480,0, 3636,640,480:waittimer 41435 blt 0,0,640,480,0, 3638,640,480:waittimer 41458 blt 0,0,640,480,0, 3640,640,480:waittimer 41481 blt 0,0,640,480,0, 3642,640,480:waittimer 41504 blt 0,0,640,480,0, 3644,640,480:waittimer 41527 blt 0,0,640,480,0, 3646,640,480:waittimer 41549 blt 0,0,640,480,0, 3648,640,480:waittimer 41572 blt 0,0,640,480,0, 3650,640,480:waittimer 41595 blt 0,0,640,480,0, 3652,640,480:waittimer 41618 blt 0,0,640,480,0, 3654,640,480:waittimer 41641 blt 0,0,640,480,0, 3656,640,480:waittimer 41663 blt 0,0,640,480,0, 3658,640,480:waittimer 41686 blt 0,0,640,480,0, 3660,640,480:waittimer 41709 blt 0,0,640,480,0, 3662,640,480:waittimer 41732 blt 0,0,640,480,0, 3664,640,480:waittimer 41754 blt 0,0,640,480,0, 3666,640,480:waittimer 41777 blt 0,0,640,480,0, 3668,640,480:waittimer 41800 blt 0,0,640,480,0, 3670,640,480:waittimer 41823 blt 0,0,640,480,0, 3672,640,480:waittimer 41846 blt 0,0,640,480,0, 3674,640,480:waittimer 41868 blt 0,0,640,480,0, 3676,640,480:waittimer 41891 blt 0,0,640,480,0, 3678,640,480:waittimer 41914 blt 0,0,640,480,0, 3680,640,480:waittimer 41937 blt 0,0,640,480,0, 3682,640,480:waittimer 41960 blt 0,0,640,480,0, 3684,640,480:waittimer 41982 blt 0,0,640,480,0, 3686,640,480:waittimer 42005 blt 0,0,640,480,0, 3688,640,480:waittimer 42028 blt 0,0,640,480,0, 3690,640,480:waittimer 42051 blt 0,0,640,480,0, 3692,640,480:waittimer 42074 blt 0,0,640,480,0, 3694,640,480:waittimer 42096 blt 0,0,640,480,0, 3696,640,480:waittimer 42119 blt 0,0,640,480,0, 3698,640,480:waittimer 42142 blt 0,0,640,480,0, 3700,640,480:waittimer 42165 blt 0,0,640,480,0, 3702,640,480:waittimer 42188 blt 0,0,640,480,0, 3704,640,480:waittimer 42210 blt 0,0,640,480,0, 3706,640,480:waittimer 42233 blt 0,0,640,480,0, 3708,640,480:waittimer 42256 blt 0,0,640,480,0, 3710,640,480:waittimer 42279 blt 0,0,640,480,0, 3712,640,480:waittimer 42301 blt 0,0,640,480,0, 3714,640,480:waittimer 42324 blt 0,0,640,480,0, 3716,640,480:waittimer 42347 blt 0,0,640,480,0, 3718,640,480:waittimer 42370 blt 0,0,640,480,0, 3720,640,480:waittimer 42393 blt 0,0,640,480,0, 3722,640,480:waittimer 42415 blt 0,0,640,480,0, 3724,640,480:waittimer 42438 blt 0,0,640,480,0, 3726,640,480:waittimer 42461 blt 0,0,640,480,0, 3728,640,480:waittimer 42484 blt 0,0,640,480,0, 3730,640,480:waittimer 42507 blt 0,0,640,480,0, 3732,640,480:waittimer 42529 blt 0,0,640,480,0, 3734,640,480:waittimer 42552 blt 0,0,640,480,0, 3736,640,480:waittimer 42575 blt 0,0,640,480,0, 3738,640,480:waittimer 42598 blt 0,0,640,480,0, 3740,640,480:waittimer 42621 blt 0,0,640,480,0, 3742,640,480:waittimer 42643 blt 0,0,640,480,0, 3744,640,480:waittimer 42666 blt 0,0,640,480,0, 3746,640,480:waittimer 42689 blt 0,0,640,480,0, 3748,640,480:waittimer 42712 blt 0,0,640,480,0, 3750,640,480:waittimer 42735 blt 0,0,640,480,0, 3752,640,480:waittimer 42757 blt 0,0,640,480,0, 3754,640,480:waittimer 42780 blt 0,0,640,480,0, 3756,640,480:waittimer 42803 blt 0,0,640,480,0, 3758,640,480:waittimer 42826 blt 0,0,640,480,0, 3760,640,480:waittimer 42849 blt 0,0,640,480,0, 3762,640,480:waittimer 42871 blt 0,0,640,480,0, 3764,640,480:waittimer 42894 blt 0,0,640,480,0, 3766,640,480:waittimer 42917 blt 0,0,640,480,0, 3768,640,480:waittimer 42940 blt 0,0,640,480,0, 3770,640,480:waittimer 42962 blt 0,0,640,480,0, 3772,640,480:waittimer 42985 blt 0,0,640,480,0, 3774,640,480:waittimer 43008 blt 0,0,640,480,0, 3776,640,480:waittimer 43031 blt 0,0,640,480,0, 3778,640,480:waittimer 43054 blt 0,0,640,480,0, 3780,640,480:waittimer 43076 blt 0,0,640,480,0, 3782,640,480:waittimer 43099 blt 0,0,640,480,0, 3784,640,480:waittimer 43122 blt 0,0,640,480,0, 3786,640,480:waittimer 43145 blt 0,0,640,480,0, 3788,640,480:waittimer 43168 blt 0,0,640,480,0, 3790,640,480:waittimer 43190 blt 0,0,640,480,0, 3792,640,480:waittimer 43213 blt 0,0,640,480,0, 3794,640,480:waittimer 43236 blt 0,0,640,480,0, 3796,640,480:waittimer 43259 blt 0,0,640,480,0, 3798,640,480:waittimer 43282 blt 0,0,640,480,0, 3800,640,480:waittimer 43304 blt 0,0,640,480,0, 3802,640,480:waittimer 43327 blt 0,0,640,480,0, 3804,640,480:waittimer 43350 blt 0,0,640,480,0, 3806,640,480:waittimer 43373 blt 0,0,640,480,0, 3808,640,480:waittimer 43396 blt 0,0,640,480,0, 3810,640,480:waittimer 43418 blt 0,0,640,480,0, 3812,640,480:waittimer 43441 blt 0,0,640,480,0, 3814,640,480:waittimer 43464 blt 0,0,640,480,0, 3816,640,480:waittimer 43487 blt 0,0,640,480,0, 3818,640,480:waittimer 43509 blt 0,0,640,480,0, 3820,640,480:waittimer 43532 blt 0,0,640,480,0, 3822,640,480:waittimer 43555 blt 0,0,640,480,0, 3824,640,480:waittimer 43578 blt 0,0,640,480,0, 3826,640,480:waittimer 43601 blt 0,0,640,480,0, 3828,640,480:waittimer 43623 blt 0,0,640,480,0, 3830,640,480:waittimer 43646 blt 0,0,640,480,0, 3832,640,480:waittimer 43669 blt 0,0,640,480,0, 3834,640,480:waittimer 43692 blt 0,0,640,480,0, 3836,640,480:waittimer 43715 blt 0,0,640,480,0, 3838,640,480:waittimer 43737 blt 0,0,640,480,0, 3840,640,480:waittimer 43760 blt 0,0,640,480,0, 3842,640,480:waittimer 43783 blt 0,0,640,480,0, 3844,640,480:waittimer 43806 blt 0,0,640,480,0, 3846,640,480:waittimer 43829 blt 0,0,640,480,0, 3848,640,480:waittimer 43851 blt 0,0,640,480,0, 3850,640,480:waittimer 43874 blt 0,0,640,480,0, 3852,640,480:waittimer 43897 blt 0,0,640,480,0, 3854,640,480:waittimer 43920 blt 0,0,640,480,0, 3856,640,480:waittimer 43943 blt 0,0,640,480,0, 3858,640,480:waittimer 43965 blt 0,0,640,480,0, 3860,640,480:waittimer 43988 blt 0,0,640,480,0, 3862,640,480:waittimer 44011 blt 0,0,640,480,0, 3864,640,480:waittimer 44034 blt 0,0,640,480,0, 3866,640,480:waittimer 44056 blt 0,0,640,480,0, 3868,640,480:waittimer 44079 blt 0,0,640,480,0, 3870,640,480:waittimer 44102 blt 0,0,640,480,0, 3872,640,480:waittimer 44125 blt 0,0,640,480,0, 3874,640,480:waittimer 44148 blt 0,0,640,480,0, 3876,640,480:waittimer 44170 blt 0,0,640,480,0, 3878,640,480:waittimer 44193 blt 0,0,640,480,0, 3880,640,480:waittimer 44216 blt 0,0,640,480,0, 3882,640,480:waittimer 44239 blt 0,0,640,480,0, 3884,640,480:waittimer 44262 blt 0,0,640,480,0, 3886,640,480:waittimer 44284 blt 0,0,640,480,0, 3888,640,480:waittimer 44307 blt 0,0,640,480,0, 3890,640,480:waittimer 44330 blt 0,0,640,480,0, 3892,640,480:waittimer 44353 blt 0,0,640,480,0, 3894,640,480:waittimer 44376 blt 0,0,640,480,0, 3896,640,480:waittimer 44398 blt 0,0,640,480,0, 3898,640,480:waittimer 44421 blt 0,0,640,480,0, 3900,640,480:waittimer 44444 blt 0,0,640,480,0, 3902,640,480:waittimer 44467 blt 0,0,640,480,0, 3904,640,480:waittimer 44490 blt 0,0,640,480,0, 3906,640,480:waittimer 44512 blt 0,0,640,480,0, 3908,640,480:waittimer 44535 blt 0,0,640,480,0, 3910,640,480:waittimer 44558 blt 0,0,640,480,0, 3912,640,480:waittimer 44581 blt 0,0,640,480,0, 3914,640,480:waittimer 44603 blt 0,0,640,480,0, 3916,640,480:waittimer 44626 blt 0,0,640,480,0, 3918,640,480:waittimer 44649 blt 0,0,640,480,0, 3920,640,480:waittimer 44672 blt 0,0,640,480,0, 3922,640,480:waittimer 44695 blt 0,0,640,480,0, 3924,640,480:waittimer 44717 blt 0,0,640,480,0, 3926,640,480:waittimer 44740 blt 0,0,640,480,0, 3928,640,480:waittimer 44763 blt 0,0,640,480,0, 3930,640,480:waittimer 44786 blt 0,0,640,480,0, 3932,640,480:waittimer 44809 blt 0,0,640,480,0, 3934,640,480:waittimer 44831 blt 0,0,640,480,0, 3936,640,480:waittimer 44854 blt 0,0,640,480,0, 3938,640,480:waittimer 44877 blt 0,0,640,480,0, 3940,640,480:waittimer 44900 blt 0,0,640,480,0, 3942,640,480:waittimer 44923 blt 0,0,640,480,0, 3944,640,480:waittimer 44945 blt 0,0,640,480,0, 3946,640,480:waittimer 44968 blt 0,0,640,480,0, 3948,640,480:waittimer 44991 blt 0,0,640,480,0, 3950,640,480:waittimer 45014 blt 0,0,640,480,0, 3952,640,480:waittimer 45037 blt 0,0,640,480,0, 3954,640,480:waittimer 45059 blt 0,0,640,480,0, 3956,640,480:waittimer 45082 blt 0,0,640,480,0, 3958,640,480:waittimer 45105 blt 0,0,640,480,0, 3960,640,480:waittimer 45128 blt 0,0,640,480,0, 3962,640,480:waittimer 45150 blt 0,0,640,480,0, 3964,640,480:waittimer 45173 blt 0,0,640,480,0, 3966,640,480:waittimer 45196 blt 0,0,640,480,0, 3968,640,480:waittimer 45219 blt 0,0,640,480,0, 3970,640,480:waittimer 45242 blt 0,0,640,480,0, 3972,640,480:waittimer 45264 blt 0,0,640,480,0, 3974,640,480:waittimer 45287 blt 0,0,640,480,0, 3976,640,480:waittimer 45310 blt 0,0,640,480,0, 3978,640,480:waittimer 45333 blt 0,0,640,480,0, 3980,640,480:waittimer 45356 blt 0,0,640,480,0, 3982,640,480:waittimer 45378 blt 0,0,640,480,0, 3984,640,480:waittimer 45401 blt 0,0,640,480,0, 3986,640,480:waittimer 45424 blt 0,0,640,480,0, 3988,640,480:waittimer 45447 blt 0,0,640,480,0, 3990,640,480:waittimer 45470 blt 0,0,640,480,0, 3992,640,480:waittimer 45492 blt 0,0,640,480,0, 3994,640,480:waittimer 45515 blt 0,0,640,480,0, 3996,640,480:waittimer 45538 blt 0,0,640,480,0, 3998,640,480:waittimer 45561 blt 0,0,640,480,0, 4000,640,480:waittimer 45584 blt 0,0,640,480,0, 4002,640,480:waittimer 45606 blt 0,0,640,480,0, 4004,640,480:waittimer 45629 blt 0,0,640,480,0, 4006,640,480:waittimer 45652 blt 0,0,640,480,0, 4008,640,480:waittimer 45675 blt 0,0,640,480,0, 4010,640,480:waittimer 45698 blt 0,0,640,480,0, 4012,640,480:waittimer 45720 blt 0,0,640,480,0, 4014,640,480:waittimer 45743 blt 0,0,640,480,0, 4016,640,480:waittimer 45766 blt 0,0,640,480,0, 4018,640,480:waittimer 45789 blt 0,0,640,480,0, 4020,640,480:waittimer 45811 blt 0,0,640,480,0, 4022,640,480:waittimer 45834 blt 0,0,640,480,0, 4024,640,480:waittimer 45857 blt 0,0,640,480,0, 4026,640,480:waittimer 45880 blt 0,0,640,480,0, 4028,640,480:waittimer 45903 blt 0,0,640,480,0, 4030,640,480:waittimer 45925 blt 0,0,640,480,0, 4032,640,480:waittimer 45948 blt 0,0,640,480,0, 4034,640,480:waittimer 45971 blt 0,0,640,480,0, 4036,640,480:waittimer 45994 blt 0,0,640,480,0, 4038,640,480:waittimer 46017 blt 0,0,640,480,0, 4040,640,480:waittimer 46039 blt 0,0,640,480,0, 4042,640,480:waittimer 46062 blt 0,0,640,480,0, 4044,640,480:waittimer 46085 blt 0,0,640,480,0, 4046,640,480:waittimer 46108 blt 0,0,640,480,0, 4048,640,480:waittimer 46131 blt 0,0,640,480,0, 4050,640,480:waittimer 46153 blt 0,0,640,480,0, 4052,640,480:waittimer 46176 blt 0,0,640,480,0, 4054,640,480:waittimer 46199 blt 0,0,640,480,0, 4056,640,480:waittimer 46222 blt 0,0,640,480,0, 4058,640,480:waittimer 46245 blt 0,0,640,480,0, 4060,640,480:waittimer 46267 blt 0,0,640,480,0, 4062,640,480:waittimer 46290 blt 0,0,640,480,0, 4064,640,480:waittimer 46313 blt 0,0,640,480,0, 4066,640,480:waittimer 46336 blt 0,0,640,480,0, 4068,640,480:waittimer 46358 blt 0,0,640,480,0, 4070,640,480:waittimer 46381 blt 0,0,640,480,0, 4072,640,480:waittimer 46404 blt 0,0,640,480,0, 4074,640,480:waittimer 46427 blt 0,0,640,480,0, 4076,640,480:waittimer 46450 blt 0,0,640,480,0, 4078,640,480:waittimer 46472 blt 0,0,640,480,0, 4080,640,480:waittimer 46495 blt 0,0,640,480,0, 4082,640,480:waittimer 46518 blt 0,0,640,480,0, 4084,640,480:waittimer 46541 blt 0,0,640,480,0, 4086,640,480:waittimer 46564 blt 0,0,640,480,0, 4088,640,480:waittimer 46586 blt 0,0,640,480,0, 4090,640,480:waittimer 46609 blt 0,0,640,480,0, 4092,640,480:waittimer 46632 blt 0,0,640,480,0, 4094,640,480:waittimer 46655 blt 0,0,640,480,0, 4096,640,480:waittimer 46678 blt 0,0,640,480,0, 4098,640,480:waittimer 46700 blt 0,0,640,480,0, 4100,640,480:waittimer 46723 blt 0,0,640,480,0, 4102,640,480:waittimer 46746 blt 0,0,640,480,0, 4104,640,480:waittimer 46769 blt 0,0,640,480,0, 4106,640,480:waittimer 46792 blt 0,0,640,480,0, 4108,640,480:waittimer 46814 blt 0,0,640,480,0, 4110,640,480:waittimer 46837 blt 0,0,640,480,0, 4112,640,480:waittimer 46860 blt 0,0,640,480,0, 4114,640,480:waittimer 46883 blt 0,0,640,480,0, 4116,640,480:waittimer 46905 blt 0,0,640,480,0, 4118,640,480:waittimer 46928 blt 0,0,640,480,0, 4120,640,480:waittimer 46951 blt 0,0,640,480,0, 4122,640,480:waittimer 46974 blt 0,0,640,480,0, 4124,640,480:waittimer 46997 blt 0,0,640,480,0, 4126,640,480:waittimer 47019 blt 0,0,640,480,0, 4128,640,480:waittimer 47042 blt 0,0,640,480,0, 4130,640,480:waittimer 47065 blt 0,0,640,480,0, 4132,640,480:waittimer 47088 blt 0,0,640,480,0, 4134,640,480:waittimer 47111 blt 0,0,640,480,0, 4136,640,480:waittimer 47133 blt 0,0,640,480,0, 4138,640,480:waittimer 47156 blt 0,0,640,480,0, 4140,640,480:waittimer 47179 blt 0,0,640,480,0, 4142,640,480:waittimer 47202 blt 0,0,640,480,0, 4144,640,480:waittimer 47225 blt 0,0,640,480,0, 4146,640,480:waittimer 47247 blt 0,0,640,480,0, 4148,640,480:waittimer 47270 blt 0,0,640,480,0, 4150,640,480:waittimer 47293 blt 0,0,640,480,0, 4152,640,480:waittimer 47316 blt 0,0,640,480,0, 4154,640,480:waittimer 47339 blt 0,0,640,480,0, 4156,640,480:waittimer 47361 blt 0,0,640,480,0, 4158,640,480:waittimer 47384 blt 0,0,640,480,0, 4160,640,480:waittimer 47407 blt 0,0,640,480,0, 4162,640,480:waittimer 47430 blt 0,0,640,480,0, 4164,640,480:waittimer 47452 blt 0,0,640,480,0, 4166,640,480:waittimer 47475 blt 0,0,640,480,0, 4168,640,480:waittimer 47498 blt 0,0,640,480,0, 4170,640,480:waittimer 47521 blt 0,0,640,480,0, 4172,640,480:waittimer 47544 blt 0,0,640,480,0, 4174,640,480:waittimer 47566 blt 0,0,640,480,0, 4176,640,480:waittimer 47589 blt 0,0,640,480,0, 4178,640,480:waittimer 47612 blt 0,0,640,480,0, 4180,640,480:waittimer 47635 blt 0,0,640,480,0, 4182,640,480:waittimer 47658 blt 0,0,640,480,0, 4184,640,480:waittimer 47680 blt 0,0,640,480,0, 4186,640,480:waittimer 47703 blt 0,0,640,480,0, 4188,640,480:waittimer 47726 blt 0,0,640,480,0, 4190,640,480:waittimer 47749 blt 0,0,640,480,0, 4192,640,480:waittimer 47772 blt 0,0,640,480,0, 4194,640,480:waittimer 47794 blt 0,0,640,480,0, 4196,640,480:waittimer 47817 blt 0,0,640,480,0, 4198,640,480:waittimer 47840 blt 0,0,640,480,0, 4200,640,480:waittimer 47863 blt 0,0,640,480,0, 4202,640,480:waittimer 47886 blt 0,0,640,480,0, 4204,640,480:waittimer 47908 blt 0,0,640,480,0, 4206,640,480:waittimer 47931 blt 0,0,640,480,0, 4208,640,480:waittimer 47954 blt 0,0,640,480,0, 4210,640,480:waittimer 47977 blt 0,0,640,480,0, 4212,640,480:waittimer 47999 blt 0,0,640,480,0, 4214,640,480:waittimer 48022 blt 0,0,640,480,0, 4216,640,480:waittimer 48045 blt 0,0,640,480,0, 4218,640,480:waittimer 48068 blt 0,0,640,480,0, 4220,640,480:waittimer 48091 blt 0,0,640,480,0, 4222,640,480:waittimer 48113 blt 0,0,640,480,0, 4224,640,480:waittimer 48136 blt 0,0,640,480,0, 4226,640,480:waittimer 48159 blt 0,0,640,480,0, 4228,640,480:waittimer 48182 blt 0,0,640,480,0, 4230,640,480:waittimer 48205 blt 0,0,640,480,0, 4232,640,480:waittimer 48227 blt 0,0,640,480,0, 4234,640,480:waittimer 48250 blt 0,0,640,480,0, 4236,640,480:waittimer 48273 blt 0,0,640,480,0, 4238,640,480:waittimer 48296 blt 0,0,640,480,0, 4240,640,480:waittimer 48319 blt 0,0,640,480,0, 4242,640,480:waittimer 48341 blt 0,0,640,480,0, 4244,640,480:waittimer 48364 blt 0,0,640,480,0, 4246,640,480:waittimer 48387 blt 0,0,640,480,0, 4248,640,480:waittimer 48410 blt 0,0,640,480,0, 4250,640,480:waittimer 48433 blt 0,0,640,480,0, 4252,640,480:waittimer 48455 blt 0,0,640,480,0, 4254,640,480:waittimer 48478 blt 0,0,640,480,0, 4256,640,480:waittimer 48501 blt 0,0,640,480,0, 4258,640,480:waittimer 48524 blt 0,0,640,480,0, 4260,640,480:waittimer 48547 blt 0,0,640,480,0, 4262,640,480:waittimer 48569 blt 0,0,640,480,0, 4264,640,480:waittimer 48592 blt 0,0,640,480,0, 4266,640,480:waittimer 48615 blt 0,0,640,480,0, 4268,640,480:waittimer 48638 blt 0,0,640,480,0, 4270,640,480:waittimer 48660 blt 0,0,640,480,0, 4272,640,480:waittimer 48683 blt 0,0,640,480,0, 4274,640,480:waittimer 48706 blt 0,0,640,480,0, 4276,640,480:waittimer 48729 blt 0,0,640,480,0, 4278,640,480:waittimer 48752 blt 0,0,640,480,0, 4280,640,480:waittimer 48774 blt 0,0,640,480,0, 4282,640,480:waittimer 48797 blt 0,0,640,480,0, 4284,640,480:waittimer 48820 blt 0,0,640,480,0, 4286,640,480:waittimer 48843 blt 0,0,640,480,0, 4288,640,480:waittimer 48866 blt 0,0,640,480,0, 4290,640,480:waittimer 48888 blt 0,0,640,480,0, 4292,640,480:waittimer 48911 blt 0,0,640,480,0, 4294,640,480:waittimer 48934 blt 0,0,640,480,0, 4296,640,480:waittimer 48957 blt 0,0,640,480,0, 4298,640,480:waittimer 48980 blt 0,0,640,480,0, 4300,640,480:waittimer 49002 blt 0,0,640,480,0, 4302,640,480:waittimer 49025 blt 0,0,640,480,0, 4304,640,480:waittimer 49048 blt 0,0,640,480,0, 4306,640,480:waittimer 49071 blt 0,0,640,480,0, 4308,640,480:waittimer 49094 blt 0,0,640,480,0, 4310,640,480:waittimer 49116 blt 0,0,640,480,0, 4312,640,480:waittimer 49139 blt 0,0,640,480,0, 4314,640,480:waittimer 49162 blt 0,0,640,480,0, 4316,640,480:waittimer 49185 blt 0,0,640,480,0, 4318,640,480:waittimer 49207 blt 0,0,640,480,0, 4320,640,480:waittimer 49230 blt 0,0,640,480,0, 4322,640,480:waittimer 49253 blt 0,0,640,480,0, 4324,640,480:waittimer 49276 blt 0,0,640,480,0, 4326,640,480:waittimer 49299 blt 0,0,640,480,0, 4328,640,480:waittimer 49321 blt 0,0,640,480,0, 4330,640,480:waittimer 49344 blt 0,0,640,480,0, 4332,640,480:waittimer 49367 blt 0,0,640,480,0, 4334,640,480:waittimer 49390 blt 0,0,640,480,0, 4336,640,480:waittimer 49413 blt 0,0,640,480,0, 4338,640,480:waittimer 49435 blt 0,0,640,480,0, 4340,640,480:waittimer 49458 blt 0,0,640,480,0, 4342,640,480:waittimer 49481 blt 0,0,640,480,0, 4344,640,480:waittimer 49504 blt 0,0,640,480,0, 4346,640,480:waittimer 49527 blt 0,0,640,480,0, 4348,640,480:waittimer 49549 blt 0,0,640,480,0, 4350,640,480:waittimer 49572 blt 0,0,640,480,0, 4352,640,480:waittimer 49595 blt 0,0,640,480,0, 4354,640,480:waittimer 49618 blt 0,0,640,480,0, 4356,640,480:waittimer 49641 blt 0,0,640,480,0, 4358,640,480:waittimer 49663 blt 0,0,640,480,0, 4360,640,480:waittimer 49686 blt 0,0,640,480,0, 4362,640,480:waittimer 49709 blt 0,0,640,480,0, 4364,640,480:waittimer 49732 blt 0,0,640,480,0, 4366,640,480:waittimer 49754 blt 0,0,640,480,0, 4368,640,480:waittimer 49777 blt 0,0,640,480,0, 4370,640,480:waittimer 49800 blt 0,0,640,480,0, 4372,640,480:waittimer 49823 blt 0,0,640,480,0, 4374,640,480:waittimer 49846 blt 0,0,640,480,0, 4376,640,480:waittimer 49868 blt 0,0,640,480,0, 4378,640,480:waittimer 49891 blt 0,0,640,480,0, 4380,640,480:waittimer 49914 blt 0,0,640,480,0, 4382,640,480:waittimer 49937 blt 0,0,640,480,0, 4384,640,480:waittimer 49960 blt 0,0,640,480,0, 4386,640,480:waittimer 49982 blt 0,0,640,480,0, 4388,640,480:waittimer 50005 blt 0,0,640,480,0, 4390,640,480:waittimer 50028 blt 0,0,640,480,0, 4392,640,480:waittimer 50051 blt 0,0,640,480,0, 4394,640,480:waittimer 50074 blt 0,0,640,480,0, 4396,640,480:waittimer 50096 blt 0,0,640,480,0, 4398,640,480:waittimer 50119 blt 0,0,640,480,0, 4400,640,480:waittimer 50142 blt 0,0,640,480,0, 4402,640,480:waittimer 50165 blt 0,0,640,480,0, 4404,640,480:waittimer 50188 blt 0,0,640,480,0, 4406,640,480:waittimer 50210 blt 0,0,640,480,0, 4408,640,480:waittimer 50233 blt 0,0,640,480,0, 4410,640,480:waittimer 50256 blt 0,0,640,480,0, 4412,640,480:waittimer 50279 blt 0,0,640,480,0, 4414,640,480:waittimer 50301 blt 0,0,640,480,0, 4416,640,480:waittimer 50324 blt 0,0,640,480,0, 4418,640,480:waittimer 50347 blt 0,0,640,480,0, 4420,640,480:waittimer 50370 blt 0,0,640,480,0, 4422,640,480:waittimer 50393 blt 0,0,640,480,0, 4424,640,480:waittimer 50415 blt 0,0,640,480,0, 4426,640,480:waittimer 50438 blt 0,0,640,480,0, 4428,640,480:waittimer 50461 blt 0,0,640,480,0, 4430,640,480:waittimer 50484 blt 0,0,640,480,0, 4432,640,480:waittimer 50507 blt 0,0,640,480,0, 4434,640,480:waittimer 50529 blt 0,0,640,480,0, 4436,640,480:waittimer 50552 blt 0,0,640,480,0, 4438,640,480:waittimer 50575 blt 0,0,640,480,0, 4440,640,480:waittimer 50598 blt 0,0,640,480,0, 4442,640,480:waittimer 50621 blt 0,0,640,480,0, 4444,640,480:waittimer 50643 blt 0,0,640,480,0, 4446,640,480:waittimer 50666 blt 0,0,640,480,0, 4448,640,480:waittimer 50689 blt 0,0,640,480,0, 4450,640,480:waittimer 50712 blt 0,0,640,480,0, 4452,640,480:waittimer 50735 blt 0,0,640,480,0, 4454,640,480:waittimer 50757 blt 0,0,640,480,0, 4456,640,480:waittimer 50780 blt 0,0,640,480,0, 4458,640,480:waittimer 50803 blt 0,0,640,480,0, 4460,640,480:waittimer 50826 blt 0,0,640,480,0, 4462,640,480:waittimer 50849 blt 0,0,640,480,0, 4464,640,480:waittimer 50871 blt 0,0,640,480,0, 4466,640,480:waittimer 50894 blt 0,0,640,480,0, 4468,640,480:waittimer 50917 blt 0,0,640,480,0, 4470,640,480:waittimer 50940 blt 0,0,640,480,0, 4472,640,480:waittimer 50962 blt 0,0,640,480,0, 4474,640,480:waittimer 50985 blt 0,0,640,480,0, 4476,640,480:waittimer 51008 blt 0,0,640,480,0, 4478,640,480:waittimer 51031 blt 0,0,640,480,0, 4480,640,480:waittimer 51054 blt 0,0,640,480,0, 4482,640,480:waittimer 51076 blt 0,0,640,480,0, 4484,640,480:waittimer 51099 blt 0,0,640,480,0, 4486,640,480:waittimer 51122 blt 0,0,640,480,0, 4488,640,480:waittimer 51145 blt 0,0,640,480,0, 4490,640,480:waittimer 51168 blt 0,0,640,480,0, 4492,640,480:waittimer 51190 blt 0,0,640,480,0, 4494,640,480:waittimer 51213 blt 0,0,640,480,0, 4496,640,480:waittimer 51236 blt 0,0,640,480,0, 4498,640,480:waittimer 51259 blt 0,0,640,480,0, 4500,640,480:waittimer 51282 blt 0,0,640,480,0, 4502,640,480:waittimer 51304 blt 0,0,640,480,0, 4504,640,480:waittimer 51327 blt 0,0,640,480,0, 4506,640,480:waittimer 51350 blt 0,0,640,480,0, 4508,640,480:waittimer 51373 blt 0,0,640,480,0, 4510,640,480:waittimer 51396 blt 0,0,640,480,0, 4512,640,480:waittimer 51418 blt 0,0,640,480,0, 4514,640,480:waittimer 51441 blt 0,0,640,480,0, 4516,640,480:waittimer 51464 blt 0,0,640,480,0, 4518,640,480:waittimer 51487 blt 0,0,640,480,0, 4520,640,480:waittimer 51509 blt 0,0,640,480,0, 4522,640,480:waittimer 51532 blt 0,0,640,480,0, 4524,640,480:waittimer 51555 blt 0,0,640,480,0, 4526,640,480:waittimer 51578 blt 0,0,640,480,0, 4528,640,480:waittimer 51601 blt 0,0,640,480,0, 4530,640,480:waittimer 51623 blt 0,0,640,480,0, 4532,640,480:waittimer 51646 blt 0,0,640,480,0, 4534,640,480:waittimer 51669 blt 0,0,640,480,0, 4536,640,480:waittimer 51692 blt 0,0,640,480,0, 4538,640,480:waittimer 51715 blt 0,0,640,480,0, 4540,640,480:waittimer 51737 blt 0,0,640,480,0, 4542,640,480:waittimer 51760 blt 0,0,640,480,0, 4544,640,480:waittimer 51783 blt 0,0,640,480,0, 4546,640,480:waittimer 51806 blt 0,0,640,480,0, 4548,640,480:waittimer 51829 blt 0,0,640,480,0, 4550,640,480:waittimer 51851 blt 0,0,640,480,0, 4552,640,480:waittimer 51874 blt 0,0,640,480,0, 4554,640,480:waittimer 51897 blt 0,0,640,480,0, 4556,640,480:waittimer 51920 blt 0,0,640,480,0, 4558,640,480:waittimer 51943 blt 0,0,640,480,0, 4560,640,480:waittimer 51965 blt 0,0,640,480,0, 4562,640,480:waittimer 51988 blt 0,0,640,480,0, 4564,640,480:waittimer 52011 blt 0,0,640,480,0, 4566,640,480:waittimer 52034 blt 0,0,640,480,0, 4568,640,480:waittimer 52056 blt 0,0,640,480,0, 4570,640,480:waittimer 52079 blt 0,0,640,480,0, 4572,640,480:waittimer 52102 blt 0,0,640,480,0, 4574,640,480:waittimer 52125 blt 0,0,640,480,0, 4576,640,480:waittimer 52148 blt 0,0,640,480,0, 4578,640,480:waittimer 52170 blt 0,0,640,480,0, 4580,640,480:waittimer 52193 blt 0,0,640,480,0, 4582,640,480:waittimer 52216 blt 0,0,640,480,0, 4584,640,480:waittimer 52239 blt 0,0,640,480,0, 4586,640,480:waittimer 52262 blt 0,0,640,480,0, 4588,640,480:waittimer 52284 blt 0,0,640,480,0, 4590,640,480:waittimer 52307 blt 0,0,640,480,0, 4592,640,480:waittimer 52330 blt 0,0,640,480,0, 4594,640,480:waittimer 52353 blt 0,0,640,480,0, 4596,640,480:waittimer 52376 blt 0,0,640,480,0, 4598,640,480:waittimer 52398 blt 0,0,640,480,0, 4600,640,480:waittimer 52421 blt 0,0,640,480,0, 4602,640,480:waittimer 52444 blt 0,0,640,480,0, 4604,640,480:waittimer 52467 blt 0,0,640,480,0, 4606,640,480:waittimer 52490 blt 0,0,640,480,0, 4608,640,480:waittimer 52512 blt 0,0,640,480,0, 4610,640,480:waittimer 52535 blt 0,0,640,480,0, 4612,640,480:waittimer 52558 blt 0,0,640,480,0, 4614,640,480:waittimer 52581 blt 0,0,640,480,0, 4616,640,480:waittimer 52603 blt 0,0,640,480,0, 4618,640,480:waittimer 52626 blt 0,0,640,480,0, 4620,640,480:waittimer 52649 blt 0,0,640,480,0, 4622,640,480:waittimer 52672 blt 0,0,640,480,0, 4624,640,480:waittimer 52695 blt 0,0,640,480,0, 4626,640,480:waittimer 52717 blt 0,0,640,480,0, 4628,640,480:waittimer 52740 blt 0,0,640,480,0, 4630,640,480:waittimer 52763 blt 0,0,640,480,0, 4632,640,480:waittimer 52786 blt 0,0,640,480,0, 4634,640,480:waittimer 52809 blt 0,0,640,480,0, 4636,640,480:waittimer 52831 blt 0,0,640,480,0, 4638,640,480:waittimer 52854 blt 0,0,640,480,0, 4640,640,480:waittimer 52877 blt 0,0,640,480,0, 4642,640,480:waittimer 52900 blt 0,0,640,480,0, 4644,640,480:waittimer 52923 blt 0,0,640,480,0, 4646,640,480:waittimer 52945 blt 0,0,640,480,0, 4648,640,480:waittimer 52968 blt 0,0,640,480,0, 4650,640,480:waittimer 52991 blt 0,0,640,480,0, 4652,640,480:waittimer 53014 blt 0,0,640,480,0, 4654,640,480:waittimer 53037 blt 0,0,640,480,0, 4656,640,480:waittimer 53059 blt 0,0,640,480,0, 4658,640,480:waittimer 53082 blt 0,0,640,480,0, 4660,640,480:waittimer 53105 blt 0,0,640,480,0, 4662,640,480:waittimer 53128 blt 0,0,640,480,0, 4664,640,480:waittimer 53150 blt 0,0,640,480,0, 4666,640,480:waittimer 53173 blt 0,0,640,480,0, 4668,640,480:waittimer 53196 blt 0,0,640,480,0, 4670,640,480:waittimer 53219 blt 0,0,640,480,0, 4672,640,480:waittimer 53242 blt 0,0,640,480,0, 4674,640,480:waittimer 53264 blt 0,0,640,480,0, 4676,640,480:waittimer 53287 blt 0,0,640,480,0, 4678,640,480:waittimer 53310 blt 0,0,640,480,0, 4680,640,480:waittimer 53333 blt 0,0,640,480,0, 4682,640,480:waittimer 53356 blt 0,0,640,480,0, 4684,640,480:waittimer 53378 blt 0,0,640,480,0, 4686,640,480:waittimer 53401 blt 0,0,640,480,0, 4688,640,480:waittimer 53424 blt 0,0,640,480,0, 4690,640,480:waittimer 53447 blt 0,0,640,480,0, 4692,640,480:waittimer 53470 blt 0,0,640,480,0, 4694,640,480:waittimer 53492 blt 0,0,640,480,0, 4696,640,480:waittimer 53515 blt 0,0,640,480,0, 4698,640,480:waittimer 53538 blt 0,0,640,480,0, 4700,640,480:waittimer 53561 blt 0,0,640,480,0, 4702,640,480:waittimer 53584 blt 0,0,640,480,0, 4704,640,480:waittimer 53606 blt 0,0,640,480,0, 4706,640,480:waittimer 53629 blt 0,0,640,480,0, 4708,640,480:waittimer 53652 blt 0,0,640,480,0, 4710,640,480:waittimer 53675 blt 0,0,640,480,0, 4712,640,480:waittimer 53698 blt 0,0,640,480,0, 4714,640,480:waittimer 53720 blt 0,0,640,480,0, 4716,640,480:waittimer 53743 blt 0,0,640,480,0, 4718,640,480:waittimer 53766 blt 0,0,640,480,0, 4720,640,480:waittimer 53789 blt 0,0,640,480,0, 4722,640,480:waittimer 53811 blt 0,0,640,480,0, 4724,640,480:waittimer 53834 blt 0,0,640,480,0, 4726,640,480:waittimer 53857 blt 0,0,640,480,0, 4728,640,480:waittimer 53880 blt 0,0,640,480,0, 4730,640,480:waittimer 53903 blt 0,0,640,480,0, 4732,640,480:waittimer 53925 blt 0,0,640,480,0, 4734,640,480:waittimer 53948 blt 0,0,640,480,0, 4736,640,480:waittimer 53971 blt 0,0,640,480,0, 4738,640,480:waittimer 53994 blt 0,0,640,480,0, 4740,640,480:waittimer 54017 blt 0,0,640,480,0, 4742,640,480:waittimer 54039 blt 0,0,640,480,0, 4744,640,480:waittimer 54062 blt 0,0,640,480,0, 4746,640,480:waittimer 54085 blt 0,0,640,480,0, 4748,640,480:waittimer 54108 blt 0,0,640,480,0, 4750,640,480:waittimer 54131 blt 0,0,640,480,0, 4752,640,480:waittimer 54153 blt 0,0,640,480,0, 4754,640,480:waittimer 54176 blt 0,0,640,480,0, 4756,640,480:waittimer 54199 blt 0,0,640,480,0, 4758,640,480:waittimer 54222 blt 0,0,640,480,0, 4760,640,480:waittimer 54245 blt 0,0,640,480,0, 4762,640,480:waittimer 54267 blt 0,0,640,480,0, 4764,640,480:waittimer 54290 blt 0,0,640,480,0, 4766,640,480:waittimer 54313 blt 0,0,640,480,0, 4768,640,480:waittimer 54336 blt 0,0,640,480,0, 4770,640,480:waittimer 54358 blt 0,0,640,480,0, 4772,640,480:waittimer 54381 blt 0,0,640,480,0, 4774,640,480:waittimer 54404 blt 0,0,640,480,0, 4776,640,480:waittimer 54427 blt 0,0,640,480,0, 4778,640,480:waittimer 54450 blt 0,0,640,480,0, 4780,640,480:waittimer 54472 blt 0,0,640,480,0, 4782,640,480:waittimer 54495 blt 0,0,640,480,0, 4784,640,480:waittimer 54518 blt 0,0,640,480,0, 4786,640,480:waittimer 54541 blt 0,0,640,480,0, 4788,640,480:waittimer 54564 blt 0,0,640,480,0, 4790,640,480:waittimer 54586 blt 0,0,640,480,0, 4792,640,480:waittimer 54609 blt 0,0,640,480,0, 4794,640,480:waittimer 54632 blt 0,0,640,480,0, 4796,640,480:waittimer 54655 blt 0,0,640,480,0, 4798,640,480:waittimer 54678 blt 0,0,640,480,0, 4800,640,480:waittimer 54700 blt 0,0,640,480,0, 4802,640,480:waittimer 54723 blt 0,0,640,480,0, 4804,640,480:waittimer 54746 blt 0,0,640,480,0, 4806,640,480:waittimer 54769 blt 0,0,640,480,0, 4808,640,480:waittimer 54792 blt 0,0,640,480,0, 4810,640,480:waittimer 54814 blt 0,0,640,480,0, 4812,640,480:waittimer 54837 blt 0,0,640,480,0, 4814,640,480:waittimer 54860 blt 0,0,640,480,0, 4816,640,480:waittimer 54883 blt 0,0,640,480,0, 4818,640,480:waittimer 54905 blt 0,0,640,480,0, 4820,640,480:waittimer 54928 blt 0,0,640,480,0, 4822,640,480:waittimer 54951 blt 0,0,640,480,0, 4824,640,480:waittimer 54974 blt 0,0,640,480,0, 4826,640,480:waittimer 54997 blt 0,0,640,480,0, 4828,640,480:waittimer 55019 blt 0,0,640,480,0, 4830,640,480:waittimer 55042 blt 0,0,640,480,0, 4832,640,480:waittimer 55065 blt 0,0,640,480,0, 4834,640,480:waittimer 55088 blt 0,0,640,480,0, 4836,640,480:waittimer 55111 blt 0,0,640,480,0, 4838,640,480:waittimer 55133 blt 0,0,640,480,0, 4840,640,480:waittimer 55156 blt 0,0,640,480,0, 4842,640,480:waittimer 55179 blt 0,0,640,480,0, 4844,640,480:waittimer 55202 blt 0,0,640,480,0, 4846,640,480:waittimer 55225 blt 0,0,640,480,0, 4848,640,480:waittimer 55247 blt 0,0,640,480,0, 4850,640,480:waittimer 55270 blt 0,0,640,480,0, 4852,640,480:waittimer 55293 blt 0,0,640,480,0, 4854,640,480:waittimer 55316 blt 0,0,640,480,0, 4856,640,480:waittimer 55339 blt 0,0,640,480,0, 4858,640,480:waittimer 55361 blt 0,0,640,480,0, 4860,640,480:waittimer 55384 blt 0,0,640,480,0, 4862,640,480:waittimer 55407 blt 0,0,640,480,0, 4864,640,480:waittimer 55430 blt 0,0,640,480,0, 4866,640,480:waittimer 55452 blt 0,0,640,480,0, 4868,640,480:waittimer 55475 blt 0,0,640,480,0, 4870,640,480:waittimer 55498 blt 0,0,640,480,0, 4872,640,480:waittimer 55521 blt 0,0,640,480,0, 4874,640,480:waittimer 55544 blt 0,0,640,480,0, 4876,640,480:waittimer 55566 blt 0,0,640,480,0, 4878,640,480:waittimer 55589 blt 0,0,640,480,0, 4880,640,480:waittimer 55612 blt 0,0,640,480,0, 4882,640,480:waittimer 55635 blt 0,0,640,480,0, 4884,640,480:waittimer 55658 blt 0,0,640,480,0, 4886,640,480:waittimer 55680 blt 0,0,640,480,0, 4888,640,480:waittimer 55703 blt 0,0,640,480,0, 4890,640,480:waittimer 55726 blt 0,0,640,480,0, 4892,640,480:waittimer 55749 blt 0,0,640,480,0, 4894,640,480:waittimer 55772 blt 0,0,640,480,0, 4896,640,480:waittimer 55794 blt 0,0,640,480,0, 4898,640,480:waittimer 55817 blt 0,0,640,480,0, 4900,640,480:waittimer 55840 blt 0,0,640,480,0, 4902,640,480:waittimer 55863 blt 0,0,640,480,0, 4904,640,480:waittimer 55886 blt 0,0,640,480,0, 4906,640,480:waittimer 55908 blt 0,0,640,480,0, 4908,640,480:waittimer 55931 blt 0,0,640,480,0, 4910,640,480:waittimer 55954 blt 0,0,640,480,0, 4912,640,480:waittimer 55977 blt 0,0,640,480,0, 4914,640,480:waittimer 55999 blt 0,0,640,480,0, 4916,640,480:waittimer 56022 blt 0,0,640,480,0, 4918,640,480:waittimer 56045 blt 0,0,640,480,0, 4920,640,480:waittimer 56068 blt 0,0,640,480,0, 4922,640,480:waittimer 56091 blt 0,0,640,480,0, 4924,640,480:waittimer 56113 blt 0,0,640,480,0, 4926,640,480:waittimer 56136 blt 0,0,640,480,0, 4928,640,480:waittimer 56159 blt 0,0,640,480,0, 4930,640,480:waittimer 56182 blt 0,0,640,480,0, 4932,640,480:waittimer 56205 blt 0,0,640,480,0, 4934,640,480:waittimer 56227 blt 0,0,640,480,0, 4936,640,480:waittimer 56250 blt 0,0,640,480,0, 4938,640,480:waittimer 56273 blt 0,0,640,480,0, 4940,640,480:waittimer 56296 blt 0,0,640,480,0, 4942,640,480:waittimer 56319 blt 0,0,640,480,0, 4944,640,480:waittimer 56341 blt 0,0,640,480,0, 4946,640,480:waittimer 56364 blt 0,0,640,480,0, 4948,640,480:waittimer 56387 blt 0,0,640,480,0, 4950,640,480:waittimer 56410 blt 0,0,640,480,0, 4952,640,480:waittimer 56433 blt 0,0,640,480,0, 4954,640,480:waittimer 56455 blt 0,0,640,480,0, 4956,640,480:waittimer 56478 blt 0,0,640,480,0, 4958,640,480:waittimer 56501 blt 0,0,640,480,0, 4960,640,480:waittimer 56524 blt 0,0,640,480,0, 4962,640,480:waittimer 56547 blt 0,0,640,480,0, 4964,640,480:waittimer 56569 blt 0,0,640,480,0, 4966,640,480:waittimer 56592 blt 0,0,640,480,0, 4968,640,480:waittimer 56615 blt 0,0,640,480,0, 4970,640,480:waittimer 56638 blt 0,0,640,480,0, 4972,640,480:waittimer 56660 blt 0,0,640,480,0, 4974,640,480:waittimer 56683 blt 0,0,640,480,0, 4976,640,480:waittimer 56706 blt 0,0,640,480,0, 4978,640,480:waittimer 56729 blt 0,0,640,480,0, 4980,640,480:waittimer 56752 blt 0,0,640,480,0, 4982,640,480:waittimer 56774 blt 0,0,640,480,0, 4984,640,480:waittimer 56797 blt 0,0,640,480,0, 4986,640,480:waittimer 56820 blt 0,0,640,480,0, 4988,640,480:waittimer 56843 blt 0,0,640,480,0, 4990,640,480:waittimer 56866 blt 0,0,640,480,0, 4992,640,480:waittimer 56888 blt 0,0,640,480,0, 4994,640,480:waittimer 56911 blt 0,0,640,480,0, 4996,640,480:waittimer 56934 blt 0,0,640,480,0, 4998,640,480:waittimer 56957 blt 0,0,640,480,0, 5000,640,480:waittimer 56980 blt 0,0,640,480,0, 5002,640,480:waittimer 57002 blt 0,0,640,480,0, 5004,640,480:waittimer 57025 blt 0,0,640,480,0, 5006,640,480:waittimer 57048 blt 0,0,640,480,0, 5008,640,480:waittimer 57071 blt 0,0,640,480,0, 5010,640,480:waittimer 57094 blt 0,0,640,480,0, 5012,640,480:waittimer 57116 blt 0,0,640,480,0, 5014,640,480:waittimer 57139 blt 0,0,640,480,0, 5016,640,480:waittimer 57162 blt 0,0,640,480,0, 5018,640,480:waittimer 57185 blt 0,0,640,480,0, 5020,640,480:waittimer 57207 blt 0,0,640,480,0, 5022,640,480:waittimer 57230 blt 0,0,640,480,0, 5024,640,480:waittimer 57253 blt 0,0,640,480,0, 5026,640,480:waittimer 57276 blt 0,0,640,480,0, 5028,640,480:waittimer 57299 blt 0,0,640,480,0, 5030,640,480:waittimer 57321 blt 0,0,640,480,0, 5032,640,480:waittimer 57344 blt 0,0,640,480,0, 5034,640,480:waittimer 57367 blt 0,0,640,480,0, 5036,640,480:waittimer 57390 blt 0,0,640,480,0, 5038,640,480:waittimer 57413 blt 0,0,640,480,0, 5040,640,480:waittimer 57435 blt 0,0,640,480,0, 5042,640,480:waittimer 57458 blt 0,0,640,480,0, 5044,640,480:waittimer 57481 blt 0,0,640,480,0, 5046,640,480:waittimer 57504 blt 0,0,640,480,0, 5048,640,480:waittimer 57527 blt 0,0,640,480,0, 5050,640,480:waittimer 57549 blt 0,0,640,480,0, 5052,640,480:waittimer 57572 blt 0,0,640,480,0, 5054,640,480:waittimer 57595 blt 0,0,640,480,0, 5056,640,480:waittimer 57618 blt 0,0,640,480,0, 5058,640,480:waittimer 57641 blt 0,0,640,480,0, 5060,640,480:waittimer 57663 blt 0,0,640,480,0, 5062,640,480:waittimer 57686 blt 0,0,640,480,0, 5064,640,480:waittimer 57709 blt 0,0,640,480,0, 5066,640,480:waittimer 57732 blt 0,0,640,480,0, 5068,640,480:waittimer 57754 blt 0,0,640,480,0, 5070,640,480:waittimer 57777 blt 0,0,640,480,0, 5072,640,480:waittimer 57800 blt 0,0,640,480,0, 5074,640,480:waittimer 57823 blt 0,0,640,480,0, 5076,640,480:waittimer 57846 blt 0,0,640,480,0, 5078,640,480:waittimer 57868 blt 0,0,640,480,0, 5080,640,480:waittimer 57891 blt 0,0,640,480,0, 5082,640,480:waittimer 57914 blt 0,0,640,480,0, 5084,640,480:waittimer 57937 blt 0,0,640,480,0, 5086,640,480:waittimer 57960 blt 0,0,640,480,0, 5088,640,480:waittimer 57982 blt 0,0,640,480,0, 5090,640,480:waittimer 58005 blt 0,0,640,480,0, 5092,640,480:waittimer 58028 blt 0,0,640,480,0, 5094,640,480:waittimer 58051 blt 0,0,640,480,0, 5096,640,480:waittimer 58074 blt 0,0,640,480,0, 5098,640,480:waittimer 58096 blt 0,0,640,480,0, 5100,640,480:waittimer 58119 blt 0,0,640,480,0, 5102,640,480:waittimer 58142 blt 0,0,640,480,0, 5104,640,480:waittimer 58165 blt 0,0,640,480,0, 5106,640,480:waittimer 58188 blt 0,0,640,480,0, 5108,640,480:waittimer 58210 blt 0,0,640,480,0, 5110,640,480:waittimer 58233 blt 0,0,640,480,0, 5112,640,480:waittimer 58256 blt 0,0,640,480,0, 5114,640,480:waittimer 58279 blt 0,0,640,480,0, 5116,640,480:waittimer 58301 blt 0,0,640,480,0, 5118,640,480:waittimer 58324 blt 0,0,640,480,0, 5120,640,480:waittimer 58347 blt 0,0,640,480,0, 5122,640,480:waittimer 58370 blt 0,0,640,480,0, 5124,640,480:waittimer 58393 blt 0,0,640,480,0, 5126,640,480:waittimer 58415 blt 0,0,640,480,0, 5128,640,480:waittimer 58438 blt 0,0,640,480,0, 5130,640,480:waittimer 58461 blt 0,0,640,480,0, 5132,640,480:waittimer 58484 blt 0,0,640,480,0, 5134,640,480:waittimer 58507 blt 0,0,640,480,0, 5136,640,480:waittimer 58529 blt 0,0,640,480,0, 5138,640,480:waittimer 58552 blt 0,0,640,480,0, 5140,640,480:waittimer 58575 blt 0,0,640,480,0, 5142,640,480:waittimer 58598 blt 0,0,640,480,0, 5144,640,480:waittimer 58621 blt 0,0,640,480,0, 5146,640,480:waittimer 58643 blt 0,0,640,480,0, 5148,640,480:waittimer 58666 blt 0,0,640,480,0, 5150,640,480:waittimer 58689 blt 0,0,640,480,0, 5152,640,480:waittimer 58712 blt 0,0,640,480,0, 5154,640,480:waittimer 58735 blt 0,0,640,480,0, 5156,640,480:waittimer 58757 blt 0,0,640,480,0, 5158,640,480:waittimer 58780 blt 0,0,640,480,0, 5160,640,480:waittimer 58803 blt 0,0,640,480,0, 5162,640,480:waittimer 58826 blt 0,0,640,480,0, 5164,640,480:waittimer 58849 blt 0,0,640,480,0, 5166,640,480:waittimer 58871 blt 0,0,640,480,0, 5168,640,480:waittimer 58894 blt 0,0,640,480,0, 5170,640,480:waittimer 58917 blt 0,0,640,480,0, 5172,640,480:waittimer 58940 blt 0,0,640,480,0, 5174,640,480:waittimer 58962 blt 0,0,640,480,0, 5176,640,480:waittimer 58985 blt 0,0,640,480,0, 5178,640,480:waittimer 59008 blt 0,0,640,480,0, 5180,640,480:waittimer 59031 blt 0,0,640,480,0, 5182,640,480:waittimer 59054 blt 0,0,640,480,0, 5184,640,480:waittimer 59076 blt 0,0,640,480,0, 5186,640,480:waittimer 59099 blt 0,0,640,480,0, 5188,640,480:waittimer 59122 blt 0,0,640,480,0, 5190,640,480:waittimer 59145 blt 0,0,640,480,0, 5192,640,480:waittimer 59168 blt 0,0,640,480,0, 5194,640,480:waittimer 59190 blt 0,0,640,480,0, 5196,640,480:waittimer 59213 blt 0,0,640,480,0, 5198,640,480:waittimer 59236 blt 0,0,640,480,0, 5200,640,480:waittimer 59259 blt 0,0,640,480,0, 5202,640,480:waittimer 59282 blt 0,0,640,480,0, 5204,640,480:waittimer 59304 blt 0,0,640,480,0, 5206,640,480:waittimer 59327 blt 0,0,640,480,0, 5208,640,480:waittimer 59350 blt 0,0,640,480,0, 5210,640,480:waittimer 59373 blt 0,0,640,480,0, 5212,640,480:waittimer 59396 blt 0,0,640,480,0, 5214,640,480:waittimer 59418 blt 0,0,640,480,0, 5216,640,480:waittimer 59441 blt 0,0,640,480,0, 5218,640,480:waittimer 59464 blt 0,0,640,480,0, 5220,640,480:waittimer 59487 blt 0,0,640,480,0, 5222,640,480:waittimer 59509 blt 0,0,640,480,0, 5224,640,480:waittimer 59532 blt 0,0,640,480,0, 5226,640,480:waittimer 59555 blt 0,0,640,480,0, 5228,640,480:waittimer 59578 blt 0,0,640,480,0, 5230,640,480:waittimer 59601 blt 0,0,640,480,0, 5232,640,480:waittimer 59623 blt 0,0,640,480,0, 5234,640,480:waittimer 59646 blt 0,0,640,480,0, 5236,640,480:waittimer 59669 blt 0,0,640,480,0, 5238,640,480:waittimer 59692 blt 0,0,640,480,0, 5240,640,480:waittimer 59715 blt 0,0,640,480,0, 5242,640,480:waittimer 59737 blt 0,0,640,480,0, 5244,640,480:waittimer 59760 blt 0,0,640,480,0, 5246,640,480:waittimer 59783 blt 0,0,640,480,0, 5248,640,480:waittimer 59806 blt 0,0,640,480,0, 5250,640,480:waittimer 59829 blt 0,0,640,480,0, 5252,640,480:waittimer 59851 blt 0,0,640,480,0, 5254,640,480:waittimer 59874 blt 0,0,640,480,0, 5256,640,480:waittimer 59897 blt 0,0,640,480,0, 5258,640,480:waittimer 59920 blt 0,0,640,480,0, 5260,640,480:waittimer 59943 blt 0,0,640,480,0, 5262,640,480:waittimer 59965 blt 0,0,640,480,0, 5264,640,480:waittimer 59988 blt 0,0,640,480,0, 5266,640,480:waittimer 60011 blt 0,0,640,480,0, 5268,640,480:waittimer 60034 blt 0,0,640,480,0, 5270,640,480:waittimer 60056 blt 0,0,640,480,0, 5272,640,480:waittimer 60079 blt 0,0,640,480,0, 5274,640,480:waittimer 60102 blt 0,0,640,480,0, 5276,640,480:waittimer 60125 blt 0,0,640,480,0, 5278,640,480:waittimer 60148 blt 0,0,640,480,0, 5280,640,480:waittimer 60170 blt 0,0,640,480,0, 5282,640,480:waittimer 60193 blt 0,0,640,480,0, 5284,640,480:waittimer 60216 blt 0,0,640,480,0, 5286,640,480:waittimer 60239 blt 0,0,640,480,0, 5288,640,480:waittimer 60262 blt 0,0,640,480,0, 5290,640,480:waittimer 60284 blt 0,0,640,480,0, 5292,640,480:waittimer 60307 blt 0,0,640,480,0, 5294,640,480:waittimer 60330 blt 0,0,640,480,0, 5296,640,480:waittimer 60353 blt 0,0,640,480,0, 5298,640,480:waittimer 60376 blt 0,0,640,480,0, 5300,640,480:waittimer 60398 blt 0,0,640,480,0, 5302,640,480:waittimer 60421 blt 0,0,640,480,0, 5304,640,480:waittimer 60444 blt 0,0,640,480,0, 5306,640,480:waittimer 60467 blt 0,0,640,480,0, 5308,640,480:waittimer 60490 blt 0,0,640,480,0, 5310,640,480:waittimer 60512 blt 0,0,640,480,0, 5312,640,480:waittimer 60535 blt 0,0,640,480,0, 5314,640,480:waittimer 60558 blt 0,0,640,480,0, 5316,640,480:waittimer 60581 blt 0,0,640,480,0, 5318,640,480:waittimer 60603 blt 0,0,640,480,0, 5320,640,480:waittimer 60626 blt 0,0,640,480,0, 5322,640,480:waittimer 60649 blt 0,0,640,480,0, 5324,640,480:waittimer 60672 blt 0,0,640,480,0, 5326,640,480:waittimer 60695 blt 0,0,640,480,0, 5328,640,480:waittimer 60717 blt 0,0,640,480,0, 5330,640,480:waittimer 60740 blt 0,0,640,480,0, 5332,640,480:waittimer 60763 blt 0,0,640,480,0, 5334,640,480:waittimer 60786 blt 0,0,640,480,0, 5336,640,480:waittimer 60809 blt 0,0,640,480,0, 5338,640,480:waittimer 60831 blt 0,0,640,480,0, 5340,640,480:waittimer 60854 blt 0,0,640,480,0, 5342,640,480:waittimer 60877 blt 0,0,640,480,0, 5344,640,480:waittimer 60900 blt 0,0,640,480,0, 5346,640,480:waittimer 60923 blt 0,0,640,480,0, 5348,640,480:waittimer 60945 blt 0,0,640,480,0, 5350,640,480:waittimer 60968 blt 0,0,640,480,0, 5352,640,480:waittimer 60991 blt 0,0,640,480,0, 5354,640,480:waittimer 61014 blt 0,0,640,480,0, 5356,640,480:waittimer 61037 blt 0,0,640,480,0, 5358,640,480:waittimer 61059 blt 0,0,640,480,0, 5360,640,480:waittimer 61082 blt 0,0,640,480,0, 5362,640,480:waittimer 61105 blt 0,0,640,480,0, 5364,640,480:waittimer 61128 blt 0,0,640,480,0, 5366,640,480:waittimer 61150 blt 0,0,640,480,0, 5368,640,480:waittimer 61173 blt 0,0,640,480,0, 5370,640,480:waittimer 61196 blt 0,0,640,480,0, 5372,640,480:waittimer 61219 blt 0,0,640,480,0, 5374,640,480:waittimer 61242 blt 0,0,640,480,0, 5376,640,480:waittimer 61264 blt 0,0,640,480,0, 5378,640,480:waittimer 61287 blt 0,0,640,480,0, 5380,640,480:waittimer 61310 blt 0,0,640,480,0, 5382,640,480:waittimer 61333 blt 0,0,640,480,0, 5384,640,480:waittimer 61356 blt 0,0,640,480,0, 5386,640,480:waittimer 61378 blt 0,0,640,480,0, 5388,640,480:waittimer 61401 blt 0,0,640,480,0, 5390,640,480:waittimer 61424 blt 0,0,640,480,0, 5392,640,480:waittimer 61447 blt 0,0,640,480,0, 5394,640,480:waittimer 61470 blt 0,0,640,480,0, 5396,640,480:waittimer 61492 blt 0,0,640,480,0, 5398,640,480:waittimer 61515 blt 0,0,640,480,0, 5400,640,480:waittimer 61538 blt 0,0,640,480,0, 5402,640,480:waittimer 61561 blt 0,0,640,480,0, 5404,640,480:waittimer 61584 blt 0,0,640,480,0, 5406,640,480:waittimer 61606 blt 0,0,640,480,0, 5408,640,480:waittimer 61629 blt 0,0,640,480,0, 5410,640,480:waittimer 61652 blt 0,0,640,480,0, 5412,640,480:waittimer 61675 blt 0,0,640,480,0, 5414,640,480:waittimer 61698 blt 0,0,640,480,0, 5416,640,480:waittimer 61720 blt 0,0,640,480,0, 5418,640,480:waittimer 61743 blt 0,0,640,480,0, 5420,640,480:waittimer 61766 blt 0,0,640,480,0, 5422,640,480:waittimer 61789 blt 0,0,640,480,0, 5424,640,480:waittimer 61811 blt 0,0,640,480,0, 5426,640,480:waittimer 61834 blt 0,0,640,480,0, 5428,640,480:waittimer 61857 blt 0,0,640,480,0, 5430,640,480:waittimer 61880 blt 0,0,640,480,0, 5432,640,480:waittimer 61903 blt 0,0,640,480,0, 5434,640,480:waittimer 61925 blt 0,0,640,480,0, 5436,640,480:waittimer 61948 blt 0,0,640,480,0, 5438,640,480:waittimer 61971 blt 0,0,640,480,0, 5440,640,480:waittimer 61994 blt 0,0,640,480,0, 5442,640,480:waittimer 62017 blt 0,0,640,480,0, 5444,640,480:waittimer 62039 blt 0,0,640,480,0, 5446,640,480:waittimer 62062 blt 0,0,640,480,0, 5448,640,480:waittimer 62085 blt 0,0,640,480,0, 5450,640,480:waittimer 62108 blt 0,0,640,480,0, 5452,640,480:waittimer 62131 blt 0,0,640,480,0, 5454,640,480:waittimer 62153 blt 0,0,640,480,0, 5456,640,480:waittimer 62176 blt 0,0,640,480,0, 5458,640,480:waittimer 62199 blt 0,0,640,480,0, 5460,640,480:waittimer 62222 blt 0,0,640,480,0, 5462,640,480:waittimer 62245 blt 0,0,640,480,0, 5464,640,480:waittimer 62267 blt 0,0,640,480,0, 5466,640,480:waittimer 62290 blt 0,0,640,480,0, 5468,640,480:waittimer 62313 blt 0,0,640,480,0, 5470,640,480:waittimer 62336 blt 0,0,640,480,0, 5472,640,480:waittimer 62358 blt 0,0,640,480,0, 5474,640,480:waittimer 62381 blt 0,0,640,480,0, 5476,640,480:waittimer 62404 blt 0,0,640,480,0, 5478,640,480:waittimer 62427 blt 0,0,640,480,0, 5480,640,480:waittimer 62450 blt 0,0,640,480,0, 5482,640,480:waittimer 62472 blt 0,0,640,480,0, 5484,640,480:waittimer 62495 blt 0,0,640,480,0, 5486,640,480:waittimer 62518 blt 0,0,640,480,0, 5488,640,480:waittimer 62541 blt 0,0,640,480,0, 5490,640,480:waittimer 62564 blt 0,0,640,480,0, 5492,640,480:waittimer 62586 blt 0,0,640,480,0, 5494,640,480:waittimer 62609 blt 0,0,640,480,0, 5496,640,480:waittimer 62632 blt 0,0,640,480,0, 5498,640,480:waittimer 62655 blt 0,0,640,480,0, 5500,640,480:waittimer 62678 blt 0,0,640,480,0, 5502,640,480:waittimer 62700 blt 0,0,640,480,0, 5504,640,480:waittimer 62723 blt 0,0,640,480,0, 5506,640,480:waittimer 62746 blt 0,0,640,480,0, 5508,640,480:waittimer 62769 blt 0,0,640,480,0, 5510,640,480:waittimer 62792 blt 0,0,640,480,0, 5512,640,480:waittimer 62814 blt 0,0,640,480,0, 5514,640,480:waittimer 62837 blt 0,0,640,480,0, 5516,640,480:waittimer 62860 blt 0,0,640,480,0, 5518,640,480:waittimer 62883 blt 0,0,640,480,0, 5520,640,480:waittimer 62905 blt 0,0,640,480,0, 5522,640,480:waittimer 62928 blt 0,0,640,480,0, 5524,640,480:waittimer 62951 blt 0,0,640,480,0, 5526,640,480:waittimer 62974 blt 0,0,640,480,0, 5528,640,480:waittimer 62997 blt 0,0,640,480,0, 5530,640,480:waittimer 63019 blt 0,0,640,480,0, 5532,640,480:waittimer 63042 blt 0,0,640,480,0, 5534,640,480:waittimer 63065 blt 0,0,640,480,0, 5536,640,480:waittimer 63088 blt 0,0,640,480,0, 5538,640,480:waittimer 63111 blt 0,0,640,480,0, 5540,640,480:waittimer 63133 blt 0,0,640,480,0, 5542,640,480:waittimer 63156 blt 0,0,640,480,0, 5544,640,480:waittimer 63179 blt 0,0,640,480,0, 5546,640,480:waittimer 63202 blt 0,0,640,480,0, 5548,640,480:waittimer 63225 blt 0,0,640,480,0, 5550,640,480:waittimer 63247 blt 0,0,640,480,0, 5552,640,480:waittimer 63270 blt 0,0,640,480,0, 5554,640,480:waittimer 63293 blt 0,0,640,480,0, 5556,640,480:waittimer 63316 blt 0,0,640,480,0, 5558,640,480:waittimer 63339 blt 0,0,640,480,0, 5560,640,480:waittimer 63361 blt 0,0,640,480,0, 5562,640,480:waittimer 63384 blt 0,0,640,480,0, 5564,640,480:waittimer 63407 blt 0,0,640,480,0, 5566,640,480:waittimer 63430 blt 0,0,640,480,0, 5568,640,480:waittimer 63452 blt 0,0,640,480,0, 5570,640,480:waittimer 63475 blt 0,0,640,480,0, 5572,640,480:waittimer 63498 blt 0,0,640,480,0, 5574,640,480:waittimer 63521 blt 0,0,640,480,0, 5576,640,480:waittimer 63544 blt 0,0,640,480,0, 5578,640,480:waittimer 63566 blt 0,0,640,480,0, 5580,640,480:waittimer 63589 blt 0,0,640,480,0, 5582,640,480:waittimer 63612 blt 0,0,640,480,0, 5584,640,480:waittimer 63635 blt 0,0,640,480,0, 5586,640,480:waittimer 63658 blt 0,0,640,480,0, 5588,640,480:waittimer 63680 blt 0,0,640,480,0, 5590,640,480:waittimer 63703 blt 0,0,640,480,0, 5592,640,480:waittimer 63726 blt 0,0,640,480,0, 5594,640,480:waittimer 63749 blt 0,0,640,480,0, 5596,640,480:waittimer 63772 blt 0,0,640,480,0, 5598,640,480:waittimer 63794 blt 0,0,640,480,0, 5600,640,480:waittimer 63817 blt 0,0,640,480,0, 5602,640,480:waittimer 63840 blt 0,0,640,480,0, 5604,640,480:waittimer 63863 blt 0,0,640,480,0, 5606,640,480:waittimer 63886 blt 0,0,640,480,0, 5608,640,480:waittimer 63908 blt 0,0,640,480,0, 5610,640,480:waittimer 63931 blt 0,0,640,480,0, 5612,640,480:waittimer 63954 blt 0,0,640,480,0, 5614,640,480:waittimer 63977 blt 0,0,640,480,0, 5616,640,480:waittimer 63999 blt 0,0,640,480,0, 5618,640,480:waittimer 64022 blt 0,0,640,480,0, 5620,640,480:waittimer 64045 blt 0,0,640,480,0, 5622,640,480:waittimer 64068 blt 0,0,640,480,0, 5624,640,480:waittimer 64091 blt 0,0,640,480,0, 5626,640,480:waittimer 64113 blt 0,0,640,480,0, 5628,640,480:waittimer 64136 blt 0,0,640,480,0, 5630,640,480:waittimer 64159 blt 0,0,640,480,0, 5632,640,480:waittimer 64182 blt 0,0,640,480,0, 5634,640,480:waittimer 64205 blt 0,0,640,480,0, 5636,640,480:waittimer 64227 blt 0,0,640,480,0, 5638,640,480:waittimer 64250 blt 0,0,640,480,0, 5640,640,480:waittimer 64273 blt 0,0,640,480,0, 5642,640,480:waittimer 64296 blt 0,0,640,480,0, 5644,640,480:waittimer 64319 blt 0,0,640,480,0, 5646,640,480:waittimer 64341 blt 0,0,640,480,0, 5648,640,480:waittimer 64364 blt 0,0,640,480,0, 5650,640,480:waittimer 64387 blt 0,0,640,480,0, 5652,640,480:waittimer 64410 blt 0,0,640,480,0, 5654,640,480:waittimer 64433 blt 0,0,640,480,0, 5656,640,480:waittimer 64455 blt 0,0,640,480,0, 5658,640,480:waittimer 64478 blt 0,0,640,480,0, 5660,640,480:waittimer 64501 blt 0,0,640,480,0, 5662,640,480:waittimer 64524 blt 0,0,640,480,0, 5664,640,480:waittimer 64547 blt 0,0,640,480,0, 5666,640,480:waittimer 64569 blt 0,0,640,480,0, 5668,640,480:waittimer 64592 blt 0,0,640,480,0, 5670,640,480:waittimer 64615 blt 0,0,640,480,0, 5672,640,480:waittimer 64638 blt 0,0,640,480,0, 5674,640,480:waittimer 64660 blt 0,0,640,480,0, 5676,640,480:waittimer 64683 blt 0,0,640,480,0, 5678,640,480:waittimer 64706 blt 0,0,640,480,0, 5680,640,480:waittimer 64729 blt 0,0,640,480,0, 5682,640,480:waittimer 64752 blt 0,0,640,480,0, 5684,640,480:waittimer 64774 blt 0,0,640,480,0, 5686,640,480:waittimer 64797 blt 0,0,640,480,0, 5688,640,480:waittimer 64820 blt 0,0,640,480,0, 5690,640,480:waittimer 64843 blt 0,0,640,480,0, 5692,640,480:waittimer 64866 blt 0,0,640,480,0, 5694,640,480:waittimer 64888 blt 0,0,640,480,0, 5696,640,480:waittimer 64911 blt 0,0,640,480,0, 5698,640,480:waittimer 64934 blt 0,0,640,480,0, 5700,640,480:waittimer 64957 blt 0,0,640,480,0, 5702,640,480:waittimer 64980 blt 0,0,640,480,0, 5704,640,480:waittimer 65002 blt 0,0,640,480,0, 5706,640,480:waittimer 65025 blt 0,0,640,480,0, 5708,640,480:waittimer 65048 blt 0,0,640,480,0, 5710,640,480:waittimer 65071 blt 0,0,640,480,0, 5712,640,480:waittimer 65094 blt 0,0,640,480,0, 5714,640,480:waittimer 65116 blt 0,0,640,480,0, 5716,640,480:waittimer 65139 blt 0,0,640,480,0, 5718,640,480:waittimer 65162 blt 0,0,640,480,0, 5720,640,480:waittimer 65185 blt 0,0,640,480,0, 5722,640,480:waittimer 65207 blt 0,0,640,480,0, 5724,640,480:waittimer 65230 blt 0,0,640,480,0, 5726,640,480:waittimer 65253 blt 0,0,640,480,0, 5728,640,480:waittimer 65276 blt 0,0,640,480,0, 5730,640,480:waittimer 65299 blt 0,0,640,480,0, 5732,640,480:waittimer 65321 blt 0,0,640,480,0, 5734,640,480:waittimer 65344 blt 0,0,640,480,0, 5736,640,480:waittimer 65367 blt 0,0,640,480,0, 5738,640,480:waittimer 65390 blt 0,0,640,480,0, 5740,640,480:waittimer 65413 blt 0,0,640,480,0, 5742,640,480:waittimer 65435 blt 0,0,640,480,0, 5744,640,480:waittimer 65458 blt 0,0,640,480,0, 5746,640,480:waittimer 65481 blt 0,0,640,480,0, 5748,640,480:waittimer 65504 blt 0,0,640,480,0, 5750,640,480:waittimer 65527 blt 0,0,640,480,0, 5752,640,480:waittimer 65549 blt 0,0,640,480,0, 5754,640,480:waittimer 65572 blt 0,0,640,480,0, 5756,640,480:waittimer 65595 blt 0,0,640,480,0, 5758,640,480:waittimer 65618 blt 0,0,640,480,0, 5760,640,480:waittimer 65641 blt 0,0,640,480,0, 5762,640,480:waittimer 65663 blt 0,0,640,480,0, 5764,640,480:waittimer 65686 blt 0,0,640,480,0, 5766,640,480:waittimer 65709 blt 0,0,640,480,0, 5768,640,480:waittimer 65732 blt 0,0,640,480,0, 5770,640,480:waittimer 65754 blt 0,0,640,480,0, 5772,640,480:waittimer 65777 blt 0,0,640,480,0, 5774,640,480:waittimer 65800 blt 0,0,640,480,0, 5776,640,480:waittimer 65823 blt 0,0,640,480,0, 5778,640,480:waittimer 65846 blt 0,0,640,480,0, 5780,640,480:waittimer 65868 blt 0,0,640,480,0, 5782,640,480:waittimer 65891 blt 0,0,640,480,0, 5784,640,480:waittimer 65914 blt 0,0,640,480,0, 5786,640,480:waittimer 65937 blt 0,0,640,480,0, 5788,640,480:waittimer 65960 blt 0,0,640,480,0, 5790,640,480:waittimer 65982 blt 0,0,640,480,0, 5792,640,480:waittimer 66005 blt 0,0,640,480,0, 5794,640,480:waittimer 66028 blt 0,0,640,480,0, 5796,640,480:waittimer 66051 blt 0,0,640,480,0, 5798,640,480:waittimer 66074 blt 0,0,640,480,0, 5800,640,480:waittimer 66096 blt 0,0,640,480,0, 5802,640,480:waittimer 66119 blt 0,0,640,480,0, 5804,640,480:waittimer 66142 blt 0,0,640,480,0, 5806,640,480:waittimer 66165 blt 0,0,640,480,0, 5808,640,480:waittimer 66188 blt 0,0,640,480,0, 5810,640,480:waittimer 66210 blt 0,0,640,480,0, 5812,640,480:waittimer 66233 blt 0,0,640,480,0, 5814,640,480:waittimer 66256 blt 0,0,640,480,0, 5816,640,480:waittimer 66279 blt 0,0,640,480,0, 5818,640,480:waittimer 66301 blt 0,0,640,480,0, 5820,640,480:waittimer 66324 blt 0,0,640,480,0, 5822,640,480:waittimer 66347 blt 0,0,640,480,0, 5824,640,480:waittimer 66370 blt 0,0,640,480,0, 5826,640,480:waittimer 66393 blt 0,0,640,480,0, 5828,640,480:waittimer 66415 blt 0,0,640,480,0, 5830,640,480:waittimer 66438 blt 0,0,640,480,0, 5832,640,480:waittimer 66461 blt 0,0,640,480,0, 5834,640,480:waittimer 66484 blt 0,0,640,480,0, 5836,640,480:waittimer 66507 blt 0,0,640,480,0, 5838,640,480:waittimer 66529 blt 0,0,640,480,0, 5840,640,480:waittimer 66552 blt 0,0,640,480,0, 5842,640,480:waittimer 66575 blt 0,0,640,480,0, 5844,640,480:waittimer 66598 blt 0,0,640,480,0, 5846,640,480:waittimer 66621 blt 0,0,640,480,0, 5848,640,480:waittimer 66643 blt 0,0,640,480,0, 5850,640,480:waittimer 66666 blt 0,0,640,480,0, 5852,640,480:waittimer 66689 blt 0,0,640,480,0, 5854,640,480:waittimer 66712 blt 0,0,640,480,0, 5856,640,480:waittimer 66735 blt 0,0,640,480,0, 5858,640,480:waittimer 66757 blt 0,0,640,480,0, 5860,640,480:waittimer 66780 blt 0,0,640,480,0, 5862,640,480:waittimer 66803 blt 0,0,640,480,0, 5864,640,480:waittimer 66826 blt 0,0,640,480,0, 5866,640,480:waittimer 66849 blt 0,0,640,480,0, 5868,640,480:waittimer 66871 blt 0,0,640,480,0, 5870,640,480:waittimer 66894 blt 0,0,640,480,0, 5872,640,480:waittimer 66917 blt 0,0,640,480,0, 5874,640,480:waittimer 66940 blt 0,0,640,480,0, 5876,640,480:waittimer 66962 blt 0,0,640,480,0, 5878,640,480:waittimer 66985 blt 0,0,640,480,0, 5880,640,480:waittimer 67008 blt 0,0,640,480,0, 5882,640,480:waittimer 67031 blt 0,0,640,480,0, 5884,640,480:waittimer 67054 blt 0,0,640,480,0, 5886,640,480:waittimer 67076 blt 0,0,640,480,0, 5888,640,480:waittimer 67099 blt 0,0,640,480,0, 5890,640,480:waittimer 67122 blt 0,0,640,480,0, 5892,640,480:waittimer 67145 blt 0,0,640,480,0, 5894,640,480:waittimer 67168 blt 0,0,640,480,0, 5896,640,480:waittimer 67190 blt 0,0,640,480,0, 5898,640,480:waittimer 67213 blt 0,0,640,480,0, 5900,640,480:waittimer 67236 blt 0,0,640,480,0, 5902,640,480:waittimer 67259 blt 0,0,640,480,0, 5904,640,480:waittimer 67282 blt 0,0,640,480,0, 5906,640,480:waittimer 67304 blt 0,0,640,480,0, 5908,640,480:waittimer 67327 blt 0,0,640,480,0, 5910,640,480:waittimer 67350 blt 0,0,640,480,0, 5912,640,480:waittimer 67373 blt 0,0,640,480,0, 5914,640,480:waittimer 67396 blt 0,0,640,480,0, 5916,640,480:waittimer 67418 blt 0,0,640,480,0, 5918,640,480:waittimer 67441 blt 0,0,640,480,0, 5920,640,480:waittimer 67464 blt 0,0,640,480,0, 5922,640,480:waittimer 67487 blt 0,0,640,480,0, 5924,640,480:waittimer 67509 blt 0,0,640,480,0, 5926,640,480:waittimer 67532 blt 0,0,640,480,0, 5928,640,480:waittimer 67555 blt 0,0,640,480,0, 5930,640,480:waittimer 67578 blt 0,0,640,480,0, 5932,640,480:waittimer 67601 blt 0,0,640,480,0, 5934,640,480:waittimer 67623 blt 0,0,640,480,0, 5936,640,480:waittimer 67646 blt 0,0,640,480,0, 5938,640,480:waittimer 67669 blt 0,0,640,480,0, 5940,640,480:waittimer 67692 blt 0,0,640,480,0, 5942,640,480:waittimer 67715 blt 0,0,640,480,0, 5944,640,480:waittimer 67737 blt 0,0,640,480,0, 5946,640,480:waittimer 67760 blt 0,0,640,480,0, 5948,640,480:waittimer 67783 blt 0,0,640,480,0, 5950,640,480:waittimer 67806 blt 0,0,640,480,0, 5952,640,480:waittimer 67829 blt 0,0,640,480,0, 5954,640,480:waittimer 67851 blt 0,0,640,480,0, 5956,640,480:waittimer 67874 blt 0,0,640,480,0, 5958,640,480:waittimer 67897 blt 0,0,640,480,0, 5960,640,480:waittimer 67920 blt 0,0,640,480,0, 5962,640,480:waittimer 67943 blt 0,0,640,480,0, 5964,640,480:waittimer 67965 blt 0,0,640,480,0, 5966,640,480:waittimer 67988 blt 0,0,640,480,0, 5968,640,480:waittimer 68011 blt 0,0,640,480,0, 5970,640,480:waittimer 68034 blt 0,0,640,480,0, 5972,640,480:waittimer 68056 blt 0,0,640,480,0, 5974,640,480:waittimer 68079 blt 0,0,640,480,0, 5976,640,480:waittimer 68102 blt 0,0,640,480,0, 5978,640,480:waittimer 68125 blt 0,0,640,480,0, 5980,640,480:waittimer 68148 blt 0,0,640,480,0, 5982,640,480:waittimer 68170 blt 0,0,640,480,0, 5984,640,480:waittimer 68193 blt 0,0,640,480,0, 5986,640,480:waittimer 68216 blt 0,0,640,480,0, 5988,640,480:waittimer 68239 blt 0,0,640,480,0, 5990,640,480:waittimer 68262 blt 0,0,640,480,0, 5992,640,480:waittimer 68284 blt 0,0,640,480,0, 5994,640,480:waittimer 68307 blt 0,0,640,480,0, 5996,640,480:waittimer 68330 blt 0,0,640,480,0, 5998,640,480:waittimer 68353 blt 0,0,640,480,0, 6000,640,480:waittimer 68376 blt 0,0,640,480,0, 6002,640,480:waittimer 68398 blt 0,0,640,480,0, 6004,640,480:waittimer 68421 blt 0,0,640,480,0, 6006,640,480:waittimer 68444 blt 0,0,640,480,0, 6008,640,480:waittimer 68467 blt 0,0,640,480,0, 6010,640,480:waittimer 68490 blt 0,0,640,480,0, 6012,640,480:waittimer 68512 blt 0,0,640,480,0, 6014,640,480:waittimer 68535 blt 0,0,640,480,0, 6016,640,480:waittimer 68558 blt 0,0,640,480,0, 6018,640,480:waittimer 68581 blt 0,0,640,480,0, 6020,640,480:waittimer 68603 blt 0,0,640,480,0, 6022,640,480:waittimer 68626 blt 0,0,640,480,0, 6024,640,480:waittimer 68649 blt 0,0,640,480,0, 6026,640,480:waittimer 68672 blt 0,0,640,480,0, 6028,640,480:waittimer 68695 blt 0,0,640,480,0, 6030,640,480:waittimer 68717 blt 0,0,640,480,0, 6032,640,480:waittimer 68740 blt 0,0,640,480,0, 6034,640,480:waittimer 68763 blt 0,0,640,480,0, 6036,640,480:waittimer 68786 blt 0,0,640,480,0, 6038,640,480:waittimer 68809 blt 0,0,640,480,0, 6040,640,480:waittimer 68831 blt 0,0,640,480,0, 6042,640,480:waittimer 68854 blt 0,0,640,480,0, 6044,640,480:waittimer 68877 blt 0,0,640,480,0, 6046,640,480:waittimer 68900 blt 0,0,640,480,0, 6048,640,480:waittimer 68923 blt 0,0,640,480,0, 6050,640,480:waittimer 68945 blt 0,0,640,480,0, 6052,640,480:waittimer 68968 blt 0,0,640,480,0, 6054,640,480:waittimer 68991 blt 0,0,640,480,0, 6056,640,480:waittimer 69014 blt 0,0,640,480,0, 6058,640,480:waittimer 69037 blt 0,0,640,480,0, 6060,640,480:waittimer 69059 blt 0,0,640,480,0, 6062,640,480:waittimer 69082 blt 0,0,640,480,0, 6064,640,480:waittimer 69105 blt 0,0,640,480,0, 6066,640,480:waittimer 69128 blt 0,0,640,480,0, 6068,640,480:waittimer 69150 blt 0,0,640,480,0, 6070,640,480:waittimer 69173 blt 0,0,640,480,0, 6072,640,480:waittimer 69196 blt 0,0,640,480,0, 6074,640,480:waittimer 69219 blt 0,0,640,480,0, 6076,640,480:waittimer 69242 blt 0,0,640,480,0, 6078,640,480:waittimer 69264 blt 0,0,640,480,0, 6080,640,480:waittimer 69287 blt 0,0,640,480,0, 6082,640,480:waittimer 69310 blt 0,0,640,480,0, 6084,640,480:waittimer 69333 blt 0,0,640,480,0, 6086,640,480:waittimer 69356 blt 0,0,640,480,0, 6088,640,480:waittimer 69378 blt 0,0,640,480,0, 6090,640,480:waittimer 69401 blt 0,0,640,480,0, 6092,640,480:waittimer 69424 blt 0,0,640,480,0, 6094,640,480:waittimer 69447 blt 0,0,640,480,0, 6096,640,480:waittimer 69470 blt 0,0,640,480,0, 6098,640,480:waittimer 69492 blt 0,0,640,480,0, 6100,640,480:waittimer 69515 blt 0,0,640,480,0, 6102,640,480:waittimer 69538 blt 0,0,640,480,0, 6104,640,480:waittimer 69561 blt 0,0,640,480,0, 6106,640,480:waittimer 69584 blt 0,0,640,480,0, 6108,640,480:waittimer 69606 blt 0,0,640,480,0, 6110,640,480:waittimer 69629 blt 0,0,640,480,0, 6112,640,480:waittimer 69652 blt 0,0,640,480,0, 6114,640,480:waittimer 69675 blt 0,0,640,480,0, 6116,640,480:waittimer 69698 blt 0,0,640,480,0, 6118,640,480:waittimer 69720 blt 0,0,640,480,0, 6120,640,480:waittimer 69743 blt 0,0,640,480,0, 6122,640,480:waittimer 69766 blt 0,0,640,480,0, 6124,640,480:waittimer 69789 blt 0,0,640,480,0, 6126,640,480:waittimer 69811 blt 0,0,640,480,0, 6128,640,480:waittimer 69834 blt 0,0,640,480,0, 6130,640,480:waittimer 69857 blt 0,0,640,480,0, 6132,640,480:waittimer 69880 blt 0,0,640,480,0, 6134,640,480:waittimer 69903 blt 0,0,640,480,0, 6136,640,480:waittimer 69925 blt 0,0,640,480,0, 6138,640,480:waittimer 69948 blt 0,0,640,480,0, 6140,640,480:waittimer 69971 blt 0,0,640,480,0, 6142,640,480:waittimer 69994 blt 0,0,640,480,0, 6144,640,480:waittimer 70017 blt 0,0,640,480,0, 6146,640,480:waittimer 70039 blt 0,0,640,480,0, 6148,640,480:waittimer 70062 blt 0,0,640,480,0, 6150,640,480:waittimer 70085 blt 0,0,640,480,0, 6152,640,480:waittimer 70108 blt 0,0,640,480,0, 6154,640,480:waittimer 70131 blt 0,0,640,480,0, 6156,640,480:waittimer 70153 blt 0,0,640,480,0, 6158,640,480:waittimer 70176 blt 0,0,640,480,0, 6160,640,480:waittimer 70199 blt 0,0,640,480,0, 6162,640,480:waittimer 70222 blt 0,0,640,480,0, 6164,640,480:waittimer 70245 blt 0,0,640,480,0, 6166,640,480:waittimer 70267 blt 0,0,640,480,0, 6168,640,480:waittimer 70290 blt 0,0,640,480,0, 6170,640,480:waittimer 70313 blt 0,0,640,480,0, 6172,640,480:waittimer 70336 blt 0,0,640,480,0, 6174,640,480:waittimer 70358 blt 0,0,640,480,0, 6176,640,480:waittimer 70381 blt 0,0,640,480,0, 6178,640,480:waittimer 70404 blt 0,0,640,480,0, 6180,640,480:waittimer 70427 blt 0,0,640,480,0, 6182,640,480:waittimer 70450 blt 0,0,640,480,0, 6184,640,480:waittimer 70472 blt 0,0,640,480,0, 6186,640,480:waittimer 70495 blt 0,0,640,480,0, 6188,640,480:waittimer 70518 blt 0,0,640,480,0, 6190,640,480:waittimer 70541 blt 0,0,640,480,0, 6192,640,480:waittimer 70564 blt 0,0,640,480,0, 6194,640,480:waittimer 70586 blt 0,0,640,480,0, 6196,640,480:waittimer 70609 blt 0,0,640,480,0, 6198,640,480:waittimer 70632 blt 0,0,640,480,0, 6200,640,480:waittimer 70655 blt 0,0,640,480,0, 6202,640,480:waittimer 70678 blt 0,0,640,480,0, 6204,640,480:waittimer 70700 blt 0,0,640,480,0, 6206,640,480:waittimer 70723 blt 0,0,640,480,0, 6208,640,480:waittimer 70746 blt 0,0,640,480,0, 6210,640,480:waittimer 70769 blt 0,0,640,480,0, 6212,640,480:waittimer 70792 blt 0,0,640,480,0, 6214,640,480:waittimer 70814 blt 0,0,640,480,0, 6216,640,480:waittimer 70837 blt 0,0,640,480,0, 6218,640,480:waittimer 70860 blt 0,0,640,480,0, 6220,640,480:waittimer 70883 blt 0,0,640,480,0, 6222,640,480:waittimer 70905 blt 0,0,640,480,0, 6224,640,480:waittimer 70928 blt 0,0,640,480,0, 6226,640,480:waittimer 70951 blt 0,0,640,480,0, 6228,640,480:waittimer 70974 blt 0,0,640,480,0, 6230,640,480:waittimer 70997 blt 0,0,640,480,0, 6232,640,480:waittimer 71019 blt 0,0,640,480,0, 6234,640,480:waittimer 71042 blt 0,0,640,480,0, 6236,640,480:waittimer 71065 blt 0,0,640,480,0, 6238,640,480:waittimer 71088 blt 0,0,640,480,0, 6240,640,480:waittimer 71111 blt 0,0,640,480,0, 6242,640,480:waittimer 71133 blt 0,0,640,480,0, 6244,640,480:waittimer 71156 blt 0,0,640,480,0, 6246,640,480:waittimer 71179 blt 0,0,640,480,0, 6248,640,480:waittimer 71202 blt 0,0,640,480,0, 6250,640,480:waittimer 71225 blt 0,0,640,480,0, 6252,640,480:waittimer 71247 blt 0,0,640,480,0, 6254,640,480:waittimer 71270 blt 0,0,640,480,0, 6256,640,480:waittimer 71293 blt 0,0,640,480,0, 6258,640,480:waittimer 71316 blt 0,0,640,480,0, 6260,640,480:waittimer 71339 blt 0,0,640,480,0, 6262,640,480:waittimer 71361 blt 0,0,640,480,0, 6264,640,480:waittimer 71384 blt 0,0,640,480,0, 6266,640,480:waittimer 71407 blt 0,0,640,480,0, 6268,640,480:waittimer 71430 blt 0,0,640,480,0, 6270,640,480:waittimer 71452 blt 0,0,640,480,0, 6272,640,480:waittimer 71475 blt 0,0,640,480,0, 6274,640,480:waittimer 71498 blt 0,0,640,480,0, 6276,640,480:waittimer 71521 blt 0,0,640,480,0, 6278,640,480:waittimer 71544 blt 0,0,640,480,0, 6280,640,480:waittimer 71566 blt 0,0,640,480,0, 6282,640,480:waittimer 71589 blt 0,0,640,480,0, 6284,640,480:waittimer 71612 blt 0,0,640,480,0, 6286,640,480:waittimer 71635 blt 0,0,640,480,0, 6288,640,480:waittimer 71658 blt 0,0,640,480,0, 6290,640,480:waittimer 71680 blt 0,0,640,480,0, 6292,640,480:waittimer 71703 blt 0,0,640,480,0, 6294,640,480:waittimer 71726 blt 0,0,640,480,0, 6296,640,480:waittimer 71749 blt 0,0,640,480,0, 6298,640,480:waittimer 71772 blt 0,0,640,480,0, 6300,640,480:waittimer 71794 blt 0,0,640,480,0, 6302,640,480:waittimer 71817 blt 0,0,640,480,0, 6304,640,480:waittimer 71840 blt 0,0,640,480,0, 6306,640,480:waittimer 71863 blt 0,0,640,480,0, 6308,640,480:waittimer 71886 blt 0,0,640,480,0, 6310,640,480:waittimer 71908 blt 0,0,640,480,0, 6312,640,480:waittimer 71931 blt 0,0,640,480,0, 6314,640,480:waittimer 71954 blt 0,0,640,480,0, 6316,640,480:waittimer 71977 blt 0,0,640,480,0, 6318,640,480:waittimer 71999 blt 0,0,640,480,0, 6320,640,480:waittimer 72022 blt 0,0,640,480,0, 6322,640,480:waittimer 72045 blt 0,0,640,480,0, 6324,640,480:waittimer 72068 blt 0,0,640,480,0, 6326,640,480:waittimer 72091 blt 0,0,640,480,0, 6328,640,480:waittimer 72113 blt 0,0,640,480,0, 6330,640,480:waittimer 72136 blt 0,0,640,480,0, 6332,640,480:waittimer 72159 blt 0,0,640,480,0, 6334,640,480:waittimer 72182 blt 0,0,640,480,0, 6336,640,480:waittimer 72205 blt 0,0,640,480,0, 6338,640,480:waittimer 72227 blt 0,0,640,480,0, 6340,640,480:waittimer 72250 blt 0,0,640,480,0, 6342,640,480:waittimer 72273 blt 0,0,640,480,0, 6344,640,480:waittimer 72296 blt 0,0,640,480,0, 6346,640,480:waittimer 72319 blt 0,0,640,480,0, 6348,640,480:waittimer 72341 blt 0,0,640,480,0, 6350,640,480:waittimer 72364 blt 0,0,640,480,0, 6352,640,480:waittimer 72387 blt 0,0,640,480,0, 6354,640,480:waittimer 72410 blt 0,0,640,480,0, 6356,640,480:waittimer 72433 blt 0,0,640,480,0, 6358,640,480:waittimer 72455 blt 0,0,640,480,0, 6360,640,480:waittimer 72478 blt 0,0,640,480,0, 6362,640,480:waittimer 72501 blt 0,0,640,480,0, 6364,640,480:waittimer 72524 blt 0,0,640,480,0, 6366,640,480:waittimer 72547 blt 0,0,640,480,0, 6368,640,480:waittimer 72569 blt 0,0,640,480,0, 6370,640,480:waittimer 72592 blt 0,0,640,480,0, 6372,640,480:waittimer 72615 blt 0,0,640,480,0, 6374,640,480:waittimer 72638 blt 0,0,640,480,0, 6376,640,480:waittimer 72660 blt 0,0,640,480,0, 6378,640,480:waittimer 72683 blt 0,0,640,480,0, 6380,640,480:waittimer 72706 blt 0,0,640,480,0, 6382,640,480:waittimer 72729 blt 0,0,640,480,0, 6384,640,480:waittimer 72752 blt 0,0,640,480,0, 6386,640,480:waittimer 72774 blt 0,0,640,480,0, 6388,640,480:waittimer 72797 blt 0,0,640,480,0, 6390,640,480:waittimer 72820 blt 0,0,640,480,0, 6392,640,480:waittimer 72843 blt 0,0,640,480,0, 6394,640,480:waittimer 72866 blt 0,0,640,480,0, 6396,640,480:waittimer 72888 blt 0,0,640,480,0, 6398,640,480:waittimer 72911 blt 0,0,640,480,0, 6400,640,480:waittimer 72934 blt 0,0,640,480,0, 6402,640,480:waittimer 72957 blt 0,0,640,480,0, 6404,640,480:waittimer 72980 blt 0,0,640,480,0, 6406,640,480:waittimer 73002 blt 0,0,640,480,0, 6408,640,480:waittimer 73025 blt 0,0,640,480,0, 6410,640,480:waittimer 73048 blt 0,0,640,480,0, 6412,640,480:waittimer 73071 blt 0,0,640,480,0, 6414,640,480:waittimer 73094 blt 0,0,640,480,0, 6416,640,480:waittimer 73116 blt 0,0,640,480,0, 6418,640,480:waittimer 73139 blt 0,0,640,480,0, 6420,640,480:waittimer 73162 blt 0,0,640,480,0, 6422,640,480:waittimer 73185 blt 0,0,640,480,0, 6424,640,480:waittimer 73207 blt 0,0,640,480,0, 6426,640,480:waittimer 73230 blt 0,0,640,480,0, 6428,640,480:waittimer 73253 blt 0,0,640,480,0, 6430,640,480:waittimer 73276 blt 0,0,640,480,0, 6432,640,480:waittimer 73299 blt 0,0,640,480,0, 6434,640,480:waittimer 73321 blt 0,0,640,480,0, 6436,640,480:waittimer 73344 blt 0,0,640,480,0, 6438,640,480:waittimer 73367 blt 0,0,640,480,0, 6440,640,480:waittimer 73390 blt 0,0,640,480,0, 6442,640,480:waittimer 73413 blt 0,0,640,480,0, 6444,640,480:waittimer 73435 blt 0,0,640,480,0, 6446,640,480:waittimer 73458 blt 0,0,640,480,0, 6448,640,480:waittimer 73481 blt 0,0,640,480,0, 6450,640,480:waittimer 73504 blt 0,0,640,480,0, 6452,640,480:waittimer 73527 blt 0,0,640,480,0, 6454,640,480:waittimer 73549 blt 0,0,640,480,0, 6456,640,480:waittimer 73572 blt 0,0,640,480,0, 6458,640,480:waittimer 73595 blt 0,0,640,480,0, 6460,640,480:waittimer 73618 blt 0,0,640,480,0, 6462,640,480:waittimer 73641 blt 0,0,640,480,0, 6464,640,480:waittimer 73663 blt 0,0,640,480,0, 6466,640,480:waittimer 73686 blt 0,0,640,480,0, 6468,640,480:waittimer 73709 blt 0,0,640,480,0, 6470,640,480:waittimer 73732 blt 0,0,640,480,0, 6472,640,480:waittimer 73754 blt 0,0,640,480,0, 6474,640,480:waittimer 73777 blt 0,0,640,480,0, 6476,640,480:waittimer 73800 blt 0,0,640,480,0, 6478,640,480:waittimer 73823 blt 0,0,640,480,0, 6480,640,480:waittimer 73846 blt 0,0,640,480,0, 6482,640,480:waittimer 73868 blt 0,0,640,480,0, 6484,640,480:waittimer 73891 blt 0,0,640,480,0, 6486,640,480:waittimer 73914 blt 0,0,640,480,0, 6488,640,480:waittimer 73937 blt 0,0,640,480,0, 6490,640,480:waittimer 73960 blt 0,0,640,480,0, 6492,640,480:waittimer 73982 blt 0,0,640,480,0, 6494,640,480:waittimer 74005 blt 0,0,640,480,0, 6496,640,480:waittimer 74028 blt 0,0,640,480,0, 6498,640,480:waittimer 74051 blt 0,0,640,480,0, 6500,640,480:waittimer 74074 blt 0,0,640,480,0, 6502,640,480:waittimer 74096 blt 0,0,640,480,0, 6504,640,480:waittimer 74119 blt 0,0,640,480,0, 6506,640,480:waittimer 74142 blt 0,0,640,480,0, 6508,640,480:waittimer 74165 blt 0,0,640,480,0, 6510,640,480:waittimer 74188 blt 0,0,640,480,0, 6512,640,480:waittimer 74210 blt 0,0,640,480,0, 6514,640,480:waittimer 74233 blt 0,0,640,480,0, 6516,640,480:waittimer 74256 blt 0,0,640,480,0, 6518,640,480:waittimer 74279 blt 0,0,640,480,0, 6520,640,480:waittimer 74301 blt 0,0,640,480,0, 6522,640,480:waittimer 74324 blt 0,0,640,480,0, 6524,640,480:waittimer 74347 blt 0,0,640,480,0, 6526,640,480:waittimer 74370 blt 0,0,640,480,0, 6528,640,480:waittimer 74393 blt 0,0,640,480,0, 6530,640,480:waittimer 74415 blt 0,0,640,480,0, 6532,640,480:waittimer 74438 blt 0,0,640,480,0, 6534,640,480:waittimer 74461 blt 0,0,640,480,0, 6536,640,480:waittimer 74484 blt 0,0,640,480,0, 6538,640,480:waittimer 74507 blt 0,0,640,480,0, 6540,640,480:waittimer 74529 blt 0,0,640,480,0, 6542,640,480:waittimer 74552 blt 0,0,640,480,0, 6544,640,480:waittimer 74575 blt 0,0,640,480,0, 6546,640,480:waittimer 74598 blt 0,0,640,480,0, 6548,640,480:waittimer 74621 blt 0,0,640,480,0, 6550,640,480:waittimer 74643 blt 0,0,640,480,0, 6552,640,480:waittimer 74666 blt 0,0,640,480,0, 6554,640,480:waittimer 74689 blt 0,0,640,480,0, 6556,640,480:waittimer 74712 blt 0,0,640,480,0, 6558,640,480:waittimer 74735 blt 0,0,640,480,0, 6560,640,480:waittimer 74757 blt 0,0,640,480,0, 6562,640,480:waittimer 74780 blt 0,0,640,480,0, 6564,640,480:waittimer 74803 blt 0,0,640,480,0, 6566,640,480:waittimer 74826 blt 0,0,640,480,0, 6568,640,480:waittimer 74849 blt 0,0,640,480,0, 6570,640,480:waittimer 74871 blt 0,0,640,480,0, 6572,640,480:waittimer 74894 blt 0,0,640,480,0, 6574,640,480:waittimer 74917 blt 0,0,640,480,0, 6576,640,480:waittimer 74940 blt 0,0,640,480,0, 6578,640,480:waittimer 74962 blt 0,0,640,480,0, 6580,640,480:waittimer 74985 blt 0,0,640,480,0, 6582,640,480:waittimer 75008 blt 0,0,640,480,0, 6584,640,480:waittimer 75031 blt 0,0,640,480,0, 6586,640,480:waittimer 75054 blt 0,0,640,480,0, 6588,640,480:waittimer 75076 blt 0,0,640,480,0, 6590,640,480:waittimer 75099 blt 0,0,640,480,0, 6592,640,480:waittimer 75122 blt 0,0,640,480,0, 6594,640,480:waittimer 75145 blt 0,0,640,480,0, 6596,640,480:waittimer 75168 blt 0,0,640,480,0, 6598,640,480:waittimer 75190 blt 0,0,640,480,0, 6600,640,480:waittimer 75213 blt 0,0,640,480,0, 6602,640,480:waittimer 75236 blt 0,0,640,480,0, 6604,640,480:waittimer 75259 blt 0,0,640,480,0, 6606,640,480:waittimer 75282 blt 0,0,640,480,0, 6608,640,480:waittimer 75304 blt 0,0,640,480,0, 6610,640,480:waittimer 75327 blt 0,0,640,480,0, 6612,640,480:waittimer 75350 blt 0,0,640,480,0, 6614,640,480:waittimer 75373 blt 0,0,640,480,0, 6616,640,480:waittimer 75396 blt 0,0,640,480,0, 6618,640,480:waittimer 75418 blt 0,0,640,480,0, 6620,640,480:waittimer 75441 blt 0,0,640,480,0, 6622,640,480:waittimer 75464 blt 0,0,640,480,0, 6624,640,480:waittimer 75487 blt 0,0,640,480,0, 6626,640,480:waittimer 75509 blt 0,0,640,480,0, 6628,640,480:waittimer 75532 blt 0,0,640,480,0, 6630,640,480:waittimer 75555 blt 0,0,640,480,0, 6632,640,480:waittimer 75578 blt 0,0,640,480,0, 6634,640,480:waittimer 75601 blt 0,0,640,480,0, 6636,640,480:waittimer 75623 blt 0,0,640,480,0, 6638,640,480:waittimer 75646 blt 0,0,640,480,0, 6640,640,480:waittimer 75669 blt 0,0,640,480,0, 6642,640,480:waittimer 75692 blt 0,0,640,480,0, 6644,640,480:waittimer 75715 blt 0,0,640,480,0, 6646,640,480:waittimer 75737 blt 0,0,640,480,0, 6648,640,480:waittimer 75760 blt 0,0,640,480,0, 6650,640,480:waittimer 75783 blt 0,0,640,480,0, 6652,640,480:waittimer 75806 blt 0,0,640,480,0, 6654,640,480:waittimer 75829 blt 0,0,640,480,0, 6656,640,480:waittimer 75851 blt 0,0,640,480,0, 6658,640,480:waittimer 75874 blt 0,0,640,480,0, 6660,640,480:waittimer 75897 blt 0,0,640,480,0, 6662,640,480:waittimer 75920 blt 0,0,640,480,0, 6664,640,480:waittimer 75943 blt 0,0,640,480,0, 6666,640,480:waittimer 75965 blt 0,0,640,480,0, 6668,640,480:waittimer 75988 blt 0,0,640,480,0, 6670,640,480:waittimer 76011 blt 0,0,640,480,0, 6672,640,480:waittimer 76034 blt 0,0,640,480,0, 6674,640,480:waittimer 76056 blt 0,0,640,480,0, 6676,640,480:waittimer 76079 blt 0,0,640,480,0, 6678,640,480:waittimer 76102 blt 0,0,640,480,0, 6680,640,480:waittimer 76125 blt 0,0,640,480,0, 6682,640,480:waittimer 76148 blt 0,0,640,480,0, 6684,640,480:waittimer 76170 blt 0,0,640,480,0, 6686,640,480:waittimer 76193 blt 0,0,640,480,0, 6688,640,480:waittimer 76216 blt 0,0,640,480,0, 6690,640,480:waittimer 76239 blt 0,0,640,480,0, 6692,640,480:waittimer 76262 blt 0,0,640,480,0, 6694,640,480:waittimer 76284 blt 0,0,640,480,0, 6696,640,480:waittimer 76307 blt 0,0,640,480,0, 6698,640,480:waittimer 76330 blt 0,0,640,480,0, 6700,640,480:waittimer 76353 blt 0,0,640,480,0, 6702,640,480:waittimer 76376 blt 0,0,640,480,0, 6704,640,480:waittimer 76398 blt 0,0,640,480,0, 6706,640,480:waittimer 76421 blt 0,0,640,480,0, 6708,640,480:waittimer 76444 blt 0,0,640,480,0, 6710,640,480:waittimer 76467 blt 0,0,640,480,0, 6712,640,480:waittimer 76490 blt 0,0,640,480,0, 6714,640,480:waittimer 76512 blt 0,0,640,480,0, 6716,640,480:waittimer 76535 blt 0,0,640,480,0, 6718,640,480:waittimer 76558 blt 0,0,640,480,0, 6720,640,480:waittimer 76581 blt 0,0,640,480,0, 6722,640,480:waittimer 76603 blt 0,0,640,480,0, 6724,640,480:waittimer 76626 blt 0,0,640,480,0, 6726,640,480:waittimer 76649 blt 0,0,640,480,0, 6728,640,480:waittimer 76672 blt 0,0,640,480,0, 6730,640,480:waittimer 76695 blt 0,0,640,480,0, 6732,640,480:waittimer 76717 blt 0,0,640,480,0, 6734,640,480:waittimer 76740 blt 0,0,640,480,0, 6736,640,480:waittimer 76763 blt 0,0,640,480,0, 6738,640,480:waittimer 76786 blt 0,0,640,480,0, 6740,640,480:waittimer 76809 blt 0,0,640,480,0, 6742,640,480:waittimer 76831 blt 0,0,640,480,0, 6744,640,480:waittimer 76854 blt 0,0,640,480,0, 6746,640,480:waittimer 76877 blt 0,0,640,480,0, 6748,640,480:waittimer 76900 blt 0,0,640,480,0, 6750,640,480:waittimer 76923 blt 0,0,640,480,0, 6752,640,480:waittimer 76945 blt 0,0,640,480,0, 6754,640,480:waittimer 76968 blt 0,0,640,480,0, 6756,640,480:waittimer 76991 blt 0,0,640,480,0, 6758,640,480:waittimer 77014 blt 0,0,640,480,0, 6760,640,480:waittimer 77037 blt 0,0,640,480,0, 6762,640,480:waittimer 77059 blt 0,0,640,480,0, 6764,640,480:waittimer 77082 blt 0,0,640,480,0, 6766,640,480:waittimer 77105 blt 0,0,640,480,0, 6768,640,480:waittimer 77128 blt 0,0,640,480,0, 6770,640,480:waittimer 77150 blt 0,0,640,480,0, 6772,640,480:waittimer 77173 blt 0,0,640,480,0, 6774,640,480:waittimer 77196 blt 0,0,640,480,0, 6776,640,480:waittimer 77219 blt 0,0,640,480,0, 6778,640,480:waittimer 77242 blt 0,0,640,480,0, 6780,640,480:waittimer 77264 blt 0,0,640,480,0, 6782,640,480:waittimer 77287 blt 0,0,640,480,0, 6784,640,480:waittimer 77310 blt 0,0,640,480,0, 6786,640,480:waittimer 77333 blt 0,0,640,480,0, 6788,640,480:waittimer 77356 blt 0,0,640,480,0, 6790,640,480:waittimer 77378 blt 0,0,640,480,0, 6792,640,480:waittimer 77401 blt 0,0,640,480,0, 6794,640,480:waittimer 77424 blt 0,0,640,480,0, 6796,640,480:waittimer 77447 blt 0,0,640,480,0, 6798,640,480:waittimer 77470 blt 0,0,640,480,0, 6800,640,480:waittimer 77492 blt 0,0,640,480,0, 6802,640,480:waittimer 77515 blt 0,0,640,480,0, 6804,640,480:waittimer 77538 blt 0,0,640,480,0, 6806,640,480:waittimer 77561 blt 0,0,640,480,0, 6808,640,480:waittimer 77584 blt 0,0,640,480,0, 6810,640,480:waittimer 77606 blt 0,0,640,480,0, 6812,640,480:waittimer 77629 blt 0,0,640,480,0, 6814,640,480:waittimer 77652 blt 0,0,640,480,0, 6816,640,480:waittimer 77675 blt 0,0,640,480,0, 6818,640,480:waittimer 77698 blt 0,0,640,480,0, 6820,640,480:waittimer 77720 blt 0,0,640,480,0, 6822,640,480:waittimer 77743 blt 0,0,640,480,0, 6824,640,480:waittimer 77766 blt 0,0,640,480,0, 6826,640,480:waittimer 77789 blt 0,0,640,480,0, 6828,640,480:waittimer 77811 blt 0,0,640,480,0, 6830,640,480:waittimer 77834 blt 0,0,640,480,0, 6832,640,480:waittimer 77857 blt 0,0,640,480,0, 6834,640,480:waittimer 77880 blt 0,0,640,480,0, 6836,640,480:waittimer 77903 blt 0,0,640,480,0, 6838,640,480:waittimer 77925 blt 0,0,640,480,0, 6840,640,480:waittimer 77948 blt 0,0,640,480,0, 6842,640,480:waittimer 77971 blt 0,0,640,480,0, 6844,640,480:waittimer 77994 blt 0,0,640,480,0, 6846,640,480:waittimer 78017 blt 0,0,640,480,0, 6848,640,480:waittimer 78039 blt 0,0,640,480,0, 6850,640,480:waittimer 78062 blt 0,0,640,480,0, 6852,640,480:waittimer 78085 blt 0,0,640,480,0, 6854,640,480:waittimer 78108 blt 0,0,640,480,0, 6856,640,480:waittimer 78131 blt 0,0,640,480,0, 6858,640,480:waittimer 78153 blt 0,0,640,480,0, 6860,640,480:waittimer 78176 blt 0,0,640,480,0, 6862,640,480:waittimer 78199 blt 0,0,640,480,0, 6864,640,480:waittimer 78222 blt 0,0,640,480,0, 6866,640,480:waittimer 78245 blt 0,0,640,480,0, 6868,640,480:waittimer 78267 blt 0,0,640,480,0, 6870,640,480:waittimer 78290 blt 0,0,640,480,0, 6872,640,480:waittimer 78313 blt 0,0,640,480,0, 6874,640,480:waittimer 78336 blt 0,0,640,480,0, 6876,640,480:waittimer 78358 blt 0,0,640,480,0, 6878,640,480:waittimer 78381 blt 0,0,640,480,0, 6880,640,480:waittimer 78404 blt 0,0,640,480,0, 6882,640,480:waittimer 78427 blt 0,0,640,480,0, 6884,640,480:waittimer 78450 blt 0,0,640,480,0, 6886,640,480:waittimer 78472 blt 0,0,640,480,0, 6888,640,480:waittimer 78495 blt 0,0,640,480,0, 6890,640,480:waittimer 78518 blt 0,0,640,480,0, 6892,640,480:waittimer 78541 blt 0,0,640,480,0, 6894,640,480:waittimer 78564 blt 0,0,640,480,0, 6896,640,480:waittimer 78586 blt 0,0,640,480,0, 6898,640,480:waittimer 78609 blt 0,0,640,480,0, 6900,640,480:waittimer 78632 blt 0,0,640,480,0, 6902,640,480:waittimer 78655 blt 0,0,640,480,0, 6904,640,480:waittimer 78678 blt 0,0,640,480,0, 6906,640,480:waittimer 78700 blt 0,0,640,480,0, 6908,640,480:waittimer 78723 blt 0,0,640,480,0, 6910,640,480:waittimer 78746 blt 0,0,640,480,0, 6912,640,480:waittimer 78769 blt 0,0,640,480,0, 6914,640,480:waittimer 78792 blt 0,0,640,480,0, 6916,640,480:waittimer 78814 blt 0,0,640,480,0, 6918,640,480:waittimer 78837 blt 0,0,640,480,0, 6920,640,480:waittimer 78860 blt 0,0,640,480,0, 6922,640,480:waittimer 78883 blt 0,0,640,480,0, 6924,640,480:waittimer 78905 blt 0,0,640,480,0, 6926,640,480:waittimer 78928 blt 0,0,640,480,0, 6928,640,480:waittimer 78951 blt 0,0,640,480,0, 6930,640,480:waittimer 78974 blt 0,0,640,480,0, 6932,640,480:waittimer 78997 blt 0,0,640,480,0, 6934,640,480:waittimer 79019 blt 0,0,640,480,0, 6936,640,480:waittimer 79042 blt 0,0,640,480,0, 6938,640,480:waittimer 79065 blt 0,0,640,480,0, 6940,640,480:waittimer 79088 blt 0,0,640,480,0, 6942,640,480:waittimer 79111 blt 0,0,640,480,0, 6944,640,480:waittimer 79133 blt 0,0,640,480,0, 6946,640,480:waittimer 79156 blt 0,0,640,480,0, 6948,640,480:waittimer 79179 blt 0,0,640,480,0, 6950,640,480:waittimer 79202 blt 0,0,640,480,0, 6952,640,480:waittimer 79225 blt 0,0,640,480,0, 6954,640,480:waittimer 79247 blt 0,0,640,480,0, 6956,640,480:waittimer 79270 blt 0,0,640,480,0, 6958,640,480:waittimer 79293 blt 0,0,640,480,0, 6960,640,480:waittimer 79316 blt 0,0,640,480,0, 6962,640,480:waittimer 79339 blt 0,0,640,480,0, 6964,640,480:waittimer 79361 blt 0,0,640,480,0, 6966,640,480:waittimer 79384 blt 0,0,640,480,0, 6968,640,480:waittimer 79407 blt 0,0,640,480,0, 6970,640,480:waittimer 79430 blt 0,0,640,480,0, 6972,640,480:waittimer 79452 blt 0,0,640,480,0, 6974,640,480:waittimer 79475 blt 0,0,640,480,0, 6976,640,480:waittimer 79498 blt 0,0,640,480,0, 6978,640,480:waittimer 79521 blt 0,0,640,480,0, 6980,640,480:waittimer 79544 blt 0,0,640,480,0, 6982,640,480:waittimer 79566 blt 0,0,640,480,0, 6984,640,480:waittimer 79589 blt 0,0,640,480,0, 6986,640,480:waittimer 79612 blt 0,0,640,480,0, 6988,640,480:waittimer 79635 blt 0,0,640,480,0, 6990,640,480:waittimer 79658 blt 0,0,640,480,0, 6992,640,480:waittimer 79680 blt 0,0,640,480,0, 6994,640,480:waittimer 79703 blt 0,0,640,480,0, 6996,640,480:waittimer 79726 blt 0,0,640,480,0, 6998,640,480:waittimer 79749 blt 0,0,640,480,0, 7000,640,480:waittimer 79772 blt 0,0,640,480,0, 7002,640,480:waittimer 79794 blt 0,0,640,480,0, 7004,640,480:waittimer 79817 blt 0,0,640,480,0, 7006,640,480:waittimer 79840 blt 0,0,640,480,0, 7008,640,480:waittimer 79863 blt 0,0,640,480,0, 7010,640,480:waittimer 79886 blt 0,0,640,480,0, 7012,640,480:waittimer 79908 blt 0,0,640,480,0, 7014,640,480:waittimer 79931 blt 0,0,640,480,0, 7016,640,480:waittimer 79954 blt 0,0,640,480,0, 7018,640,480:waittimer 79977 blt 0,0,640,480,0, 7020,640,480:waittimer 79999 return ;****************************************************** *teatime_ep3_scroll ;スクロール実行本体 skipoff resettimer blt 0,0,640,480,0, 1,640,480:waittimer 15 blt 0,0,640,480,0, 2,640,480:waittimer 31 blt 0,0,640,480,0, 3,640,480:waittimer 46 blt 0,0,640,480,0, 4,640,480:waittimer 62 blt 0,0,640,480,0, 5,640,480:waittimer 77 blt 0,0,640,480,0, 6,640,480:waittimer 93 blt 0,0,640,480,0, 7,640,480:waittimer 108 blt 0,0,640,480,0, 8,640,480:waittimer 124 blt 0,0,640,480,0, 9,640,480:waittimer 139 blt 0,0,640,480,0, 10,640,480:waittimer 155 blt 0,0,640,480,0, 11,640,480:waittimer 171 blt 0,0,640,480,0, 12,640,480:waittimer 186 blt 0,0,640,480,0, 13,640,480:waittimer 202 blt 0,0,640,480,0, 14,640,480:waittimer 217 blt 0,0,640,480,0, 15,640,480:waittimer 233 blt 0,0,640,480,0, 16,640,480:waittimer 248 blt 0,0,640,480,0, 17,640,480:waittimer 264 blt 0,0,640,480,0, 18,640,480:waittimer 279 blt 0,0,640,480,0, 19,640,480:waittimer 295 blt 0,0,640,480,0, 20,640,480:waittimer 310 blt 0,0,640,480,0, 21,640,480:waittimer 326 blt 0,0,640,480,0, 22,640,480:waittimer 342 blt 0,0,640,480,0, 23,640,480:waittimer 357 blt 0,0,640,480,0, 24,640,480:waittimer 373 blt 0,0,640,480,0, 25,640,480:waittimer 388 blt 0,0,640,480,0, 26,640,480:waittimer 404 blt 0,0,640,480,0, 27,640,480:waittimer 419 blt 0,0,640,480,0, 28,640,480:waittimer 435 blt 0,0,640,480,0, 29,640,480:waittimer 450 blt 0,0,640,480,0, 30,640,480:waittimer 466 blt 0,0,640,480,0, 31,640,480:waittimer 481 blt 0,0,640,480,0, 32,640,480:waittimer 497 blt 0,0,640,480,0, 33,640,480:waittimer 513 blt 0,0,640,480,0, 34,640,480:waittimer 528 blt 0,0,640,480,0, 35,640,480:waittimer 544 blt 0,0,640,480,0, 36,640,480:waittimer 559 blt 0,0,640,480,0, 37,640,480:waittimer 575 blt 0,0,640,480,0, 38,640,480:waittimer 590 blt 0,0,640,480,0, 39,640,480:waittimer 606 blt 0,0,640,480,0, 40,640,480:waittimer 621 blt 0,0,640,480,0, 41,640,480:waittimer 637 blt 0,0,640,480,0, 42,640,480:waittimer 653 blt 0,0,640,480,0, 43,640,480:waittimer 668 blt 0,0,640,480,0, 44,640,480:waittimer 684 blt 0,0,640,480,0, 45,640,480:waittimer 699 blt 0,0,640,480,0, 46,640,480:waittimer 715 blt 0,0,640,480,0, 47,640,480:waittimer 730 blt 0,0,640,480,0, 48,640,480:waittimer 746 blt 0,0,640,480,0, 49,640,480:waittimer 761 blt 0,0,640,480,0, 50,640,480:waittimer 777 blt 0,0,640,480,0, 51,640,480:waittimer 792 blt 0,0,640,480,0, 52,640,480:waittimer 808 blt 0,0,640,480,0, 53,640,480:waittimer 824 blt 0,0,640,480,0, 54,640,480:waittimer 839 blt 0,0,640,480,0, 55,640,480:waittimer 855 blt 0,0,640,480,0, 56,640,480:waittimer 870 blt 0,0,640,480,0, 57,640,480:waittimer 886 blt 0,0,640,480,0, 58,640,480:waittimer 901 blt 0,0,640,480,0, 59,640,480:waittimer 917 blt 0,0,640,480,0, 60,640,480:waittimer 932 blt 0,0,640,480,0, 61,640,480:waittimer 948 blt 0,0,640,480,0, 62,640,480:waittimer 963 blt 0,0,640,480,0, 63,640,480:waittimer 979 blt 0,0,640,480,0, 64,640,480:waittimer 995 blt 0,0,640,480,0, 65,640,480:waittimer 1010 blt 0,0,640,480,0, 66,640,480:waittimer 1026 blt 0,0,640,480,0, 67,640,480:waittimer 1041 blt 0,0,640,480,0, 68,640,480:waittimer 1057 blt 0,0,640,480,0, 69,640,480:waittimer 1072 blt 0,0,640,480,0, 70,640,480:waittimer 1088 blt 0,0,640,480,0, 71,640,480:waittimer 1103 blt 0,0,640,480,0, 72,640,480:waittimer 1119 blt 0,0,640,480,0, 73,640,480:waittimer 1134 blt 0,0,640,480,0, 74,640,480:waittimer 1150 blt 0,0,640,480,0, 75,640,480:waittimer 1166 blt 0,0,640,480,0, 76,640,480:waittimer 1181 blt 0,0,640,480,0, 77,640,480:waittimer 1197 blt 0,0,640,480,0, 78,640,480:waittimer 1212 blt 0,0,640,480,0, 79,640,480:waittimer 1228 blt 0,0,640,480,0, 80,640,480:waittimer 1243 blt 0,0,640,480,0, 81,640,480:waittimer 1259 blt 0,0,640,480,0, 82,640,480:waittimer 1274 blt 0,0,640,480,0, 83,640,480:waittimer 1290 blt 0,0,640,480,0, 84,640,480:waittimer 1306 blt 0,0,640,480,0, 85,640,480:waittimer 1321 blt 0,0,640,480,0, 86,640,480:waittimer 1337 blt 0,0,640,480,0, 87,640,480:waittimer 1352 blt 0,0,640,480,0, 88,640,480:waittimer 1368 blt 0,0,640,480,0, 89,640,480:waittimer 1383 blt 0,0,640,480,0, 90,640,480:waittimer 1399 blt 0,0,640,480,0, 91,640,480:waittimer 1414 blt 0,0,640,480,0, 92,640,480:waittimer 1430 blt 0,0,640,480,0, 93,640,480:waittimer 1445 blt 0,0,640,480,0, 94,640,480:waittimer 1461 blt 0,0,640,480,0, 95,640,480:waittimer 1477 blt 0,0,640,480,0, 96,640,480:waittimer 1492 blt 0,0,640,480,0, 97,640,480:waittimer 1508 blt 0,0,640,480,0, 98,640,480:waittimer 1523 blt 0,0,640,480,0, 99,640,480:waittimer 1539 blt 0,0,640,480,0, 100,640,480:waittimer 1554 blt 0,0,640,480,0, 101,640,480:waittimer 1570 blt 0,0,640,480,0, 102,640,480:waittimer 1585 blt 0,0,640,480,0, 103,640,480:waittimer 1601 blt 0,0,640,480,0, 104,640,480:waittimer 1616 blt 0,0,640,480,0, 105,640,480:waittimer 1632 blt 0,0,640,480,0, 106,640,480:waittimer 1648 blt 0,0,640,480,0, 107,640,480:waittimer 1663 blt 0,0,640,480,0, 108,640,480:waittimer 1679 blt 0,0,640,480,0, 109,640,480:waittimer 1694 blt 0,0,640,480,0, 110,640,480:waittimer 1710 blt 0,0,640,480,0, 111,640,480:waittimer 1725 blt 0,0,640,480,0, 112,640,480:waittimer 1741 blt 0,0,640,480,0, 113,640,480:waittimer 1756 blt 0,0,640,480,0, 114,640,480:waittimer 1772 blt 0,0,640,480,0, 115,640,480:waittimer 1787 blt 0,0,640,480,0, 116,640,480:waittimer 1803 blt 0,0,640,480,0, 117,640,480:waittimer 1819 blt 0,0,640,480,0, 118,640,480:waittimer 1834 blt 0,0,640,480,0, 119,640,480:waittimer 1850 blt 0,0,640,480,0, 120,640,480:waittimer 1865 blt 0,0,640,480,0, 121,640,480:waittimer 1881 blt 0,0,640,480,0, 122,640,480:waittimer 1896 blt 0,0,640,480,0, 123,640,480:waittimer 1912 blt 0,0,640,480,0, 124,640,480:waittimer 1927 blt 0,0,640,480,0, 125,640,480:waittimer 1943 blt 0,0,640,480,0, 126,640,480:waittimer 1959 blt 0,0,640,480,0, 127,640,480:waittimer 1974 blt 0,0,640,480,0, 128,640,480:waittimer 1990 blt 0,0,640,480,0, 129,640,480:waittimer 2005 blt 0,0,640,480,0, 130,640,480:waittimer 2021 blt 0,0,640,480,0, 131,640,480:waittimer 2036 blt 0,0,640,480,0, 132,640,480:waittimer 2052 blt 0,0,640,480,0, 133,640,480:waittimer 2067 blt 0,0,640,480,0, 134,640,480:waittimer 2083 blt 0,0,640,480,0, 135,640,480:waittimer 2098 blt 0,0,640,480,0, 136,640,480:waittimer 2114 blt 0,0,640,480,0, 137,640,480:waittimer 2130 blt 0,0,640,480,0, 138,640,480:waittimer 2145 blt 0,0,640,480,0, 139,640,480:waittimer 2161 blt 0,0,640,480,0, 140,640,480:waittimer 2176 blt 0,0,640,480,0, 141,640,480:waittimer 2192 blt 0,0,640,480,0, 142,640,480:waittimer 2207 blt 0,0,640,480,0, 143,640,480:waittimer 2223 blt 0,0,640,480,0, 144,640,480:waittimer 2238 blt 0,0,640,480,0, 145,640,480:waittimer 2254 blt 0,0,640,480,0, 146,640,480:waittimer 2269 blt 0,0,640,480,0, 147,640,480:waittimer 2285 blt 0,0,640,480,0, 148,640,480:waittimer 2301 blt 0,0,640,480,0, 149,640,480:waittimer 2316 blt 0,0,640,480,0, 150,640,480:waittimer 2332 blt 0,0,640,480,0, 151,640,480:waittimer 2347 blt 0,0,640,480,0, 152,640,480:waittimer 2363 blt 0,0,640,480,0, 153,640,480:waittimer 2378 blt 0,0,640,480,0, 154,640,480:waittimer 2394 blt 0,0,640,480,0, 155,640,480:waittimer 2409 blt 0,0,640,480,0, 156,640,480:waittimer 2425 blt 0,0,640,480,0, 157,640,480:waittimer 2440 blt 0,0,640,480,0, 158,640,480:waittimer 2456 blt 0,0,640,480,0, 159,640,480:waittimer 2472 blt 0,0,640,480,0, 160,640,480:waittimer 2487 blt 0,0,640,480,0, 161,640,480:waittimer 2503 blt 0,0,640,480,0, 162,640,480:waittimer 2518 blt 0,0,640,480,0, 163,640,480:waittimer 2534 blt 0,0,640,480,0, 164,640,480:waittimer 2549 blt 0,0,640,480,0, 165,640,480:waittimer 2565 blt 0,0,640,480,0, 166,640,480:waittimer 2580 blt 0,0,640,480,0, 167,640,480:waittimer 2596 blt 0,0,640,480,0, 168,640,480:waittimer 2612 blt 0,0,640,480,0, 169,640,480:waittimer 2627 blt 0,0,640,480,0, 170,640,480:waittimer 2643 blt 0,0,640,480,0, 171,640,480:waittimer 2658 blt 0,0,640,480,0, 172,640,480:waittimer 2674 blt 0,0,640,480,0, 173,640,480:waittimer 2689 blt 0,0,640,480,0, 174,640,480:waittimer 2705 blt 0,0,640,480,0, 175,640,480:waittimer 2720 blt 0,0,640,480,0, 176,640,480:waittimer 2736 blt 0,0,640,480,0, 177,640,480:waittimer 2751 blt 0,0,640,480,0, 178,640,480:waittimer 2767 blt 0,0,640,480,0, 179,640,480:waittimer 2783 blt 0,0,640,480,0, 180,640,480:waittimer 2798 blt 0,0,640,480,0, 181,640,480:waittimer 2814 blt 0,0,640,480,0, 182,640,480:waittimer 2829 blt 0,0,640,480,0, 183,640,480:waittimer 2845 blt 0,0,640,480,0, 184,640,480:waittimer 2860 blt 0,0,640,480,0, 185,640,480:waittimer 2876 blt 0,0,640,480,0, 186,640,480:waittimer 2891 blt 0,0,640,480,0, 187,640,480:waittimer 2907 blt 0,0,640,480,0, 188,640,480:waittimer 2922 blt 0,0,640,480,0, 189,640,480:waittimer 2938 blt 0,0,640,480,0, 190,640,480:waittimer 2954 blt 0,0,640,480,0, 191,640,480:waittimer 2969 blt 0,0,640,480,0, 192,640,480:waittimer 2985 blt 0,0,640,480,0, 193,640,480:waittimer 3000 blt 0,0,640,480,0, 194,640,480:waittimer 3016 blt 0,0,640,480,0, 195,640,480:waittimer 3031 blt 0,0,640,480,0, 196,640,480:waittimer 3047 blt 0,0,640,480,0, 197,640,480:waittimer 3062 blt 0,0,640,480,0, 198,640,480:waittimer 3078 blt 0,0,640,480,0, 199,640,480:waittimer 3093 blt 0,0,640,480,0, 200,640,480:waittimer 3109 blt 0,0,640,480,0, 201,640,480:waittimer 3125 blt 0,0,640,480,0, 202,640,480:waittimer 3140 blt 0,0,640,480,0, 203,640,480:waittimer 3156 blt 0,0,640,480,0, 204,640,480:waittimer 3171 blt 0,0,640,480,0, 205,640,480:waittimer 3187 blt 0,0,640,480,0, 206,640,480:waittimer 3202 blt 0,0,640,480,0, 207,640,480:waittimer 3218 blt 0,0,640,480,0, 208,640,480:waittimer 3233 blt 0,0,640,480,0, 209,640,480:waittimer 3249 blt 0,0,640,480,0, 210,640,480:waittimer 3265 blt 0,0,640,480,0, 211,640,480:waittimer 3280 blt 0,0,640,480,0, 212,640,480:waittimer 3296 blt 0,0,640,480,0, 213,640,480:waittimer 3311 blt 0,0,640,480,0, 214,640,480:waittimer 3327 blt 0,0,640,480,0, 215,640,480:waittimer 3342 blt 0,0,640,480,0, 216,640,480:waittimer 3358 blt 0,0,640,480,0, 217,640,480:waittimer 3373 blt 0,0,640,480,0, 218,640,480:waittimer 3389 blt 0,0,640,480,0, 219,640,480:waittimer 3404 blt 0,0,640,480,0, 220,640,480:waittimer 3420 blt 0,0,640,480,0, 221,640,480:waittimer 3436 blt 0,0,640,480,0, 222,640,480:waittimer 3451 blt 0,0,640,480,0, 223,640,480:waittimer 3467 blt 0,0,640,480,0, 224,640,480:waittimer 3482 blt 0,0,640,480,0, 225,640,480:waittimer 3498 blt 0,0,640,480,0, 226,640,480:waittimer 3513 blt 0,0,640,480,0, 227,640,480:waittimer 3529 blt 0,0,640,480,0, 228,640,480:waittimer 3544 blt 0,0,640,480,0, 229,640,480:waittimer 3560 blt 0,0,640,480,0, 230,640,480:waittimer 3575 blt 0,0,640,480,0, 231,640,480:waittimer 3591 blt 0,0,640,480,0, 232,640,480:waittimer 3607 blt 0,0,640,480,0, 233,640,480:waittimer 3622 blt 0,0,640,480,0, 234,640,480:waittimer 3638 blt 0,0,640,480,0, 235,640,480:waittimer 3653 blt 0,0,640,480,0, 236,640,480:waittimer 3669 blt 0,0,640,480,0, 237,640,480:waittimer 3684 blt 0,0,640,480,0, 238,640,480:waittimer 3700 blt 0,0,640,480,0, 239,640,480:waittimer 3715 blt 0,0,640,480,0, 240,640,480:waittimer 3731 blt 0,0,640,480,0, 241,640,480:waittimer 3746 blt 0,0,640,480,0, 242,640,480:waittimer 3762 blt 0,0,640,480,0, 243,640,480:waittimer 3778 blt 0,0,640,480,0, 244,640,480:waittimer 3793 blt 0,0,640,480,0, 245,640,480:waittimer 3809 blt 0,0,640,480,0, 246,640,480:waittimer 3824 blt 0,0,640,480,0, 247,640,480:waittimer 3840 blt 0,0,640,480,0, 248,640,480:waittimer 3855 blt 0,0,640,480,0, 249,640,480:waittimer 3871 blt 0,0,640,480,0, 250,640,480:waittimer 3886 blt 0,0,640,480,0, 251,640,480:waittimer 3902 blt 0,0,640,480,0, 252,640,480:waittimer 3918 blt 0,0,640,480,0, 253,640,480:waittimer 3933 blt 0,0,640,480,0, 254,640,480:waittimer 3949 blt 0,0,640,480,0, 255,640,480:waittimer 3964 blt 0,0,640,480,0, 256,640,480:waittimer 3980 blt 0,0,640,480,0, 257,640,480:waittimer 3995 blt 0,0,640,480,0, 258,640,480:waittimer 4011 blt 0,0,640,480,0, 259,640,480:waittimer 4026 blt 0,0,640,480,0, 260,640,480:waittimer 4042 blt 0,0,640,480,0, 261,640,480:waittimer 4057 blt 0,0,640,480,0, 262,640,480:waittimer 4073 blt 0,0,640,480,0, 263,640,480:waittimer 4089 blt 0,0,640,480,0, 264,640,480:waittimer 4104 blt 0,0,640,480,0, 265,640,480:waittimer 4120 blt 0,0,640,480,0, 266,640,480:waittimer 4135 blt 0,0,640,480,0, 267,640,480:waittimer 4151 blt 0,0,640,480,0, 268,640,480:waittimer 4166 blt 0,0,640,480,0, 269,640,480:waittimer 4182 blt 0,0,640,480,0, 270,640,480:waittimer 4197 blt 0,0,640,480,0, 271,640,480:waittimer 4213 blt 0,0,640,480,0, 272,640,480:waittimer 4228 blt 0,0,640,480,0, 273,640,480:waittimer 4244 blt 0,0,640,480,0, 274,640,480:waittimer 4260 blt 0,0,640,480,0, 275,640,480:waittimer 4275 blt 0,0,640,480,0, 276,640,480:waittimer 4291 blt 0,0,640,480,0, 277,640,480:waittimer 4306 blt 0,0,640,480,0, 278,640,480:waittimer 4322 blt 0,0,640,480,0, 279,640,480:waittimer 4337 blt 0,0,640,480,0, 280,640,480:waittimer 4353 blt 0,0,640,480,0, 281,640,480:waittimer 4368 blt 0,0,640,480,0, 282,640,480:waittimer 4384 blt 0,0,640,480,0, 283,640,480:waittimer 4399 blt 0,0,640,480,0, 284,640,480:waittimer 4415 blt 0,0,640,480,0, 285,640,480:waittimer 4431 blt 0,0,640,480,0, 286,640,480:waittimer 4446 blt 0,0,640,480,0, 287,640,480:waittimer 4462 blt 0,0,640,480,0, 288,640,480:waittimer 4477 blt 0,0,640,480,0, 289,640,480:waittimer 4493 blt 0,0,640,480,0, 290,640,480:waittimer 4508 blt 0,0,640,480,0, 291,640,480:waittimer 4524 blt 0,0,640,480,0, 292,640,480:waittimer 4539 blt 0,0,640,480,0, 293,640,480:waittimer 4555 blt 0,0,640,480,0, 294,640,480:waittimer 4571 blt 0,0,640,480,0, 295,640,480:waittimer 4586 blt 0,0,640,480,0, 296,640,480:waittimer 4602 blt 0,0,640,480,0, 297,640,480:waittimer 4617 blt 0,0,640,480,0, 298,640,480:waittimer 4633 blt 0,0,640,480,0, 299,640,480:waittimer 4648 blt 0,0,640,480,0, 300,640,480:waittimer 4664 blt 0,0,640,480,0, 301,640,480:waittimer 4679 blt 0,0,640,480,0, 302,640,480:waittimer 4695 blt 0,0,640,480,0, 303,640,480:waittimer 4710 blt 0,0,640,480,0, 304,640,480:waittimer 4726 blt 0,0,640,480,0, 305,640,480:waittimer 4742 blt 0,0,640,480,0, 306,640,480:waittimer 4757 blt 0,0,640,480,0, 307,640,480:waittimer 4773 blt 0,0,640,480,0, 308,640,480:waittimer 4788 blt 0,0,640,480,0, 309,640,480:waittimer 4804 blt 0,0,640,480,0, 310,640,480:waittimer 4819 blt 0,0,640,480,0, 311,640,480:waittimer 4835 blt 0,0,640,480,0, 312,640,480:waittimer 4850 blt 0,0,640,480,0, 313,640,480:waittimer 4866 blt 0,0,640,480,0, 314,640,480:waittimer 4881 blt 0,0,640,480,0, 315,640,480:waittimer 4897 blt 0,0,640,480,0, 316,640,480:waittimer 4913 blt 0,0,640,480,0, 317,640,480:waittimer 4928 blt 0,0,640,480,0, 318,640,480:waittimer 4944 blt 0,0,640,480,0, 319,640,480:waittimer 4959 blt 0,0,640,480,0, 320,640,480:waittimer 4975 blt 0,0,640,480,0, 321,640,480:waittimer 4990 blt 0,0,640,480,0, 322,640,480:waittimer 5006 blt 0,0,640,480,0, 323,640,480:waittimer 5021 blt 0,0,640,480,0, 324,640,480:waittimer 5037 blt 0,0,640,480,0, 325,640,480:waittimer 5053 blt 0,0,640,480,0, 326,640,480:waittimer 5068 blt 0,0,640,480,0, 327,640,480:waittimer 5084 blt 0,0,640,480,0, 328,640,480:waittimer 5099 blt 0,0,640,480,0, 329,640,480:waittimer 5115 blt 0,0,640,480,0, 330,640,480:waittimer 5130 blt 0,0,640,480,0, 331,640,480:waittimer 5146 blt 0,0,640,480,0, 332,640,480:waittimer 5161 blt 0,0,640,480,0, 333,640,480:waittimer 5177 blt 0,0,640,480,0, 334,640,480:waittimer 5192 blt 0,0,640,480,0, 335,640,480:waittimer 5208 blt 0,0,640,480,0, 336,640,480:waittimer 5224 blt 0,0,640,480,0, 337,640,480:waittimer 5239 blt 0,0,640,480,0, 338,640,480:waittimer 5255 blt 0,0,640,480,0, 339,640,480:waittimer 5270 blt 0,0,640,480,0, 340,640,480:waittimer 5286 blt 0,0,640,480,0, 341,640,480:waittimer 5301 blt 0,0,640,480,0, 342,640,480:waittimer 5317 blt 0,0,640,480,0, 343,640,480:waittimer 5332 blt 0,0,640,480,0, 344,640,480:waittimer 5348 blt 0,0,640,480,0, 345,640,480:waittimer 5363 blt 0,0,640,480,0, 346,640,480:waittimer 5379 blt 0,0,640,480,0, 347,640,480:waittimer 5395 blt 0,0,640,480,0, 348,640,480:waittimer 5410 blt 0,0,640,480,0, 349,640,480:waittimer 5426 blt 0,0,640,480,0, 350,640,480:waittimer 5441 blt 0,0,640,480,0, 351,640,480:waittimer 5457 blt 0,0,640,480,0, 352,640,480:waittimer 5472 blt 0,0,640,480,0, 353,640,480:waittimer 5488 blt 0,0,640,480,0, 354,640,480:waittimer 5503 blt 0,0,640,480,0, 355,640,480:waittimer 5519 blt 0,0,640,480,0, 356,640,480:waittimer 5534 blt 0,0,640,480,0, 357,640,480:waittimer 5550 blt 0,0,640,480,0, 358,640,480:waittimer 5566 blt 0,0,640,480,0, 359,640,480:waittimer 5581 blt 0,0,640,480,0, 360,640,480:waittimer 5597 blt 0,0,640,480,0, 361,640,480:waittimer 5612 blt 0,0,640,480,0, 362,640,480:waittimer 5628 blt 0,0,640,480,0, 363,640,480:waittimer 5643 blt 0,0,640,480,0, 364,640,480:waittimer 5659 blt 0,0,640,480,0, 365,640,480:waittimer 5674 blt 0,0,640,480,0, 366,640,480:waittimer 5690 blt 0,0,640,480,0, 367,640,480:waittimer 5706 blt 0,0,640,480,0, 368,640,480:waittimer 5721 blt 0,0,640,480,0, 369,640,480:waittimer 5737 blt 0,0,640,480,0, 370,640,480:waittimer 5752 blt 0,0,640,480,0, 371,640,480:waittimer 5768 blt 0,0,640,480,0, 372,640,480:waittimer 5783 blt 0,0,640,480,0, 373,640,480:waittimer 5799 blt 0,0,640,480,0, 374,640,480:waittimer 5814 blt 0,0,640,480,0, 375,640,480:waittimer 5830 blt 0,0,640,480,0, 376,640,480:waittimer 5845 blt 0,0,640,480,0, 377,640,480:waittimer 5861 blt 0,0,640,480,0, 378,640,480:waittimer 5877 blt 0,0,640,480,0, 379,640,480:waittimer 5892 blt 0,0,640,480,0, 380,640,480:waittimer 5908 blt 0,0,640,480,0, 381,640,480:waittimer 5923 blt 0,0,640,480,0, 382,640,480:waittimer 5939 blt 0,0,640,480,0, 383,640,480:waittimer 5954 blt 0,0,640,480,0, 384,640,480:waittimer 5970 blt 0,0,640,480,0, 385,640,480:waittimer 5985 blt 0,0,640,480,0, 386,640,480:waittimer 6001 blt 0,0,640,480,0, 387,640,480:waittimer 6016 blt 0,0,640,480,0, 388,640,480:waittimer 6032 blt 0,0,640,480,0, 389,640,480:waittimer 6048 blt 0,0,640,480,0, 390,640,480:waittimer 6063 blt 0,0,640,480,0, 391,640,480:waittimer 6079 blt 0,0,640,480,0, 392,640,480:waittimer 6094 blt 0,0,640,480,0, 393,640,480:waittimer 6110 blt 0,0,640,480,0, 394,640,480:waittimer 6125 blt 0,0,640,480,0, 395,640,480:waittimer 6141 blt 0,0,640,480,0, 396,640,480:waittimer 6156 blt 0,0,640,480,0, 397,640,480:waittimer 6172 blt 0,0,640,480,0, 398,640,480:waittimer 6187 blt 0,0,640,480,0, 399,640,480:waittimer 6203 blt 0,0,640,480,0, 400,640,480:waittimer 6219 blt 0,0,640,480,0, 401,640,480:waittimer 6234 blt 0,0,640,480,0, 402,640,480:waittimer 6250 blt 0,0,640,480,0, 403,640,480:waittimer 6265 blt 0,0,640,480,0, 404,640,480:waittimer 6281 blt 0,0,640,480,0, 405,640,480:waittimer 6296 blt 0,0,640,480,0, 406,640,480:waittimer 6312 blt 0,0,640,480,0, 407,640,480:waittimer 6327 blt 0,0,640,480,0, 408,640,480:waittimer 6343 blt 0,0,640,480,0, 409,640,480:waittimer 6359 blt 0,0,640,480,0, 410,640,480:waittimer 6374 blt 0,0,640,480,0, 411,640,480:waittimer 6390 blt 0,0,640,480,0, 412,640,480:waittimer 6405 blt 0,0,640,480,0, 413,640,480:waittimer 6421 blt 0,0,640,480,0, 414,640,480:waittimer 6436 blt 0,0,640,480,0, 415,640,480:waittimer 6452 blt 0,0,640,480,0, 416,640,480:waittimer 6467 blt 0,0,640,480,0, 417,640,480:waittimer 6483 blt 0,0,640,480,0, 418,640,480:waittimer 6498 blt 0,0,640,480,0, 419,640,480:waittimer 6514 blt 0,0,640,480,0, 420,640,480:waittimer 6530 blt 0,0,640,480,0, 421,640,480:waittimer 6545 blt 0,0,640,480,0, 422,640,480:waittimer 6561 blt 0,0,640,480,0, 423,640,480:waittimer 6576 blt 0,0,640,480,0, 424,640,480:waittimer 6592 blt 0,0,640,480,0, 425,640,480:waittimer 6607 blt 0,0,640,480,0, 426,640,480:waittimer 6623 blt 0,0,640,480,0, 427,640,480:waittimer 6638 blt 0,0,640,480,0, 428,640,480:waittimer 6654 blt 0,0,640,480,0, 429,640,480:waittimer 6669 blt 0,0,640,480,0, 430,640,480:waittimer 6685 blt 0,0,640,480,0, 431,640,480:waittimer 6701 blt 0,0,640,480,0, 432,640,480:waittimer 6716 blt 0,0,640,480,0, 433,640,480:waittimer 6732 blt 0,0,640,480,0, 434,640,480:waittimer 6747 blt 0,0,640,480,0, 435,640,480:waittimer 6763 blt 0,0,640,480,0, 436,640,480:waittimer 6778 blt 0,0,640,480,0, 437,640,480:waittimer 6794 blt 0,0,640,480,0, 438,640,480:waittimer 6809 blt 0,0,640,480,0, 439,640,480:waittimer 6825 blt 0,0,640,480,0, 440,640,480:waittimer 6840 blt 0,0,640,480,0, 441,640,480:waittimer 6856 blt 0,0,640,480,0, 442,640,480:waittimer 6872 blt 0,0,640,480,0, 443,640,480:waittimer 6887 blt 0,0,640,480,0, 444,640,480:waittimer 6903 blt 0,0,640,480,0, 445,640,480:waittimer 6918 blt 0,0,640,480,0, 446,640,480:waittimer 6934 blt 0,0,640,480,0, 447,640,480:waittimer 6949 blt 0,0,640,480,0, 448,640,480:waittimer 6965 blt 0,0,640,480,0, 449,640,480:waittimer 6980 blt 0,0,640,480,0, 450,640,480:waittimer 6996 blt 0,0,640,480,0, 451,640,480:waittimer 7012 blt 0,0,640,480,0, 452,640,480:waittimer 7027 blt 0,0,640,480,0, 453,640,480:waittimer 7043 blt 0,0,640,480,0, 454,640,480:waittimer 7058 blt 0,0,640,480,0, 455,640,480:waittimer 7074 blt 0,0,640,480,0, 456,640,480:waittimer 7089 blt 0,0,640,480,0, 457,640,480:waittimer 7105 blt 0,0,640,480,0, 458,640,480:waittimer 7120 blt 0,0,640,480,0, 459,640,480:waittimer 7136 blt 0,0,640,480,0, 460,640,480:waittimer 7151 blt 0,0,640,480,0, 461,640,480:waittimer 7167 blt 0,0,640,480,0, 462,640,480:waittimer 7183 blt 0,0,640,480,0, 463,640,480:waittimer 7198 blt 0,0,640,480,0, 464,640,480:waittimer 7214 blt 0,0,640,480,0, 465,640,480:waittimer 7229 blt 0,0,640,480,0, 466,640,480:waittimer 7245 blt 0,0,640,480,0, 467,640,480:waittimer 7260 blt 0,0,640,480,0, 468,640,480:waittimer 7276 blt 0,0,640,480,0, 469,640,480:waittimer 7291 blt 0,0,640,480,0, 470,640,480:waittimer 7307 blt 0,0,640,480,0, 471,640,480:waittimer 7322 blt 0,0,640,480,0, 472,640,480:waittimer 7338 blt 0,0,640,480,0, 473,640,480:waittimer 7354 blt 0,0,640,480,0, 474,640,480:waittimer 7369 blt 0,0,640,480,0, 475,640,480:waittimer 7385 blt 0,0,640,480,0, 476,640,480:waittimer 7400 blt 0,0,640,480,0, 477,640,480:waittimer 7416 blt 0,0,640,480,0, 478,640,480:waittimer 7431 blt 0,0,640,480,0, 479,640,480:waittimer 7447 blt 0,0,640,480,0, 480,640,480:waittimer 7462 blt 0,0,640,480,0, 481,640,480:waittimer 7478 blt 0,0,640,480,0, 482,640,480:waittimer 7493 blt 0,0,640,480,0, 483,640,480:waittimer 7509 blt 0,0,640,480,0, 484,640,480:waittimer 7525 blt 0,0,640,480,0, 485,640,480:waittimer 7540 blt 0,0,640,480,0, 486,640,480:waittimer 7556 blt 0,0,640,480,0, 487,640,480:waittimer 7571 blt 0,0,640,480,0, 488,640,480:waittimer 7587 blt 0,0,640,480,0, 489,640,480:waittimer 7602 blt 0,0,640,480,0, 490,640,480:waittimer 7618 blt 0,0,640,480,0, 491,640,480:waittimer 7633 blt 0,0,640,480,0, 492,640,480:waittimer 7649 blt 0,0,640,480,0, 493,640,480:waittimer 7665 blt 0,0,640,480,0, 494,640,480:waittimer 7680 blt 0,0,640,480,0, 495,640,480:waittimer 7696 blt 0,0,640,480,0, 496,640,480:waittimer 7711 blt 0,0,640,480,0, 497,640,480:waittimer 7727 blt 0,0,640,480,0, 498,640,480:waittimer 7742 blt 0,0,640,480,0, 499,640,480:waittimer 7758 blt 0,0,640,480,0, 500,640,480:waittimer 7773 blt 0,0,640,480,0, 501,640,480:waittimer 7789 blt 0,0,640,480,0, 502,640,480:waittimer 7804 blt 0,0,640,480,0, 503,640,480:waittimer 7820 blt 0,0,640,480,0, 504,640,480:waittimer 7836 blt 0,0,640,480,0, 505,640,480:waittimer 7851 blt 0,0,640,480,0, 506,640,480:waittimer 7867 blt 0,0,640,480,0, 507,640,480:waittimer 7882 blt 0,0,640,480,0, 508,640,480:waittimer 7898 blt 0,0,640,480,0, 509,640,480:waittimer 7913 blt 0,0,640,480,0, 510,640,480:waittimer 7929 blt 0,0,640,480,0, 511,640,480:waittimer 7944 blt 0,0,640,480,0, 512,640,480:waittimer 7960 blt 0,0,640,480,0, 513,640,480:waittimer 7975 blt 0,0,640,480,0, 514,640,480:waittimer 7991 blt 0,0,640,480,0, 515,640,480:waittimer 8007 blt 0,0,640,480,0, 516,640,480:waittimer 8022 blt 0,0,640,480,0, 517,640,480:waittimer 8038 blt 0,0,640,480,0, 518,640,480:waittimer 8053 blt 0,0,640,480,0, 519,640,480:waittimer 8069 blt 0,0,640,480,0, 520,640,480:waittimer 8084 blt 0,0,640,480,0, 521,640,480:waittimer 8100 blt 0,0,640,480,0, 522,640,480:waittimer 8115 blt 0,0,640,480,0, 523,640,480:waittimer 8131 blt 0,0,640,480,0, 524,640,480:waittimer 8146 blt 0,0,640,480,0, 525,640,480:waittimer 8162 blt 0,0,640,480,0, 526,640,480:waittimer 8178 blt 0,0,640,480,0, 527,640,480:waittimer 8193 blt 0,0,640,480,0, 528,640,480:waittimer 8209 blt 0,0,640,480,0, 529,640,480:waittimer 8224 blt 0,0,640,480,0, 530,640,480:waittimer 8240 blt 0,0,640,480,0, 531,640,480:waittimer 8255 blt 0,0,640,480,0, 532,640,480:waittimer 8271 blt 0,0,640,480,0, 533,640,480:waittimer 8286 blt 0,0,640,480,0, 534,640,480:waittimer 8302 blt 0,0,640,480,0, 535,640,480:waittimer 8318 blt 0,0,640,480,0, 536,640,480:waittimer 8333 blt 0,0,640,480,0, 537,640,480:waittimer 8349 blt 0,0,640,480,0, 538,640,480:waittimer 8364 blt 0,0,640,480,0, 539,640,480:waittimer 8380 blt 0,0,640,480,0, 540,640,480:waittimer 8395 blt 0,0,640,480,0, 541,640,480:waittimer 8411 blt 0,0,640,480,0, 542,640,480:waittimer 8426 blt 0,0,640,480,0, 543,640,480:waittimer 8442 blt 0,0,640,480,0, 544,640,480:waittimer 8457 blt 0,0,640,480,0, 545,640,480:waittimer 8473 blt 0,0,640,480,0, 546,640,480:waittimer 8489 blt 0,0,640,480,0, 547,640,480:waittimer 8504 blt 0,0,640,480,0, 548,640,480:waittimer 8520 blt 0,0,640,480,0, 549,640,480:waittimer 8535 blt 0,0,640,480,0, 550,640,480:waittimer 8551 blt 0,0,640,480,0, 551,640,480:waittimer 8566 blt 0,0,640,480,0, 552,640,480:waittimer 8582 blt 0,0,640,480,0, 553,640,480:waittimer 8597 blt 0,0,640,480,0, 554,640,480:waittimer 8613 blt 0,0,640,480,0, 555,640,480:waittimer 8628 blt 0,0,640,480,0, 556,640,480:waittimer 8644 blt 0,0,640,480,0, 557,640,480:waittimer 8660 blt 0,0,640,480,0, 558,640,480:waittimer 8675 blt 0,0,640,480,0, 559,640,480:waittimer 8691 blt 0,0,640,480,0, 560,640,480:waittimer 8706 blt 0,0,640,480,0, 561,640,480:waittimer 8722 blt 0,0,640,480,0, 562,640,480:waittimer 8737 blt 0,0,640,480,0, 563,640,480:waittimer 8753 blt 0,0,640,480,0, 564,640,480:waittimer 8768 blt 0,0,640,480,0, 565,640,480:waittimer 8784 blt 0,0,640,480,0, 566,640,480:waittimer 8799 blt 0,0,640,480,0, 567,640,480:waittimer 8815 blt 0,0,640,480,0, 568,640,480:waittimer 8831 blt 0,0,640,480,0, 569,640,480:waittimer 8846 blt 0,0,640,480,0, 570,640,480:waittimer 8862 blt 0,0,640,480,0, 571,640,480:waittimer 8877 blt 0,0,640,480,0, 572,640,480:waittimer 8893 blt 0,0,640,480,0, 573,640,480:waittimer 8908 blt 0,0,640,480,0, 574,640,480:waittimer 8924 blt 0,0,640,480,0, 575,640,480:waittimer 8939 blt 0,0,640,480,0, 576,640,480:waittimer 8955 blt 0,0,640,480,0, 577,640,480:waittimer 8971 blt 0,0,640,480,0, 578,640,480:waittimer 8986 blt 0,0,640,480,0, 579,640,480:waittimer 9002 blt 0,0,640,480,0, 580,640,480:waittimer 9017 blt 0,0,640,480,0, 581,640,480:waittimer 9033 blt 0,0,640,480,0, 582,640,480:waittimer 9048 blt 0,0,640,480,0, 583,640,480:waittimer 9064 blt 0,0,640,480,0, 584,640,480:waittimer 9079 blt 0,0,640,480,0, 585,640,480:waittimer 9095 blt 0,0,640,480,0, 586,640,480:waittimer 9110 blt 0,0,640,480,0, 587,640,480:waittimer 9126 blt 0,0,640,480,0, 588,640,480:waittimer 9142 blt 0,0,640,480,0, 589,640,480:waittimer 9157 blt 0,0,640,480,0, 590,640,480:waittimer 9173 blt 0,0,640,480,0, 591,640,480:waittimer 9188 blt 0,0,640,480,0, 592,640,480:waittimer 9204 blt 0,0,640,480,0, 593,640,480:waittimer 9219 blt 0,0,640,480,0, 594,640,480:waittimer 9235 blt 0,0,640,480,0, 595,640,480:waittimer 9250 blt 0,0,640,480,0, 596,640,480:waittimer 9266 blt 0,0,640,480,0, 597,640,480:waittimer 9281 blt 0,0,640,480,0, 598,640,480:waittimer 9297 blt 0,0,640,480,0, 599,640,480:waittimer 9313 blt 0,0,640,480,0, 600,640,480:waittimer 9328 blt 0,0,640,480,0, 601,640,480:waittimer 9344 blt 0,0,640,480,0, 602,640,480:waittimer 9359 blt 0,0,640,480,0, 603,640,480:waittimer 9375 blt 0,0,640,480,0, 604,640,480:waittimer 9390 blt 0,0,640,480,0, 605,640,480:waittimer 9406 blt 0,0,640,480,0, 606,640,480:waittimer 9421 blt 0,0,640,480,0, 607,640,480:waittimer 9437 blt 0,0,640,480,0, 608,640,480:waittimer 9453 blt 0,0,640,480,0, 609,640,480:waittimer 9468 blt 0,0,640,480,0, 610,640,480:waittimer 9484 blt 0,0,640,480,0, 611,640,480:waittimer 9499 blt 0,0,640,480,0, 612,640,480:waittimer 9515 blt 0,0,640,480,0, 613,640,480:waittimer 9530 blt 0,0,640,480,0, 614,640,480:waittimer 9546 blt 0,0,640,480,0, 615,640,480:waittimer 9561 blt 0,0,640,480,0, 616,640,480:waittimer 9577 blt 0,0,640,480,0, 617,640,480:waittimer 9592 blt 0,0,640,480,0, 618,640,480:waittimer 9608 blt 0,0,640,480,0, 619,640,480:waittimer 9624 blt 0,0,640,480,0, 620,640,480:waittimer 9639 blt 0,0,640,480,0, 621,640,480:waittimer 9655 blt 0,0,640,480,0, 622,640,480:waittimer 9670 blt 0,0,640,480,0, 623,640,480:waittimer 9686 blt 0,0,640,480,0, 624,640,480:waittimer 9701 blt 0,0,640,480,0, 625,640,480:waittimer 9717 blt 0,0,640,480,0, 626,640,480:waittimer 9732 blt 0,0,640,480,0, 627,640,480:waittimer 9748 blt 0,0,640,480,0, 628,640,480:waittimer 9763 blt 0,0,640,480,0, 629,640,480:waittimer 9779 blt 0,0,640,480,0, 630,640,480:waittimer 9795 blt 0,0,640,480,0, 631,640,480:waittimer 9810 blt 0,0,640,480,0, 632,640,480:waittimer 9826 blt 0,0,640,480,0, 633,640,480:waittimer 9841 blt 0,0,640,480,0, 634,640,480:waittimer 9857 blt 0,0,640,480,0, 635,640,480:waittimer 9872 blt 0,0,640,480,0, 636,640,480:waittimer 9888 blt 0,0,640,480,0, 637,640,480:waittimer 9903 blt 0,0,640,480,0, 638,640,480:waittimer 9919 blt 0,0,640,480,0, 639,640,480:waittimer 9934 blt 0,0,640,480,0, 640,640,480:waittimer 9950 blt 0,0,640,480,0, 641,640,480:waittimer 9966 blt 0,0,640,480,0, 642,640,480:waittimer 9981 blt 0,0,640,480,0, 643,640,480:waittimer 9997 blt 0,0,640,480,0, 644,640,480:waittimer 10012 blt 0,0,640,480,0, 645,640,480:waittimer 10028 blt 0,0,640,480,0, 646,640,480:waittimer 10043 blt 0,0,640,480,0, 647,640,480:waittimer 10059 blt 0,0,640,480,0, 648,640,480:waittimer 10074 blt 0,0,640,480,0, 649,640,480:waittimer 10090 blt 0,0,640,480,0, 650,640,480:waittimer 10106 blt 0,0,640,480,0, 651,640,480:waittimer 10121 blt 0,0,640,480,0, 652,640,480:waittimer 10137 blt 0,0,640,480,0, 653,640,480:waittimer 10152 blt 0,0,640,480,0, 654,640,480:waittimer 10168 blt 0,0,640,480,0, 655,640,480:waittimer 10183 blt 0,0,640,480,0, 656,640,480:waittimer 10199 blt 0,0,640,480,0, 657,640,480:waittimer 10214 blt 0,0,640,480,0, 658,640,480:waittimer 10230 blt 0,0,640,480,0, 659,640,480:waittimer 10245 blt 0,0,640,480,0, 660,640,480:waittimer 10261 blt 0,0,640,480,0, 661,640,480:waittimer 10277 blt 0,0,640,480,0, 662,640,480:waittimer 10292 blt 0,0,640,480,0, 663,640,480:waittimer 10308 blt 0,0,640,480,0, 664,640,480:waittimer 10323 blt 0,0,640,480,0, 665,640,480:waittimer 10339 blt 0,0,640,480,0, 666,640,480:waittimer 10354 blt 0,0,640,480,0, 667,640,480:waittimer 10370 blt 0,0,640,480,0, 668,640,480:waittimer 10385 blt 0,0,640,480,0, 669,640,480:waittimer 10401 blt 0,0,640,480,0, 670,640,480:waittimer 10416 blt 0,0,640,480,0, 671,640,480:waittimer 10432 blt 0,0,640,480,0, 672,640,480:waittimer 10448 blt 0,0,640,480,0, 673,640,480:waittimer 10463 blt 0,0,640,480,0, 674,640,480:waittimer 10479 blt 0,0,640,480,0, 675,640,480:waittimer 10494 blt 0,0,640,480,0, 676,640,480:waittimer 10510 blt 0,0,640,480,0, 677,640,480:waittimer 10525 blt 0,0,640,480,0, 678,640,480:waittimer 10541 blt 0,0,640,480,0, 679,640,480:waittimer 10556 blt 0,0,640,480,0, 680,640,480:waittimer 10572 blt 0,0,640,480,0, 681,640,480:waittimer 10587 blt 0,0,640,480,0, 682,640,480:waittimer 10603 blt 0,0,640,480,0, 683,640,480:waittimer 10619 blt 0,0,640,480,0, 684,640,480:waittimer 10634 blt 0,0,640,480,0, 685,640,480:waittimer 10650 blt 0,0,640,480,0, 686,640,480:waittimer 10665 blt 0,0,640,480,0, 687,640,480:waittimer 10681 blt 0,0,640,480,0, 688,640,480:waittimer 10696 blt 0,0,640,480,0, 689,640,480:waittimer 10712 blt 0,0,640,480,0, 690,640,480:waittimer 10727 blt 0,0,640,480,0, 691,640,480:waittimer 10743 blt 0,0,640,480,0, 692,640,480:waittimer 10759 blt 0,0,640,480,0, 693,640,480:waittimer 10774 blt 0,0,640,480,0, 694,640,480:waittimer 10790 blt 0,0,640,480,0, 695,640,480:waittimer 10805 blt 0,0,640,480,0, 696,640,480:waittimer 10821 blt 0,0,640,480,0, 697,640,480:waittimer 10836 blt 0,0,640,480,0, 698,640,480:waittimer 10852 blt 0,0,640,480,0, 699,640,480:waittimer 10867 blt 0,0,640,480,0, 700,640,480:waittimer 10883 blt 0,0,640,480,0, 701,640,480:waittimer 10898 blt 0,0,640,480,0, 702,640,480:waittimer 10914 blt 0,0,640,480,0, 703,640,480:waittimer 10930 blt 0,0,640,480,0, 704,640,480:waittimer 10945 blt 0,0,640,480,0, 705,640,480:waittimer 10961 blt 0,0,640,480,0, 706,640,480:waittimer 10976 blt 0,0,640,480,0, 707,640,480:waittimer 10992 blt 0,0,640,480,0, 708,640,480:waittimer 11007 blt 0,0,640,480,0, 709,640,480:waittimer 11023 blt 0,0,640,480,0, 710,640,480:waittimer 11038 blt 0,0,640,480,0, 711,640,480:waittimer 11054 blt 0,0,640,480,0, 712,640,480:waittimer 11069 blt 0,0,640,480,0, 713,640,480:waittimer 11085 blt 0,0,640,480,0, 714,640,480:waittimer 11101 blt 0,0,640,480,0, 715,640,480:waittimer 11116 blt 0,0,640,480,0, 716,640,480:waittimer 11132 blt 0,0,640,480,0, 717,640,480:waittimer 11147 blt 0,0,640,480,0, 718,640,480:waittimer 11163 blt 0,0,640,480,0, 719,640,480:waittimer 11178 blt 0,0,640,480,0, 720,640,480:waittimer 11194 blt 0,0,640,480,0, 721,640,480:waittimer 11209 blt 0,0,640,480,0, 722,640,480:waittimer 11225 blt 0,0,640,480,0, 723,640,480:waittimer 11240 blt 0,0,640,480,0, 724,640,480:waittimer 11256 blt 0,0,640,480,0, 725,640,480:waittimer 11272 blt 0,0,640,480,0, 726,640,480:waittimer 11287 blt 0,0,640,480,0, 727,640,480:waittimer 11303 blt 0,0,640,480,0, 728,640,480:waittimer 11318 blt 0,0,640,480,0, 729,640,480:waittimer 11334 blt 0,0,640,480,0, 730,640,480:waittimer 11349 blt 0,0,640,480,0, 731,640,480:waittimer 11365 blt 0,0,640,480,0, 732,640,480:waittimer 11380 blt 0,0,640,480,0, 733,640,480:waittimer 11396 blt 0,0,640,480,0, 734,640,480:waittimer 11412 blt 0,0,640,480,0, 735,640,480:waittimer 11427 blt 0,0,640,480,0, 736,640,480:waittimer 11443 blt 0,0,640,480,0, 737,640,480:waittimer 11458 blt 0,0,640,480,0, 738,640,480:waittimer 11474 blt 0,0,640,480,0, 739,640,480:waittimer 11489 blt 0,0,640,480,0, 740,640,480:waittimer 11505 blt 0,0,640,480,0, 741,640,480:waittimer 11520 blt 0,0,640,480,0, 742,640,480:waittimer 11536 blt 0,0,640,480,0, 743,640,480:waittimer 11551 blt 0,0,640,480,0, 744,640,480:waittimer 11567 blt 0,0,640,480,0, 745,640,480:waittimer 11583 blt 0,0,640,480,0, 746,640,480:waittimer 11598 blt 0,0,640,480,0, 747,640,480:waittimer 11614 blt 0,0,640,480,0, 748,640,480:waittimer 11629 blt 0,0,640,480,0, 749,640,480:waittimer 11645 blt 0,0,640,480,0, 750,640,480:waittimer 11660 blt 0,0,640,480,0, 751,640,480:waittimer 11676 blt 0,0,640,480,0, 752,640,480:waittimer 11691 blt 0,0,640,480,0, 753,640,480:waittimer 11707 blt 0,0,640,480,0, 754,640,480:waittimer 11722 blt 0,0,640,480,0, 755,640,480:waittimer 11738 blt 0,0,640,480,0, 756,640,480:waittimer 11754 blt 0,0,640,480,0, 757,640,480:waittimer 11769 blt 0,0,640,480,0, 758,640,480:waittimer 11785 blt 0,0,640,480,0, 759,640,480:waittimer 11800 blt 0,0,640,480,0, 760,640,480:waittimer 11816 blt 0,0,640,480,0, 761,640,480:waittimer 11831 blt 0,0,640,480,0, 762,640,480:waittimer 11847 blt 0,0,640,480,0, 763,640,480:waittimer 11862 blt 0,0,640,480,0, 764,640,480:waittimer 11878 blt 0,0,640,480,0, 765,640,480:waittimer 11893 blt 0,0,640,480,0, 766,640,480:waittimer 11909 blt 0,0,640,480,0, 767,640,480:waittimer 11925 blt 0,0,640,480,0, 768,640,480:waittimer 11940 blt 0,0,640,480,0, 769,640,480:waittimer 11956 blt 0,0,640,480,0, 770,640,480:waittimer 11971 blt 0,0,640,480,0, 771,640,480:waittimer 11987 blt 0,0,640,480,0, 772,640,480:waittimer 12002 blt 0,0,640,480,0, 773,640,480:waittimer 12018 blt 0,0,640,480,0, 774,640,480:waittimer 12033 blt 0,0,640,480,0, 775,640,480:waittimer 12049 blt 0,0,640,480,0, 776,640,480:waittimer 12065 blt 0,0,640,480,0, 777,640,480:waittimer 12080 blt 0,0,640,480,0, 778,640,480:waittimer 12096 blt 0,0,640,480,0, 779,640,480:waittimer 12111 blt 0,0,640,480,0, 780,640,480:waittimer 12127 blt 0,0,640,480,0, 781,640,480:waittimer 12142 blt 0,0,640,480,0, 782,640,480:waittimer 12158 blt 0,0,640,480,0, 783,640,480:waittimer 12173 blt 0,0,640,480,0, 784,640,480:waittimer 12189 blt 0,0,640,480,0, 785,640,480:waittimer 12204 blt 0,0,640,480,0, 786,640,480:waittimer 12220 blt 0,0,640,480,0, 787,640,480:waittimer 12236 blt 0,0,640,480,0, 788,640,480:waittimer 12251 blt 0,0,640,480,0, 789,640,480:waittimer 12267 blt 0,0,640,480,0, 790,640,480:waittimer 12282 blt 0,0,640,480,0, 791,640,480:waittimer 12298 blt 0,0,640,480,0, 792,640,480:waittimer 12313 blt 0,0,640,480,0, 793,640,480:waittimer 12329 blt 0,0,640,480,0, 794,640,480:waittimer 12344 blt 0,0,640,480,0, 795,640,480:waittimer 12360 blt 0,0,640,480,0, 796,640,480:waittimer 12375 blt 0,0,640,480,0, 797,640,480:waittimer 12391 blt 0,0,640,480,0, 798,640,480:waittimer 12407 blt 0,0,640,480,0, 799,640,480:waittimer 12422 blt 0,0,640,480,0, 800,640,480:waittimer 12438 blt 0,0,640,480,0, 801,640,480:waittimer 12453 blt 0,0,640,480,0, 802,640,480:waittimer 12469 blt 0,0,640,480,0, 803,640,480:waittimer 12484 blt 0,0,640,480,0, 804,640,480:waittimer 12500 blt 0,0,640,480,0, 805,640,480:waittimer 12515 blt 0,0,640,480,0, 806,640,480:waittimer 12531 blt 0,0,640,480,0, 807,640,480:waittimer 12546 blt 0,0,640,480,0, 808,640,480:waittimer 12562 blt 0,0,640,480,0, 809,640,480:waittimer 12578 blt 0,0,640,480,0, 810,640,480:waittimer 12593 blt 0,0,640,480,0, 811,640,480:waittimer 12609 blt 0,0,640,480,0, 812,640,480:waittimer 12624 blt 0,0,640,480,0, 813,640,480:waittimer 12640 blt 0,0,640,480,0, 814,640,480:waittimer 12655 blt 0,0,640,480,0, 815,640,480:waittimer 12671 blt 0,0,640,480,0, 816,640,480:waittimer 12686 blt 0,0,640,480,0, 817,640,480:waittimer 12702 blt 0,0,640,480,0, 818,640,480:waittimer 12718 blt 0,0,640,480,0, 819,640,480:waittimer 12733 blt 0,0,640,480,0, 820,640,480:waittimer 12749 blt 0,0,640,480,0, 821,640,480:waittimer 12764 blt 0,0,640,480,0, 822,640,480:waittimer 12780 blt 0,0,640,480,0, 823,640,480:waittimer 12795 blt 0,0,640,480,0, 824,640,480:waittimer 12811 blt 0,0,640,480,0, 825,640,480:waittimer 12826 blt 0,0,640,480,0, 826,640,480:waittimer 12842 blt 0,0,640,480,0, 827,640,480:waittimer 12857 blt 0,0,640,480,0, 828,640,480:waittimer 12873 blt 0,0,640,480,0, 829,640,480:waittimer 12889 blt 0,0,640,480,0, 830,640,480:waittimer 12904 blt 0,0,640,480,0, 831,640,480:waittimer 12920 blt 0,0,640,480,0, 832,640,480:waittimer 12935 blt 0,0,640,480,0, 833,640,480:waittimer 12951 blt 0,0,640,480,0, 834,640,480:waittimer 12966 blt 0,0,640,480,0, 835,640,480:waittimer 12982 blt 0,0,640,480,0, 836,640,480:waittimer 12997 blt 0,0,640,480,0, 837,640,480:waittimer 13013 blt 0,0,640,480,0, 838,640,480:waittimer 13028 blt 0,0,640,480,0, 839,640,480:waittimer 13044 blt 0,0,640,480,0, 840,640,480:waittimer 13060 blt 0,0,640,480,0, 841,640,480:waittimer 13075 blt 0,0,640,480,0, 842,640,480:waittimer 13091 blt 0,0,640,480,0, 843,640,480:waittimer 13106 blt 0,0,640,480,0, 844,640,480:waittimer 13122 blt 0,0,640,480,0, 845,640,480:waittimer 13137 blt 0,0,640,480,0, 846,640,480:waittimer 13153 blt 0,0,640,480,0, 847,640,480:waittimer 13168 blt 0,0,640,480,0, 848,640,480:waittimer 13184 blt 0,0,640,480,0, 849,640,480:waittimer 13199 blt 0,0,640,480,0, 850,640,480:waittimer 13215 blt 0,0,640,480,0, 851,640,480:waittimer 13231 blt 0,0,640,480,0, 852,640,480:waittimer 13246 blt 0,0,640,480,0, 853,640,480:waittimer 13262 blt 0,0,640,480,0, 854,640,480:waittimer 13277 blt 0,0,640,480,0, 855,640,480:waittimer 13293 blt 0,0,640,480,0, 856,640,480:waittimer 13308 blt 0,0,640,480,0, 857,640,480:waittimer 13324 blt 0,0,640,480,0, 858,640,480:waittimer 13339 blt 0,0,640,480,0, 859,640,480:waittimer 13355 blt 0,0,640,480,0, 860,640,480:waittimer 13371 blt 0,0,640,480,0, 861,640,480:waittimer 13386 blt 0,0,640,480,0, 862,640,480:waittimer 13402 blt 0,0,640,480,0, 863,640,480:waittimer 13417 blt 0,0,640,480,0, 864,640,480:waittimer 13433 blt 0,0,640,480,0, 865,640,480:waittimer 13448 blt 0,0,640,480,0, 866,640,480:waittimer 13464 blt 0,0,640,480,0, 867,640,480:waittimer 13479 blt 0,0,640,480,0, 868,640,480:waittimer 13495 blt 0,0,640,480,0, 869,640,480:waittimer 13510 blt 0,0,640,480,0, 870,640,480:waittimer 13526 blt 0,0,640,480,0, 871,640,480:waittimer 13542 blt 0,0,640,480,0, 872,640,480:waittimer 13557 blt 0,0,640,480,0, 873,640,480:waittimer 13573 blt 0,0,640,480,0, 874,640,480:waittimer 13588 blt 0,0,640,480,0, 875,640,480:waittimer 13604 blt 0,0,640,480,0, 876,640,480:waittimer 13619 blt 0,0,640,480,0, 877,640,480:waittimer 13635 blt 0,0,640,480,0, 878,640,480:waittimer 13650 blt 0,0,640,480,0, 879,640,480:waittimer 13666 blt 0,0,640,480,0, 880,640,480:waittimer 13681 blt 0,0,640,480,0, 881,640,480:waittimer 13697 blt 0,0,640,480,0, 882,640,480:waittimer 13713 blt 0,0,640,480,0, 883,640,480:waittimer 13728 blt 0,0,640,480,0, 884,640,480:waittimer 13744 blt 0,0,640,480,0, 885,640,480:waittimer 13759 blt 0,0,640,480,0, 886,640,480:waittimer 13775 blt 0,0,640,480,0, 887,640,480:waittimer 13790 blt 0,0,640,480,0, 888,640,480:waittimer 13806 blt 0,0,640,480,0, 889,640,480:waittimer 13821 blt 0,0,640,480,0, 890,640,480:waittimer 13837 blt 0,0,640,480,0, 891,640,480:waittimer 13853 blt 0,0,640,480,0, 892,640,480:waittimer 13868 blt 0,0,640,480,0, 893,640,480:waittimer 13884 blt 0,0,640,480,0, 894,640,480:waittimer 13899 blt 0,0,640,480,0, 895,640,480:waittimer 13915 blt 0,0,640,480,0, 896,640,480:waittimer 13930 blt 0,0,640,480,0, 897,640,480:waittimer 13946 blt 0,0,640,480,0, 898,640,480:waittimer 13961 blt 0,0,640,480,0, 899,640,480:waittimer 13977 blt 0,0,640,480,0, 900,640,480:waittimer 13992 blt 0,0,640,480,0, 901,640,480:waittimer 14008 blt 0,0,640,480,0, 902,640,480:waittimer 14024 blt 0,0,640,480,0, 903,640,480:waittimer 14039 blt 0,0,640,480,0, 904,640,480:waittimer 14055 blt 0,0,640,480,0, 905,640,480:waittimer 14070 blt 0,0,640,480,0, 906,640,480:waittimer 14086 blt 0,0,640,480,0, 907,640,480:waittimer 14101 blt 0,0,640,480,0, 908,640,480:waittimer 14117 blt 0,0,640,480,0, 909,640,480:waittimer 14132 blt 0,0,640,480,0, 910,640,480:waittimer 14148 blt 0,0,640,480,0, 911,640,480:waittimer 14163 blt 0,0,640,480,0, 912,640,480:waittimer 14179 blt 0,0,640,480,0, 913,640,480:waittimer 14195 blt 0,0,640,480,0, 914,640,480:waittimer 14210 blt 0,0,640,480,0, 915,640,480:waittimer 14226 blt 0,0,640,480,0, 916,640,480:waittimer 14241 blt 0,0,640,480,0, 917,640,480:waittimer 14257 blt 0,0,640,480,0, 918,640,480:waittimer 14272 blt 0,0,640,480,0, 919,640,480:waittimer 14288 blt 0,0,640,480,0, 920,640,480:waittimer 14303 blt 0,0,640,480,0, 921,640,480:waittimer 14319 blt 0,0,640,480,0, 922,640,480:waittimer 14334 blt 0,0,640,480,0, 923,640,480:waittimer 14350 blt 0,0,640,480,0, 924,640,480:waittimer 14366 blt 0,0,640,480,0, 925,640,480:waittimer 14381 blt 0,0,640,480,0, 926,640,480:waittimer 14397 blt 0,0,640,480,0, 927,640,480:waittimer 14412 blt 0,0,640,480,0, 928,640,480:waittimer 14428 blt 0,0,640,480,0, 929,640,480:waittimer 14443 blt 0,0,640,480,0, 930,640,480:waittimer 14459 blt 0,0,640,480,0, 931,640,480:waittimer 14474 blt 0,0,640,480,0, 932,640,480:waittimer 14490 blt 0,0,640,480,0, 933,640,480:waittimer 14506 blt 0,0,640,480,0, 934,640,480:waittimer 14521 blt 0,0,640,480,0, 935,640,480:waittimer 14537 blt 0,0,640,480,0, 936,640,480:waittimer 14552 blt 0,0,640,480,0, 937,640,480:waittimer 14568 blt 0,0,640,480,0, 938,640,480:waittimer 14583 blt 0,0,640,480,0, 939,640,480:waittimer 14599 blt 0,0,640,480,0, 940,640,480:waittimer 14614 blt 0,0,640,480,0, 941,640,480:waittimer 14630 blt 0,0,640,480,0, 942,640,480:waittimer 14645 blt 0,0,640,480,0, 943,640,480:waittimer 14661 blt 0,0,640,480,0, 944,640,480:waittimer 14677 blt 0,0,640,480,0, 945,640,480:waittimer 14692 blt 0,0,640,480,0, 946,640,480:waittimer 14708 blt 0,0,640,480,0, 947,640,480:waittimer 14723 blt 0,0,640,480,0, 948,640,480:waittimer 14739 blt 0,0,640,480,0, 949,640,480:waittimer 14754 blt 0,0,640,480,0, 950,640,480:waittimer 14770 blt 0,0,640,480,0, 951,640,480:waittimer 14785 blt 0,0,640,480,0, 952,640,480:waittimer 14801 blt 0,0,640,480,0, 953,640,480:waittimer 14816 blt 0,0,640,480,0, 954,640,480:waittimer 14832 blt 0,0,640,480,0, 955,640,480:waittimer 14848 blt 0,0,640,480,0, 956,640,480:waittimer 14863 blt 0,0,640,480,0, 957,640,480:waittimer 14879 blt 0,0,640,480,0, 958,640,480:waittimer 14894 blt 0,0,640,480,0, 959,640,480:waittimer 14910 blt 0,0,640,480,0, 960,640,480:waittimer 14925 blt 0,0,640,480,0, 961,640,480:waittimer 14941 blt 0,0,640,480,0, 962,640,480:waittimer 14956 blt 0,0,640,480,0, 963,640,480:waittimer 14972 blt 0,0,640,480,0, 964,640,480:waittimer 14987 blt 0,0,640,480,0, 965,640,480:waittimer 15003 blt 0,0,640,480,0, 966,640,480:waittimer 15019 blt 0,0,640,480,0, 967,640,480:waittimer 15034 blt 0,0,640,480,0, 968,640,480:waittimer 15050 blt 0,0,640,480,0, 969,640,480:waittimer 15065 blt 0,0,640,480,0, 970,640,480:waittimer 15081 blt 0,0,640,480,0, 971,640,480:waittimer 15096 blt 0,0,640,480,0, 972,640,480:waittimer 15112 blt 0,0,640,480,0, 973,640,480:waittimer 15127 blt 0,0,640,480,0, 974,640,480:waittimer 15143 blt 0,0,640,480,0, 975,640,480:waittimer 15159 blt 0,0,640,480,0, 976,640,480:waittimer 15174 blt 0,0,640,480,0, 977,640,480:waittimer 15190 blt 0,0,640,480,0, 978,640,480:waittimer 15205 blt 0,0,640,480,0, 979,640,480:waittimer 15221 blt 0,0,640,480,0, 980,640,480:waittimer 15236 blt 0,0,640,480,0, 981,640,480:waittimer 15252 blt 0,0,640,480,0, 982,640,480:waittimer 15267 blt 0,0,640,480,0, 983,640,480:waittimer 15283 blt 0,0,640,480,0, 984,640,480:waittimer 15298 blt 0,0,640,480,0, 985,640,480:waittimer 15314 blt 0,0,640,480,0, 986,640,480:waittimer 15330 blt 0,0,640,480,0, 987,640,480:waittimer 15345 blt 0,0,640,480,0, 988,640,480:waittimer 15361 blt 0,0,640,480,0, 989,640,480:waittimer 15376 blt 0,0,640,480,0, 990,640,480:waittimer 15392 blt 0,0,640,480,0, 991,640,480:waittimer 15407 blt 0,0,640,480,0, 992,640,480:waittimer 15423 blt 0,0,640,480,0, 993,640,480:waittimer 15438 blt 0,0,640,480,0, 994,640,480:waittimer 15454 blt 0,0,640,480,0, 995,640,480:waittimer 15469 blt 0,0,640,480,0, 996,640,480:waittimer 15485 blt 0,0,640,480,0, 997,640,480:waittimer 15501 blt 0,0,640,480,0, 998,640,480:waittimer 15516 blt 0,0,640,480,0, 999,640,480:waittimer 15532 blt 0,0,640,480,0, 1000,640,480:waittimer 15547 blt 0,0,640,480,0, 1001,640,480:waittimer 15563 blt 0,0,640,480,0, 1002,640,480:waittimer 15578 blt 0,0,640,480,0, 1003,640,480:waittimer 15594 blt 0,0,640,480,0, 1004,640,480:waittimer 15609 blt 0,0,640,480,0, 1005,640,480:waittimer 15625 blt 0,0,640,480,0, 1006,640,480:waittimer 15640 blt 0,0,640,480,0, 1007,640,480:waittimer 15656 blt 0,0,640,480,0, 1008,640,480:waittimer 15672 blt 0,0,640,480,0, 1009,640,480:waittimer 15687 blt 0,0,640,480,0, 1010,640,480:waittimer 15703 blt 0,0,640,480,0, 1011,640,480:waittimer 15718 blt 0,0,640,480,0, 1012,640,480:waittimer 15734 blt 0,0,640,480,0, 1013,640,480:waittimer 15749 blt 0,0,640,480,0, 1014,640,480:waittimer 15765 blt 0,0,640,480,0, 1015,640,480:waittimer 15780 blt 0,0,640,480,0, 1016,640,480:waittimer 15796 blt 0,0,640,480,0, 1017,640,480:waittimer 15812 blt 0,0,640,480,0, 1018,640,480:waittimer 15827 blt 0,0,640,480,0, 1019,640,480:waittimer 15843 blt 0,0,640,480,0, 1020,640,480:waittimer 15858 blt 0,0,640,480,0, 1021,640,480:waittimer 15874 blt 0,0,640,480,0, 1022,640,480:waittimer 15889 blt 0,0,640,480,0, 1023,640,480:waittimer 15905 blt 0,0,640,480,0, 1024,640,480:waittimer 15920 blt 0,0,640,480,0, 1025,640,480:waittimer 15936 blt 0,0,640,480,0, 1026,640,480:waittimer 15951 blt 0,0,640,480,0, 1027,640,480:waittimer 15967 blt 0,0,640,480,0, 1028,640,480:waittimer 15983 blt 0,0,640,480,0, 1029,640,480:waittimer 15998 blt 0,0,640,480,0, 1030,640,480:waittimer 16014 blt 0,0,640,480,0, 1031,640,480:waittimer 16029 blt 0,0,640,480,0, 1032,640,480:waittimer 16045 blt 0,0,640,480,0, 1033,640,480:waittimer 16060 blt 0,0,640,480,0, 1034,640,480:waittimer 16076 blt 0,0,640,480,0, 1035,640,480:waittimer 16091 blt 0,0,640,480,0, 1036,640,480:waittimer 16107 blt 0,0,640,480,0, 1037,640,480:waittimer 16122 blt 0,0,640,480,0, 1038,640,480:waittimer 16138 blt 0,0,640,480,0, 1039,640,480:waittimer 16154 blt 0,0,640,480,0, 1040,640,480:waittimer 16169 blt 0,0,640,480,0, 1041,640,480:waittimer 16185 blt 0,0,640,480,0, 1042,640,480:waittimer 16200 blt 0,0,640,480,0, 1043,640,480:waittimer 16216 blt 0,0,640,480,0, 1044,640,480:waittimer 16231 blt 0,0,640,480,0, 1045,640,480:waittimer 16247 blt 0,0,640,480,0, 1046,640,480:waittimer 16262 blt 0,0,640,480,0, 1047,640,480:waittimer 16278 blt 0,0,640,480,0, 1048,640,480:waittimer 16293 blt 0,0,640,480,0, 1049,640,480:waittimer 16309 blt 0,0,640,480,0, 1050,640,480:waittimer 16325 blt 0,0,640,480,0, 1051,640,480:waittimer 16340 blt 0,0,640,480,0, 1052,640,480:waittimer 16356 blt 0,0,640,480,0, 1053,640,480:waittimer 16371 blt 0,0,640,480,0, 1054,640,480:waittimer 16387 blt 0,0,640,480,0, 1055,640,480:waittimer 16402 blt 0,0,640,480,0, 1056,640,480:waittimer 16418 blt 0,0,640,480,0, 1057,640,480:waittimer 16433 blt 0,0,640,480,0, 1058,640,480:waittimer 16449 blt 0,0,640,480,0, 1059,640,480:waittimer 16465 blt 0,0,640,480,0, 1060,640,480:waittimer 16480 blt 0,0,640,480,0, 1061,640,480:waittimer 16496 blt 0,0,640,480,0, 1062,640,480:waittimer 16511 blt 0,0,640,480,0, 1063,640,480:waittimer 16527 blt 0,0,640,480,0, 1064,640,480:waittimer 16542 blt 0,0,640,480,0, 1065,640,480:waittimer 16558 blt 0,0,640,480,0, 1066,640,480:waittimer 16573 blt 0,0,640,480,0, 1067,640,480:waittimer 16589 blt 0,0,640,480,0, 1068,640,480:waittimer 16604 blt 0,0,640,480,0, 1069,640,480:waittimer 16620 blt 0,0,640,480,0, 1070,640,480:waittimer 16636 blt 0,0,640,480,0, 1071,640,480:waittimer 16651 blt 0,0,640,480,0, 1072,640,480:waittimer 16667 blt 0,0,640,480,0, 1073,640,480:waittimer 16682 blt 0,0,640,480,0, 1074,640,480:waittimer 16698 blt 0,0,640,480,0, 1075,640,480:waittimer 16713 blt 0,0,640,480,0, 1076,640,480:waittimer 16729 blt 0,0,640,480,0, 1077,640,480:waittimer 16744 blt 0,0,640,480,0, 1078,640,480:waittimer 16760 blt 0,0,640,480,0, 1079,640,480:waittimer 16775 blt 0,0,640,480,0, 1080,640,480:waittimer 16791 blt 0,0,640,480,0, 1081,640,480:waittimer 16807 blt 0,0,640,480,0, 1082,640,480:waittimer 16822 blt 0,0,640,480,0, 1083,640,480:waittimer 16838 blt 0,0,640,480,0, 1084,640,480:waittimer 16853 blt 0,0,640,480,0, 1085,640,480:waittimer 16869 blt 0,0,640,480,0, 1086,640,480:waittimer 16884 blt 0,0,640,480,0, 1087,640,480:waittimer 16900 blt 0,0,640,480,0, 1088,640,480:waittimer 16915 blt 0,0,640,480,0, 1089,640,480:waittimer 16931 blt 0,0,640,480,0, 1090,640,480:waittimer 16946 blt 0,0,640,480,0, 1091,640,480:waittimer 16962 blt 0,0,640,480,0, 1092,640,480:waittimer 16978 blt 0,0,640,480,0, 1093,640,480:waittimer 16993 blt 0,0,640,480,0, 1094,640,480:waittimer 17009 blt 0,0,640,480,0, 1095,640,480:waittimer 17024 blt 0,0,640,480,0, 1096,640,480:waittimer 17040 blt 0,0,640,480,0, 1097,640,480:waittimer 17055 blt 0,0,640,480,0, 1098,640,480:waittimer 17071 blt 0,0,640,480,0, 1099,640,480:waittimer 17086 blt 0,0,640,480,0, 1100,640,480:waittimer 17102 blt 0,0,640,480,0, 1101,640,480:waittimer 17118 blt 0,0,640,480,0, 1102,640,480:waittimer 17133 blt 0,0,640,480,0, 1103,640,480:waittimer 17149 blt 0,0,640,480,0, 1104,640,480:waittimer 17164 blt 0,0,640,480,0, 1105,640,480:waittimer 17180 blt 0,0,640,480,0, 1106,640,480:waittimer 17195 blt 0,0,640,480,0, 1107,640,480:waittimer 17211 blt 0,0,640,480,0, 1108,640,480:waittimer 17226 blt 0,0,640,480,0, 1109,640,480:waittimer 17242 blt 0,0,640,480,0, 1110,640,480:waittimer 17257 blt 0,0,640,480,0, 1111,640,480:waittimer 17273 blt 0,0,640,480,0, 1112,640,480:waittimer 17289 blt 0,0,640,480,0, 1113,640,480:waittimer 17304 blt 0,0,640,480,0, 1114,640,480:waittimer 17320 blt 0,0,640,480,0, 1115,640,480:waittimer 17335 blt 0,0,640,480,0, 1116,640,480:waittimer 17351 blt 0,0,640,480,0, 1117,640,480:waittimer 17366 blt 0,0,640,480,0, 1118,640,480:waittimer 17382 blt 0,0,640,480,0, 1119,640,480:waittimer 17397 blt 0,0,640,480,0, 1120,640,480:waittimer 17413 blt 0,0,640,480,0, 1121,640,480:waittimer 17428 blt 0,0,640,480,0, 1122,640,480:waittimer 17444 blt 0,0,640,480,0, 1123,640,480:waittimer 17460 blt 0,0,640,480,0, 1124,640,480:waittimer 17475 blt 0,0,640,480,0, 1125,640,480:waittimer 17491 blt 0,0,640,480,0, 1126,640,480:waittimer 17506 blt 0,0,640,480,0, 1127,640,480:waittimer 17522 blt 0,0,640,480,0, 1128,640,480:waittimer 17537 blt 0,0,640,480,0, 1129,640,480:waittimer 17553 blt 0,0,640,480,0, 1130,640,480:waittimer 17568 blt 0,0,640,480,0, 1131,640,480:waittimer 17584 blt 0,0,640,480,0, 1132,640,480:waittimer 17599 blt 0,0,640,480,0, 1133,640,480:waittimer 17615 blt 0,0,640,480,0, 1134,640,480:waittimer 17631 blt 0,0,640,480,0, 1135,640,480:waittimer 17646 blt 0,0,640,480,0, 1136,640,480:waittimer 17662 blt 0,0,640,480,0, 1137,640,480:waittimer 17677 blt 0,0,640,480,0, 1138,640,480:waittimer 17693 blt 0,0,640,480,0, 1139,640,480:waittimer 17708 blt 0,0,640,480,0, 1140,640,480:waittimer 17724 blt 0,0,640,480,0, 1141,640,480:waittimer 17739 blt 0,0,640,480,0, 1142,640,480:waittimer 17755 blt 0,0,640,480,0, 1143,640,480:waittimer 17771 blt 0,0,640,480,0, 1144,640,480:waittimer 17786 blt 0,0,640,480,0, 1145,640,480:waittimer 17802 blt 0,0,640,480,0, 1146,640,480:waittimer 17817 blt 0,0,640,480,0, 1147,640,480:waittimer 17833 blt 0,0,640,480,0, 1148,640,480:waittimer 17848 blt 0,0,640,480,0, 1149,640,480:waittimer 17864 blt 0,0,640,480,0, 1150,640,480:waittimer 17879 blt 0,0,640,480,0, 1151,640,480:waittimer 17895 blt 0,0,640,480,0, 1152,640,480:waittimer 17910 blt 0,0,640,480,0, 1153,640,480:waittimer 17926 blt 0,0,640,480,0, 1154,640,480:waittimer 17942 blt 0,0,640,480,0, 1155,640,480:waittimer 17957 blt 0,0,640,480,0, 1156,640,480:waittimer 17973 blt 0,0,640,480,0, 1157,640,480:waittimer 17988 blt 0,0,640,480,0, 1158,640,480:waittimer 18004 blt 0,0,640,480,0, 1159,640,480:waittimer 18019 blt 0,0,640,480,0, 1160,640,480:waittimer 18035 blt 0,0,640,480,0, 1161,640,480:waittimer 18050 blt 0,0,640,480,0, 1162,640,480:waittimer 18066 blt 0,0,640,480,0, 1163,640,480:waittimer 18081 blt 0,0,640,480,0, 1164,640,480:waittimer 18097 blt 0,0,640,480,0, 1165,640,480:waittimer 18113 blt 0,0,640,480,0, 1166,640,480:waittimer 18128 blt 0,0,640,480,0, 1167,640,480:waittimer 18144 blt 0,0,640,480,0, 1168,640,480:waittimer 18159 blt 0,0,640,480,0, 1169,640,480:waittimer 18175 blt 0,0,640,480,0, 1170,640,480:waittimer 18190 blt 0,0,640,480,0, 1171,640,480:waittimer 18206 blt 0,0,640,480,0, 1172,640,480:waittimer 18221 blt 0,0,640,480,0, 1173,640,480:waittimer 18237 blt 0,0,640,480,0, 1174,640,480:waittimer 18253 blt 0,0,640,480,0, 1175,640,480:waittimer 18268 blt 0,0,640,480,0, 1176,640,480:waittimer 18284 blt 0,0,640,480,0, 1177,640,480:waittimer 18299 blt 0,0,640,480,0, 1178,640,480:waittimer 18315 blt 0,0,640,480,0, 1179,640,480:waittimer 18330 blt 0,0,640,480,0, 1180,640,480:waittimer 18346 blt 0,0,640,480,0, 1181,640,480:waittimer 18361 blt 0,0,640,480,0, 1182,640,480:waittimer 18377 blt 0,0,640,480,0, 1183,640,480:waittimer 18392 blt 0,0,640,480,0, 1184,640,480:waittimer 18408 blt 0,0,640,480,0, 1185,640,480:waittimer 18424 blt 0,0,640,480,0, 1186,640,480:waittimer 18439 blt 0,0,640,480,0, 1187,640,480:waittimer 18455 blt 0,0,640,480,0, 1188,640,480:waittimer 18470 blt 0,0,640,480,0, 1189,640,480:waittimer 18486 blt 0,0,640,480,0, 1190,640,480:waittimer 18501 blt 0,0,640,480,0, 1191,640,480:waittimer 18517 blt 0,0,640,480,0, 1192,640,480:waittimer 18532 blt 0,0,640,480,0, 1193,640,480:waittimer 18548 blt 0,0,640,480,0, 1194,640,480:waittimer 18563 blt 0,0,640,480,0, 1195,640,480:waittimer 18579 blt 0,0,640,480,0, 1196,640,480:waittimer 18595 blt 0,0,640,480,0, 1197,640,480:waittimer 18610 blt 0,0,640,480,0, 1198,640,480:waittimer 18626 blt 0,0,640,480,0, 1199,640,480:waittimer 18641 blt 0,0,640,480,0, 1200,640,480:waittimer 18657 blt 0,0,640,480,0, 1201,640,480:waittimer 18672 blt 0,0,640,480,0, 1202,640,480:waittimer 18688 blt 0,0,640,480,0, 1203,640,480:waittimer 18703 blt 0,0,640,480,0, 1204,640,480:waittimer 18719 blt 0,0,640,480,0, 1205,640,480:waittimer 18734 blt 0,0,640,480,0, 1206,640,480:waittimer 18750 blt 0,0,640,480,0, 1207,640,480:waittimer 18766 blt 0,0,640,480,0, 1208,640,480:waittimer 18781 blt 0,0,640,480,0, 1209,640,480:waittimer 18797 blt 0,0,640,480,0, 1210,640,480:waittimer 18812 blt 0,0,640,480,0, 1211,640,480:waittimer 18828 blt 0,0,640,480,0, 1212,640,480:waittimer 18843 blt 0,0,640,480,0, 1213,640,480:waittimer 18859 blt 0,0,640,480,0, 1214,640,480:waittimer 18874 blt 0,0,640,480,0, 1215,640,480:waittimer 18890 blt 0,0,640,480,0, 1216,640,480:waittimer 18906 blt 0,0,640,480,0, 1217,640,480:waittimer 18921 blt 0,0,640,480,0, 1218,640,480:waittimer 18937 blt 0,0,640,480,0, 1219,640,480:waittimer 18952 blt 0,0,640,480,0, 1220,640,480:waittimer 18968 blt 0,0,640,480,0, 1221,640,480:waittimer 18983 blt 0,0,640,480,0, 1222,640,480:waittimer 18999 blt 0,0,640,480,0, 1223,640,480:waittimer 19014 blt 0,0,640,480,0, 1224,640,480:waittimer 19030 blt 0,0,640,480,0, 1225,640,480:waittimer 19045 blt 0,0,640,480,0, 1226,640,480:waittimer 19061 blt 0,0,640,480,0, 1227,640,480:waittimer 19077 blt 0,0,640,480,0, 1228,640,480:waittimer 19092 blt 0,0,640,480,0, 1229,640,480:waittimer 19108 blt 0,0,640,480,0, 1230,640,480:waittimer 19123 blt 0,0,640,480,0, 1231,640,480:waittimer 19139 blt 0,0,640,480,0, 1232,640,480:waittimer 19154 blt 0,0,640,480,0, 1233,640,480:waittimer 19170 blt 0,0,640,480,0, 1234,640,480:waittimer 19185 blt 0,0,640,480,0, 1235,640,480:waittimer 19201 blt 0,0,640,480,0, 1236,640,480:waittimer 19216 blt 0,0,640,480,0, 1237,640,480:waittimer 19232 blt 0,0,640,480,0, 1238,640,480:waittimer 19248 blt 0,0,640,480,0, 1239,640,480:waittimer 19263 blt 0,0,640,480,0, 1240,640,480:waittimer 19279 blt 0,0,640,480,0, 1241,640,480:waittimer 19294 blt 0,0,640,480,0, 1242,640,480:waittimer 19310 blt 0,0,640,480,0, 1243,640,480:waittimer 19325 blt 0,0,640,480,0, 1244,640,480:waittimer 19341 blt 0,0,640,480,0, 1245,640,480:waittimer 19356 blt 0,0,640,480,0, 1246,640,480:waittimer 19372 blt 0,0,640,480,0, 1247,640,480:waittimer 19387 blt 0,0,640,480,0, 1248,640,480:waittimer 19403 blt 0,0,640,480,0, 1249,640,480:waittimer 19419 blt 0,0,640,480,0, 1250,640,480:waittimer 19434 blt 0,0,640,480,0, 1251,640,480:waittimer 19450 blt 0,0,640,480,0, 1252,640,480:waittimer 19465 blt 0,0,640,480,0, 1253,640,480:waittimer 19481 blt 0,0,640,480,0, 1254,640,480:waittimer 19496 blt 0,0,640,480,0, 1255,640,480:waittimer 19512 blt 0,0,640,480,0, 1256,640,480:waittimer 19527 blt 0,0,640,480,0, 1257,640,480:waittimer 19543 blt 0,0,640,480,0, 1258,640,480:waittimer 19559 blt 0,0,640,480,0, 1259,640,480:waittimer 19574 blt 0,0,640,480,0, 1260,640,480:waittimer 19590 blt 0,0,640,480,0, 1261,640,480:waittimer 19605 blt 0,0,640,480,0, 1262,640,480:waittimer 19621 blt 0,0,640,480,0, 1263,640,480:waittimer 19636 blt 0,0,640,480,0, 1264,640,480:waittimer 19652 blt 0,0,640,480,0, 1265,640,480:waittimer 19667 blt 0,0,640,480,0, 1266,640,480:waittimer 19683 blt 0,0,640,480,0, 1267,640,480:waittimer 19698 blt 0,0,640,480,0, 1268,640,480:waittimer 19714 blt 0,0,640,480,0, 1269,640,480:waittimer 19730 blt 0,0,640,480,0, 1270,640,480:waittimer 19745 blt 0,0,640,480,0, 1271,640,480:waittimer 19761 blt 0,0,640,480,0, 1272,640,480:waittimer 19776 blt 0,0,640,480,0, 1273,640,480:waittimer 19792 blt 0,0,640,480,0, 1274,640,480:waittimer 19807 blt 0,0,640,480,0, 1275,640,480:waittimer 19823 blt 0,0,640,480,0, 1276,640,480:waittimer 19838 blt 0,0,640,480,0, 1277,640,480:waittimer 19854 blt 0,0,640,480,0, 1278,640,480:waittimer 19869 blt 0,0,640,480,0, 1279,640,480:waittimer 19885 blt 0,0,640,480,0, 1280,640,480:waittimer 19901 blt 0,0,640,480,0, 1281,640,480:waittimer 19916 blt 0,0,640,480,0, 1282,640,480:waittimer 19932 blt 0,0,640,480,0, 1283,640,480:waittimer 19947 blt 0,0,640,480,0, 1284,640,480:waittimer 19963 blt 0,0,640,480,0, 1285,640,480:waittimer 19978 blt 0,0,640,480,0, 1286,640,480:waittimer 19994 blt 0,0,640,480,0, 1287,640,480:waittimer 20009 blt 0,0,640,480,0, 1288,640,480:waittimer 20025 blt 0,0,640,480,0, 1289,640,480:waittimer 20040 blt 0,0,640,480,0, 1290,640,480:waittimer 20056 blt 0,0,640,480,0, 1291,640,480:waittimer 20072 blt 0,0,640,480,0, 1292,640,480:waittimer 20087 blt 0,0,640,480,0, 1293,640,480:waittimer 20103 blt 0,0,640,480,0, 1294,640,480:waittimer 20118 blt 0,0,640,480,0, 1295,640,480:waittimer 20134 blt 0,0,640,480,0, 1296,640,480:waittimer 20149 blt 0,0,640,480,0, 1297,640,480:waittimer 20165 blt 0,0,640,480,0, 1298,640,480:waittimer 20180 blt 0,0,640,480,0, 1299,640,480:waittimer 20196 blt 0,0,640,480,0, 1300,640,480:waittimer 20212 blt 0,0,640,480,0, 1301,640,480:waittimer 20227 blt 0,0,640,480,0, 1302,640,480:waittimer 20243 blt 0,0,640,480,0, 1303,640,480:waittimer 20258 blt 0,0,640,480,0, 1304,640,480:waittimer 20274 blt 0,0,640,480,0, 1305,640,480:waittimer 20289 blt 0,0,640,480,0, 1306,640,480:waittimer 20305 blt 0,0,640,480,0, 1307,640,480:waittimer 20320 blt 0,0,640,480,0, 1308,640,480:waittimer 20336 blt 0,0,640,480,0, 1309,640,480:waittimer 20351 blt 0,0,640,480,0, 1310,640,480:waittimer 20367 blt 0,0,640,480,0, 1311,640,480:waittimer 20383 blt 0,0,640,480,0, 1312,640,480:waittimer 20398 blt 0,0,640,480,0, 1313,640,480:waittimer 20414 blt 0,0,640,480,0, 1314,640,480:waittimer 20429 blt 0,0,640,480,0, 1315,640,480:waittimer 20445 blt 0,0,640,480,0, 1316,640,480:waittimer 20460 blt 0,0,640,480,0, 1317,640,480:waittimer 20476 blt 0,0,640,480,0, 1318,640,480:waittimer 20491 blt 0,0,640,480,0, 1319,640,480:waittimer 20507 blt 0,0,640,480,0, 1320,640,480:waittimer 20522 blt 0,0,640,480,0, 1321,640,480:waittimer 20538 blt 0,0,640,480,0, 1322,640,480:waittimer 20554 blt 0,0,640,480,0, 1323,640,480:waittimer 20569 blt 0,0,640,480,0, 1324,640,480:waittimer 20585 blt 0,0,640,480,0, 1325,640,480:waittimer 20600 blt 0,0,640,480,0, 1326,640,480:waittimer 20616 blt 0,0,640,480,0, 1327,640,480:waittimer 20631 blt 0,0,640,480,0, 1328,640,480:waittimer 20647 blt 0,0,640,480,0, 1329,640,480:waittimer 20662 blt 0,0,640,480,0, 1330,640,480:waittimer 20678 blt 0,0,640,480,0, 1331,640,480:waittimer 20693 blt 0,0,640,480,0, 1332,640,480:waittimer 20709 blt 0,0,640,480,0, 1333,640,480:waittimer 20725 blt 0,0,640,480,0, 1334,640,480:waittimer 20740 blt 0,0,640,480,0, 1335,640,480:waittimer 20756 blt 0,0,640,480,0, 1336,640,480:waittimer 20771 blt 0,0,640,480,0, 1337,640,480:waittimer 20787 blt 0,0,640,480,0, 1338,640,480:waittimer 20802 blt 0,0,640,480,0, 1339,640,480:waittimer 20818 blt 0,0,640,480,0, 1340,640,480:waittimer 20833 blt 0,0,640,480,0, 1341,640,480:waittimer 20849 blt 0,0,640,480,0, 1342,640,480:waittimer 20865 blt 0,0,640,480,0, 1343,640,480:waittimer 20880 blt 0,0,640,480,0, 1344,640,480:waittimer 20896 blt 0,0,640,480,0, 1345,640,480:waittimer 20911 blt 0,0,640,480,0, 1346,640,480:waittimer 20927 blt 0,0,640,480,0, 1347,640,480:waittimer 20942 blt 0,0,640,480,0, 1348,640,480:waittimer 20958 blt 0,0,640,480,0, 1349,640,480:waittimer 20973 blt 0,0,640,480,0, 1350,640,480:waittimer 20989 blt 0,0,640,480,0, 1351,640,480:waittimer 21004 blt 0,0,640,480,0, 1352,640,480:waittimer 21020 blt 0,0,640,480,0, 1353,640,480:waittimer 21036 blt 0,0,640,480,0, 1354,640,480:waittimer 21051 blt 0,0,640,480,0, 1355,640,480:waittimer 21067 blt 0,0,640,480,0, 1356,640,480:waittimer 21082 blt 0,0,640,480,0, 1357,640,480:waittimer 21098 blt 0,0,640,480,0, 1358,640,480:waittimer 21113 blt 0,0,640,480,0, 1359,640,480:waittimer 21129 blt 0,0,640,480,0, 1360,640,480:waittimer 21144 blt 0,0,640,480,0, 1361,640,480:waittimer 21160 blt 0,0,640,480,0, 1362,640,480:waittimer 21175 blt 0,0,640,480,0, 1363,640,480:waittimer 21191 blt 0,0,640,480,0, 1364,640,480:waittimer 21207 blt 0,0,640,480,0, 1365,640,480:waittimer 21222 blt 0,0,640,480,0, 1366,640,480:waittimer 21238 blt 0,0,640,480,0, 1367,640,480:waittimer 21253 blt 0,0,640,480,0, 1368,640,480:waittimer 21269 blt 0,0,640,480,0, 1369,640,480:waittimer 21284 blt 0,0,640,480,0, 1370,640,480:waittimer 21300 blt 0,0,640,480,0, 1371,640,480:waittimer 21315 blt 0,0,640,480,0, 1372,640,480:waittimer 21331 blt 0,0,640,480,0, 1373,640,480:waittimer 21346 blt 0,0,640,480,0, 1374,640,480:waittimer 21362 blt 0,0,640,480,0, 1375,640,480:waittimer 21378 blt 0,0,640,480,0, 1376,640,480:waittimer 21393 blt 0,0,640,480,0, 1377,640,480:waittimer 21409 blt 0,0,640,480,0, 1378,640,480:waittimer 21424 blt 0,0,640,480,0, 1379,640,480:waittimer 21440 blt 0,0,640,480,0, 1380,640,480:waittimer 21455 blt 0,0,640,480,0, 1381,640,480:waittimer 21471 blt 0,0,640,480,0, 1382,640,480:waittimer 21486 blt 0,0,640,480,0, 1383,640,480:waittimer 21502 blt 0,0,640,480,0, 1384,640,480:waittimer 21518 blt 0,0,640,480,0, 1385,640,480:waittimer 21533 blt 0,0,640,480,0, 1386,640,480:waittimer 21549 blt 0,0,640,480,0, 1387,640,480:waittimer 21564 blt 0,0,640,480,0, 1388,640,480:waittimer 21580 blt 0,0,640,480,0, 1389,640,480:waittimer 21595 blt 0,0,640,480,0, 1390,640,480:waittimer 21611 blt 0,0,640,480,0, 1391,640,480:waittimer 21626 blt 0,0,640,480,0, 1392,640,480:waittimer 21642 blt 0,0,640,480,0, 1393,640,480:waittimer 21657 blt 0,0,640,480,0, 1394,640,480:waittimer 21673 blt 0,0,640,480,0, 1395,640,480:waittimer 21689 blt 0,0,640,480,0, 1396,640,480:waittimer 21704 blt 0,0,640,480,0, 1397,640,480:waittimer 21720 blt 0,0,640,480,0, 1398,640,480:waittimer 21735 blt 0,0,640,480,0, 1399,640,480:waittimer 21751 blt 0,0,640,480,0, 1400,640,480:waittimer 21766 blt 0,0,640,480,0, 1401,640,480:waittimer 21782 blt 0,0,640,480,0, 1402,640,480:waittimer 21797 blt 0,0,640,480,0, 1403,640,480:waittimer 21813 blt 0,0,640,480,0, 1404,640,480:waittimer 21828 blt 0,0,640,480,0, 1405,640,480:waittimer 21844 blt 0,0,640,480,0, 1406,640,480:waittimer 21860 blt 0,0,640,480,0, 1407,640,480:waittimer 21875 blt 0,0,640,480,0, 1408,640,480:waittimer 21891 blt 0,0,640,480,0, 1409,640,480:waittimer 21906 blt 0,0,640,480,0, 1410,640,480:waittimer 21922 blt 0,0,640,480,0, 1411,640,480:waittimer 21937 blt 0,0,640,480,0, 1412,640,480:waittimer 21953 blt 0,0,640,480,0, 1413,640,480:waittimer 21968 blt 0,0,640,480,0, 1414,640,480:waittimer 21984 blt 0,0,640,480,0, 1415,640,480:waittimer 21999 blt 0,0,640,480,0, 1416,640,480:waittimer 22015 blt 0,0,640,480,0, 1417,640,480:waittimer 22031 blt 0,0,640,480,0, 1418,640,480:waittimer 22046 blt 0,0,640,480,0, 1419,640,480:waittimer 22062 blt 0,0,640,480,0, 1420,640,480:waittimer 22077 blt 0,0,640,480,0, 1421,640,480:waittimer 22093 blt 0,0,640,480,0, 1422,640,480:waittimer 22108 blt 0,0,640,480,0, 1423,640,480:waittimer 22124 blt 0,0,640,480,0, 1424,640,480:waittimer 22139 blt 0,0,640,480,0, 1425,640,480:waittimer 22155 blt 0,0,640,480,0, 1426,640,480:waittimer 22171 blt 0,0,640,480,0, 1427,640,480:waittimer 22186 blt 0,0,640,480,0, 1428,640,480:waittimer 22202 blt 0,0,640,480,0, 1429,640,480:waittimer 22217 blt 0,0,640,480,0, 1430,640,480:waittimer 22233 blt 0,0,640,480,0, 1431,640,480:waittimer 22248 blt 0,0,640,480,0, 1432,640,480:waittimer 22264 blt 0,0,640,480,0, 1433,640,480:waittimer 22279 blt 0,0,640,480,0, 1434,640,480:waittimer 22295 blt 0,0,640,480,0, 1435,640,480:waittimer 22310 blt 0,0,640,480,0, 1436,640,480:waittimer 22326 blt 0,0,640,480,0, 1437,640,480:waittimer 22342 blt 0,0,640,480,0, 1438,640,480:waittimer 22357 blt 0,0,640,480,0, 1439,640,480:waittimer 22373 blt 0,0,640,480,0, 1440,640,480:waittimer 22388 blt 0,0,640,480,0, 1441,640,480:waittimer 22404 blt 0,0,640,480,0, 1442,640,480:waittimer 22419 blt 0,0,640,480,0, 1443,640,480:waittimer 22435 blt 0,0,640,480,0, 1444,640,480:waittimer 22450 blt 0,0,640,480,0, 1445,640,480:waittimer 22466 blt 0,0,640,480,0, 1446,640,480:waittimer 22481 blt 0,0,640,480,0, 1447,640,480:waittimer 22497 blt 0,0,640,480,0, 1448,640,480:waittimer 22513 blt 0,0,640,480,0, 1449,640,480:waittimer 22528 blt 0,0,640,480,0, 1450,640,480:waittimer 22544 blt 0,0,640,480,0, 1451,640,480:waittimer 22559 blt 0,0,640,480,0, 1452,640,480:waittimer 22575 blt 0,0,640,480,0, 1453,640,480:waittimer 22590 blt 0,0,640,480,0, 1454,640,480:waittimer 22606 blt 0,0,640,480,0, 1455,640,480:waittimer 22621 blt 0,0,640,480,0, 1456,640,480:waittimer 22637 blt 0,0,640,480,0, 1457,640,480:waittimer 22653 blt 0,0,640,480,0, 1458,640,480:waittimer 22668 blt 0,0,640,480,0, 1459,640,480:waittimer 22684 blt 0,0,640,480,0, 1460,640,480:waittimer 22699 blt 0,0,640,480,0, 1461,640,480:waittimer 22715 blt 0,0,640,480,0, 1462,640,480:waittimer 22730 blt 0,0,640,480,0, 1463,640,480:waittimer 22746 blt 0,0,640,480,0, 1464,640,480:waittimer 22761 blt 0,0,640,480,0, 1465,640,480:waittimer 22777 blt 0,0,640,480,0, 1466,640,480:waittimer 22792 blt 0,0,640,480,0, 1467,640,480:waittimer 22808 blt 0,0,640,480,0, 1468,640,480:waittimer 22824 blt 0,0,640,480,0, 1469,640,480:waittimer 22839 blt 0,0,640,480,0, 1470,640,480:waittimer 22855 blt 0,0,640,480,0, 1471,640,480:waittimer 22870 blt 0,0,640,480,0, 1472,640,480:waittimer 22886 blt 0,0,640,480,0, 1473,640,480:waittimer 22901 blt 0,0,640,480,0, 1474,640,480:waittimer 22917 blt 0,0,640,480,0, 1475,640,480:waittimer 22932 blt 0,0,640,480,0, 1476,640,480:waittimer 22948 blt 0,0,640,480,0, 1477,640,480:waittimer 22963 blt 0,0,640,480,0, 1478,640,480:waittimer 22979 blt 0,0,640,480,0, 1479,640,480:waittimer 22995 blt 0,0,640,480,0, 1480,640,480:waittimer 23010 blt 0,0,640,480,0, 1481,640,480:waittimer 23026 blt 0,0,640,480,0, 1482,640,480:waittimer 23041 blt 0,0,640,480,0, 1483,640,480:waittimer 23057 blt 0,0,640,480,0, 1484,640,480:waittimer 23072 blt 0,0,640,480,0, 1485,640,480:waittimer 23088 blt 0,0,640,480,0, 1486,640,480:waittimer 23103 blt 0,0,640,480,0, 1487,640,480:waittimer 23119 blt 0,0,640,480,0, 1488,640,480:waittimer 23134 blt 0,0,640,480,0, 1489,640,480:waittimer 23150 blt 0,0,640,480,0, 1490,640,480:waittimer 23166 blt 0,0,640,480,0, 1491,640,480:waittimer 23181 blt 0,0,640,480,0, 1492,640,480:waittimer 23197 blt 0,0,640,480,0, 1493,640,480:waittimer 23212 blt 0,0,640,480,0, 1494,640,480:waittimer 23228 blt 0,0,640,480,0, 1495,640,480:waittimer 23243 blt 0,0,640,480,0, 1496,640,480:waittimer 23259 blt 0,0,640,480,0, 1497,640,480:waittimer 23274 blt 0,0,640,480,0, 1498,640,480:waittimer 23290 blt 0,0,640,480,0, 1499,640,480:waittimer 23306 blt 0,0,640,480,0, 1500,640,480:waittimer 23321 blt 0,0,640,480,0, 1501,640,480:waittimer 23337 blt 0,0,640,480,0, 1502,640,480:waittimer 23352 blt 0,0,640,480,0, 1503,640,480:waittimer 23368 blt 0,0,640,480,0, 1504,640,480:waittimer 23383 blt 0,0,640,480,0, 1505,640,480:waittimer 23399 blt 0,0,640,480,0, 1506,640,480:waittimer 23414 blt 0,0,640,480,0, 1507,640,480:waittimer 23430 blt 0,0,640,480,0, 1508,640,480:waittimer 23445 blt 0,0,640,480,0, 1509,640,480:waittimer 23461 blt 0,0,640,480,0, 1510,640,480:waittimer 23477 blt 0,0,640,480,0, 1511,640,480:waittimer 23492 blt 0,0,640,480,0, 1512,640,480:waittimer 23508 blt 0,0,640,480,0, 1513,640,480:waittimer 23523 blt 0,0,640,480,0, 1514,640,480:waittimer 23539 blt 0,0,640,480,0, 1515,640,480:waittimer 23554 blt 0,0,640,480,0, 1516,640,480:waittimer 23570 blt 0,0,640,480,0, 1517,640,480:waittimer 23585 blt 0,0,640,480,0, 1518,640,480:waittimer 23601 blt 0,0,640,480,0, 1519,640,480:waittimer 23616 blt 0,0,640,480,0, 1520,640,480:waittimer 23632 blt 0,0,640,480,0, 1521,640,480:waittimer 23648 blt 0,0,640,480,0, 1522,640,480:waittimer 23663 blt 0,0,640,480,0, 1523,640,480:waittimer 23679 blt 0,0,640,480,0, 1524,640,480:waittimer 23694 blt 0,0,640,480,0, 1525,640,480:waittimer 23710 blt 0,0,640,480,0, 1526,640,480:waittimer 23725 blt 0,0,640,480,0, 1527,640,480:waittimer 23741 blt 0,0,640,480,0, 1528,640,480:waittimer 23756 blt 0,0,640,480,0, 1529,640,480:waittimer 23772 blt 0,0,640,480,0, 1530,640,480:waittimer 23787 blt 0,0,640,480,0, 1531,640,480:waittimer 23803 blt 0,0,640,480,0, 1532,640,480:waittimer 23819 blt 0,0,640,480,0, 1533,640,480:waittimer 23834 blt 0,0,640,480,0, 1534,640,480:waittimer 23850 blt 0,0,640,480,0, 1535,640,480:waittimer 23865 blt 0,0,640,480,0, 1536,640,480:waittimer 23881 blt 0,0,640,480,0, 1537,640,480:waittimer 23896 blt 0,0,640,480,0, 1538,640,480:waittimer 23912 blt 0,0,640,480,0, 1539,640,480:waittimer 23927 blt 0,0,640,480,0, 1540,640,480:waittimer 23943 blt 0,0,640,480,0, 1541,640,480:waittimer 23959 blt 0,0,640,480,0, 1542,640,480:waittimer 23974 blt 0,0,640,480,0, 1543,640,480:waittimer 23990 blt 0,0,640,480,0, 1544,640,480:waittimer 24005 blt 0,0,640,480,0, 1545,640,480:waittimer 24021 blt 0,0,640,480,0, 1546,640,480:waittimer 24036 blt 0,0,640,480,0, 1547,640,480:waittimer 24052 blt 0,0,640,480,0, 1548,640,480:waittimer 24067 blt 0,0,640,480,0, 1549,640,480:waittimer 24083 blt 0,0,640,480,0, 1550,640,480:waittimer 24098 blt 0,0,640,480,0, 1551,640,480:waittimer 24114 blt 0,0,640,480,0, 1552,640,480:waittimer 24130 blt 0,0,640,480,0, 1553,640,480:waittimer 24145 blt 0,0,640,480,0, 1554,640,480:waittimer 24161 blt 0,0,640,480,0, 1555,640,480:waittimer 24176 blt 0,0,640,480,0, 1556,640,480:waittimer 24192 blt 0,0,640,480,0, 1557,640,480:waittimer 24207 blt 0,0,640,480,0, 1558,640,480:waittimer 24223 blt 0,0,640,480,0, 1559,640,480:waittimer 24238 blt 0,0,640,480,0, 1560,640,480:waittimer 24254 blt 0,0,640,480,0, 1561,640,480:waittimer 24269 blt 0,0,640,480,0, 1562,640,480:waittimer 24285 blt 0,0,640,480,0, 1563,640,480:waittimer 24301 blt 0,0,640,480,0, 1564,640,480:waittimer 24316 blt 0,0,640,480,0, 1565,640,480:waittimer 24332 blt 0,0,640,480,0, 1566,640,480:waittimer 24347 blt 0,0,640,480,0, 1567,640,480:waittimer 24363 blt 0,0,640,480,0, 1568,640,480:waittimer 24378 blt 0,0,640,480,0, 1569,640,480:waittimer 24394 blt 0,0,640,480,0, 1570,640,480:waittimer 24409 blt 0,0,640,480,0, 1571,640,480:waittimer 24425 blt 0,0,640,480,0, 1572,640,480:waittimer 24440 blt 0,0,640,480,0, 1573,640,480:waittimer 24456 blt 0,0,640,480,0, 1574,640,480:waittimer 24472 blt 0,0,640,480,0, 1575,640,480:waittimer 24487 blt 0,0,640,480,0, 1576,640,480:waittimer 24503 blt 0,0,640,480,0, 1577,640,480:waittimer 24518 blt 0,0,640,480,0, 1578,640,480:waittimer 24534 blt 0,0,640,480,0, 1579,640,480:waittimer 24549 blt 0,0,640,480,0, 1580,640,480:waittimer 24565 blt 0,0,640,480,0, 1581,640,480:waittimer 24580 blt 0,0,640,480,0, 1582,640,480:waittimer 24596 blt 0,0,640,480,0, 1583,640,480:waittimer 24612 blt 0,0,640,480,0, 1584,640,480:waittimer 24627 blt 0,0,640,480,0, 1585,640,480:waittimer 24643 blt 0,0,640,480,0, 1586,640,480:waittimer 24658 blt 0,0,640,480,0, 1587,640,480:waittimer 24674 blt 0,0,640,480,0, 1588,640,480:waittimer 24689 blt 0,0,640,480,0, 1589,640,480:waittimer 24705 blt 0,0,640,480,0, 1590,640,480:waittimer 24720 blt 0,0,640,480,0, 1591,640,480:waittimer 24736 blt 0,0,640,480,0, 1592,640,480:waittimer 24751 blt 0,0,640,480,0, 1593,640,480:waittimer 24767 blt 0,0,640,480,0, 1594,640,480:waittimer 24783 blt 0,0,640,480,0, 1595,640,480:waittimer 24798 blt 0,0,640,480,0, 1596,640,480:waittimer 24814 blt 0,0,640,480,0, 1597,640,480:waittimer 24829 blt 0,0,640,480,0, 1598,640,480:waittimer 24845 blt 0,0,640,480,0, 1599,640,480:waittimer 24860 blt 0,0,640,480,0, 1600,640,480:waittimer 24876 blt 0,0,640,480,0, 1601,640,480:waittimer 24891 blt 0,0,640,480,0, 1602,640,480:waittimer 24907 blt 0,0,640,480,0, 1603,640,480:waittimer 24922 blt 0,0,640,480,0, 1604,640,480:waittimer 24938 blt 0,0,640,480,0, 1605,640,480:waittimer 24954 blt 0,0,640,480,0, 1606,640,480:waittimer 24969 blt 0,0,640,480,0, 1607,640,480:waittimer 24985 blt 0,0,640,480,0, 1608,640,480:waittimer 25000 blt 0,0,640,480,0, 1609,640,480:waittimer 25016 blt 0,0,640,480,0, 1610,640,480:waittimer 25031 blt 0,0,640,480,0, 1611,640,480:waittimer 25047 blt 0,0,640,480,0, 1612,640,480:waittimer 25062 blt 0,0,640,480,0, 1613,640,480:waittimer 25078 blt 0,0,640,480,0, 1614,640,480:waittimer 25093 blt 0,0,640,480,0, 1615,640,480:waittimer 25109 blt 0,0,640,480,0, 1616,640,480:waittimer 25125 blt 0,0,640,480,0, 1617,640,480:waittimer 25140 blt 0,0,640,480,0, 1618,640,480:waittimer 25156 blt 0,0,640,480,0, 1619,640,480:waittimer 25171 blt 0,0,640,480,0, 1620,640,480:waittimer 25187 blt 0,0,640,480,0, 1621,640,480:waittimer 25202 blt 0,0,640,480,0, 1622,640,480:waittimer 25218 blt 0,0,640,480,0, 1623,640,480:waittimer 25233 blt 0,0,640,480,0, 1624,640,480:waittimer 25249 blt 0,0,640,480,0, 1625,640,480:waittimer 25265 blt 0,0,640,480,0, 1626,640,480:waittimer 25280 blt 0,0,640,480,0, 1627,640,480:waittimer 25296 blt 0,0,640,480,0, 1628,640,480:waittimer 25311 blt 0,0,640,480,0, 1629,640,480:waittimer 25327 blt 0,0,640,480,0, 1630,640,480:waittimer 25342 blt 0,0,640,480,0, 1631,640,480:waittimer 25358 blt 0,0,640,480,0, 1632,640,480:waittimer 25373 blt 0,0,640,480,0, 1633,640,480:waittimer 25389 blt 0,0,640,480,0, 1634,640,480:waittimer 25404 blt 0,0,640,480,0, 1635,640,480:waittimer 25420 blt 0,0,640,480,0, 1636,640,480:waittimer 25436 blt 0,0,640,480,0, 1637,640,480:waittimer 25451 blt 0,0,640,480,0, 1638,640,480:waittimer 25467 blt 0,0,640,480,0, 1639,640,480:waittimer 25482 blt 0,0,640,480,0, 1640,640,480:waittimer 25498 blt 0,0,640,480,0, 1641,640,480:waittimer 25513 blt 0,0,640,480,0, 1642,640,480:waittimer 25529 blt 0,0,640,480,0, 1643,640,480:waittimer 25544 blt 0,0,640,480,0, 1644,640,480:waittimer 25560 blt 0,0,640,480,0, 1645,640,480:waittimer 25575 blt 0,0,640,480,0, 1646,640,480:waittimer 25591 blt 0,0,640,480,0, 1647,640,480:waittimer 25607 blt 0,0,640,480,0, 1648,640,480:waittimer 25622 blt 0,0,640,480,0, 1649,640,480:waittimer 25638 blt 0,0,640,480,0, 1650,640,480:waittimer 25653 blt 0,0,640,480,0, 1651,640,480:waittimer 25669 blt 0,0,640,480,0, 1652,640,480:waittimer 25684 blt 0,0,640,480,0, 1653,640,480:waittimer 25700 blt 0,0,640,480,0, 1654,640,480:waittimer 25715 blt 0,0,640,480,0, 1655,640,480:waittimer 25731 blt 0,0,640,480,0, 1656,640,480:waittimer 25746 blt 0,0,640,480,0, 1657,640,480:waittimer 25762 blt 0,0,640,480,0, 1658,640,480:waittimer 25778 blt 0,0,640,480,0, 1659,640,480:waittimer 25793 blt 0,0,640,480,0, 1660,640,480:waittimer 25809 blt 0,0,640,480,0, 1661,640,480:waittimer 25824 blt 0,0,640,480,0, 1662,640,480:waittimer 25840 blt 0,0,640,480,0, 1663,640,480:waittimer 25855 blt 0,0,640,480,0, 1664,640,480:waittimer 25871 blt 0,0,640,480,0, 1665,640,480:waittimer 25886 blt 0,0,640,480,0, 1666,640,480:waittimer 25902 blt 0,0,640,480,0, 1667,640,480:waittimer 25918 blt 0,0,640,480,0, 1668,640,480:waittimer 25933 blt 0,0,640,480,0, 1669,640,480:waittimer 25949 blt 0,0,640,480,0, 1670,640,480:waittimer 25964 blt 0,0,640,480,0, 1671,640,480:waittimer 25980 blt 0,0,640,480,0, 1672,640,480:waittimer 25995 blt 0,0,640,480,0, 1673,640,480:waittimer 26011 blt 0,0,640,480,0, 1674,640,480:waittimer 26026 blt 0,0,640,480,0, 1675,640,480:waittimer 26042 blt 0,0,640,480,0, 1676,640,480:waittimer 26057 blt 0,0,640,480,0, 1677,640,480:waittimer 26073 blt 0,0,640,480,0, 1678,640,480:waittimer 26089 blt 0,0,640,480,0, 1679,640,480:waittimer 26104 blt 0,0,640,480,0, 1680,640,480:waittimer 26120 blt 0,0,640,480,0, 1681,640,480:waittimer 26135 blt 0,0,640,480,0, 1682,640,480:waittimer 26151 blt 0,0,640,480,0, 1683,640,480:waittimer 26166 blt 0,0,640,480,0, 1684,640,480:waittimer 26182 blt 0,0,640,480,0, 1685,640,480:waittimer 26197 blt 0,0,640,480,0, 1686,640,480:waittimer 26213 blt 0,0,640,480,0, 1687,640,480:waittimer 26228 blt 0,0,640,480,0, 1688,640,480:waittimer 26244 blt 0,0,640,480,0, 1689,640,480:waittimer 26260 blt 0,0,640,480,0, 1690,640,480:waittimer 26275 blt 0,0,640,480,0, 1691,640,480:waittimer 26291 blt 0,0,640,480,0, 1692,640,480:waittimer 26306 blt 0,0,640,480,0, 1693,640,480:waittimer 26322 blt 0,0,640,480,0, 1694,640,480:waittimer 26337 blt 0,0,640,480,0, 1695,640,480:waittimer 26353 blt 0,0,640,480,0, 1696,640,480:waittimer 26368 blt 0,0,640,480,0, 1697,640,480:waittimer 26384 blt 0,0,640,480,0, 1698,640,480:waittimer 26399 blt 0,0,640,480,0, 1699,640,480:waittimer 26415 blt 0,0,640,480,0, 1700,640,480:waittimer 26431 blt 0,0,640,480,0, 1701,640,480:waittimer 26446 blt 0,0,640,480,0, 1702,640,480:waittimer 26462 blt 0,0,640,480,0, 1703,640,480:waittimer 26477 blt 0,0,640,480,0, 1704,640,480:waittimer 26493 blt 0,0,640,480,0, 1705,640,480:waittimer 26508 blt 0,0,640,480,0, 1706,640,480:waittimer 26524 blt 0,0,640,480,0, 1707,640,480:waittimer 26539 blt 0,0,640,480,0, 1708,640,480:waittimer 26555 blt 0,0,640,480,0, 1709,640,480:waittimer 26571 blt 0,0,640,480,0, 1710,640,480:waittimer 26586 blt 0,0,640,480,0, 1711,640,480:waittimer 26602 blt 0,0,640,480,0, 1712,640,480:waittimer 26617 blt 0,0,640,480,0, 1713,640,480:waittimer 26633 blt 0,0,640,480,0, 1714,640,480:waittimer 26648 blt 0,0,640,480,0, 1715,640,480:waittimer 26664 blt 0,0,640,480,0, 1716,640,480:waittimer 26679 blt 0,0,640,480,0, 1717,640,480:waittimer 26695 blt 0,0,640,480,0, 1718,640,480:waittimer 26710 blt 0,0,640,480,0, 1719,640,480:waittimer 26726 blt 0,0,640,480,0, 1720,640,480:waittimer 26742 blt 0,0,640,480,0, 1721,640,480:waittimer 26757 blt 0,0,640,480,0, 1722,640,480:waittimer 26773 blt 0,0,640,480,0, 1723,640,480:waittimer 26788 blt 0,0,640,480,0, 1724,640,480:waittimer 26804 blt 0,0,640,480,0, 1725,640,480:waittimer 26819 blt 0,0,640,480,0, 1726,640,480:waittimer 26835 blt 0,0,640,480,0, 1727,640,480:waittimer 26850 blt 0,0,640,480,0, 1728,640,480:waittimer 26866 blt 0,0,640,480,0, 1729,640,480:waittimer 26881 blt 0,0,640,480,0, 1730,640,480:waittimer 26897 blt 0,0,640,480,0, 1731,640,480:waittimer 26913 blt 0,0,640,480,0, 1732,640,480:waittimer 26928 blt 0,0,640,480,0, 1733,640,480:waittimer 26944 blt 0,0,640,480,0, 1734,640,480:waittimer 26959 blt 0,0,640,480,0, 1735,640,480:waittimer 26975 blt 0,0,640,480,0, 1736,640,480:waittimer 26990 blt 0,0,640,480,0, 1737,640,480:waittimer 27006 blt 0,0,640,480,0, 1738,640,480:waittimer 27021 blt 0,0,640,480,0, 1739,640,480:waittimer 27037 blt 0,0,640,480,0, 1740,640,480:waittimer 27053 blt 0,0,640,480,0, 1741,640,480:waittimer 27068 blt 0,0,640,480,0, 1742,640,480:waittimer 27084 blt 0,0,640,480,0, 1743,640,480:waittimer 27099 blt 0,0,640,480,0, 1744,640,480:waittimer 27115 blt 0,0,640,480,0, 1745,640,480:waittimer 27130 blt 0,0,640,480,0, 1746,640,480:waittimer 27146 blt 0,0,640,480,0, 1747,640,480:waittimer 27161 blt 0,0,640,480,0, 1748,640,480:waittimer 27177 blt 0,0,640,480,0, 1749,640,480:waittimer 27192 blt 0,0,640,480,0, 1750,640,480:waittimer 27208 blt 0,0,640,480,0, 1751,640,480:waittimer 27224 blt 0,0,640,480,0, 1752,640,480:waittimer 27239 blt 0,0,640,480,0, 1753,640,480:waittimer 27255 blt 0,0,640,480,0, 1754,640,480:waittimer 27270 blt 0,0,640,480,0, 1755,640,480:waittimer 27286 blt 0,0,640,480,0, 1756,640,480:waittimer 27301 blt 0,0,640,480,0, 1757,640,480:waittimer 27317 blt 0,0,640,480,0, 1758,640,480:waittimer 27332 blt 0,0,640,480,0, 1759,640,480:waittimer 27348 blt 0,0,640,480,0, 1760,640,480:waittimer 27363 blt 0,0,640,480,0, 1761,640,480:waittimer 27379 blt 0,0,640,480,0, 1762,640,480:waittimer 27395 blt 0,0,640,480,0, 1763,640,480:waittimer 27410 blt 0,0,640,480,0, 1764,640,480:waittimer 27426 blt 0,0,640,480,0, 1765,640,480:waittimer 27441 blt 0,0,640,480,0, 1766,640,480:waittimer 27457 blt 0,0,640,480,0, 1767,640,480:waittimer 27472 blt 0,0,640,480,0, 1768,640,480:waittimer 27488 blt 0,0,640,480,0, 1769,640,480:waittimer 27503 blt 0,0,640,480,0, 1770,640,480:waittimer 27519 blt 0,0,640,480,0, 1771,640,480:waittimer 27534 blt 0,0,640,480,0, 1772,640,480:waittimer 27550 blt 0,0,640,480,0, 1773,640,480:waittimer 27566 blt 0,0,640,480,0, 1774,640,480:waittimer 27581 blt 0,0,640,480,0, 1775,640,480:waittimer 27597 blt 0,0,640,480,0, 1776,640,480:waittimer 27612 blt 0,0,640,480,0, 1777,640,480:waittimer 27628 blt 0,0,640,480,0, 1778,640,480:waittimer 27643 blt 0,0,640,480,0, 1779,640,480:waittimer 27659 blt 0,0,640,480,0, 1780,640,480:waittimer 27674 blt 0,0,640,480,0, 1781,640,480:waittimer 27690 blt 0,0,640,480,0, 1782,640,480:waittimer 27706 blt 0,0,640,480,0, 1783,640,480:waittimer 27721 blt 0,0,640,480,0, 1784,640,480:waittimer 27737 blt 0,0,640,480,0, 1785,640,480:waittimer 27752 blt 0,0,640,480,0, 1786,640,480:waittimer 27768 blt 0,0,640,480,0, 1787,640,480:waittimer 27783 blt 0,0,640,480,0, 1788,640,480:waittimer 27799 blt 0,0,640,480,0, 1789,640,480:waittimer 27814 blt 0,0,640,480,0, 1790,640,480:waittimer 27830 blt 0,0,640,480,0, 1791,640,480:waittimer 27845 blt 0,0,640,480,0, 1792,640,480:waittimer 27861 blt 0,0,640,480,0, 1793,640,480:waittimer 27877 blt 0,0,640,480,0, 1794,640,480:waittimer 27892 blt 0,0,640,480,0, 1795,640,480:waittimer 27908 blt 0,0,640,480,0, 1796,640,480:waittimer 27923 blt 0,0,640,480,0, 1797,640,480:waittimer 27939 blt 0,0,640,480,0, 1798,640,480:waittimer 27954 blt 0,0,640,480,0, 1799,640,480:waittimer 27970 blt 0,0,640,480,0, 1800,640,480:waittimer 27985 blt 0,0,640,480,0, 1801,640,480:waittimer 28001 blt 0,0,640,480,0, 1802,640,480:waittimer 28016 blt 0,0,640,480,0, 1803,640,480:waittimer 28032 blt 0,0,640,480,0, 1804,640,480:waittimer 28048 blt 0,0,640,480,0, 1805,640,480:waittimer 28063 blt 0,0,640,480,0, 1806,640,480:waittimer 28079 blt 0,0,640,480,0, 1807,640,480:waittimer 28094 blt 0,0,640,480,0, 1808,640,480:waittimer 28110 blt 0,0,640,480,0, 1809,640,480:waittimer 28125 blt 0,0,640,480,0, 1810,640,480:waittimer 28141 blt 0,0,640,480,0, 1811,640,480:waittimer 28156 blt 0,0,640,480,0, 1812,640,480:waittimer 28172 blt 0,0,640,480,0, 1813,640,480:waittimer 28187 blt 0,0,640,480,0, 1814,640,480:waittimer 28203 blt 0,0,640,480,0, 1815,640,480:waittimer 28219 blt 0,0,640,480,0, 1816,640,480:waittimer 28234 blt 0,0,640,480,0, 1817,640,480:waittimer 28250 blt 0,0,640,480,0, 1818,640,480:waittimer 28265 blt 0,0,640,480,0, 1819,640,480:waittimer 28281 blt 0,0,640,480,0, 1820,640,480:waittimer 28296 blt 0,0,640,480,0, 1821,640,480:waittimer 28312 blt 0,0,640,480,0, 1822,640,480:waittimer 28327 blt 0,0,640,480,0, 1823,640,480:waittimer 28343 blt 0,0,640,480,0, 1824,640,480:waittimer 28359 blt 0,0,640,480,0, 1825,640,480:waittimer 28374 blt 0,0,640,480,0, 1826,640,480:waittimer 28390 blt 0,0,640,480,0, 1827,640,480:waittimer 28405 blt 0,0,640,480,0, 1828,640,480:waittimer 28421 blt 0,0,640,480,0, 1829,640,480:waittimer 28436 blt 0,0,640,480,0, 1830,640,480:waittimer 28452 blt 0,0,640,480,0, 1831,640,480:waittimer 28467 blt 0,0,640,480,0, 1832,640,480:waittimer 28483 blt 0,0,640,480,0, 1833,640,480:waittimer 28498 blt 0,0,640,480,0, 1834,640,480:waittimer 28514 blt 0,0,640,480,0, 1835,640,480:waittimer 28530 blt 0,0,640,480,0, 1836,640,480:waittimer 28545 blt 0,0,640,480,0, 1837,640,480:waittimer 28561 blt 0,0,640,480,0, 1838,640,480:waittimer 28576 blt 0,0,640,480,0, 1839,640,480:waittimer 28592 blt 0,0,640,480,0, 1840,640,480:waittimer 28607 blt 0,0,640,480,0, 1841,640,480:waittimer 28623 blt 0,0,640,480,0, 1842,640,480:waittimer 28638 blt 0,0,640,480,0, 1843,640,480:waittimer 28654 blt 0,0,640,480,0, 1844,640,480:waittimer 28669 blt 0,0,640,480,0, 1845,640,480:waittimer 28685 blt 0,0,640,480,0, 1846,640,480:waittimer 28701 blt 0,0,640,480,0, 1847,640,480:waittimer 28716 blt 0,0,640,480,0, 1848,640,480:waittimer 28732 blt 0,0,640,480,0, 1849,640,480:waittimer 28747 blt 0,0,640,480,0, 1850,640,480:waittimer 28763 blt 0,0,640,480,0, 1851,640,480:waittimer 28778 blt 0,0,640,480,0, 1852,640,480:waittimer 28794 blt 0,0,640,480,0, 1853,640,480:waittimer 28809 blt 0,0,640,480,0, 1854,640,480:waittimer 28825 blt 0,0,640,480,0, 1855,640,480:waittimer 28840 blt 0,0,640,480,0, 1856,640,480:waittimer 28856 blt 0,0,640,480,0, 1857,640,480:waittimer 28872 blt 0,0,640,480,0, 1858,640,480:waittimer 28887 blt 0,0,640,480,0, 1859,640,480:waittimer 28903 blt 0,0,640,480,0, 1860,640,480:waittimer 28918 blt 0,0,640,480,0, 1861,640,480:waittimer 28934 blt 0,0,640,480,0, 1862,640,480:waittimer 28949 blt 0,0,640,480,0, 1863,640,480:waittimer 28965 blt 0,0,640,480,0, 1864,640,480:waittimer 28980 blt 0,0,640,480,0, 1865,640,480:waittimer 28996 blt 0,0,640,480,0, 1866,640,480:waittimer 29012 blt 0,0,640,480,0, 1867,640,480:waittimer 29027 blt 0,0,640,480,0, 1868,640,480:waittimer 29043 blt 0,0,640,480,0, 1869,640,480:waittimer 29058 blt 0,0,640,480,0, 1870,640,480:waittimer 29074 blt 0,0,640,480,0, 1871,640,480:waittimer 29089 blt 0,0,640,480,0, 1872,640,480:waittimer 29105 blt 0,0,640,480,0, 1873,640,480:waittimer 29120 blt 0,0,640,480,0, 1874,640,480:waittimer 29136 blt 0,0,640,480,0, 1875,640,480:waittimer 29151 blt 0,0,640,480,0, 1876,640,480:waittimer 29167 blt 0,0,640,480,0, 1877,640,480:waittimer 29183 blt 0,0,640,480,0, 1878,640,480:waittimer 29198 blt 0,0,640,480,0, 1879,640,480:waittimer 29214 blt 0,0,640,480,0, 1880,640,480:waittimer 29229 blt 0,0,640,480,0, 1881,640,480:waittimer 29245 blt 0,0,640,480,0, 1882,640,480:waittimer 29260 blt 0,0,640,480,0, 1883,640,480:waittimer 29276 blt 0,0,640,480,0, 1884,640,480:waittimer 29291 blt 0,0,640,480,0, 1885,640,480:waittimer 29307 blt 0,0,640,480,0, 1886,640,480:waittimer 29322 blt 0,0,640,480,0, 1887,640,480:waittimer 29338 blt 0,0,640,480,0, 1888,640,480:waittimer 29354 blt 0,0,640,480,0, 1889,640,480:waittimer 29369 blt 0,0,640,480,0, 1890,640,480:waittimer 29385 blt 0,0,640,480,0, 1891,640,480:waittimer 29400 blt 0,0,640,480,0, 1892,640,480:waittimer 29416 blt 0,0,640,480,0, 1893,640,480:waittimer 29431 blt 0,0,640,480,0, 1894,640,480:waittimer 29447 blt 0,0,640,480,0, 1895,640,480:waittimer 29462 blt 0,0,640,480,0, 1896,640,480:waittimer 29478 blt 0,0,640,480,0, 1897,640,480:waittimer 29493 blt 0,0,640,480,0, 1898,640,480:waittimer 29509 blt 0,0,640,480,0, 1899,640,480:waittimer 29525 blt 0,0,640,480,0, 1900,640,480:waittimer 29540 blt 0,0,640,480,0, 1901,640,480:waittimer 29556 blt 0,0,640,480,0, 1902,640,480:waittimer 29571 blt 0,0,640,480,0, 1903,640,480:waittimer 29587 blt 0,0,640,480,0, 1904,640,480:waittimer 29602 blt 0,0,640,480,0, 1905,640,480:waittimer 29618 blt 0,0,640,480,0, 1906,640,480:waittimer 29633 blt 0,0,640,480,0, 1907,640,480:waittimer 29649 blt 0,0,640,480,0, 1908,640,480:waittimer 29665 blt 0,0,640,480,0, 1909,640,480:waittimer 29680 blt 0,0,640,480,0, 1910,640,480:waittimer 29696 blt 0,0,640,480,0, 1911,640,480:waittimer 29711 blt 0,0,640,480,0, 1912,640,480:waittimer 29727 blt 0,0,640,480,0, 1913,640,480:waittimer 29742 blt 0,0,640,480,0, 1914,640,480:waittimer 29758 blt 0,0,640,480,0, 1915,640,480:waittimer 29773 blt 0,0,640,480,0, 1916,640,480:waittimer 29789 blt 0,0,640,480,0, 1917,640,480:waittimer 29804 blt 0,0,640,480,0, 1918,640,480:waittimer 29820 blt 0,0,640,480,0, 1919,640,480:waittimer 29836 blt 0,0,640,480,0, 1920,640,480:waittimer 29851 blt 0,0,640,480,0, 1921,640,480:waittimer 29867 blt 0,0,640,480,0, 1922,640,480:waittimer 29882 blt 0,0,640,480,0, 1923,640,480:waittimer 29898 blt 0,0,640,480,0, 1924,640,480:waittimer 29913 blt 0,0,640,480,0, 1925,640,480:waittimer 29929 blt 0,0,640,480,0, 1926,640,480:waittimer 29944 blt 0,0,640,480,0, 1927,640,480:waittimer 29960 blt 0,0,640,480,0, 1928,640,480:waittimer 29975 blt 0,0,640,480,0, 1929,640,480:waittimer 29991 blt 0,0,640,480,0, 1930,640,480:waittimer 30007 blt 0,0,640,480,0, 1931,640,480:waittimer 30022 blt 0,0,640,480,0, 1932,640,480:waittimer 30038 blt 0,0,640,480,0, 1933,640,480:waittimer 30053 blt 0,0,640,480,0, 1934,640,480:waittimer 30069 blt 0,0,640,480,0, 1935,640,480:waittimer 30084 blt 0,0,640,480,0, 1936,640,480:waittimer 30100 blt 0,0,640,480,0, 1937,640,480:waittimer 30115 blt 0,0,640,480,0, 1938,640,480:waittimer 30131 blt 0,0,640,480,0, 1939,640,480:waittimer 30146 blt 0,0,640,480,0, 1940,640,480:waittimer 30162 blt 0,0,640,480,0, 1941,640,480:waittimer 30178 blt 0,0,640,480,0, 1942,640,480:waittimer 30193 blt 0,0,640,480,0, 1943,640,480:waittimer 30209 blt 0,0,640,480,0, 1944,640,480:waittimer 30224 blt 0,0,640,480,0, 1945,640,480:waittimer 30240 blt 0,0,640,480,0, 1946,640,480:waittimer 30255 blt 0,0,640,480,0, 1947,640,480:waittimer 30271 blt 0,0,640,480,0, 1948,640,480:waittimer 30286 blt 0,0,640,480,0, 1949,640,480:waittimer 30302 blt 0,0,640,480,0, 1950,640,480:waittimer 30318 blt 0,0,640,480,0, 1951,640,480:waittimer 30333 blt 0,0,640,480,0, 1952,640,480:waittimer 30349 blt 0,0,640,480,0, 1953,640,480:waittimer 30364 blt 0,0,640,480,0, 1954,640,480:waittimer 30380 blt 0,0,640,480,0, 1955,640,480:waittimer 30395 blt 0,0,640,480,0, 1956,640,480:waittimer 30411 blt 0,0,640,480,0, 1957,640,480:waittimer 30426 blt 0,0,640,480,0, 1958,640,480:waittimer 30442 blt 0,0,640,480,0, 1959,640,480:waittimer 30457 blt 0,0,640,480,0, 1960,640,480:waittimer 30473 blt 0,0,640,480,0, 1961,640,480:waittimer 30489 blt 0,0,640,480,0, 1962,640,480:waittimer 30504 blt 0,0,640,480,0, 1963,640,480:waittimer 30520 blt 0,0,640,480,0, 1964,640,480:waittimer 30535 blt 0,0,640,480,0, 1965,640,480:waittimer 30551 blt 0,0,640,480,0, 1966,640,480:waittimer 30566 blt 0,0,640,480,0, 1967,640,480:waittimer 30582 blt 0,0,640,480,0, 1968,640,480:waittimer 30597 blt 0,0,640,480,0, 1969,640,480:waittimer 30613 blt 0,0,640,480,0, 1970,640,480:waittimer 30628 blt 0,0,640,480,0, 1971,640,480:waittimer 30644 blt 0,0,640,480,0, 1972,640,480:waittimer 30660 blt 0,0,640,480,0, 1973,640,480:waittimer 30675 blt 0,0,640,480,0, 1974,640,480:waittimer 30691 blt 0,0,640,480,0, 1975,640,480:waittimer 30706 blt 0,0,640,480,0, 1976,640,480:waittimer 30722 blt 0,0,640,480,0, 1977,640,480:waittimer 30737 blt 0,0,640,480,0, 1978,640,480:waittimer 30753 blt 0,0,640,480,0, 1979,640,480:waittimer 30768 blt 0,0,640,480,0, 1980,640,480:waittimer 30784 blt 0,0,640,480,0, 1981,640,480:waittimer 30799 blt 0,0,640,480,0, 1982,640,480:waittimer 30815 blt 0,0,640,480,0, 1983,640,480:waittimer 30831 blt 0,0,640,480,0, 1984,640,480:waittimer 30846 blt 0,0,640,480,0, 1985,640,480:waittimer 30862 blt 0,0,640,480,0, 1986,640,480:waittimer 30877 blt 0,0,640,480,0, 1987,640,480:waittimer 30893 blt 0,0,640,480,0, 1988,640,480:waittimer 30908 blt 0,0,640,480,0, 1989,640,480:waittimer 30924 blt 0,0,640,480,0, 1990,640,480:waittimer 30939 blt 0,0,640,480,0, 1991,640,480:waittimer 30955 blt 0,0,640,480,0, 1992,640,480:waittimer 30971 blt 0,0,640,480,0, 1993,640,480:waittimer 30986 blt 0,0,640,480,0, 1994,640,480:waittimer 31002 blt 0,0,640,480,0, 1995,640,480:waittimer 31017 blt 0,0,640,480,0, 1996,640,480:waittimer 31033 blt 0,0,640,480,0, 1997,640,480:waittimer 31048 blt 0,0,640,480,0, 1998,640,480:waittimer 31064 blt 0,0,640,480,0, 1999,640,480:waittimer 31079 blt 0,0,640,480,0, 2000,640,480:waittimer 31095 blt 0,0,640,480,0, 2001,640,480:waittimer 31110 blt 0,0,640,480,0, 2002,640,480:waittimer 31126 blt 0,0,640,480,0, 2003,640,480:waittimer 31142 blt 0,0,640,480,0, 2004,640,480:waittimer 31157 blt 0,0,640,480,0, 2005,640,480:waittimer 31173 blt 0,0,640,480,0, 2006,640,480:waittimer 31188 blt 0,0,640,480,0, 2007,640,480:waittimer 31204 blt 0,0,640,480,0, 2008,640,480:waittimer 31219 blt 0,0,640,480,0, 2009,640,480:waittimer 31235 blt 0,0,640,480,0, 2010,640,480:waittimer 31250 blt 0,0,640,480,0, 2011,640,480:waittimer 31266 blt 0,0,640,480,0, 2012,640,480:waittimer 31281 blt 0,0,640,480,0, 2013,640,480:waittimer 31297 blt 0,0,640,480,0, 2014,640,480:waittimer 31313 blt 0,0,640,480,0, 2015,640,480:waittimer 31328 blt 0,0,640,480,0, 2016,640,480:waittimer 31344 blt 0,0,640,480,0, 2017,640,480:waittimer 31359 blt 0,0,640,480,0, 2018,640,480:waittimer 31375 blt 0,0,640,480,0, 2019,640,480:waittimer 31390 blt 0,0,640,480,0, 2020,640,480:waittimer 31406 blt 0,0,640,480,0, 2021,640,480:waittimer 31421 blt 0,0,640,480,0, 2022,640,480:waittimer 31437 blt 0,0,640,480,0, 2023,640,480:waittimer 31453 blt 0,0,640,480,0, 2024,640,480:waittimer 31468 blt 0,0,640,480,0, 2025,640,480:waittimer 31484 blt 0,0,640,480,0, 2026,640,480:waittimer 31499 blt 0,0,640,480,0, 2027,640,480:waittimer 31515 blt 0,0,640,480,0, 2028,640,480:waittimer 31530 blt 0,0,640,480,0, 2029,640,480:waittimer 31546 blt 0,0,640,480,0, 2030,640,480:waittimer 31561 blt 0,0,640,480,0, 2031,640,480:waittimer 31577 blt 0,0,640,480,0, 2032,640,480:waittimer 31592 blt 0,0,640,480,0, 2033,640,480:waittimer 31608 blt 0,0,640,480,0, 2034,640,480:waittimer 31624 blt 0,0,640,480,0, 2035,640,480:waittimer 31639 blt 0,0,640,480,0, 2036,640,480:waittimer 31655 blt 0,0,640,480,0, 2037,640,480:waittimer 31670 blt 0,0,640,480,0, 2038,640,480:waittimer 31686 blt 0,0,640,480,0, 2039,640,480:waittimer 31701 blt 0,0,640,480,0, 2040,640,480:waittimer 31717 blt 0,0,640,480,0, 2041,640,480:waittimer 31732 blt 0,0,640,480,0, 2042,640,480:waittimer 31748 blt 0,0,640,480,0, 2043,640,480:waittimer 31763 blt 0,0,640,480,0, 2044,640,480:waittimer 31779 blt 0,0,640,480,0, 2045,640,480:waittimer 31795 blt 0,0,640,480,0, 2046,640,480:waittimer 31810 blt 0,0,640,480,0, 2047,640,480:waittimer 31826 blt 0,0,640,480,0, 2048,640,480:waittimer 31841 blt 0,0,640,480,0, 2049,640,480:waittimer 31857 blt 0,0,640,480,0, 2050,640,480:waittimer 31872 blt 0,0,640,480,0, 2051,640,480:waittimer 31888 blt 0,0,640,480,0, 2052,640,480:waittimer 31903 blt 0,0,640,480,0, 2053,640,480:waittimer 31919 blt 0,0,640,480,0, 2054,640,480:waittimer 31934 blt 0,0,640,480,0, 2055,640,480:waittimer 31950 blt 0,0,640,480,0, 2056,640,480:waittimer 31966 blt 0,0,640,480,0, 2057,640,480:waittimer 31981 blt 0,0,640,480,0, 2058,640,480:waittimer 31997 blt 0,0,640,480,0, 2059,640,480:waittimer 32012 blt 0,0,640,480,0, 2060,640,480:waittimer 32028 blt 0,0,640,480,0, 2061,640,480:waittimer 32043 blt 0,0,640,480,0, 2062,640,480:waittimer 32059 blt 0,0,640,480,0, 2063,640,480:waittimer 32074 blt 0,0,640,480,0, 2064,640,480:waittimer 32090 blt 0,0,640,480,0, 2065,640,480:waittimer 32106 blt 0,0,640,480,0, 2066,640,480:waittimer 32121 blt 0,0,640,480,0, 2067,640,480:waittimer 32137 blt 0,0,640,480,0, 2068,640,480:waittimer 32152 blt 0,0,640,480,0, 2069,640,480:waittimer 32168 blt 0,0,640,480,0, 2070,640,480:waittimer 32183 blt 0,0,640,480,0, 2071,640,480:waittimer 32199 blt 0,0,640,480,0, 2072,640,480:waittimer 32214 blt 0,0,640,480,0, 2073,640,480:waittimer 32230 blt 0,0,640,480,0, 2074,640,480:waittimer 32245 blt 0,0,640,480,0, 2075,640,480:waittimer 32261 blt 0,0,640,480,0, 2076,640,480:waittimer 32277 blt 0,0,640,480,0, 2077,640,480:waittimer 32292 blt 0,0,640,480,0, 2078,640,480:waittimer 32308 blt 0,0,640,480,0, 2079,640,480:waittimer 32323 blt 0,0,640,480,0, 2080,640,480:waittimer 32339 blt 0,0,640,480,0, 2081,640,480:waittimer 32354 blt 0,0,640,480,0, 2082,640,480:waittimer 32370 blt 0,0,640,480,0, 2083,640,480:waittimer 32385 blt 0,0,640,480,0, 2084,640,480:waittimer 32401 blt 0,0,640,480,0, 2085,640,480:waittimer 32416 blt 0,0,640,480,0, 2086,640,480:waittimer 32432 blt 0,0,640,480,0, 2087,640,480:waittimer 32448 blt 0,0,640,480,0, 2088,640,480:waittimer 32463 blt 0,0,640,480,0, 2089,640,480:waittimer 32479 blt 0,0,640,480,0, 2090,640,480:waittimer 32494 blt 0,0,640,480,0, 2091,640,480:waittimer 32510 blt 0,0,640,480,0, 2092,640,480:waittimer 32525 blt 0,0,640,480,0, 2093,640,480:waittimer 32541 blt 0,0,640,480,0, 2094,640,480:waittimer 32556 blt 0,0,640,480,0, 2095,640,480:waittimer 32572 blt 0,0,640,480,0, 2096,640,480:waittimer 32587 blt 0,0,640,480,0, 2097,640,480:waittimer 32603 blt 0,0,640,480,0, 2098,640,480:waittimer 32619 blt 0,0,640,480,0, 2099,640,480:waittimer 32634 blt 0,0,640,480,0, 2100,640,480:waittimer 32650 blt 0,0,640,480,0, 2101,640,480:waittimer 32665 blt 0,0,640,480,0, 2102,640,480:waittimer 32681 blt 0,0,640,480,0, 2103,640,480:waittimer 32696 blt 0,0,640,480,0, 2104,640,480:waittimer 32712 blt 0,0,640,480,0, 2105,640,480:waittimer 32727 blt 0,0,640,480,0, 2106,640,480:waittimer 32743 blt 0,0,640,480,0, 2107,640,480:waittimer 32759 blt 0,0,640,480,0, 2108,640,480:waittimer 32774 blt 0,0,640,480,0, 2109,640,480:waittimer 32790 blt 0,0,640,480,0, 2110,640,480:waittimer 32805 blt 0,0,640,480,0, 2111,640,480:waittimer 32821 blt 0,0,640,480,0, 2112,640,480:waittimer 32836 blt 0,0,640,480,0, 2113,640,480:waittimer 32852 blt 0,0,640,480,0, 2114,640,480:waittimer 32867 blt 0,0,640,480,0, 2115,640,480:waittimer 32883 blt 0,0,640,480,0, 2116,640,480:waittimer 32898 blt 0,0,640,480,0, 2117,640,480:waittimer 32914 blt 0,0,640,480,0, 2118,640,480:waittimer 32930 blt 0,0,640,480,0, 2119,640,480:waittimer 32945 blt 0,0,640,480,0, 2120,640,480:waittimer 32961 blt 0,0,640,480,0, 2121,640,480:waittimer 32976 blt 0,0,640,480,0, 2122,640,480:waittimer 32992 blt 0,0,640,480,0, 2123,640,480:waittimer 33007 blt 0,0,640,480,0, 2124,640,480:waittimer 33023 blt 0,0,640,480,0, 2125,640,480:waittimer 33038 blt 0,0,640,480,0, 2126,640,480:waittimer 33054 blt 0,0,640,480,0, 2127,640,480:waittimer 33069 blt 0,0,640,480,0, 2128,640,480:waittimer 33085 blt 0,0,640,480,0, 2129,640,480:waittimer 33101 blt 0,0,640,480,0, 2130,640,480:waittimer 33116 blt 0,0,640,480,0, 2131,640,480:waittimer 33132 blt 0,0,640,480,0, 2132,640,480:waittimer 33147 blt 0,0,640,480,0, 2133,640,480:waittimer 33163 blt 0,0,640,480,0, 2134,640,480:waittimer 33178 blt 0,0,640,480,0, 2135,640,480:waittimer 33194 blt 0,0,640,480,0, 2136,640,480:waittimer 33209 blt 0,0,640,480,0, 2137,640,480:waittimer 33225 blt 0,0,640,480,0, 2138,640,480:waittimer 33240 blt 0,0,640,480,0, 2139,640,480:waittimer 33256 blt 0,0,640,480,0, 2140,640,480:waittimer 33272 blt 0,0,640,480,0, 2141,640,480:waittimer 33287 blt 0,0,640,480,0, 2142,640,480:waittimer 33303 blt 0,0,640,480,0, 2143,640,480:waittimer 33318 blt 0,0,640,480,0, 2144,640,480:waittimer 33334 blt 0,0,640,480,0, 2145,640,480:waittimer 33349 blt 0,0,640,480,0, 2146,640,480:waittimer 33365 blt 0,0,640,480,0, 2147,640,480:waittimer 33380 blt 0,0,640,480,0, 2148,640,480:waittimer 33396 blt 0,0,640,480,0, 2149,640,480:waittimer 33412 blt 0,0,640,480,0, 2150,640,480:waittimer 33427 blt 0,0,640,480,0, 2151,640,480:waittimer 33443 blt 0,0,640,480,0, 2152,640,480:waittimer 33458 blt 0,0,640,480,0, 2153,640,480:waittimer 33474 blt 0,0,640,480,0, 2154,640,480:waittimer 33489 blt 0,0,640,480,0, 2155,640,480:waittimer 33505 blt 0,0,640,480,0, 2156,640,480:waittimer 33520 blt 0,0,640,480,0, 2157,640,480:waittimer 33536 blt 0,0,640,480,0, 2158,640,480:waittimer 33551 blt 0,0,640,480,0, 2159,640,480:waittimer 33567 blt 0,0,640,480,0, 2160,640,480:waittimer 33583 blt 0,0,640,480,0, 2161,640,480:waittimer 33598 blt 0,0,640,480,0, 2162,640,480:waittimer 33614 blt 0,0,640,480,0, 2163,640,480:waittimer 33629 blt 0,0,640,480,0, 2164,640,480:waittimer 33645 blt 0,0,640,480,0, 2165,640,480:waittimer 33660 blt 0,0,640,480,0, 2166,640,480:waittimer 33676 blt 0,0,640,480,0, 2167,640,480:waittimer 33691 blt 0,0,640,480,0, 2168,640,480:waittimer 33707 blt 0,0,640,480,0, 2169,640,480:waittimer 33722 blt 0,0,640,480,0, 2170,640,480:waittimer 33738 blt 0,0,640,480,0, 2171,640,480:waittimer 33754 blt 0,0,640,480,0, 2172,640,480:waittimer 33769 blt 0,0,640,480,0, 2173,640,480:waittimer 33785 blt 0,0,640,480,0, 2174,640,480:waittimer 33800 blt 0,0,640,480,0, 2175,640,480:waittimer 33816 blt 0,0,640,480,0, 2176,640,480:waittimer 33831 blt 0,0,640,480,0, 2177,640,480:waittimer 33847 blt 0,0,640,480,0, 2178,640,480:waittimer 33862 blt 0,0,640,480,0, 2179,640,480:waittimer 33878 blt 0,0,640,480,0, 2180,640,480:waittimer 33893 blt 0,0,640,480,0, 2181,640,480:waittimer 33909 blt 0,0,640,480,0, 2182,640,480:waittimer 33925 blt 0,0,640,480,0, 2183,640,480:waittimer 33940 blt 0,0,640,480,0, 2184,640,480:waittimer 33956 blt 0,0,640,480,0, 2185,640,480:waittimer 33971 blt 0,0,640,480,0, 2186,640,480:waittimer 33987 blt 0,0,640,480,0, 2187,640,480:waittimer 34002 blt 0,0,640,480,0, 2188,640,480:waittimer 34018 blt 0,0,640,480,0, 2189,640,480:waittimer 34033 blt 0,0,640,480,0, 2190,640,480:waittimer 34049 blt 0,0,640,480,0, 2191,640,480:waittimer 34065 blt 0,0,640,480,0, 2192,640,480:waittimer 34080 blt 0,0,640,480,0, 2193,640,480:waittimer 34096 blt 0,0,640,480,0, 2194,640,480:waittimer 34111 blt 0,0,640,480,0, 2195,640,480:waittimer 34127 blt 0,0,640,480,0, 2196,640,480:waittimer 34142 blt 0,0,640,480,0, 2197,640,480:waittimer 34158 blt 0,0,640,480,0, 2198,640,480:waittimer 34173 blt 0,0,640,480,0, 2199,640,480:waittimer 34189 blt 0,0,640,480,0, 2200,640,480:waittimer 34204 blt 0,0,640,480,0, 2201,640,480:waittimer 34220 blt 0,0,640,480,0, 2202,640,480:waittimer 34236 blt 0,0,640,480,0, 2203,640,480:waittimer 34251 blt 0,0,640,480,0, 2204,640,480:waittimer 34267 blt 0,0,640,480,0, 2205,640,480:waittimer 34282 blt 0,0,640,480,0, 2206,640,480:waittimer 34298 blt 0,0,640,480,0, 2207,640,480:waittimer 34313 blt 0,0,640,480,0, 2208,640,480:waittimer 34329 blt 0,0,640,480,0, 2209,640,480:waittimer 34344 blt 0,0,640,480,0, 2210,640,480:waittimer 34360 blt 0,0,640,480,0, 2211,640,480:waittimer 34375 blt 0,0,640,480,0, 2212,640,480:waittimer 34391 blt 0,0,640,480,0, 2213,640,480:waittimer 34407 blt 0,0,640,480,0, 2214,640,480:waittimer 34422 blt 0,0,640,480,0, 2215,640,480:waittimer 34438 blt 0,0,640,480,0, 2216,640,480:waittimer 34453 blt 0,0,640,480,0, 2217,640,480:waittimer 34469 blt 0,0,640,480,0, 2218,640,480:waittimer 34484 blt 0,0,640,480,0, 2219,640,480:waittimer 34500 blt 0,0,640,480,0, 2220,640,480:waittimer 34515 blt 0,0,640,480,0, 2221,640,480:waittimer 34531 blt 0,0,640,480,0, 2222,640,480:waittimer 34546 blt 0,0,640,480,0, 2223,640,480:waittimer 34562 blt 0,0,640,480,0, 2224,640,480:waittimer 34578 blt 0,0,640,480,0, 2225,640,480:waittimer 34593 blt 0,0,640,480,0, 2226,640,480:waittimer 34609 blt 0,0,640,480,0, 2227,640,480:waittimer 34624 blt 0,0,640,480,0, 2228,640,480:waittimer 34640 blt 0,0,640,480,0, 2229,640,480:waittimer 34655 blt 0,0,640,480,0, 2230,640,480:waittimer 34671 blt 0,0,640,480,0, 2231,640,480:waittimer 34686 blt 0,0,640,480,0, 2232,640,480:waittimer 34702 blt 0,0,640,480,0, 2233,640,480:waittimer 34718 blt 0,0,640,480,0, 2234,640,480:waittimer 34733 blt 0,0,640,480,0, 2235,640,480:waittimer 34749 blt 0,0,640,480,0, 2236,640,480:waittimer 34764 blt 0,0,640,480,0, 2237,640,480:waittimer 34780 blt 0,0,640,480,0, 2238,640,480:waittimer 34795 blt 0,0,640,480,0, 2239,640,480:waittimer 34811 blt 0,0,640,480,0, 2240,640,480:waittimer 34826 blt 0,0,640,480,0, 2241,640,480:waittimer 34842 blt 0,0,640,480,0, 2242,640,480:waittimer 34857 blt 0,0,640,480,0, 2243,640,480:waittimer 34873 blt 0,0,640,480,0, 2244,640,480:waittimer 34889 blt 0,0,640,480,0, 2245,640,480:waittimer 34904 blt 0,0,640,480,0, 2246,640,480:waittimer 34920 blt 0,0,640,480,0, 2247,640,480:waittimer 34935 blt 0,0,640,480,0, 2248,640,480:waittimer 34951 blt 0,0,640,480,0, 2249,640,480:waittimer 34966 blt 0,0,640,480,0, 2250,640,480:waittimer 34982 blt 0,0,640,480,0, 2251,640,480:waittimer 34997 blt 0,0,640,480,0, 2252,640,480:waittimer 35013 blt 0,0,640,480,0, 2253,640,480:waittimer 35028 blt 0,0,640,480,0, 2254,640,480:waittimer 35044 blt 0,0,640,480,0, 2255,640,480:waittimer 35060 blt 0,0,640,480,0, 2256,640,480:waittimer 35075 blt 0,0,640,480,0, 2257,640,480:waittimer 35091 blt 0,0,640,480,0, 2258,640,480:waittimer 35106 blt 0,0,640,480,0, 2259,640,480:waittimer 35122 blt 0,0,640,480,0, 2260,640,480:waittimer 35137 blt 0,0,640,480,0, 2261,640,480:waittimer 35153 blt 0,0,640,480,0, 2262,640,480:waittimer 35168 blt 0,0,640,480,0, 2263,640,480:waittimer 35184 blt 0,0,640,480,0, 2264,640,480:waittimer 35199 blt 0,0,640,480,0, 2265,640,480:waittimer 35215 blt 0,0,640,480,0, 2266,640,480:waittimer 35231 blt 0,0,640,480,0, 2267,640,480:waittimer 35246 blt 0,0,640,480,0, 2268,640,480:waittimer 35262 blt 0,0,640,480,0, 2269,640,480:waittimer 35277 blt 0,0,640,480,0, 2270,640,480:waittimer 35293 blt 0,0,640,480,0, 2271,640,480:waittimer 35308 blt 0,0,640,480,0, 2272,640,480:waittimer 35324 blt 0,0,640,480,0, 2273,640,480:waittimer 35339 blt 0,0,640,480,0, 2274,640,480:waittimer 35355 blt 0,0,640,480,0, 2275,640,480:waittimer 35371 blt 0,0,640,480,0, 2276,640,480:waittimer 35386 blt 0,0,640,480,0, 2277,640,480:waittimer 35402 blt 0,0,640,480,0, 2278,640,480:waittimer 35417 blt 0,0,640,480,0, 2279,640,480:waittimer 35433 blt 0,0,640,480,0, 2280,640,480:waittimer 35448 blt 0,0,640,480,0, 2281,640,480:waittimer 35464 blt 0,0,640,480,0, 2282,640,480:waittimer 35479 blt 0,0,640,480,0, 2283,640,480:waittimer 35495 blt 0,0,640,480,0, 2284,640,480:waittimer 35510 blt 0,0,640,480,0, 2285,640,480:waittimer 35526 blt 0,0,640,480,0, 2286,640,480:waittimer 35542 blt 0,0,640,480,0, 2287,640,480:waittimer 35557 blt 0,0,640,480,0, 2288,640,480:waittimer 35573 blt 0,0,640,480,0, 2289,640,480:waittimer 35588 blt 0,0,640,480,0, 2290,640,480:waittimer 35604 blt 0,0,640,480,0, 2291,640,480:waittimer 35619 blt 0,0,640,480,0, 2292,640,480:waittimer 35635 blt 0,0,640,480,0, 2293,640,480:waittimer 35650 blt 0,0,640,480,0, 2294,640,480:waittimer 35666 blt 0,0,640,480,0, 2295,640,480:waittimer 35681 blt 0,0,640,480,0, 2296,640,480:waittimer 35697 blt 0,0,640,480,0, 2297,640,480:waittimer 35713 blt 0,0,640,480,0, 2298,640,480:waittimer 35728 blt 0,0,640,480,0, 2299,640,480:waittimer 35744 blt 0,0,640,480,0, 2300,640,480:waittimer 35759 blt 0,0,640,480,0, 2301,640,480:waittimer 35775 blt 0,0,640,480,0, 2302,640,480:waittimer 35790 blt 0,0,640,480,0, 2303,640,480:waittimer 35806 blt 0,0,640,480,0, 2304,640,480:waittimer 35821 blt 0,0,640,480,0, 2305,640,480:waittimer 35837 blt 0,0,640,480,0, 2306,640,480:waittimer 35853 blt 0,0,640,480,0, 2307,640,480:waittimer 35868 blt 0,0,640,480,0, 2308,640,480:waittimer 35884 blt 0,0,640,480,0, 2309,640,480:waittimer 35899 blt 0,0,640,480,0, 2310,640,480:waittimer 35915 blt 0,0,640,480,0, 2311,640,480:waittimer 35930 blt 0,0,640,480,0, 2312,640,480:waittimer 35946 blt 0,0,640,480,0, 2313,640,480:waittimer 35961 blt 0,0,640,480,0, 2314,640,480:waittimer 35977 blt 0,0,640,480,0, 2315,640,480:waittimer 35992 blt 0,0,640,480,0, 2316,640,480:waittimer 36008 blt 0,0,640,480,0, 2317,640,480:waittimer 36024 blt 0,0,640,480,0, 2318,640,480:waittimer 36039 blt 0,0,640,480,0, 2319,640,480:waittimer 36055 blt 0,0,640,480,0, 2320,640,480:waittimer 36070 blt 0,0,640,480,0, 2321,640,480:waittimer 36086 blt 0,0,640,480,0, 2322,640,480:waittimer 36101 blt 0,0,640,480,0, 2323,640,480:waittimer 36117 blt 0,0,640,480,0, 2324,640,480:waittimer 36132 blt 0,0,640,480,0, 2325,640,480:waittimer 36148 blt 0,0,640,480,0, 2326,640,480:waittimer 36163 blt 0,0,640,480,0, 2327,640,480:waittimer 36179 blt 0,0,640,480,0, 2328,640,480:waittimer 36195 blt 0,0,640,480,0, 2329,640,480:waittimer 36210 blt 0,0,640,480,0, 2330,640,480:waittimer 36226 blt 0,0,640,480,0, 2331,640,480:waittimer 36241 blt 0,0,640,480,0, 2332,640,480:waittimer 36257 blt 0,0,640,480,0, 2333,640,480:waittimer 36272 blt 0,0,640,480,0, 2334,640,480:waittimer 36288 blt 0,0,640,480,0, 2335,640,480:waittimer 36303 blt 0,0,640,480,0, 2336,640,480:waittimer 36319 blt 0,0,640,480,0, 2337,640,480:waittimer 36334 blt 0,0,640,480,0, 2338,640,480:waittimer 36350 blt 0,0,640,480,0, 2339,640,480:waittimer 36366 blt 0,0,640,480,0, 2340,640,480:waittimer 36381 blt 0,0,640,480,0, 2341,640,480:waittimer 36397 blt 0,0,640,480,0, 2342,640,480:waittimer 36412 blt 0,0,640,480,0, 2343,640,480:waittimer 36428 blt 0,0,640,480,0, 2344,640,480:waittimer 36443 blt 0,0,640,480,0, 2345,640,480:waittimer 36459 blt 0,0,640,480,0, 2346,640,480:waittimer 36474 blt 0,0,640,480,0, 2347,640,480:waittimer 36490 blt 0,0,640,480,0, 2348,640,480:waittimer 36506 blt 0,0,640,480,0, 2349,640,480:waittimer 36521 blt 0,0,640,480,0, 2350,640,480:waittimer 36537 blt 0,0,640,480,0, 2351,640,480:waittimer 36552 blt 0,0,640,480,0, 2352,640,480:waittimer 36568 blt 0,0,640,480,0, 2353,640,480:waittimer 36583 blt 0,0,640,480,0, 2354,640,480:waittimer 36599 blt 0,0,640,480,0, 2355,640,480:waittimer 36614 blt 0,0,640,480,0, 2356,640,480:waittimer 36630 blt 0,0,640,480,0, 2357,640,480:waittimer 36645 blt 0,0,640,480,0, 2358,640,480:waittimer 36661 blt 0,0,640,480,0, 2359,640,480:waittimer 36677 blt 0,0,640,480,0, 2360,640,480:waittimer 36692 blt 0,0,640,480,0, 2361,640,480:waittimer 36708 blt 0,0,640,480,0, 2362,640,480:waittimer 36723 blt 0,0,640,480,0, 2363,640,480:waittimer 36739 blt 0,0,640,480,0, 2364,640,480:waittimer 36754 blt 0,0,640,480,0, 2365,640,480:waittimer 36770 blt 0,0,640,480,0, 2366,640,480:waittimer 36785 blt 0,0,640,480,0, 2367,640,480:waittimer 36801 blt 0,0,640,480,0, 2368,640,480:waittimer 36816 blt 0,0,640,480,0, 2369,640,480:waittimer 36832 blt 0,0,640,480,0, 2370,640,480:waittimer 36848 blt 0,0,640,480,0, 2371,640,480:waittimer 36863 blt 0,0,640,480,0, 2372,640,480:waittimer 36879 blt 0,0,640,480,0, 2373,640,480:waittimer 36894 blt 0,0,640,480,0, 2374,640,480:waittimer 36910 blt 0,0,640,480,0, 2375,640,480:waittimer 36925 blt 0,0,640,480,0, 2376,640,480:waittimer 36941 blt 0,0,640,480,0, 2377,640,480:waittimer 36956 blt 0,0,640,480,0, 2378,640,480:waittimer 36972 blt 0,0,640,480,0, 2379,640,480:waittimer 36987 blt 0,0,640,480,0, 2380,640,480:waittimer 37003 blt 0,0,640,480,0, 2381,640,480:waittimer 37019 blt 0,0,640,480,0, 2382,640,480:waittimer 37034 blt 0,0,640,480,0, 2383,640,480:waittimer 37050 blt 0,0,640,480,0, 2384,640,480:waittimer 37065 blt 0,0,640,480,0, 2385,640,480:waittimer 37081 blt 0,0,640,480,0, 2386,640,480:waittimer 37096 blt 0,0,640,480,0, 2387,640,480:waittimer 37112 blt 0,0,640,480,0, 2388,640,480:waittimer 37127 blt 0,0,640,480,0, 2389,640,480:waittimer 37143 blt 0,0,640,480,0, 2390,640,480:waittimer 37159 blt 0,0,640,480,0, 2391,640,480:waittimer 37174 blt 0,0,640,480,0, 2392,640,480:waittimer 37190 blt 0,0,640,480,0, 2393,640,480:waittimer 37205 blt 0,0,640,480,0, 2394,640,480:waittimer 37221 blt 0,0,640,480,0, 2395,640,480:waittimer 37236 blt 0,0,640,480,0, 2396,640,480:waittimer 37252 blt 0,0,640,480,0, 2397,640,480:waittimer 37267 blt 0,0,640,480,0, 2398,640,480:waittimer 37283 blt 0,0,640,480,0, 2399,640,480:waittimer 37298 blt 0,0,640,480,0, 2400,640,480:waittimer 37314 blt 0,0,640,480,0, 2401,640,480:waittimer 37330 blt 0,0,640,480,0, 2402,640,480:waittimer 37345 blt 0,0,640,480,0, 2403,640,480:waittimer 37361 blt 0,0,640,480,0, 2404,640,480:waittimer 37376 blt 0,0,640,480,0, 2405,640,480:waittimer 37392 blt 0,0,640,480,0, 2406,640,480:waittimer 37407 blt 0,0,640,480,0, 2407,640,480:waittimer 37423 blt 0,0,640,480,0, 2408,640,480:waittimer 37438 blt 0,0,640,480,0, 2409,640,480:waittimer 37454 blt 0,0,640,480,0, 2410,640,480:waittimer 37469 blt 0,0,640,480,0, 2411,640,480:waittimer 37485 blt 0,0,640,480,0, 2412,640,480:waittimer 37501 blt 0,0,640,480,0, 2413,640,480:waittimer 37516 blt 0,0,640,480,0, 2414,640,480:waittimer 37532 blt 0,0,640,480,0, 2415,640,480:waittimer 37547 blt 0,0,640,480,0, 2416,640,480:waittimer 37563 blt 0,0,640,480,0, 2417,640,480:waittimer 37578 blt 0,0,640,480,0, 2418,640,480:waittimer 37594 blt 0,0,640,480,0, 2419,640,480:waittimer 37609 blt 0,0,640,480,0, 2420,640,480:waittimer 37625 blt 0,0,640,480,0, 2421,640,480:waittimer 37640 blt 0,0,640,480,0, 2422,640,480:waittimer 37656 blt 0,0,640,480,0, 2423,640,480:waittimer 37672 blt 0,0,640,480,0, 2424,640,480:waittimer 37687 blt 0,0,640,480,0, 2425,640,480:waittimer 37703 blt 0,0,640,480,0, 2426,640,480:waittimer 37718 blt 0,0,640,480,0, 2427,640,480:waittimer 37734 blt 0,0,640,480,0, 2428,640,480:waittimer 37749 blt 0,0,640,480,0, 2429,640,480:waittimer 37765 blt 0,0,640,480,0, 2430,640,480:waittimer 37780 blt 0,0,640,480,0, 2431,640,480:waittimer 37796 blt 0,0,640,480,0, 2432,640,480:waittimer 37812 blt 0,0,640,480,0, 2433,640,480:waittimer 37827 blt 0,0,640,480,0, 2434,640,480:waittimer 37843 blt 0,0,640,480,0, 2435,640,480:waittimer 37858 blt 0,0,640,480,0, 2436,640,480:waittimer 37874 blt 0,0,640,480,0, 2437,640,480:waittimer 37889 blt 0,0,640,480,0, 2438,640,480:waittimer 37905 blt 0,0,640,480,0, 2439,640,480:waittimer 37920 blt 0,0,640,480,0, 2440,640,480:waittimer 37936 blt 0,0,640,480,0, 2441,640,480:waittimer 37951 blt 0,0,640,480,0, 2442,640,480:waittimer 37967 blt 0,0,640,480,0, 2443,640,480:waittimer 37983 blt 0,0,640,480,0, 2444,640,480:waittimer 37998 blt 0,0,640,480,0, 2445,640,480:waittimer 38014 blt 0,0,640,480,0, 2446,640,480:waittimer 38029 blt 0,0,640,480,0, 2447,640,480:waittimer 38045 blt 0,0,640,480,0, 2448,640,480:waittimer 38060 blt 0,0,640,480,0, 2449,640,480:waittimer 38076 blt 0,0,640,480,0, 2450,640,480:waittimer 38091 blt 0,0,640,480,0, 2451,640,480:waittimer 38107 blt 0,0,640,480,0, 2452,640,480:waittimer 38122 blt 0,0,640,480,0, 2453,640,480:waittimer 38138 blt 0,0,640,480,0, 2454,640,480:waittimer 38154 blt 0,0,640,480,0, 2455,640,480:waittimer 38169 blt 0,0,640,480,0, 2456,640,480:waittimer 38185 blt 0,0,640,480,0, 2457,640,480:waittimer 38200 blt 0,0,640,480,0, 2458,640,480:waittimer 38216 blt 0,0,640,480,0, 2459,640,480:waittimer 38231 blt 0,0,640,480,0, 2460,640,480:waittimer 38247 blt 0,0,640,480,0, 2461,640,480:waittimer 38262 blt 0,0,640,480,0, 2462,640,480:waittimer 38278 blt 0,0,640,480,0, 2463,640,480:waittimer 38293 blt 0,0,640,480,0, 2464,640,480:waittimer 38309 blt 0,0,640,480,0, 2465,640,480:waittimer 38325 blt 0,0,640,480,0, 2466,640,480:waittimer 38340 blt 0,0,640,480,0, 2467,640,480:waittimer 38356 blt 0,0,640,480,0, 2468,640,480:waittimer 38371 blt 0,0,640,480,0, 2469,640,480:waittimer 38387 blt 0,0,640,480,0, 2470,640,480:waittimer 38402 blt 0,0,640,480,0, 2471,640,480:waittimer 38418 blt 0,0,640,480,0, 2472,640,480:waittimer 38433 blt 0,0,640,480,0, 2473,640,480:waittimer 38449 blt 0,0,640,480,0, 2474,640,480:waittimer 38465 blt 0,0,640,480,0, 2475,640,480:waittimer 38480 blt 0,0,640,480,0, 2476,640,480:waittimer 38496 blt 0,0,640,480,0, 2477,640,480:waittimer 38511 blt 0,0,640,480,0, 2478,640,480:waittimer 38527 blt 0,0,640,480,0, 2479,640,480:waittimer 38542 blt 0,0,640,480,0, 2480,640,480:waittimer 38558 blt 0,0,640,480,0, 2481,640,480:waittimer 38573 blt 0,0,640,480,0, 2482,640,480:waittimer 38589 blt 0,0,640,480,0, 2483,640,480:waittimer 38604 blt 0,0,640,480,0, 2484,640,480:waittimer 38620 blt 0,0,640,480,0, 2485,640,480:waittimer 38636 blt 0,0,640,480,0, 2486,640,480:waittimer 38651 blt 0,0,640,480,0, 2487,640,480:waittimer 38667 blt 0,0,640,480,0, 2488,640,480:waittimer 38682 blt 0,0,640,480,0, 2489,640,480:waittimer 38698 blt 0,0,640,480,0, 2490,640,480:waittimer 38713 blt 0,0,640,480,0, 2491,640,480:waittimer 38729 blt 0,0,640,480,0, 2492,640,480:waittimer 38744 blt 0,0,640,480,0, 2493,640,480:waittimer 38760 blt 0,0,640,480,0, 2494,640,480:waittimer 38775 blt 0,0,640,480,0, 2495,640,480:waittimer 38791 blt 0,0,640,480,0, 2496,640,480:waittimer 38807 blt 0,0,640,480,0, 2497,640,480:waittimer 38822 blt 0,0,640,480,0, 2498,640,480:waittimer 38838 blt 0,0,640,480,0, 2499,640,480:waittimer 38853 blt 0,0,640,480,0, 2500,640,480:waittimer 38869 blt 0,0,640,480,0, 2501,640,480:waittimer 38884 blt 0,0,640,480,0, 2502,640,480:waittimer 38900 blt 0,0,640,480,0, 2503,640,480:waittimer 38915 blt 0,0,640,480,0, 2504,640,480:waittimer 38931 blt 0,0,640,480,0, 2505,640,480:waittimer 38946 blt 0,0,640,480,0, 2506,640,480:waittimer 38962 blt 0,0,640,480,0, 2507,640,480:waittimer 38978 blt 0,0,640,480,0, 2508,640,480:waittimer 38993 blt 0,0,640,480,0, 2509,640,480:waittimer 39009 blt 0,0,640,480,0, 2510,640,480:waittimer 39024 blt 0,0,640,480,0, 2511,640,480:waittimer 39040 blt 0,0,640,480,0, 2512,640,480:waittimer 39055 blt 0,0,640,480,0, 2513,640,480:waittimer 39071 blt 0,0,640,480,0, 2514,640,480:waittimer 39086 blt 0,0,640,480,0, 2515,640,480:waittimer 39102 blt 0,0,640,480,0, 2516,640,480:waittimer 39118 blt 0,0,640,480,0, 2517,640,480:waittimer 39133 blt 0,0,640,480,0, 2518,640,480:waittimer 39149 blt 0,0,640,480,0, 2519,640,480:waittimer 39164 blt 0,0,640,480,0, 2520,640,480:waittimer 39180 blt 0,0,640,480,0, 2521,640,480:waittimer 39195 blt 0,0,640,480,0, 2522,640,480:waittimer 39211 blt 0,0,640,480,0, 2523,640,480:waittimer 39226 blt 0,0,640,480,0, 2524,640,480:waittimer 39242 blt 0,0,640,480,0, 2525,640,480:waittimer 39257 blt 0,0,640,480,0, 2526,640,480:waittimer 39273 blt 0,0,640,480,0, 2527,640,480:waittimer 39289 blt 0,0,640,480,0, 2528,640,480:waittimer 39304 blt 0,0,640,480,0, 2529,640,480:waittimer 39320 blt 0,0,640,480,0, 2530,640,480:waittimer 39335 blt 0,0,640,480,0, 2531,640,480:waittimer 39351 blt 0,0,640,480,0, 2532,640,480:waittimer 39366 blt 0,0,640,480,0, 2533,640,480:waittimer 39382 blt 0,0,640,480,0, 2534,640,480:waittimer 39397 blt 0,0,640,480,0, 2535,640,480:waittimer 39413 blt 0,0,640,480,0, 2536,640,480:waittimer 39428 blt 0,0,640,480,0, 2537,640,480:waittimer 39444 blt 0,0,640,480,0, 2538,640,480:waittimer 39460 blt 0,0,640,480,0, 2539,640,480:waittimer 39475 blt 0,0,640,480,0, 2540,640,480:waittimer 39491 blt 0,0,640,480,0, 2541,640,480:waittimer 39506 blt 0,0,640,480,0, 2542,640,480:waittimer 39522 blt 0,0,640,480,0, 2543,640,480:waittimer 39537 blt 0,0,640,480,0, 2544,640,480:waittimer 39553 blt 0,0,640,480,0, 2545,640,480:waittimer 39568 blt 0,0,640,480,0, 2546,640,480:waittimer 39584 blt 0,0,640,480,0, 2547,640,480:waittimer 39599 blt 0,0,640,480,0, 2548,640,480:waittimer 39615 blt 0,0,640,480,0, 2549,640,480:waittimer 39631 blt 0,0,640,480,0, 2550,640,480:waittimer 39646 blt 0,0,640,480,0, 2551,640,480:waittimer 39662 blt 0,0,640,480,0, 2552,640,480:waittimer 39677 blt 0,0,640,480,0, 2553,640,480:waittimer 39693 blt 0,0,640,480,0, 2554,640,480:waittimer 39708 blt 0,0,640,480,0, 2555,640,480:waittimer 39724 blt 0,0,640,480,0, 2556,640,480:waittimer 39739 blt 0,0,640,480,0, 2557,640,480:waittimer 39755 blt 0,0,640,480,0, 2558,640,480:waittimer 39771 blt 0,0,640,480,0, 2559,640,480:waittimer 39786 blt 0,0,640,480,0, 2560,640,480:waittimer 39802 blt 0,0,640,480,0, 2561,640,480:waittimer 39817 blt 0,0,640,480,0, 2562,640,480:waittimer 39833 blt 0,0,640,480,0, 2563,640,480:waittimer 39848 blt 0,0,640,480,0, 2564,640,480:waittimer 39864 blt 0,0,640,480,0, 2565,640,480:waittimer 39879 blt 0,0,640,480,0, 2566,640,480:waittimer 39895 blt 0,0,640,480,0, 2567,640,480:waittimer 39910 blt 0,0,640,480,0, 2568,640,480:waittimer 39926 blt 0,0,640,480,0, 2569,640,480:waittimer 39942 blt 0,0,640,480,0, 2570,640,480:waittimer 39957 blt 0,0,640,480,0, 2571,640,480:waittimer 39973 blt 0,0,640,480,0, 2572,640,480:waittimer 39988 blt 0,0,640,480,0, 2573,640,480:waittimer 40004 blt 0,0,640,480,0, 2574,640,480:waittimer 40019 blt 0,0,640,480,0, 2575,640,480:waittimer 40035 blt 0,0,640,480,0, 2576,640,480:waittimer 40050 blt 0,0,640,480,0, 2577,640,480:waittimer 40066 blt 0,0,640,480,0, 2578,640,480:waittimer 40081 blt 0,0,640,480,0, 2579,640,480:waittimer 40097 blt 0,0,640,480,0, 2580,640,480:waittimer 40113 blt 0,0,640,480,0, 2581,640,480:waittimer 40128 blt 0,0,640,480,0, 2582,640,480:waittimer 40144 blt 0,0,640,480,0, 2583,640,480:waittimer 40159 blt 0,0,640,480,0, 2584,640,480:waittimer 40175 blt 0,0,640,480,0, 2585,640,480:waittimer 40190 blt 0,0,640,480,0, 2586,640,480:waittimer 40206 blt 0,0,640,480,0, 2587,640,480:waittimer 40221 blt 0,0,640,480,0, 2588,640,480:waittimer 40237 blt 0,0,640,480,0, 2589,640,480:waittimer 40253 blt 0,0,640,480,0, 2590,640,480:waittimer 40268 blt 0,0,640,480,0, 2591,640,480:waittimer 40284 blt 0,0,640,480,0, 2592,640,480:waittimer 40299 blt 0,0,640,480,0, 2593,640,480:waittimer 40315 blt 0,0,640,480,0, 2594,640,480:waittimer 40330 blt 0,0,640,480,0, 2595,640,480:waittimer 40346 blt 0,0,640,480,0, 2596,640,480:waittimer 40361 blt 0,0,640,480,0, 2597,640,480:waittimer 40377 blt 0,0,640,480,0, 2598,640,480:waittimer 40392 blt 0,0,640,480,0, 2599,640,480:waittimer 40408 blt 0,0,640,480,0, 2600,640,480:waittimer 40424 blt 0,0,640,480,0, 2601,640,480:waittimer 40439 blt 0,0,640,480,0, 2602,640,480:waittimer 40455 blt 0,0,640,480,0, 2603,640,480:waittimer 40470 blt 0,0,640,480,0, 2604,640,480:waittimer 40486 blt 0,0,640,480,0, 2605,640,480:waittimer 40501 blt 0,0,640,480,0, 2606,640,480:waittimer 40517 blt 0,0,640,480,0, 2607,640,480:waittimer 40532 blt 0,0,640,480,0, 2608,640,480:waittimer 40548 blt 0,0,640,480,0, 2609,640,480:waittimer 40563 blt 0,0,640,480,0, 2610,640,480:waittimer 40579 blt 0,0,640,480,0, 2611,640,480:waittimer 40595 blt 0,0,640,480,0, 2612,640,480:waittimer 40610 blt 0,0,640,480,0, 2613,640,480:waittimer 40626 blt 0,0,640,480,0, 2614,640,480:waittimer 40641 blt 0,0,640,480,0, 2615,640,480:waittimer 40657 blt 0,0,640,480,0, 2616,640,480:waittimer 40672 blt 0,0,640,480,0, 2617,640,480:waittimer 40688 blt 0,0,640,480,0, 2618,640,480:waittimer 40703 blt 0,0,640,480,0, 2619,640,480:waittimer 40719 blt 0,0,640,480,0, 2620,640,480:waittimer 40734 blt 0,0,640,480,0, 2621,640,480:waittimer 40750 blt 0,0,640,480,0, 2622,640,480:waittimer 40766 blt 0,0,640,480,0, 2623,640,480:waittimer 40781 blt 0,0,640,480,0, 2624,640,480:waittimer 40797 blt 0,0,640,480,0, 2625,640,480:waittimer 40812 blt 0,0,640,480,0, 2626,640,480:waittimer 40828 blt 0,0,640,480,0, 2627,640,480:waittimer 40843 blt 0,0,640,480,0, 2628,640,480:waittimer 40859 blt 0,0,640,480,0, 2629,640,480:waittimer 40874 blt 0,0,640,480,0, 2630,640,480:waittimer 40890 blt 0,0,640,480,0, 2631,640,480:waittimer 40906 blt 0,0,640,480,0, 2632,640,480:waittimer 40921 blt 0,0,640,480,0, 2633,640,480:waittimer 40937 blt 0,0,640,480,0, 2634,640,480:waittimer 40952 blt 0,0,640,480,0, 2635,640,480:waittimer 40968 blt 0,0,640,480,0, 2636,640,480:waittimer 40983 blt 0,0,640,480,0, 2637,640,480:waittimer 40999 blt 0,0,640,480,0, 2638,640,480:waittimer 41014 blt 0,0,640,480,0, 2639,640,480:waittimer 41030 blt 0,0,640,480,0, 2640,640,480:waittimer 41045 blt 0,0,640,480,0, 2641,640,480:waittimer 41061 blt 0,0,640,480,0, 2642,640,480:waittimer 41077 blt 0,0,640,480,0, 2643,640,480:waittimer 41092 blt 0,0,640,480,0, 2644,640,480:waittimer 41108 blt 0,0,640,480,0, 2645,640,480:waittimer 41123 blt 0,0,640,480,0, 2646,640,480:waittimer 41139 blt 0,0,640,480,0, 2647,640,480:waittimer 41154 blt 0,0,640,480,0, 2648,640,480:waittimer 41170 blt 0,0,640,480,0, 2649,640,480:waittimer 41185 blt 0,0,640,480,0, 2650,640,480:waittimer 41201 blt 0,0,640,480,0, 2651,640,480:waittimer 41216 blt 0,0,640,480,0, 2652,640,480:waittimer 41232 blt 0,0,640,480,0, 2653,640,480:waittimer 41248 blt 0,0,640,480,0, 2654,640,480:waittimer 41263 blt 0,0,640,480,0, 2655,640,480:waittimer 41279 blt 0,0,640,480,0, 2656,640,480:waittimer 41294 blt 0,0,640,480,0, 2657,640,480:waittimer 41310 blt 0,0,640,480,0, 2658,640,480:waittimer 41325 blt 0,0,640,480,0, 2659,640,480:waittimer 41341 blt 0,0,640,480,0, 2660,640,480:waittimer 41356 blt 0,0,640,480,0, 2661,640,480:waittimer 41372 blt 0,0,640,480,0, 2662,640,480:waittimer 41387 blt 0,0,640,480,0, 2663,640,480:waittimer 41403 blt 0,0,640,480,0, 2664,640,480:waittimer 41419 blt 0,0,640,480,0, 2665,640,480:waittimer 41434 blt 0,0,640,480,0, 2666,640,480:waittimer 41450 blt 0,0,640,480,0, 2667,640,480:waittimer 41465 blt 0,0,640,480,0, 2668,640,480:waittimer 41481 blt 0,0,640,480,0, 2669,640,480:waittimer 41496 blt 0,0,640,480,0, 2670,640,480:waittimer 41512 blt 0,0,640,480,0, 2671,640,480:waittimer 41527 blt 0,0,640,480,0, 2672,640,480:waittimer 41543 blt 0,0,640,480,0, 2673,640,480:waittimer 41559 blt 0,0,640,480,0, 2674,640,480:waittimer 41574 blt 0,0,640,480,0, 2675,640,480:waittimer 41590 blt 0,0,640,480,0, 2676,640,480:waittimer 41605 blt 0,0,640,480,0, 2677,640,480:waittimer 41621 blt 0,0,640,480,0, 2678,640,480:waittimer 41636 blt 0,0,640,480,0, 2679,640,480:waittimer 41652 blt 0,0,640,480,0, 2680,640,480:waittimer 41667 blt 0,0,640,480,0, 2681,640,480:waittimer 41683 blt 0,0,640,480,0, 2682,640,480:waittimer 41698 blt 0,0,640,480,0, 2683,640,480:waittimer 41714 blt 0,0,640,480,0, 2684,640,480:waittimer 41730 blt 0,0,640,480,0, 2685,640,480:waittimer 41745 blt 0,0,640,480,0, 2686,640,480:waittimer 41761 blt 0,0,640,480,0, 2687,640,480:waittimer 41776 blt 0,0,640,480,0, 2688,640,480:waittimer 41792 blt 0,0,640,480,0, 2689,640,480:waittimer 41807 blt 0,0,640,480,0, 2690,640,480:waittimer 41823 blt 0,0,640,480,0, 2691,640,480:waittimer 41838 blt 0,0,640,480,0, 2692,640,480:waittimer 41854 blt 0,0,640,480,0, 2693,640,480:waittimer 41869 blt 0,0,640,480,0, 2694,640,480:waittimer 41885 blt 0,0,640,480,0, 2695,640,480:waittimer 41901 blt 0,0,640,480,0, 2696,640,480:waittimer 41916 blt 0,0,640,480,0, 2697,640,480:waittimer 41932 blt 0,0,640,480,0, 2698,640,480:waittimer 41947 blt 0,0,640,480,0, 2699,640,480:waittimer 41963 blt 0,0,640,480,0, 2700,640,480:waittimer 41978 blt 0,0,640,480,0, 2701,640,480:waittimer 41994 blt 0,0,640,480,0, 2702,640,480:waittimer 42009 blt 0,0,640,480,0, 2703,640,480:waittimer 42025 blt 0,0,640,480,0, 2704,640,480:waittimer 42040 blt 0,0,640,480,0, 2705,640,480:waittimer 42056 blt 0,0,640,480,0, 2706,640,480:waittimer 42072 blt 0,0,640,480,0, 2707,640,480:waittimer 42087 blt 0,0,640,480,0, 2708,640,480:waittimer 42103 blt 0,0,640,480,0, 2709,640,480:waittimer 42118 blt 0,0,640,480,0, 2710,640,480:waittimer 42134 blt 0,0,640,480,0, 2711,640,480:waittimer 42149 blt 0,0,640,480,0, 2712,640,480:waittimer 42165 blt 0,0,640,480,0, 2713,640,480:waittimer 42180 blt 0,0,640,480,0, 2714,640,480:waittimer 42196 blt 0,0,640,480,0, 2715,640,480:waittimer 42212 blt 0,0,640,480,0, 2716,640,480:waittimer 42227 blt 0,0,640,480,0, 2717,640,480:waittimer 42243 blt 0,0,640,480,0, 2718,640,480:waittimer 42258 blt 0,0,640,480,0, 2719,640,480:waittimer 42274 blt 0,0,640,480,0, 2720,640,480:waittimer 42289 blt 0,0,640,480,0, 2721,640,480:waittimer 42305 blt 0,0,640,480,0, 2722,640,480:waittimer 42320 blt 0,0,640,480,0, 2723,640,480:waittimer 42336 blt 0,0,640,480,0, 2724,640,480:waittimer 42351 blt 0,0,640,480,0, 2725,640,480:waittimer 42367 blt 0,0,640,480,0, 2726,640,480:waittimer 42383 blt 0,0,640,480,0, 2727,640,480:waittimer 42398 blt 0,0,640,480,0, 2728,640,480:waittimer 42414 blt 0,0,640,480,0, 2729,640,480:waittimer 42429 blt 0,0,640,480,0, 2730,640,480:waittimer 42445 blt 0,0,640,480,0, 2731,640,480:waittimer 42460 blt 0,0,640,480,0, 2732,640,480:waittimer 42476 blt 0,0,640,480,0, 2733,640,480:waittimer 42491 blt 0,0,640,480,0, 2734,640,480:waittimer 42507 blt 0,0,640,480,0, 2735,640,480:waittimer 42522 blt 0,0,640,480,0, 2736,640,480:waittimer 42538 blt 0,0,640,480,0, 2737,640,480:waittimer 42554 blt 0,0,640,480,0, 2738,640,480:waittimer 42569 blt 0,0,640,480,0, 2739,640,480:waittimer 42585 blt 0,0,640,480,0, 2740,640,480:waittimer 42600 blt 0,0,640,480,0, 2741,640,480:waittimer 42616 blt 0,0,640,480,0, 2742,640,480:waittimer 42631 blt 0,0,640,480,0, 2743,640,480:waittimer 42647 blt 0,0,640,480,0, 2744,640,480:waittimer 42662 blt 0,0,640,480,0, 2745,640,480:waittimer 42678 blt 0,0,640,480,0, 2746,640,480:waittimer 42693 blt 0,0,640,480,0, 2747,640,480:waittimer 42709 blt 0,0,640,480,0, 2748,640,480:waittimer 42725 blt 0,0,640,480,0, 2749,640,480:waittimer 42740 blt 0,0,640,480,0, 2750,640,480:waittimer 42756 blt 0,0,640,480,0, 2751,640,480:waittimer 42771 blt 0,0,640,480,0, 2752,640,480:waittimer 42787 blt 0,0,640,480,0, 2753,640,480:waittimer 42802 blt 0,0,640,480,0, 2754,640,480:waittimer 42818 blt 0,0,640,480,0, 2755,640,480:waittimer 42833 blt 0,0,640,480,0, 2756,640,480:waittimer 42849 blt 0,0,640,480,0, 2757,640,480:waittimer 42865 blt 0,0,640,480,0, 2758,640,480:waittimer 42880 blt 0,0,640,480,0, 2759,640,480:waittimer 42896 blt 0,0,640,480,0, 2760,640,480:waittimer 42911 blt 0,0,640,480,0, 2761,640,480:waittimer 42927 blt 0,0,640,480,0, 2762,640,480:waittimer 42942 blt 0,0,640,480,0, 2763,640,480:waittimer 42958 blt 0,0,640,480,0, 2764,640,480:waittimer 42973 blt 0,0,640,480,0, 2765,640,480:waittimer 42989 blt 0,0,640,480,0, 2766,640,480:waittimer 43004 blt 0,0,640,480,0, 2767,640,480:waittimer 43020 blt 0,0,640,480,0, 2768,640,480:waittimer 43036 blt 0,0,640,480,0, 2769,640,480:waittimer 43051 blt 0,0,640,480,0, 2770,640,480:waittimer 43067 blt 0,0,640,480,0, 2771,640,480:waittimer 43082 blt 0,0,640,480,0, 2772,640,480:waittimer 43098 blt 0,0,640,480,0, 2773,640,480:waittimer 43113 blt 0,0,640,480,0, 2774,640,480:waittimer 43129 blt 0,0,640,480,0, 2775,640,480:waittimer 43144 blt 0,0,640,480,0, 2776,640,480:waittimer 43160 blt 0,0,640,480,0, 2777,640,480:waittimer 43175 blt 0,0,640,480,0, 2778,640,480:waittimer 43191 blt 0,0,640,480,0, 2779,640,480:waittimer 43207 blt 0,0,640,480,0, 2780,640,480:waittimer 43222 blt 0,0,640,480,0, 2781,640,480:waittimer 43238 blt 0,0,640,480,0, 2782,640,480:waittimer 43253 blt 0,0,640,480,0, 2783,640,480:waittimer 43269 blt 0,0,640,480,0, 2784,640,480:waittimer 43284 blt 0,0,640,480,0, 2785,640,480:waittimer 43300 blt 0,0,640,480,0, 2786,640,480:waittimer 43315 blt 0,0,640,480,0, 2787,640,480:waittimer 43331 blt 0,0,640,480,0, 2788,640,480:waittimer 43346 blt 0,0,640,480,0, 2789,640,480:waittimer 43362 blt 0,0,640,480,0, 2790,640,480:waittimer 43378 blt 0,0,640,480,0, 2791,640,480:waittimer 43393 blt 0,0,640,480,0, 2792,640,480:waittimer 43409 blt 0,0,640,480,0, 2793,640,480:waittimer 43424 blt 0,0,640,480,0, 2794,640,480:waittimer 43440 blt 0,0,640,480,0, 2795,640,480:waittimer 43455 blt 0,0,640,480,0, 2796,640,480:waittimer 43471 blt 0,0,640,480,0, 2797,640,480:waittimer 43486 blt 0,0,640,480,0, 2798,640,480:waittimer 43502 blt 0,0,640,480,0, 2799,640,480:waittimer 43518 blt 0,0,640,480,0, 2800,640,480:waittimer 43533 blt 0,0,640,480,0, 2801,640,480:waittimer 43549 blt 0,0,640,480,0, 2802,640,480:waittimer 43564 blt 0,0,640,480,0, 2803,640,480:waittimer 43580 blt 0,0,640,480,0, 2804,640,480:waittimer 43595 blt 0,0,640,480,0, 2805,640,480:waittimer 43611 blt 0,0,640,480,0, 2806,640,480:waittimer 43626 blt 0,0,640,480,0, 2807,640,480:waittimer 43642 blt 0,0,640,480,0, 2808,640,480:waittimer 43657 blt 0,0,640,480,0, 2809,640,480:waittimer 43673 blt 0,0,640,480,0, 2810,640,480:waittimer 43689 blt 0,0,640,480,0, 2811,640,480:waittimer 43704 blt 0,0,640,480,0, 2812,640,480:waittimer 43720 blt 0,0,640,480,0, 2813,640,480:waittimer 43735 blt 0,0,640,480,0, 2814,640,480:waittimer 43751 blt 0,0,640,480,0, 2815,640,480:waittimer 43766 blt 0,0,640,480,0, 2816,640,480:waittimer 43782 blt 0,0,640,480,0, 2817,640,480:waittimer 43797 blt 0,0,640,480,0, 2818,640,480:waittimer 43813 blt 0,0,640,480,0, 2819,640,480:waittimer 43828 blt 0,0,640,480,0, 2820,640,480:waittimer 43844 blt 0,0,640,480,0, 2821,640,480:waittimer 43860 blt 0,0,640,480,0, 2822,640,480:waittimer 43875 blt 0,0,640,480,0, 2823,640,480:waittimer 43891 blt 0,0,640,480,0, 2824,640,480:waittimer 43906 blt 0,0,640,480,0, 2825,640,480:waittimer 43922 blt 0,0,640,480,0, 2826,640,480:waittimer 43937 blt 0,0,640,480,0, 2827,640,480:waittimer 43953 blt 0,0,640,480,0, 2828,640,480:waittimer 43968 blt 0,0,640,480,0, 2829,640,480:waittimer 43984 blt 0,0,640,480,0, 2830,640,480:waittimer 43999 blt 0,0,640,480,0, 2831,640,480:waittimer 44015 blt 0,0,640,480,0, 2832,640,480:waittimer 44031 blt 0,0,640,480,0, 2833,640,480:waittimer 44046 blt 0,0,640,480,0, 2834,640,480:waittimer 44062 blt 0,0,640,480,0, 2835,640,480:waittimer 44077 blt 0,0,640,480,0, 2836,640,480:waittimer 44093 blt 0,0,640,480,0, 2837,640,480:waittimer 44108 blt 0,0,640,480,0, 2838,640,480:waittimer 44124 blt 0,0,640,480,0, 2839,640,480:waittimer 44139 blt 0,0,640,480,0, 2840,640,480:waittimer 44155 blt 0,0,640,480,0, 2841,640,480:waittimer 44171 blt 0,0,640,480,0, 2842,640,480:waittimer 44186 blt 0,0,640,480,0, 2843,640,480:waittimer 44202 blt 0,0,640,480,0, 2844,640,480:waittimer 44217 blt 0,0,640,480,0, 2845,640,480:waittimer 44233 blt 0,0,640,480,0, 2846,640,480:waittimer 44248 blt 0,0,640,480,0, 2847,640,480:waittimer 44264 blt 0,0,640,480,0, 2848,640,480:waittimer 44279 blt 0,0,640,480,0, 2849,640,480:waittimer 44295 blt 0,0,640,480,0, 2850,640,480:waittimer 44310 blt 0,0,640,480,0, 2851,640,480:waittimer 44326 blt 0,0,640,480,0, 2852,640,480:waittimer 44342 blt 0,0,640,480,0, 2853,640,480:waittimer 44357 blt 0,0,640,480,0, 2854,640,480:waittimer 44373 blt 0,0,640,480,0, 2855,640,480:waittimer 44388 blt 0,0,640,480,0, 2856,640,480:waittimer 44404 blt 0,0,640,480,0, 2857,640,480:waittimer 44419 blt 0,0,640,480,0, 2858,640,480:waittimer 44435 blt 0,0,640,480,0, 2859,640,480:waittimer 44450 blt 0,0,640,480,0, 2860,640,480:waittimer 44466 blt 0,0,640,480,0, 2861,640,480:waittimer 44481 blt 0,0,640,480,0, 2862,640,480:waittimer 44497 blt 0,0,640,480,0, 2863,640,480:waittimer 44513 blt 0,0,640,480,0, 2864,640,480:waittimer 44528 blt 0,0,640,480,0, 2865,640,480:waittimer 44544 blt 0,0,640,480,0, 2866,640,480:waittimer 44559 blt 0,0,640,480,0, 2867,640,480:waittimer 44575 blt 0,0,640,480,0, 2868,640,480:waittimer 44590 blt 0,0,640,480,0, 2869,640,480:waittimer 44606 blt 0,0,640,480,0, 2870,640,480:waittimer 44621 blt 0,0,640,480,0, 2871,640,480:waittimer 44637 blt 0,0,640,480,0, 2872,640,480:waittimer 44653 blt 0,0,640,480,0, 2873,640,480:waittimer 44668 blt 0,0,640,480,0, 2874,640,480:waittimer 44684 blt 0,0,640,480,0, 2875,640,480:waittimer 44699 blt 0,0,640,480,0, 2876,640,480:waittimer 44715 blt 0,0,640,480,0, 2877,640,480:waittimer 44730 blt 0,0,640,480,0, 2878,640,480:waittimer 44746 blt 0,0,640,480,0, 2879,640,480:waittimer 44761 blt 0,0,640,480,0, 2880,640,480:waittimer 44777 blt 0,0,640,480,0, 2881,640,480:waittimer 44792 blt 0,0,640,480,0, 2882,640,480:waittimer 44808 blt 0,0,640,480,0, 2883,640,480:waittimer 44824 blt 0,0,640,480,0, 2884,640,480:waittimer 44839 blt 0,0,640,480,0, 2885,640,480:waittimer 44855 blt 0,0,640,480,0, 2886,640,480:waittimer 44870 blt 0,0,640,480,0, 2887,640,480:waittimer 44886 blt 0,0,640,480,0, 2888,640,480:waittimer 44901 blt 0,0,640,480,0, 2889,640,480:waittimer 44917 blt 0,0,640,480,0, 2890,640,480:waittimer 44932 blt 0,0,640,480,0, 2891,640,480:waittimer 44948 blt 0,0,640,480,0, 2892,640,480:waittimer 44963 blt 0,0,640,480,0, 2893,640,480:waittimer 44979 blt 0,0,640,480,0, 2894,640,480:waittimer 44995 blt 0,0,640,480,0, 2895,640,480:waittimer 45010 blt 0,0,640,480,0, 2896,640,480:waittimer 45026 blt 0,0,640,480,0, 2897,640,480:waittimer 45041 blt 0,0,640,480,0, 2898,640,480:waittimer 45057 blt 0,0,640,480,0, 2899,640,480:waittimer 45072 blt 0,0,640,480,0, 2900,640,480:waittimer 45088 blt 0,0,640,480,0, 2901,640,480:waittimer 45103 blt 0,0,640,480,0, 2902,640,480:waittimer 45119 blt 0,0,640,480,0, 2903,640,480:waittimer 45134 blt 0,0,640,480,0, 2904,640,480:waittimer 45150 blt 0,0,640,480,0, 2905,640,480:waittimer 45166 blt 0,0,640,480,0, 2906,640,480:waittimer 45181 blt 0,0,640,480,0, 2907,640,480:waittimer 45197 blt 0,0,640,480,0, 2908,640,480:waittimer 45212 blt 0,0,640,480,0, 2909,640,480:waittimer 45228 blt 0,0,640,480,0, 2910,640,480:waittimer 45243 blt 0,0,640,480,0, 2911,640,480:waittimer 45259 blt 0,0,640,480,0, 2912,640,480:waittimer 45274 blt 0,0,640,480,0, 2913,640,480:waittimer 45290 blt 0,0,640,480,0, 2914,640,480:waittimer 45306 blt 0,0,640,480,0, 2915,640,480:waittimer 45321 blt 0,0,640,480,0, 2916,640,480:waittimer 45337 blt 0,0,640,480,0, 2917,640,480:waittimer 45352 blt 0,0,640,480,0, 2918,640,480:waittimer 45368 blt 0,0,640,480,0, 2919,640,480:waittimer 45383 blt 0,0,640,480,0, 2920,640,480:waittimer 45399 blt 0,0,640,480,0, 2921,640,480:waittimer 45414 blt 0,0,640,480,0, 2922,640,480:waittimer 45430 blt 0,0,640,480,0, 2923,640,480:waittimer 45445 blt 0,0,640,480,0, 2924,640,480:waittimer 45461 blt 0,0,640,480,0, 2925,640,480:waittimer 45477 blt 0,0,640,480,0, 2926,640,480:waittimer 45492 blt 0,0,640,480,0, 2927,640,480:waittimer 45508 blt 0,0,640,480,0, 2928,640,480:waittimer 45523 blt 0,0,640,480,0, 2929,640,480:waittimer 45539 blt 0,0,640,480,0, 2930,640,480:waittimer 45554 blt 0,0,640,480,0, 2931,640,480:waittimer 45570 blt 0,0,640,480,0, 2932,640,480:waittimer 45585 blt 0,0,640,480,0, 2933,640,480:waittimer 45601 blt 0,0,640,480,0, 2934,640,480:waittimer 45616 blt 0,0,640,480,0, 2935,640,480:waittimer 45632 blt 0,0,640,480,0, 2936,640,480:waittimer 45648 blt 0,0,640,480,0, 2937,640,480:waittimer 45663 blt 0,0,640,480,0, 2938,640,480:waittimer 45679 blt 0,0,640,480,0, 2939,640,480:waittimer 45694 blt 0,0,640,480,0, 2940,640,480:waittimer 45710 blt 0,0,640,480,0, 2941,640,480:waittimer 45725 blt 0,0,640,480,0, 2942,640,480:waittimer 45741 blt 0,0,640,480,0, 2943,640,480:waittimer 45756 blt 0,0,640,480,0, 2944,640,480:waittimer 45772 blt 0,0,640,480,0, 2945,640,480:waittimer 45787 blt 0,0,640,480,0, 2946,640,480:waittimer 45803 blt 0,0,640,480,0, 2947,640,480:waittimer 45819 blt 0,0,640,480,0, 2948,640,480:waittimer 45834 blt 0,0,640,480,0, 2949,640,480:waittimer 45850 blt 0,0,640,480,0, 2950,640,480:waittimer 45865 blt 0,0,640,480,0, 2951,640,480:waittimer 45881 blt 0,0,640,480,0, 2952,640,480:waittimer 45896 blt 0,0,640,480,0, 2953,640,480:waittimer 45912 blt 0,0,640,480,0, 2954,640,480:waittimer 45927 blt 0,0,640,480,0, 2955,640,480:waittimer 45943 blt 0,0,640,480,0, 2956,640,480:waittimer 45959 blt 0,0,640,480,0, 2957,640,480:waittimer 45974 blt 0,0,640,480,0, 2958,640,480:waittimer 45990 blt 0,0,640,480,0, 2959,640,480:waittimer 46005 blt 0,0,640,480,0, 2960,640,480:waittimer 46021 blt 0,0,640,480,0, 2961,640,480:waittimer 46036 blt 0,0,640,480,0, 2962,640,480:waittimer 46052 blt 0,0,640,480,0, 2963,640,480:waittimer 46067 blt 0,0,640,480,0, 2964,640,480:waittimer 46083 blt 0,0,640,480,0, 2965,640,480:waittimer 46098 blt 0,0,640,480,0, 2966,640,480:waittimer 46114 blt 0,0,640,480,0, 2967,640,480:waittimer 46130 blt 0,0,640,480,0, 2968,640,480:waittimer 46145 blt 0,0,640,480,0, 2969,640,480:waittimer 46161 blt 0,0,640,480,0, 2970,640,480:waittimer 46176 blt 0,0,640,480,0, 2971,640,480:waittimer 46192 blt 0,0,640,480,0, 2972,640,480:waittimer 46207 blt 0,0,640,480,0, 2973,640,480:waittimer 46223 blt 0,0,640,480,0, 2974,640,480:waittimer 46238 blt 0,0,640,480,0, 2975,640,480:waittimer 46254 blt 0,0,640,480,0, 2976,640,480:waittimer 46269 blt 0,0,640,480,0, 2977,640,480:waittimer 46285 blt 0,0,640,480,0, 2978,640,480:waittimer 46301 blt 0,0,640,480,0, 2979,640,480:waittimer 46316 blt 0,0,640,480,0, 2980,640,480:waittimer 46332 blt 0,0,640,480,0, 2981,640,480:waittimer 46347 blt 0,0,640,480,0, 2982,640,480:waittimer 46363 blt 0,0,640,480,0, 2983,640,480:waittimer 46378 blt 0,0,640,480,0, 2984,640,480:waittimer 46394 blt 0,0,640,480,0, 2985,640,480:waittimer 46409 blt 0,0,640,480,0, 2986,640,480:waittimer 46425 blt 0,0,640,480,0, 2987,640,480:waittimer 46440 blt 0,0,640,480,0, 2988,640,480:waittimer 46456 blt 0,0,640,480,0, 2989,640,480:waittimer 46472 blt 0,0,640,480,0, 2990,640,480:waittimer 46487 blt 0,0,640,480,0, 2991,640,480:waittimer 46503 blt 0,0,640,480,0, 2992,640,480:waittimer 46518 blt 0,0,640,480,0, 2993,640,480:waittimer 46534 blt 0,0,640,480,0, 2994,640,480:waittimer 46549 blt 0,0,640,480,0, 2995,640,480:waittimer 46565 blt 0,0,640,480,0, 2996,640,480:waittimer 46580 blt 0,0,640,480,0, 2997,640,480:waittimer 46596 blt 0,0,640,480,0, 2998,640,480:waittimer 46612 blt 0,0,640,480,0, 2999,640,480:waittimer 46627 blt 0,0,640,480,0, 3000,640,480:waittimer 46643 blt 0,0,640,480,0, 3001,640,480:waittimer 46658 blt 0,0,640,480,0, 3002,640,480:waittimer 46674 blt 0,0,640,480,0, 3003,640,480:waittimer 46689 blt 0,0,640,480,0, 3004,640,480:waittimer 46705 blt 0,0,640,480,0, 3005,640,480:waittimer 46720 blt 0,0,640,480,0, 3006,640,480:waittimer 46736 blt 0,0,640,480,0, 3007,640,480:waittimer 46751 blt 0,0,640,480,0, 3008,640,480:waittimer 46767 blt 0,0,640,480,0, 3009,640,480:waittimer 46783 blt 0,0,640,480,0, 3010,640,480:waittimer 46798 blt 0,0,640,480,0, 3011,640,480:waittimer 46814 blt 0,0,640,480,0, 3012,640,480:waittimer 46829 blt 0,0,640,480,0, 3013,640,480:waittimer 46845 blt 0,0,640,480,0, 3014,640,480:waittimer 46860 blt 0,0,640,480,0, 3015,640,480:waittimer 46876 blt 0,0,640,480,0, 3016,640,480:waittimer 46891 blt 0,0,640,480,0, 3017,640,480:waittimer 46907 blt 0,0,640,480,0, 3018,640,480:waittimer 46922 blt 0,0,640,480,0, 3019,640,480:waittimer 46938 blt 0,0,640,480,0, 3020,640,480:waittimer 46954 blt 0,0,640,480,0, 3021,640,480:waittimer 46969 blt 0,0,640,480,0, 3022,640,480:waittimer 46985 blt 0,0,640,480,0, 3023,640,480:waittimer 47000 blt 0,0,640,480,0, 3024,640,480:waittimer 47016 blt 0,0,640,480,0, 3025,640,480:waittimer 47031 blt 0,0,640,480,0, 3026,640,480:waittimer 47047 blt 0,0,640,480,0, 3027,640,480:waittimer 47062 blt 0,0,640,480,0, 3028,640,480:waittimer 47078 blt 0,0,640,480,0, 3029,640,480:waittimer 47093 blt 0,0,640,480,0, 3030,640,480:waittimer 47109 blt 0,0,640,480,0, 3031,640,480:waittimer 47125 blt 0,0,640,480,0, 3032,640,480:waittimer 47140 blt 0,0,640,480,0, 3033,640,480:waittimer 47156 blt 0,0,640,480,0, 3034,640,480:waittimer 47171 blt 0,0,640,480,0, 3035,640,480:waittimer 47187 blt 0,0,640,480,0, 3036,640,480:waittimer 47202 blt 0,0,640,480,0, 3037,640,480:waittimer 47218 blt 0,0,640,480,0, 3038,640,480:waittimer 47233 blt 0,0,640,480,0, 3039,640,480:waittimer 47249 blt 0,0,640,480,0, 3040,640,480:waittimer 47265 blt 0,0,640,480,0, 3041,640,480:waittimer 47280 blt 0,0,640,480,0, 3042,640,480:waittimer 47296 blt 0,0,640,480,0, 3043,640,480:waittimer 47311 blt 0,0,640,480,0, 3044,640,480:waittimer 47327 blt 0,0,640,480,0, 3045,640,480:waittimer 47342 blt 0,0,640,480,0, 3046,640,480:waittimer 47358 blt 0,0,640,480,0, 3047,640,480:waittimer 47373 blt 0,0,640,480,0, 3048,640,480:waittimer 47389 blt 0,0,640,480,0, 3049,640,480:waittimer 47404 blt 0,0,640,480,0, 3050,640,480:waittimer 47420 blt 0,0,640,480,0, 3051,640,480:waittimer 47436 blt 0,0,640,480,0, 3052,640,480:waittimer 47451 blt 0,0,640,480,0, 3053,640,480:waittimer 47467 blt 0,0,640,480,0, 3054,640,480:waittimer 47482 blt 0,0,640,480,0, 3055,640,480:waittimer 47498 blt 0,0,640,480,0, 3056,640,480:waittimer 47513 blt 0,0,640,480,0, 3057,640,480:waittimer 47529 blt 0,0,640,480,0, 3058,640,480:waittimer 47544 blt 0,0,640,480,0, 3059,640,480:waittimer 47560 blt 0,0,640,480,0, 3060,640,480:waittimer 47575 blt 0,0,640,480,0, 3061,640,480:waittimer 47591 blt 0,0,640,480,0, 3062,640,480:waittimer 47607 blt 0,0,640,480,0, 3063,640,480:waittimer 47622 blt 0,0,640,480,0, 3064,640,480:waittimer 47638 blt 0,0,640,480,0, 3065,640,480:waittimer 47653 blt 0,0,640,480,0, 3066,640,480:waittimer 47669 blt 0,0,640,480,0, 3067,640,480:waittimer 47684 blt 0,0,640,480,0, 3068,640,480:waittimer 47700 blt 0,0,640,480,0, 3069,640,480:waittimer 47715 blt 0,0,640,480,0, 3070,640,480:waittimer 47731 blt 0,0,640,480,0, 3071,640,480:waittimer 47746 blt 0,0,640,480,0, 3072,640,480:waittimer 47762 blt 0,0,640,480,0, 3073,640,480:waittimer 47778 blt 0,0,640,480,0, 3074,640,480:waittimer 47793 blt 0,0,640,480,0, 3075,640,480:waittimer 47809 blt 0,0,640,480,0, 3076,640,480:waittimer 47824 blt 0,0,640,480,0, 3077,640,480:waittimer 47840 blt 0,0,640,480,0, 3078,640,480:waittimer 47855 blt 0,0,640,480,0, 3079,640,480:waittimer 47871 blt 0,0,640,480,0, 3080,640,480:waittimer 47886 blt 0,0,640,480,0, 3081,640,480:waittimer 47902 blt 0,0,640,480,0, 3082,640,480:waittimer 47918 blt 0,0,640,480,0, 3083,640,480:waittimer 47933 blt 0,0,640,480,0, 3084,640,480:waittimer 47949 blt 0,0,640,480,0, 3085,640,480:waittimer 47964 blt 0,0,640,480,0, 3086,640,480:waittimer 47980 blt 0,0,640,480,0, 3087,640,480:waittimer 47995 blt 0,0,640,480,0, 3088,640,480:waittimer 48011 blt 0,0,640,480,0, 3089,640,480:waittimer 48026 blt 0,0,640,480,0, 3090,640,480:waittimer 48042 blt 0,0,640,480,0, 3091,640,480:waittimer 48057 blt 0,0,640,480,0, 3092,640,480:waittimer 48073 blt 0,0,640,480,0, 3093,640,480:waittimer 48089 blt 0,0,640,480,0, 3094,640,480:waittimer 48104 blt 0,0,640,480,0, 3095,640,480:waittimer 48120 blt 0,0,640,480,0, 3096,640,480:waittimer 48135 blt 0,0,640,480,0, 3097,640,480:waittimer 48151 blt 0,0,640,480,0, 3098,640,480:waittimer 48166 blt 0,0,640,480,0, 3099,640,480:waittimer 48182 blt 0,0,640,480,0, 3100,640,480:waittimer 48197 blt 0,0,640,480,0, 3101,640,480:waittimer 48213 blt 0,0,640,480,0, 3102,640,480:waittimer 48228 blt 0,0,640,480,0, 3103,640,480:waittimer 48244 blt 0,0,640,480,0, 3104,640,480:waittimer 48260 blt 0,0,640,480,0, 3105,640,480:waittimer 48275 blt 0,0,640,480,0, 3106,640,480:waittimer 48291 blt 0,0,640,480,0, 3107,640,480:waittimer 48306 blt 0,0,640,480,0, 3108,640,480:waittimer 48322 blt 0,0,640,480,0, 3109,640,480:waittimer 48337 blt 0,0,640,480,0, 3110,640,480:waittimer 48353 blt 0,0,640,480,0, 3111,640,480:waittimer 48368 blt 0,0,640,480,0, 3112,640,480:waittimer 48384 blt 0,0,640,480,0, 3113,640,480:waittimer 48399 blt 0,0,640,480,0, 3114,640,480:waittimer 48415 blt 0,0,640,480,0, 3115,640,480:waittimer 48431 blt 0,0,640,480,0, 3116,640,480:waittimer 48446 blt 0,0,640,480,0, 3117,640,480:waittimer 48462 blt 0,0,640,480,0, 3118,640,480:waittimer 48477 blt 0,0,640,480,0, 3119,640,480:waittimer 48493 blt 0,0,640,480,0, 3120,640,480:waittimer 48508 blt 0,0,640,480,0, 3121,640,480:waittimer 48524 blt 0,0,640,480,0, 3122,640,480:waittimer 48539 blt 0,0,640,480,0, 3123,640,480:waittimer 48555 blt 0,0,640,480,0, 3124,640,480:waittimer 48571 blt 0,0,640,480,0, 3125,640,480:waittimer 48586 blt 0,0,640,480,0, 3126,640,480:waittimer 48602 blt 0,0,640,480,0, 3127,640,480:waittimer 48617 blt 0,0,640,480,0, 3128,640,480:waittimer 48633 blt 0,0,640,480,0, 3129,640,480:waittimer 48648 blt 0,0,640,480,0, 3130,640,480:waittimer 48664 blt 0,0,640,480,0, 3131,640,480:waittimer 48679 blt 0,0,640,480,0, 3132,640,480:waittimer 48695 blt 0,0,640,480,0, 3133,640,480:waittimer 48710 blt 0,0,640,480,0, 3134,640,480:waittimer 48726 blt 0,0,640,480,0, 3135,640,480:waittimer 48742 blt 0,0,640,480,0, 3136,640,480:waittimer 48757 blt 0,0,640,480,0, 3137,640,480:waittimer 48773 blt 0,0,640,480,0, 3138,640,480:waittimer 48788 blt 0,0,640,480,0, 3139,640,480:waittimer 48804 blt 0,0,640,480,0, 3140,640,480:waittimer 48819 blt 0,0,640,480,0, 3141,640,480:waittimer 48835 blt 0,0,640,480,0, 3142,640,480:waittimer 48850 blt 0,0,640,480,0, 3143,640,480:waittimer 48866 blt 0,0,640,480,0, 3144,640,480:waittimer 48881 blt 0,0,640,480,0, 3145,640,480:waittimer 48897 blt 0,0,640,480,0, 3146,640,480:waittimer 48913 blt 0,0,640,480,0, 3147,640,480:waittimer 48928 blt 0,0,640,480,0, 3148,640,480:waittimer 48944 blt 0,0,640,480,0, 3149,640,480:waittimer 48959 blt 0,0,640,480,0, 3150,640,480:waittimer 48975 blt 0,0,640,480,0, 3151,640,480:waittimer 48990 blt 0,0,640,480,0, 3152,640,480:waittimer 49006 blt 0,0,640,480,0, 3153,640,480:waittimer 49021 blt 0,0,640,480,0, 3154,640,480:waittimer 49037 blt 0,0,640,480,0, 3155,640,480:waittimer 49053 blt 0,0,640,480,0, 3156,640,480:waittimer 49068 blt 0,0,640,480,0, 3157,640,480:waittimer 49084 blt 0,0,640,480,0, 3158,640,480:waittimer 49099 blt 0,0,640,480,0, 3159,640,480:waittimer 49115 blt 0,0,640,480,0, 3160,640,480:waittimer 49130 blt 0,0,640,480,0, 3161,640,480:waittimer 49146 blt 0,0,640,480,0, 3162,640,480:waittimer 49161 blt 0,0,640,480,0, 3163,640,480:waittimer 49177 blt 0,0,640,480,0, 3164,640,480:waittimer 49192 blt 0,0,640,480,0, 3165,640,480:waittimer 49208 blt 0,0,640,480,0, 3166,640,480:waittimer 49224 blt 0,0,640,480,0, 3167,640,480:waittimer 49239 blt 0,0,640,480,0, 3168,640,480:waittimer 49255 blt 0,0,640,480,0, 3169,640,480:waittimer 49270 blt 0,0,640,480,0, 3170,640,480:waittimer 49286 blt 0,0,640,480,0, 3171,640,480:waittimer 49301 blt 0,0,640,480,0, 3172,640,480:waittimer 49317 blt 0,0,640,480,0, 3173,640,480:waittimer 49332 blt 0,0,640,480,0, 3174,640,480:waittimer 49348 blt 0,0,640,480,0, 3175,640,480:waittimer 49363 blt 0,0,640,480,0, 3176,640,480:waittimer 49379 blt 0,0,640,480,0, 3177,640,480:waittimer 49395 blt 0,0,640,480,0, 3178,640,480:waittimer 49410 blt 0,0,640,480,0, 3179,640,480:waittimer 49426 blt 0,0,640,480,0, 3180,640,480:waittimer 49441 blt 0,0,640,480,0, 3181,640,480:waittimer 49457 blt 0,0,640,480,0, 3182,640,480:waittimer 49472 blt 0,0,640,480,0, 3183,640,480:waittimer 49488 blt 0,0,640,480,0, 3184,640,480:waittimer 49503 blt 0,0,640,480,0, 3185,640,480:waittimer 49519 blt 0,0,640,480,0, 3186,640,480:waittimer 49534 blt 0,0,640,480,0, 3187,640,480:waittimer 49550 blt 0,0,640,480,0, 3188,640,480:waittimer 49566 blt 0,0,640,480,0, 3189,640,480:waittimer 49581 blt 0,0,640,480,0, 3190,640,480:waittimer 49597 blt 0,0,640,480,0, 3191,640,480:waittimer 49612 blt 0,0,640,480,0, 3192,640,480:waittimer 49628 blt 0,0,640,480,0, 3193,640,480:waittimer 49643 blt 0,0,640,480,0, 3194,640,480:waittimer 49659 blt 0,0,640,480,0, 3195,640,480:waittimer 49674 blt 0,0,640,480,0, 3196,640,480:waittimer 49690 blt 0,0,640,480,0, 3197,640,480:waittimer 49706 blt 0,0,640,480,0, 3198,640,480:waittimer 49721 blt 0,0,640,480,0, 3199,640,480:waittimer 49737 blt 0,0,640,480,0, 3200,640,480:waittimer 49752 blt 0,0,640,480,0, 3201,640,480:waittimer 49768 blt 0,0,640,480,0, 3202,640,480:waittimer 49783 blt 0,0,640,480,0, 3203,640,480:waittimer 49799 blt 0,0,640,480,0, 3204,640,480:waittimer 49814 blt 0,0,640,480,0, 3205,640,480:waittimer 49830 blt 0,0,640,480,0, 3206,640,480:waittimer 49845 blt 0,0,640,480,0, 3207,640,480:waittimer 49861 blt 0,0,640,480,0, 3208,640,480:waittimer 49877 blt 0,0,640,480,0, 3209,640,480:waittimer 49892 blt 0,0,640,480,0, 3210,640,480:waittimer 49908 blt 0,0,640,480,0, 3211,640,480:waittimer 49923 blt 0,0,640,480,0, 3212,640,480:waittimer 49939 blt 0,0,640,480,0, 3213,640,480:waittimer 49954 blt 0,0,640,480,0, 3214,640,480:waittimer 49970 blt 0,0,640,480,0, 3215,640,480:waittimer 49985 blt 0,0,640,480,0, 3216,640,480:waittimer 50001 blt 0,0,640,480,0, 3217,640,480:waittimer 50016 blt 0,0,640,480,0, 3218,640,480:waittimer 50032 blt 0,0,640,480,0, 3219,640,480:waittimer 50048 blt 0,0,640,480,0, 3220,640,480:waittimer 50063 blt 0,0,640,480,0, 3221,640,480:waittimer 50079 blt 0,0,640,480,0, 3222,640,480:waittimer 50094 blt 0,0,640,480,0, 3223,640,480:waittimer 50110 blt 0,0,640,480,0, 3224,640,480:waittimer 50125 blt 0,0,640,480,0, 3225,640,480:waittimer 50141 blt 0,0,640,480,0, 3226,640,480:waittimer 50156 blt 0,0,640,480,0, 3227,640,480:waittimer 50172 blt 0,0,640,480,0, 3228,640,480:waittimer 50187 blt 0,0,640,480,0, 3229,640,480:waittimer 50203 blt 0,0,640,480,0, 3230,640,480:waittimer 50219 blt 0,0,640,480,0, 3231,640,480:waittimer 50234 blt 0,0,640,480,0, 3232,640,480:waittimer 50250 blt 0,0,640,480,0, 3233,640,480:waittimer 50265 blt 0,0,640,480,0, 3234,640,480:waittimer 50281 blt 0,0,640,480,0, 3235,640,480:waittimer 50296 blt 0,0,640,480,0, 3236,640,480:waittimer 50312 blt 0,0,640,480,0, 3237,640,480:waittimer 50327 blt 0,0,640,480,0, 3238,640,480:waittimer 50343 blt 0,0,640,480,0, 3239,640,480:waittimer 50359 blt 0,0,640,480,0, 3240,640,480:waittimer 50374 blt 0,0,640,480,0, 3241,640,480:waittimer 50390 blt 0,0,640,480,0, 3242,640,480:waittimer 50405 blt 0,0,640,480,0, 3243,640,480:waittimer 50421 blt 0,0,640,480,0, 3244,640,480:waittimer 50436 blt 0,0,640,480,0, 3245,640,480:waittimer 50452 blt 0,0,640,480,0, 3246,640,480:waittimer 50467 blt 0,0,640,480,0, 3247,640,480:waittimer 50483 blt 0,0,640,480,0, 3248,640,480:waittimer 50498 blt 0,0,640,480,0, 3249,640,480:waittimer 50514 blt 0,0,640,480,0, 3250,640,480:waittimer 50530 blt 0,0,640,480,0, 3251,640,480:waittimer 50545 blt 0,0,640,480,0, 3252,640,480:waittimer 50561 blt 0,0,640,480,0, 3253,640,480:waittimer 50576 blt 0,0,640,480,0, 3254,640,480:waittimer 50592 blt 0,0,640,480,0, 3255,640,480:waittimer 50607 blt 0,0,640,480,0, 3256,640,480:waittimer 50623 blt 0,0,640,480,0, 3257,640,480:waittimer 50638 blt 0,0,640,480,0, 3258,640,480:waittimer 50654 blt 0,0,640,480,0, 3259,640,480:waittimer 50669 blt 0,0,640,480,0, 3260,640,480:waittimer 50685 blt 0,0,640,480,0, 3261,640,480:waittimer 50701 blt 0,0,640,480,0, 3262,640,480:waittimer 50716 blt 0,0,640,480,0, 3263,640,480:waittimer 50732 blt 0,0,640,480,0, 3264,640,480:waittimer 50747 blt 0,0,640,480,0, 3265,640,480:waittimer 50763 blt 0,0,640,480,0, 3266,640,480:waittimer 50778 blt 0,0,640,480,0, 3267,640,480:waittimer 50794 blt 0,0,640,480,0, 3268,640,480:waittimer 50809 blt 0,0,640,480,0, 3269,640,480:waittimer 50825 blt 0,0,640,480,0, 3270,640,480:waittimer 50840 blt 0,0,640,480,0, 3271,640,480:waittimer 50856 blt 0,0,640,480,0, 3272,640,480:waittimer 50872 blt 0,0,640,480,0, 3273,640,480:waittimer 50887 blt 0,0,640,480,0, 3274,640,480:waittimer 50903 blt 0,0,640,480,0, 3275,640,480:waittimer 50918 blt 0,0,640,480,0, 3276,640,480:waittimer 50934 blt 0,0,640,480,0, 3277,640,480:waittimer 50949 blt 0,0,640,480,0, 3278,640,480:waittimer 50965 blt 0,0,640,480,0, 3279,640,480:waittimer 50980 blt 0,0,640,480,0, 3280,640,480:waittimer 50996 blt 0,0,640,480,0, 3281,640,480:waittimer 51012 blt 0,0,640,480,0, 3282,640,480:waittimer 51027 blt 0,0,640,480,0, 3283,640,480:waittimer 51043 blt 0,0,640,480,0, 3284,640,480:waittimer 51058 blt 0,0,640,480,0, 3285,640,480:waittimer 51074 blt 0,0,640,480,0, 3286,640,480:waittimer 51089 blt 0,0,640,480,0, 3287,640,480:waittimer 51105 blt 0,0,640,480,0, 3288,640,480:waittimer 51120 blt 0,0,640,480,0, 3289,640,480:waittimer 51136 blt 0,0,640,480,0, 3290,640,480:waittimer 51151 blt 0,0,640,480,0, 3291,640,480:waittimer 51167 blt 0,0,640,480,0, 3292,640,480:waittimer 51183 blt 0,0,640,480,0, 3293,640,480:waittimer 51198 blt 0,0,640,480,0, 3294,640,480:waittimer 51214 blt 0,0,640,480,0, 3295,640,480:waittimer 51229 blt 0,0,640,480,0, 3296,640,480:waittimer 51245 blt 0,0,640,480,0, 3297,640,480:waittimer 51260 blt 0,0,640,480,0, 3298,640,480:waittimer 51276 blt 0,0,640,480,0, 3299,640,480:waittimer 51291 blt 0,0,640,480,0, 3300,640,480:waittimer 51307 blt 0,0,640,480,0, 3301,640,480:waittimer 51322 blt 0,0,640,480,0, 3302,640,480:waittimer 51338 blt 0,0,640,480,0, 3303,640,480:waittimer 51354 blt 0,0,640,480,0, 3304,640,480:waittimer 51369 blt 0,0,640,480,0, 3305,640,480:waittimer 51385 blt 0,0,640,480,0, 3306,640,480:waittimer 51400 blt 0,0,640,480,0, 3307,640,480:waittimer 51416 blt 0,0,640,480,0, 3308,640,480:waittimer 51431 blt 0,0,640,480,0, 3309,640,480:waittimer 51447 blt 0,0,640,480,0, 3310,640,480:waittimer 51462 blt 0,0,640,480,0, 3311,640,480:waittimer 51478 blt 0,0,640,480,0, 3312,640,480:waittimer 51493 blt 0,0,640,480,0, 3313,640,480:waittimer 51509 blt 0,0,640,480,0, 3314,640,480:waittimer 51525 blt 0,0,640,480,0, 3315,640,480:waittimer 51540 blt 0,0,640,480,0, 3316,640,480:waittimer 51556 blt 0,0,640,480,0, 3317,640,480:waittimer 51571 blt 0,0,640,480,0, 3318,640,480:waittimer 51587 blt 0,0,640,480,0, 3319,640,480:waittimer 51602 blt 0,0,640,480,0, 3320,640,480:waittimer 51618 blt 0,0,640,480,0, 3321,640,480:waittimer 51633 blt 0,0,640,480,0, 3322,640,480:waittimer 51649 blt 0,0,640,480,0, 3323,640,480:waittimer 51665 blt 0,0,640,480,0, 3324,640,480:waittimer 51680 blt 0,0,640,480,0, 3325,640,480:waittimer 51696 blt 0,0,640,480,0, 3326,640,480:waittimer 51711 blt 0,0,640,480,0, 3327,640,480:waittimer 51727 blt 0,0,640,480,0, 3328,640,480:waittimer 51742 blt 0,0,640,480,0, 3329,640,480:waittimer 51758 blt 0,0,640,480,0, 3330,640,480:waittimer 51773 blt 0,0,640,480,0, 3331,640,480:waittimer 51789 blt 0,0,640,480,0, 3332,640,480:waittimer 51804 blt 0,0,640,480,0, 3333,640,480:waittimer 51820 blt 0,0,640,480,0, 3334,640,480:waittimer 51836 blt 0,0,640,480,0, 3335,640,480:waittimer 51851 blt 0,0,640,480,0, 3336,640,480:waittimer 51867 blt 0,0,640,480,0, 3337,640,480:waittimer 51882 blt 0,0,640,480,0, 3338,640,480:waittimer 51898 blt 0,0,640,480,0, 3339,640,480:waittimer 51913 blt 0,0,640,480,0, 3340,640,480:waittimer 51929 blt 0,0,640,480,0, 3341,640,480:waittimer 51944 blt 0,0,640,480,0, 3342,640,480:waittimer 51960 blt 0,0,640,480,0, 3343,640,480:waittimer 51975 blt 0,0,640,480,0, 3344,640,480:waittimer 51991 blt 0,0,640,480,0, 3345,640,480:waittimer 52007 blt 0,0,640,480,0, 3346,640,480:waittimer 52022 blt 0,0,640,480,0, 3347,640,480:waittimer 52038 blt 0,0,640,480,0, 3348,640,480:waittimer 52053 blt 0,0,640,480,0, 3349,640,480:waittimer 52069 blt 0,0,640,480,0, 3350,640,480:waittimer 52084 blt 0,0,640,480,0, 3351,640,480:waittimer 52100 blt 0,0,640,480,0, 3352,640,480:waittimer 52115 blt 0,0,640,480,0, 3353,640,480:waittimer 52131 blt 0,0,640,480,0, 3354,640,480:waittimer 52146 blt 0,0,640,480,0, 3355,640,480:waittimer 52162 blt 0,0,640,480,0, 3356,640,480:waittimer 52178 blt 0,0,640,480,0, 3357,640,480:waittimer 52193 blt 0,0,640,480,0, 3358,640,480:waittimer 52209 blt 0,0,640,480,0, 3359,640,480:waittimer 52224 blt 0,0,640,480,0, 3360,640,480:waittimer 52240 blt 0,0,640,480,0, 3361,640,480:waittimer 52255 blt 0,0,640,480,0, 3362,640,480:waittimer 52271 blt 0,0,640,480,0, 3363,640,480:waittimer 52286 blt 0,0,640,480,0, 3364,640,480:waittimer 52302 blt 0,0,640,480,0, 3365,640,480:waittimer 52318 blt 0,0,640,480,0, 3366,640,480:waittimer 52333 blt 0,0,640,480,0, 3367,640,480:waittimer 52349 blt 0,0,640,480,0, 3368,640,480:waittimer 52364 blt 0,0,640,480,0, 3369,640,480:waittimer 52380 blt 0,0,640,480,0, 3370,640,480:waittimer 52395 blt 0,0,640,480,0, 3371,640,480:waittimer 52411 blt 0,0,640,480,0, 3372,640,480:waittimer 52426 blt 0,0,640,480,0, 3373,640,480:waittimer 52442 blt 0,0,640,480,0, 3374,640,480:waittimer 52457 blt 0,0,640,480,0, 3375,640,480:waittimer 52473 blt 0,0,640,480,0, 3376,640,480:waittimer 52489 blt 0,0,640,480,0, 3377,640,480:waittimer 52504 blt 0,0,640,480,0, 3378,640,480:waittimer 52520 blt 0,0,640,480,0, 3379,640,480:waittimer 52535 blt 0,0,640,480,0, 3380,640,480:waittimer 52551 blt 0,0,640,480,0, 3381,640,480:waittimer 52566 blt 0,0,640,480,0, 3382,640,480:waittimer 52582 blt 0,0,640,480,0, 3383,640,480:waittimer 52597 blt 0,0,640,480,0, 3384,640,480:waittimer 52613 blt 0,0,640,480,0, 3385,640,480:waittimer 52628 blt 0,0,640,480,0, 3386,640,480:waittimer 52644 blt 0,0,640,480,0, 3387,640,480:waittimer 52660 blt 0,0,640,480,0, 3388,640,480:waittimer 52675 blt 0,0,640,480,0, 3389,640,480:waittimer 52691 blt 0,0,640,480,0, 3390,640,480:waittimer 52706 blt 0,0,640,480,0, 3391,640,480:waittimer 52722 blt 0,0,640,480,0, 3392,640,480:waittimer 52737 blt 0,0,640,480,0, 3393,640,480:waittimer 52753 blt 0,0,640,480,0, 3394,640,480:waittimer 52768 blt 0,0,640,480,0, 3395,640,480:waittimer 52784 blt 0,0,640,480,0, 3396,640,480:waittimer 52799 blt 0,0,640,480,0, 3397,640,480:waittimer 52815 blt 0,0,640,480,0, 3398,640,480:waittimer 52831 blt 0,0,640,480,0, 3399,640,480:waittimer 52846 blt 0,0,640,480,0, 3400,640,480:waittimer 52862 blt 0,0,640,480,0, 3401,640,480:waittimer 52877 blt 0,0,640,480,0, 3402,640,480:waittimer 52893 blt 0,0,640,480,0, 3403,640,480:waittimer 52908 blt 0,0,640,480,0, 3404,640,480:waittimer 52924 blt 0,0,640,480,0, 3405,640,480:waittimer 52939 blt 0,0,640,480,0, 3406,640,480:waittimer 52955 blt 0,0,640,480,0, 3407,640,480:waittimer 52971 blt 0,0,640,480,0, 3408,640,480:waittimer 52986 blt 0,0,640,480,0, 3409,640,480:waittimer 53002 blt 0,0,640,480,0, 3410,640,480:waittimer 53017 blt 0,0,640,480,0, 3411,640,480:waittimer 53033 blt 0,0,640,480,0, 3412,640,480:waittimer 53048 blt 0,0,640,480,0, 3413,640,480:waittimer 53064 blt 0,0,640,480,0, 3414,640,480:waittimer 53079 blt 0,0,640,480,0, 3415,640,480:waittimer 53095 blt 0,0,640,480,0, 3416,640,480:waittimer 53110 blt 0,0,640,480,0, 3417,640,480:waittimer 53126 blt 0,0,640,480,0, 3418,640,480:waittimer 53142 blt 0,0,640,480,0, 3419,640,480:waittimer 53157 blt 0,0,640,480,0, 3420,640,480:waittimer 53173 blt 0,0,640,480,0, 3421,640,480:waittimer 53188 blt 0,0,640,480,0, 3422,640,480:waittimer 53204 blt 0,0,640,480,0, 3423,640,480:waittimer 53219 blt 0,0,640,480,0, 3424,640,480:waittimer 53235 blt 0,0,640,480,0, 3425,640,480:waittimer 53250 blt 0,0,640,480,0, 3426,640,480:waittimer 53266 blt 0,0,640,480,0, 3427,640,480:waittimer 53281 blt 0,0,640,480,0, 3428,640,480:waittimer 53297 blt 0,0,640,480,0, 3429,640,480:waittimer 53313 blt 0,0,640,480,0, 3430,640,480:waittimer 53328 blt 0,0,640,480,0, 3431,640,480:waittimer 53344 blt 0,0,640,480,0, 3432,640,480:waittimer 53359 blt 0,0,640,480,0, 3433,640,480:waittimer 53375 blt 0,0,640,480,0, 3434,640,480:waittimer 53390 blt 0,0,640,480,0, 3435,640,480:waittimer 53406 blt 0,0,640,480,0, 3436,640,480:waittimer 53421 blt 0,0,640,480,0, 3437,640,480:waittimer 53437 blt 0,0,640,480,0, 3438,640,480:waittimer 53453 blt 0,0,640,480,0, 3439,640,480:waittimer 53468 blt 0,0,640,480,0, 3440,640,480:waittimer 53484 blt 0,0,640,480,0, 3441,640,480:waittimer 53499 blt 0,0,640,480,0, 3442,640,480:waittimer 53515 blt 0,0,640,480,0, 3443,640,480:waittimer 53530 blt 0,0,640,480,0, 3444,640,480:waittimer 53546 blt 0,0,640,480,0, 3445,640,480:waittimer 53561 blt 0,0,640,480,0, 3446,640,480:waittimer 53577 blt 0,0,640,480,0, 3447,640,480:waittimer 53592 blt 0,0,640,480,0, 3448,640,480:waittimer 53608 blt 0,0,640,480,0, 3449,640,480:waittimer 53624 blt 0,0,640,480,0, 3450,640,480:waittimer 53639 blt 0,0,640,480,0, 3451,640,480:waittimer 53655 blt 0,0,640,480,0, 3452,640,480:waittimer 53670 blt 0,0,640,480,0, 3453,640,480:waittimer 53686 blt 0,0,640,480,0, 3454,640,480:waittimer 53701 blt 0,0,640,480,0, 3455,640,480:waittimer 53717 blt 0,0,640,480,0, 3456,640,480:waittimer 53732 blt 0,0,640,480,0, 3457,640,480:waittimer 53748 blt 0,0,640,480,0, 3458,640,480:waittimer 53763 blt 0,0,640,480,0, 3459,640,480:waittimer 53779 blt 0,0,640,480,0, 3460,640,480:waittimer 53795 blt 0,0,640,480,0, 3461,640,480:waittimer 53810 blt 0,0,640,480,0, 3462,640,480:waittimer 53826 blt 0,0,640,480,0, 3463,640,480:waittimer 53841 blt 0,0,640,480,0, 3464,640,480:waittimer 53857 blt 0,0,640,480,0, 3465,640,480:waittimer 53872 blt 0,0,640,480,0, 3466,640,480:waittimer 53888 blt 0,0,640,480,0, 3467,640,480:waittimer 53903 blt 0,0,640,480,0, 3468,640,480:waittimer 53919 blt 0,0,640,480,0, 3469,640,480:waittimer 53934 blt 0,0,640,480,0, 3470,640,480:waittimer 53950 blt 0,0,640,480,0, 3471,640,480:waittimer 53966 blt 0,0,640,480,0, 3472,640,480:waittimer 53981 blt 0,0,640,480,0, 3473,640,480:waittimer 53997 blt 0,0,640,480,0, 3474,640,480:waittimer 54012 blt 0,0,640,480,0, 3475,640,480:waittimer 54028 blt 0,0,640,480,0, 3476,640,480:waittimer 54043 blt 0,0,640,480,0, 3477,640,480:waittimer 54059 blt 0,0,640,480,0, 3478,640,480:waittimer 54074 blt 0,0,640,480,0, 3479,640,480:waittimer 54090 blt 0,0,640,480,0, 3480,640,480:waittimer 54106 blt 0,0,640,480,0, 3481,640,480:waittimer 54121 blt 0,0,640,480,0, 3482,640,480:waittimer 54137 blt 0,0,640,480,0, 3483,640,480:waittimer 54152 blt 0,0,640,480,0, 3484,640,480:waittimer 54168 blt 0,0,640,480,0, 3485,640,480:waittimer 54183 blt 0,0,640,480,0, 3486,640,480:waittimer 54199 blt 0,0,640,480,0, 3487,640,480:waittimer 54214 blt 0,0,640,480,0, 3488,640,480:waittimer 54230 blt 0,0,640,480,0, 3489,640,480:waittimer 54245 blt 0,0,640,480,0, 3490,640,480:waittimer 54261 blt 0,0,640,480,0, 3491,640,480:waittimer 54277 blt 0,0,640,480,0, 3492,640,480:waittimer 54292 blt 0,0,640,480,0, 3493,640,480:waittimer 54308 blt 0,0,640,480,0, 3494,640,480:waittimer 54323 blt 0,0,640,480,0, 3495,640,480:waittimer 54339 blt 0,0,640,480,0, 3496,640,480:waittimer 54354 blt 0,0,640,480,0, 3497,640,480:waittimer 54370 blt 0,0,640,480,0, 3498,640,480:waittimer 54385 blt 0,0,640,480,0, 3499,640,480:waittimer 54401 blt 0,0,640,480,0, 3500,640,480:waittimer 54416 blt 0,0,640,480,0, 3501,640,480:waittimer 54432 blt 0,0,640,480,0, 3502,640,480:waittimer 54448 blt 0,0,640,480,0, 3503,640,480:waittimer 54463 blt 0,0,640,480,0, 3504,640,480:waittimer 54479 blt 0,0,640,480,0, 3505,640,480:waittimer 54494 blt 0,0,640,480,0, 3506,640,480:waittimer 54510 blt 0,0,640,480,0, 3507,640,480:waittimer 54525 blt 0,0,640,480,0, 3508,640,480:waittimer 54541 blt 0,0,640,480,0, 3509,640,480:waittimer 54556 blt 0,0,640,480,0, 3510,640,480:waittimer 54572 blt 0,0,640,480,0, 3511,640,480:waittimer 54587 blt 0,0,640,480,0, 3512,640,480:waittimer 54603 blt 0,0,640,480,0, 3513,640,480:waittimer 54619 blt 0,0,640,480,0, 3514,640,480:waittimer 54634 blt 0,0,640,480,0, 3515,640,480:waittimer 54650 blt 0,0,640,480,0, 3516,640,480:waittimer 54665 blt 0,0,640,480,0, 3517,640,480:waittimer 54681 blt 0,0,640,480,0, 3518,640,480:waittimer 54696 blt 0,0,640,480,0, 3519,640,480:waittimer 54712 blt 0,0,640,480,0, 3520,640,480:waittimer 54727 blt 0,0,640,480,0, 3521,640,480:waittimer 54743 blt 0,0,640,480,0, 3522,640,480:waittimer 54759 blt 0,0,640,480,0, 3523,640,480:waittimer 54774 blt 0,0,640,480,0, 3524,640,480:waittimer 54790 blt 0,0,640,480,0, 3525,640,480:waittimer 54805 blt 0,0,640,480,0, 3526,640,480:waittimer 54821 blt 0,0,640,480,0, 3527,640,480:waittimer 54836 blt 0,0,640,480,0, 3528,640,480:waittimer 54852 blt 0,0,640,480,0, 3529,640,480:waittimer 54867 blt 0,0,640,480,0, 3530,640,480:waittimer 54883 blt 0,0,640,480,0, 3531,640,480:waittimer 54898 blt 0,0,640,480,0, 3532,640,480:waittimer 54914 blt 0,0,640,480,0, 3533,640,480:waittimer 54930 blt 0,0,640,480,0, 3534,640,480:waittimer 54945 blt 0,0,640,480,0, 3535,640,480:waittimer 54961 blt 0,0,640,480,0, 3536,640,480:waittimer 54976 blt 0,0,640,480,0, 3537,640,480:waittimer 54992 blt 0,0,640,480,0, 3538,640,480:waittimer 55007 blt 0,0,640,480,0, 3539,640,480:waittimer 55023 blt 0,0,640,480,0, 3540,640,480:waittimer 55038 blt 0,0,640,480,0, 3541,640,480:waittimer 55054 blt 0,0,640,480,0, 3542,640,480:waittimer 55069 blt 0,0,640,480,0, 3543,640,480:waittimer 55085 blt 0,0,640,480,0, 3544,640,480:waittimer 55101 blt 0,0,640,480,0, 3545,640,480:waittimer 55116 blt 0,0,640,480,0, 3546,640,480:waittimer 55132 blt 0,0,640,480,0, 3547,640,480:waittimer 55147 blt 0,0,640,480,0, 3548,640,480:waittimer 55163 blt 0,0,640,480,0, 3549,640,480:waittimer 55178 blt 0,0,640,480,0, 3550,640,480:waittimer 55194 blt 0,0,640,480,0, 3551,640,480:waittimer 55209 blt 0,0,640,480,0, 3552,640,480:waittimer 55225 blt 0,0,640,480,0, 3553,640,480:waittimer 55240 blt 0,0,640,480,0, 3554,640,480:waittimer 55256 blt 0,0,640,480,0, 3555,640,480:waittimer 55272 blt 0,0,640,480,0, 3556,640,480:waittimer 55287 blt 0,0,640,480,0, 3557,640,480:waittimer 55303 blt 0,0,640,480,0, 3558,640,480:waittimer 55318 blt 0,0,640,480,0, 3559,640,480:waittimer 55334 blt 0,0,640,480,0, 3560,640,480:waittimer 55349 blt 0,0,640,480,0, 3561,640,480:waittimer 55365 blt 0,0,640,480,0, 3562,640,480:waittimer 55380 blt 0,0,640,480,0, 3563,640,480:waittimer 55396 blt 0,0,640,480,0, 3564,640,480:waittimer 55412 blt 0,0,640,480,0, 3565,640,480:waittimer 55427 blt 0,0,640,480,0, 3566,640,480:waittimer 55443 blt 0,0,640,480,0, 3567,640,480:waittimer 55458 blt 0,0,640,480,0, 3568,640,480:waittimer 55474 blt 0,0,640,480,0, 3569,640,480:waittimer 55489 blt 0,0,640,480,0, 3570,640,480:waittimer 55505 blt 0,0,640,480,0, 3571,640,480:waittimer 55520 blt 0,0,640,480,0, 3572,640,480:waittimer 55536 blt 0,0,640,480,0, 3573,640,480:waittimer 55551 blt 0,0,640,480,0, 3574,640,480:waittimer 55567 blt 0,0,640,480,0, 3575,640,480:waittimer 55583 blt 0,0,640,480,0, 3576,640,480:waittimer 55598 blt 0,0,640,480,0, 3577,640,480:waittimer 55614 blt 0,0,640,480,0, 3578,640,480:waittimer 55629 blt 0,0,640,480,0, 3579,640,480:waittimer 55645 blt 0,0,640,480,0, 3580,640,480:waittimer 55660 blt 0,0,640,480,0, 3581,640,480:waittimer 55676 blt 0,0,640,480,0, 3582,640,480:waittimer 55691 blt 0,0,640,480,0, 3583,640,480:waittimer 55707 blt 0,0,640,480,0, 3584,640,480:waittimer 55722 blt 0,0,640,480,0, 3585,640,480:waittimer 55738 blt 0,0,640,480,0, 3586,640,480:waittimer 55754 blt 0,0,640,480,0, 3587,640,480:waittimer 55769 blt 0,0,640,480,0, 3588,640,480:waittimer 55785 blt 0,0,640,480,0, 3589,640,480:waittimer 55800 blt 0,0,640,480,0, 3590,640,480:waittimer 55816 blt 0,0,640,480,0, 3591,640,480:waittimer 55831 blt 0,0,640,480,0, 3592,640,480:waittimer 55847 blt 0,0,640,480,0, 3593,640,480:waittimer 55862 blt 0,0,640,480,0, 3594,640,480:waittimer 55878 blt 0,0,640,480,0, 3595,640,480:waittimer 55893 blt 0,0,640,480,0, 3596,640,480:waittimer 55909 blt 0,0,640,480,0, 3597,640,480:waittimer 55925 blt 0,0,640,480,0, 3598,640,480:waittimer 55940 blt 0,0,640,480,0, 3599,640,480:waittimer 55956 blt 0,0,640,480,0, 3600,640,480:waittimer 55971 blt 0,0,640,480,0, 3601,640,480:waittimer 55987 blt 0,0,640,480,0, 3602,640,480:waittimer 56002 blt 0,0,640,480,0, 3603,640,480:waittimer 56018 blt 0,0,640,480,0, 3604,640,480:waittimer 56033 blt 0,0,640,480,0, 3605,640,480:waittimer 56049 blt 0,0,640,480,0, 3606,640,480:waittimer 56065 blt 0,0,640,480,0, 3607,640,480:waittimer 56080 blt 0,0,640,480,0, 3608,640,480:waittimer 56096 blt 0,0,640,480,0, 3609,640,480:waittimer 56111 blt 0,0,640,480,0, 3610,640,480:waittimer 56127 blt 0,0,640,480,0, 3611,640,480:waittimer 56142 blt 0,0,640,480,0, 3612,640,480:waittimer 56158 blt 0,0,640,480,0, 3613,640,480:waittimer 56173 blt 0,0,640,480,0, 3614,640,480:waittimer 56189 blt 0,0,640,480,0, 3615,640,480:waittimer 56204 blt 0,0,640,480,0, 3616,640,480:waittimer 56220 blt 0,0,640,480,0, 3617,640,480:waittimer 56236 blt 0,0,640,480,0, 3618,640,480:waittimer 56251 blt 0,0,640,480,0, 3619,640,480:waittimer 56267 blt 0,0,640,480,0, 3620,640,480:waittimer 56282 blt 0,0,640,480,0, 3621,640,480:waittimer 56298 blt 0,0,640,480,0, 3622,640,480:waittimer 56313 blt 0,0,640,480,0, 3623,640,480:waittimer 56329 blt 0,0,640,480,0, 3624,640,480:waittimer 56344 blt 0,0,640,480,0, 3625,640,480:waittimer 56360 blt 0,0,640,480,0, 3626,640,480:waittimer 56375 blt 0,0,640,480,0, 3627,640,480:waittimer 56391 blt 0,0,640,480,0, 3628,640,480:waittimer 56407 blt 0,0,640,480,0, 3629,640,480:waittimer 56422 blt 0,0,640,480,0, 3630,640,480:waittimer 56438 blt 0,0,640,480,0, 3631,640,480:waittimer 56453 blt 0,0,640,480,0, 3632,640,480:waittimer 56469 blt 0,0,640,480,0, 3633,640,480:waittimer 56484 blt 0,0,640,480,0, 3634,640,480:waittimer 56500 blt 0,0,640,480,0, 3635,640,480:waittimer 56515 blt 0,0,640,480,0, 3636,640,480:waittimer 56531 blt 0,0,640,480,0, 3637,640,480:waittimer 56546 blt 0,0,640,480,0, 3638,640,480:waittimer 56562 blt 0,0,640,480,0, 3639,640,480:waittimer 56578 blt 0,0,640,480,0, 3640,640,480:waittimer 56593 blt 0,0,640,480,0, 3641,640,480:waittimer 56609 blt 0,0,640,480,0, 3642,640,480:waittimer 56624 blt 0,0,640,480,0, 3643,640,480:waittimer 56640 blt 0,0,640,480,0, 3644,640,480:waittimer 56655 blt 0,0,640,480,0, 3645,640,480:waittimer 56671 blt 0,0,640,480,0, 3646,640,480:waittimer 56686 blt 0,0,640,480,0, 3647,640,480:waittimer 56702 blt 0,0,640,480,0, 3648,640,480:waittimer 56718 blt 0,0,640,480,0, 3649,640,480:waittimer 56733 blt 0,0,640,480,0, 3650,640,480:waittimer 56749 blt 0,0,640,480,0, 3651,640,480:waittimer 56764 blt 0,0,640,480,0, 3652,640,480:waittimer 56780 blt 0,0,640,480,0, 3653,640,480:waittimer 56795 blt 0,0,640,480,0, 3654,640,480:waittimer 56811 blt 0,0,640,480,0, 3655,640,480:waittimer 56826 blt 0,0,640,480,0, 3656,640,480:waittimer 56842 blt 0,0,640,480,0, 3657,640,480:waittimer 56857 blt 0,0,640,480,0, 3658,640,480:waittimer 56873 blt 0,0,640,480,0, 3659,640,480:waittimer 56889 blt 0,0,640,480,0, 3660,640,480:waittimer 56904 blt 0,0,640,480,0, 3661,640,480:waittimer 56920 blt 0,0,640,480,0, 3662,640,480:waittimer 56935 blt 0,0,640,480,0, 3663,640,480:waittimer 56951 blt 0,0,640,480,0, 3664,640,480:waittimer 56966 blt 0,0,640,480,0, 3665,640,480:waittimer 56982 blt 0,0,640,480,0, 3666,640,480:waittimer 56997 blt 0,0,640,480,0, 3667,640,480:waittimer 57013 blt 0,0,640,480,0, 3668,640,480:waittimer 57028 blt 0,0,640,480,0, 3669,640,480:waittimer 57044 blt 0,0,640,480,0, 3670,640,480:waittimer 57060 blt 0,0,640,480,0, 3671,640,480:waittimer 57075 blt 0,0,640,480,0, 3672,640,480:waittimer 57091 blt 0,0,640,480,0, 3673,640,480:waittimer 57106 blt 0,0,640,480,0, 3674,640,480:waittimer 57122 blt 0,0,640,480,0, 3675,640,480:waittimer 57137 blt 0,0,640,480,0, 3676,640,480:waittimer 57153 blt 0,0,640,480,0, 3677,640,480:waittimer 57168 blt 0,0,640,480,0, 3678,640,480:waittimer 57184 blt 0,0,640,480,0, 3679,640,480:waittimer 57199 blt 0,0,640,480,0, 3680,640,480:waittimer 57215 blt 0,0,640,480,0, 3681,640,480:waittimer 57231 blt 0,0,640,480,0, 3682,640,480:waittimer 57246 blt 0,0,640,480,0, 3683,640,480:waittimer 57262 blt 0,0,640,480,0, 3684,640,480:waittimer 57277 blt 0,0,640,480,0, 3685,640,480:waittimer 57293 blt 0,0,640,480,0, 3686,640,480:waittimer 57308 blt 0,0,640,480,0, 3687,640,480:waittimer 57324 blt 0,0,640,480,0, 3688,640,480:waittimer 57339 blt 0,0,640,480,0, 3689,640,480:waittimer 57355 blt 0,0,640,480,0, 3690,640,480:waittimer 57371 blt 0,0,640,480,0, 3691,640,480:waittimer 57386 blt 0,0,640,480,0, 3692,640,480:waittimer 57402 blt 0,0,640,480,0, 3693,640,480:waittimer 57417 blt 0,0,640,480,0, 3694,640,480:waittimer 57433 blt 0,0,640,480,0, 3695,640,480:waittimer 57448 blt 0,0,640,480,0, 3696,640,480:waittimer 57464 blt 0,0,640,480,0, 3697,640,480:waittimer 57479 blt 0,0,640,480,0, 3698,640,480:waittimer 57495 blt 0,0,640,480,0, 3699,640,480:waittimer 57510 blt 0,0,640,480,0, 3700,640,480:waittimer 57526 blt 0,0,640,480,0, 3701,640,480:waittimer 57542 blt 0,0,640,480,0, 3702,640,480:waittimer 57557 blt 0,0,640,480,0, 3703,640,480:waittimer 57573 blt 0,0,640,480,0, 3704,640,480:waittimer 57588 blt 0,0,640,480,0, 3705,640,480:waittimer 57604 blt 0,0,640,480,0, 3706,640,480:waittimer 57619 blt 0,0,640,480,0, 3707,640,480:waittimer 57635 blt 0,0,640,480,0, 3708,640,480:waittimer 57650 blt 0,0,640,480,0, 3709,640,480:waittimer 57666 blt 0,0,640,480,0, 3710,640,480:waittimer 57681 blt 0,0,640,480,0, 3711,640,480:waittimer 57697 blt 0,0,640,480,0, 3712,640,480:waittimer 57713 blt 0,0,640,480,0, 3713,640,480:waittimer 57728 blt 0,0,640,480,0, 3714,640,480:waittimer 57744 blt 0,0,640,480,0, 3715,640,480:waittimer 57759 blt 0,0,640,480,0, 3716,640,480:waittimer 57775 blt 0,0,640,480,0, 3717,640,480:waittimer 57790 blt 0,0,640,480,0, 3718,640,480:waittimer 57806 blt 0,0,640,480,0, 3719,640,480:waittimer 57821 blt 0,0,640,480,0, 3720,640,480:waittimer 57837 blt 0,0,640,480,0, 3721,640,480:waittimer 57853 blt 0,0,640,480,0, 3722,640,480:waittimer 57868 blt 0,0,640,480,0, 3723,640,480:waittimer 57884 blt 0,0,640,480,0, 3724,640,480:waittimer 57899 blt 0,0,640,480,0, 3725,640,480:waittimer 57915 blt 0,0,640,480,0, 3726,640,480:waittimer 57930 blt 0,0,640,480,0, 3727,640,480:waittimer 57946 blt 0,0,640,480,0, 3728,640,480:waittimer 57961 blt 0,0,640,480,0, 3729,640,480:waittimer 57977 blt 0,0,640,480,0, 3730,640,480:waittimer 57992 blt 0,0,640,480,0, 3731,640,480:waittimer 58008 blt 0,0,640,480,0, 3732,640,480:waittimer 58024 blt 0,0,640,480,0, 3733,640,480:waittimer 58039 blt 0,0,640,480,0, 3734,640,480:waittimer 58055 blt 0,0,640,480,0, 3735,640,480:waittimer 58070 blt 0,0,640,480,0, 3736,640,480:waittimer 58086 blt 0,0,640,480,0, 3737,640,480:waittimer 58101 blt 0,0,640,480,0, 3738,640,480:waittimer 58117 blt 0,0,640,480,0, 3739,640,480:waittimer 58132 blt 0,0,640,480,0, 3740,640,480:waittimer 58148 blt 0,0,640,480,0, 3741,640,480:waittimer 58163 blt 0,0,640,480,0, 3742,640,480:waittimer 58179 blt 0,0,640,480,0, 3743,640,480:waittimer 58195 blt 0,0,640,480,0, 3744,640,480:waittimer 58210 blt 0,0,640,480,0, 3745,640,480:waittimer 58226 blt 0,0,640,480,0, 3746,640,480:waittimer 58241 blt 0,0,640,480,0, 3747,640,480:waittimer 58257 blt 0,0,640,480,0, 3748,640,480:waittimer 58272 blt 0,0,640,480,0, 3749,640,480:waittimer 58288 blt 0,0,640,480,0, 3750,640,480:waittimer 58303 blt 0,0,640,480,0, 3751,640,480:waittimer 58319 blt 0,0,640,480,0, 3752,640,480:waittimer 58334 blt 0,0,640,480,0, 3753,640,480:waittimer 58350 blt 0,0,640,480,0, 3754,640,480:waittimer 58366 blt 0,0,640,480,0, 3755,640,480:waittimer 58381 blt 0,0,640,480,0, 3756,640,480:waittimer 58397 blt 0,0,640,480,0, 3757,640,480:waittimer 58412 blt 0,0,640,480,0, 3758,640,480:waittimer 58428 blt 0,0,640,480,0, 3759,640,480:waittimer 58443 blt 0,0,640,480,0, 3760,640,480:waittimer 58459 blt 0,0,640,480,0, 3761,640,480:waittimer 58474 blt 0,0,640,480,0, 3762,640,480:waittimer 58490 blt 0,0,640,480,0, 3763,640,480:waittimer 58506 blt 0,0,640,480,0, 3764,640,480:waittimer 58521 blt 0,0,640,480,0, 3765,640,480:waittimer 58537 blt 0,0,640,480,0, 3766,640,480:waittimer 58552 blt 0,0,640,480,0, 3767,640,480:waittimer 58568 blt 0,0,640,480,0, 3768,640,480:waittimer 58583 blt 0,0,640,480,0, 3769,640,480:waittimer 58599 blt 0,0,640,480,0, 3770,640,480:waittimer 58614 blt 0,0,640,480,0, 3771,640,480:waittimer 58630 blt 0,0,640,480,0, 3772,640,480:waittimer 58645 blt 0,0,640,480,0, 3773,640,480:waittimer 58661 blt 0,0,640,480,0, 3774,640,480:waittimer 58677 blt 0,0,640,480,0, 3775,640,480:waittimer 58692 blt 0,0,640,480,0, 3776,640,480:waittimer 58708 blt 0,0,640,480,0, 3777,640,480:waittimer 58723 blt 0,0,640,480,0, 3778,640,480:waittimer 58739 blt 0,0,640,480,0, 3779,640,480:waittimer 58754 blt 0,0,640,480,0, 3780,640,480:waittimer 58770 blt 0,0,640,480,0, 3781,640,480:waittimer 58785 blt 0,0,640,480,0, 3782,640,480:waittimer 58801 blt 0,0,640,480,0, 3783,640,480:waittimer 58816 blt 0,0,640,480,0, 3784,640,480:waittimer 58832 blt 0,0,640,480,0, 3785,640,480:waittimer 58848 blt 0,0,640,480,0, 3786,640,480:waittimer 58863 blt 0,0,640,480,0, 3787,640,480:waittimer 58879 blt 0,0,640,480,0, 3788,640,480:waittimer 58894 blt 0,0,640,480,0, 3789,640,480:waittimer 58910 blt 0,0,640,480,0, 3790,640,480:waittimer 58925 blt 0,0,640,480,0, 3791,640,480:waittimer 58941 blt 0,0,640,480,0, 3792,640,480:waittimer 58956 blt 0,0,640,480,0, 3793,640,480:waittimer 58972 blt 0,0,640,480,0, 3794,640,480:waittimer 58987 blt 0,0,640,480,0, 3795,640,480:waittimer 59003 blt 0,0,640,480,0, 3796,640,480:waittimer 59019 blt 0,0,640,480,0, 3797,640,480:waittimer 59034 blt 0,0,640,480,0, 3798,640,480:waittimer 59050 blt 0,0,640,480,0, 3799,640,480:waittimer 59065 blt 0,0,640,480,0, 3800,640,480:waittimer 59081 blt 0,0,640,480,0, 3801,640,480:waittimer 59096 blt 0,0,640,480,0, 3802,640,480:waittimer 59112 blt 0,0,640,480,0, 3803,640,480:waittimer 59127 blt 0,0,640,480,0, 3804,640,480:waittimer 59143 blt 0,0,640,480,0, 3805,640,480:waittimer 59159 blt 0,0,640,480,0, 3806,640,480:waittimer 59174 blt 0,0,640,480,0, 3807,640,480:waittimer 59190 blt 0,0,640,480,0, 3808,640,480:waittimer 59205 blt 0,0,640,480,0, 3809,640,480:waittimer 59221 blt 0,0,640,480,0, 3810,640,480:waittimer 59236 blt 0,0,640,480,0, 3811,640,480:waittimer 59252 blt 0,0,640,480,0, 3812,640,480:waittimer 59267 blt 0,0,640,480,0, 3813,640,480:waittimer 59283 blt 0,0,640,480,0, 3814,640,480:waittimer 59298 blt 0,0,640,480,0, 3815,640,480:waittimer 59314 blt 0,0,640,480,0, 3816,640,480:waittimer 59330 blt 0,0,640,480,0, 3817,640,480:waittimer 59345 blt 0,0,640,480,0, 3818,640,480:waittimer 59361 blt 0,0,640,480,0, 3819,640,480:waittimer 59376 blt 0,0,640,480,0, 3820,640,480:waittimer 59392 blt 0,0,640,480,0, 3821,640,480:waittimer 59407 blt 0,0,640,480,0, 3822,640,480:waittimer 59423 blt 0,0,640,480,0, 3823,640,480:waittimer 59438 blt 0,0,640,480,0, 3824,640,480:waittimer 59454 blt 0,0,640,480,0, 3825,640,480:waittimer 59469 blt 0,0,640,480,0, 3826,640,480:waittimer 59485 blt 0,0,640,480,0, 3827,640,480:waittimer 59501 blt 0,0,640,480,0, 3828,640,480:waittimer 59516 blt 0,0,640,480,0, 3829,640,480:waittimer 59532 blt 0,0,640,480,0, 3830,640,480:waittimer 59547 blt 0,0,640,480,0, 3831,640,480:waittimer 59563 blt 0,0,640,480,0, 3832,640,480:waittimer 59578 blt 0,0,640,480,0, 3833,640,480:waittimer 59594 blt 0,0,640,480,0, 3834,640,480:waittimer 59609 blt 0,0,640,480,0, 3835,640,480:waittimer 59625 blt 0,0,640,480,0, 3836,640,480:waittimer 59640 blt 0,0,640,480,0, 3837,640,480:waittimer 59656 blt 0,0,640,480,0, 3838,640,480:waittimer 59672 blt 0,0,640,480,0, 3839,640,480:waittimer 59687 blt 0,0,640,480,0, 3840,640,480:waittimer 59703 blt 0,0,640,480,0, 3841,640,480:waittimer 59718 blt 0,0,640,480,0, 3842,640,480:waittimer 59734 blt 0,0,640,480,0, 3843,640,480:waittimer 59749 blt 0,0,640,480,0, 3844,640,480:waittimer 59765 blt 0,0,640,480,0, 3845,640,480:waittimer 59780 blt 0,0,640,480,0, 3846,640,480:waittimer 59796 blt 0,0,640,480,0, 3847,640,480:waittimer 59812 blt 0,0,640,480,0, 3848,640,480:waittimer 59827 blt 0,0,640,480,0, 3849,640,480:waittimer 59843 blt 0,0,640,480,0, 3850,640,480:waittimer 59858 blt 0,0,640,480,0, 3851,640,480:waittimer 59874 blt 0,0,640,480,0, 3852,640,480:waittimer 59889 blt 0,0,640,480,0, 3853,640,480:waittimer 59905 blt 0,0,640,480,0, 3854,640,480:waittimer 59920 blt 0,0,640,480,0, 3855,640,480:waittimer 59936 blt 0,0,640,480,0, 3856,640,480:waittimer 59951 blt 0,0,640,480,0, 3857,640,480:waittimer 59967 blt 0,0,640,480,0, 3858,640,480:waittimer 59983 blt 0,0,640,480,0, 3859,640,480:waittimer 59998 blt 0,0,640,480,0, 3860,640,480:waittimer 60014 blt 0,0,640,480,0, 3861,640,480:waittimer 60029 blt 0,0,640,480,0, 3862,640,480:waittimer 60045 blt 0,0,640,480,0, 3863,640,480:waittimer 60060 blt 0,0,640,480,0, 3864,640,480:waittimer 60076 blt 0,0,640,480,0, 3865,640,480:waittimer 60091 blt 0,0,640,480,0, 3866,640,480:waittimer 60107 blt 0,0,640,480,0, 3867,640,480:waittimer 60122 blt 0,0,640,480,0, 3868,640,480:waittimer 60138 blt 0,0,640,480,0, 3869,640,480:waittimer 60154 blt 0,0,640,480,0, 3870,640,480:waittimer 60169 blt 0,0,640,480,0, 3871,640,480:waittimer 60185 blt 0,0,640,480,0, 3872,640,480:waittimer 60200 blt 0,0,640,480,0, 3873,640,480:waittimer 60216 blt 0,0,640,480,0, 3874,640,480:waittimer 60231 blt 0,0,640,480,0, 3875,640,480:waittimer 60247 blt 0,0,640,480,0, 3876,640,480:waittimer 60262 blt 0,0,640,480,0, 3877,640,480:waittimer 60278 blt 0,0,640,480,0, 3878,640,480:waittimer 60293 blt 0,0,640,480,0, 3879,640,480:waittimer 60309 blt 0,0,640,480,0, 3880,640,480:waittimer 60325 blt 0,0,640,480,0, 3881,640,480:waittimer 60340 blt 0,0,640,480,0, 3882,640,480:waittimer 60356 blt 0,0,640,480,0, 3883,640,480:waittimer 60371 blt 0,0,640,480,0, 3884,640,480:waittimer 60387 blt 0,0,640,480,0, 3885,640,480:waittimer 60402 blt 0,0,640,480,0, 3886,640,480:waittimer 60418 blt 0,0,640,480,0, 3887,640,480:waittimer 60433 blt 0,0,640,480,0, 3888,640,480:waittimer 60449 blt 0,0,640,480,0, 3889,640,480:waittimer 60465 blt 0,0,640,480,0, 3890,640,480:waittimer 60480 blt 0,0,640,480,0, 3891,640,480:waittimer 60496 blt 0,0,640,480,0, 3892,640,480:waittimer 60511 blt 0,0,640,480,0, 3893,640,480:waittimer 60527 blt 0,0,640,480,0, 3894,640,480:waittimer 60542 blt 0,0,640,480,0, 3895,640,480:waittimer 60558 blt 0,0,640,480,0, 3896,640,480:waittimer 60573 blt 0,0,640,480,0, 3897,640,480:waittimer 60589 blt 0,0,640,480,0, 3898,640,480:waittimer 60604 blt 0,0,640,480,0, 3899,640,480:waittimer 60620 blt 0,0,640,480,0, 3900,640,480:waittimer 60636 blt 0,0,640,480,0, 3901,640,480:waittimer 60651 blt 0,0,640,480,0, 3902,640,480:waittimer 60667 blt 0,0,640,480,0, 3903,640,480:waittimer 60682 blt 0,0,640,480,0, 3904,640,480:waittimer 60698 blt 0,0,640,480,0, 3905,640,480:waittimer 60713 blt 0,0,640,480,0, 3906,640,480:waittimer 60729 blt 0,0,640,480,0, 3907,640,480:waittimer 60744 blt 0,0,640,480,0, 3908,640,480:waittimer 60760 blt 0,0,640,480,0, 3909,640,480:waittimer 60775 blt 0,0,640,480,0, 3910,640,480:waittimer 60791 blt 0,0,640,480,0, 3911,640,480:waittimer 60807 blt 0,0,640,480,0, 3912,640,480:waittimer 60822 blt 0,0,640,480,0, 3913,640,480:waittimer 60838 blt 0,0,640,480,0, 3914,640,480:waittimer 60853 blt 0,0,640,480,0, 3915,640,480:waittimer 60869 blt 0,0,640,480,0, 3916,640,480:waittimer 60884 blt 0,0,640,480,0, 3917,640,480:waittimer 60900 blt 0,0,640,480,0, 3918,640,480:waittimer 60915 blt 0,0,640,480,0, 3919,640,480:waittimer 60931 blt 0,0,640,480,0, 3920,640,480:waittimer 60946 blt 0,0,640,480,0, 3921,640,480:waittimer 60962 blt 0,0,640,480,0, 3922,640,480:waittimer 60978 blt 0,0,640,480,0, 3923,640,480:waittimer 60993 blt 0,0,640,480,0, 3924,640,480:waittimer 61009 blt 0,0,640,480,0, 3925,640,480:waittimer 61024 blt 0,0,640,480,0, 3926,640,480:waittimer 61040 blt 0,0,640,480,0, 3927,640,480:waittimer 61055 blt 0,0,640,480,0, 3928,640,480:waittimer 61071 blt 0,0,640,480,0, 3929,640,480:waittimer 61086 blt 0,0,640,480,0, 3930,640,480:waittimer 61102 blt 0,0,640,480,0, 3931,640,480:waittimer 61118 blt 0,0,640,480,0, 3932,640,480:waittimer 61133 blt 0,0,640,480,0, 3933,640,480:waittimer 61149 blt 0,0,640,480,0, 3934,640,480:waittimer 61164 blt 0,0,640,480,0, 3935,640,480:waittimer 61180 blt 0,0,640,480,0, 3936,640,480:waittimer 61195 blt 0,0,640,480,0, 3937,640,480:waittimer 61211 blt 0,0,640,480,0, 3938,640,480:waittimer 61226 blt 0,0,640,480,0, 3939,640,480:waittimer 61242 blt 0,0,640,480,0, 3940,640,480:waittimer 61257 blt 0,0,640,480,0, 3941,640,480:waittimer 61273 blt 0,0,640,480,0, 3942,640,480:waittimer 61289 blt 0,0,640,480,0, 3943,640,480:waittimer 61304 blt 0,0,640,480,0, 3944,640,480:waittimer 61320 blt 0,0,640,480,0, 3945,640,480:waittimer 61335 blt 0,0,640,480,0, 3946,640,480:waittimer 61351 blt 0,0,640,480,0, 3947,640,480:waittimer 61366 blt 0,0,640,480,0, 3948,640,480:waittimer 61382 blt 0,0,640,480,0, 3949,640,480:waittimer 61397 blt 0,0,640,480,0, 3950,640,480:waittimer 61413 blt 0,0,640,480,0, 3951,640,480:waittimer 61428 blt 0,0,640,480,0, 3952,640,480:waittimer 61444 blt 0,0,640,480,0, 3953,640,480:waittimer 61460 blt 0,0,640,480,0, 3954,640,480:waittimer 61475 blt 0,0,640,480,0, 3955,640,480:waittimer 61491 blt 0,0,640,480,0, 3956,640,480:waittimer 61506 blt 0,0,640,480,0, 3957,640,480:waittimer 61522 blt 0,0,640,480,0, 3958,640,480:waittimer 61537 blt 0,0,640,480,0, 3959,640,480:waittimer 61553 blt 0,0,640,480,0, 3960,640,480:waittimer 61568 blt 0,0,640,480,0, 3961,640,480:waittimer 61584 blt 0,0,640,480,0, 3962,640,480:waittimer 61599 blt 0,0,640,480,0, 3963,640,480:waittimer 61615 blt 0,0,640,480,0, 3964,640,480:waittimer 61631 blt 0,0,640,480,0, 3965,640,480:waittimer 61646 blt 0,0,640,480,0, 3966,640,480:waittimer 61662 blt 0,0,640,480,0, 3967,640,480:waittimer 61677 blt 0,0,640,480,0, 3968,640,480:waittimer 61693 blt 0,0,640,480,0, 3969,640,480:waittimer 61708 blt 0,0,640,480,0, 3970,640,480:waittimer 61724 blt 0,0,640,480,0, 3971,640,480:waittimer 61739 blt 0,0,640,480,0, 3972,640,480:waittimer 61755 blt 0,0,640,480,0, 3973,640,480:waittimer 61771 blt 0,0,640,480,0, 3974,640,480:waittimer 61786 blt 0,0,640,480,0, 3975,640,480:waittimer 61802 blt 0,0,640,480,0, 3976,640,480:waittimer 61817 blt 0,0,640,480,0, 3977,640,480:waittimer 61833 blt 0,0,640,480,0, 3978,640,480:waittimer 61848 blt 0,0,640,480,0, 3979,640,480:waittimer 61864 blt 0,0,640,480,0, 3980,640,480:waittimer 61879 blt 0,0,640,480,0, 3981,640,480:waittimer 61895 blt 0,0,640,480,0, 3982,640,480:waittimer 61910 blt 0,0,640,480,0, 3983,640,480:waittimer 61926 blt 0,0,640,480,0, 3984,640,480:waittimer 61942 blt 0,0,640,480,0, 3985,640,480:waittimer 61957 blt 0,0,640,480,0, 3986,640,480:waittimer 61973 blt 0,0,640,480,0, 3987,640,480:waittimer 61988 blt 0,0,640,480,0, 3988,640,480:waittimer 62004 blt 0,0,640,480,0, 3989,640,480:waittimer 62019 blt 0,0,640,480,0, 3990,640,480:waittimer 62035 blt 0,0,640,480,0, 3991,640,480:waittimer 62050 blt 0,0,640,480,0, 3992,640,480:waittimer 62066 blt 0,0,640,480,0, 3993,640,480:waittimer 62081 blt 0,0,640,480,0, 3994,640,480:waittimer 62097 blt 0,0,640,480,0, 3995,640,480:waittimer 62113 blt 0,0,640,480,0, 3996,640,480:waittimer 62128 blt 0,0,640,480,0, 3997,640,480:waittimer 62144 blt 0,0,640,480,0, 3998,640,480:waittimer 62159 blt 0,0,640,480,0, 3999,640,480:waittimer 62175 blt 0,0,640,480,0, 4000,640,480:waittimer 62190 blt 0,0,640,480,0, 4001,640,480:waittimer 62206 blt 0,0,640,480,0, 4002,640,480:waittimer 62221 blt 0,0,640,480,0, 4003,640,480:waittimer 62237 blt 0,0,640,480,0, 4004,640,480:waittimer 62253 blt 0,0,640,480,0, 4005,640,480:waittimer 62268 blt 0,0,640,480,0, 4006,640,480:waittimer 62284 blt 0,0,640,480,0, 4007,640,480:waittimer 62299 blt 0,0,640,480,0, 4008,640,480:waittimer 62315 blt 0,0,640,480,0, 4009,640,480:waittimer 62330 blt 0,0,640,480,0, 4010,640,480:waittimer 62346 blt 0,0,640,480,0, 4011,640,480:waittimer 62361 blt 0,0,640,480,0, 4012,640,480:waittimer 62377 blt 0,0,640,480,0, 4013,640,480:waittimer 62392 blt 0,0,640,480,0, 4014,640,480:waittimer 62408 blt 0,0,640,480,0, 4015,640,480:waittimer 62424 blt 0,0,640,480,0, 4016,640,480:waittimer 62439 blt 0,0,640,480,0, 4017,640,480:waittimer 62455 blt 0,0,640,480,0, 4018,640,480:waittimer 62470 blt 0,0,640,480,0, 4019,640,480:waittimer 62486 blt 0,0,640,480,0, 4020,640,480:waittimer 62501 blt 0,0,640,480,0, 4021,640,480:waittimer 62517 blt 0,0,640,480,0, 4022,640,480:waittimer 62532 blt 0,0,640,480,0, 4023,640,480:waittimer 62548 blt 0,0,640,480,0, 4024,640,480:waittimer 62563 blt 0,0,640,480,0, 4025,640,480:waittimer 62579 blt 0,0,640,480,0, 4026,640,480:waittimer 62595 blt 0,0,640,480,0, 4027,640,480:waittimer 62610 blt 0,0,640,480,0, 4028,640,480:waittimer 62626 blt 0,0,640,480,0, 4029,640,480:waittimer 62641 blt 0,0,640,480,0, 4030,640,480:waittimer 62657 blt 0,0,640,480,0, 4031,640,480:waittimer 62672 blt 0,0,640,480,0, 4032,640,480:waittimer 62688 blt 0,0,640,480,0, 4033,640,480:waittimer 62703 blt 0,0,640,480,0, 4034,640,480:waittimer 62719 blt 0,0,640,480,0, 4035,640,480:waittimer 62734 blt 0,0,640,480,0, 4036,640,480:waittimer 62750 blt 0,0,640,480,0, 4037,640,480:waittimer 62766 blt 0,0,640,480,0, 4038,640,480:waittimer 62781 blt 0,0,640,480,0, 4039,640,480:waittimer 62797 blt 0,0,640,480,0, 4040,640,480:waittimer 62812 blt 0,0,640,480,0, 4041,640,480:waittimer 62828 blt 0,0,640,480,0, 4042,640,480:waittimer 62843 blt 0,0,640,480,0, 4043,640,480:waittimer 62859 blt 0,0,640,480,0, 4044,640,480:waittimer 62874 blt 0,0,640,480,0, 4045,640,480:waittimer 62890 blt 0,0,640,480,0, 4046,640,480:waittimer 62906 blt 0,0,640,480,0, 4047,640,480:waittimer 62921 blt 0,0,640,480,0, 4048,640,480:waittimer 62937 blt 0,0,640,480,0, 4049,640,480:waittimer 62952 blt 0,0,640,480,0, 4050,640,480:waittimer 62968 blt 0,0,640,480,0, 4051,640,480:waittimer 62983 blt 0,0,640,480,0, 4052,640,480:waittimer 62999 blt 0,0,640,480,0, 4053,640,480:waittimer 63014 blt 0,0,640,480,0, 4054,640,480:waittimer 63030 blt 0,0,640,480,0, 4055,640,480:waittimer 63045 blt 0,0,640,480,0, 4056,640,480:waittimer 63061 blt 0,0,640,480,0, 4057,640,480:waittimer 63077 blt 0,0,640,480,0, 4058,640,480:waittimer 63092 blt 0,0,640,480,0, 4059,640,480:waittimer 63108 blt 0,0,640,480,0, 4060,640,480:waittimer 63123 blt 0,0,640,480,0, 4061,640,480:waittimer 63139 blt 0,0,640,480,0, 4062,640,480:waittimer 63154 blt 0,0,640,480,0, 4063,640,480:waittimer 63170 blt 0,0,640,480,0, 4064,640,480:waittimer 63185 blt 0,0,640,480,0, 4065,640,480:waittimer 63201 blt 0,0,640,480,0, 4066,640,480:waittimer 63216 blt 0,0,640,480,0, 4067,640,480:waittimer 63232 blt 0,0,640,480,0, 4068,640,480:waittimer 63248 blt 0,0,640,480,0, 4069,640,480:waittimer 63263 blt 0,0,640,480,0, 4070,640,480:waittimer 63279 blt 0,0,640,480,0, 4071,640,480:waittimer 63294 blt 0,0,640,480,0, 4072,640,480:waittimer 63310 blt 0,0,640,480,0, 4073,640,480:waittimer 63325 blt 0,0,640,480,0, 4074,640,480:waittimer 63341 blt 0,0,640,480,0, 4075,640,480:waittimer 63356 blt 0,0,640,480,0, 4076,640,480:waittimer 63372 blt 0,0,640,480,0, 4077,640,480:waittimer 63387 blt 0,0,640,480,0, 4078,640,480:waittimer 63403 blt 0,0,640,480,0, 4079,640,480:waittimer 63419 blt 0,0,640,480,0, 4080,640,480:waittimer 63434 blt 0,0,640,480,0, 4081,640,480:waittimer 63450 blt 0,0,640,480,0, 4082,640,480:waittimer 63465 blt 0,0,640,480,0, 4083,640,480:waittimer 63481 blt 0,0,640,480,0, 4084,640,480:waittimer 63496 blt 0,0,640,480,0, 4085,640,480:waittimer 63512 blt 0,0,640,480,0, 4086,640,480:waittimer 63527 blt 0,0,640,480,0, 4087,640,480:waittimer 63543 blt 0,0,640,480,0, 4088,640,480:waittimer 63559 blt 0,0,640,480,0, 4089,640,480:waittimer 63574 blt 0,0,640,480,0, 4090,640,480:waittimer 63590 blt 0,0,640,480,0, 4091,640,480:waittimer 63605 blt 0,0,640,480,0, 4092,640,480:waittimer 63621 blt 0,0,640,480,0, 4093,640,480:waittimer 63636 blt 0,0,640,480,0, 4094,640,480:waittimer 63652 blt 0,0,640,480,0, 4095,640,480:waittimer 63667 blt 0,0,640,480,0, 4096,640,480:waittimer 63683 blt 0,0,640,480,0, 4097,640,480:waittimer 63698 blt 0,0,640,480,0, 4098,640,480:waittimer 63714 blt 0,0,640,480,0, 4099,640,480:waittimer 63730 blt 0,0,640,480,0, 4100,640,480:waittimer 63745 blt 0,0,640,480,0, 4101,640,480:waittimer 63761 blt 0,0,640,480,0, 4102,640,480:waittimer 63776 blt 0,0,640,480,0, 4103,640,480:waittimer 63792 blt 0,0,640,480,0, 4104,640,480:waittimer 63807 blt 0,0,640,480,0, 4105,640,480:waittimer 63823 blt 0,0,640,480,0, 4106,640,480:waittimer 63838 blt 0,0,640,480,0, 4107,640,480:waittimer 63854 blt 0,0,640,480,0, 4108,640,480:waittimer 63869 blt 0,0,640,480,0, 4109,640,480:waittimer 63885 blt 0,0,640,480,0, 4110,640,480:waittimer 63901 blt 0,0,640,480,0, 4111,640,480:waittimer 63916 blt 0,0,640,480,0, 4112,640,480:waittimer 63932 blt 0,0,640,480,0, 4113,640,480:waittimer 63947 blt 0,0,640,480,0, 4114,640,480:waittimer 63963 blt 0,0,640,480,0, 4115,640,480:waittimer 63978 blt 0,0,640,480,0, 4116,640,480:waittimer 63994 blt 0,0,640,480,0, 4117,640,480:waittimer 64009 blt 0,0,640,480,0, 4118,640,480:waittimer 64025 blt 0,0,640,480,0, 4119,640,480:waittimer 64040 blt 0,0,640,480,0, 4120,640,480:waittimer 64056 blt 0,0,640,480,0, 4121,640,480:waittimer 64072 blt 0,0,640,480,0, 4122,640,480:waittimer 64087 blt 0,0,640,480,0, 4123,640,480:waittimer 64103 blt 0,0,640,480,0, 4124,640,480:waittimer 64118 blt 0,0,640,480,0, 4125,640,480:waittimer 64134 blt 0,0,640,480,0, 4126,640,480:waittimer 64149 blt 0,0,640,480,0, 4127,640,480:waittimer 64165 blt 0,0,640,480,0, 4128,640,480:waittimer 64180 blt 0,0,640,480,0, 4129,640,480:waittimer 64196 blt 0,0,640,480,0, 4130,640,480:waittimer 64212 blt 0,0,640,480,0, 4131,640,480:waittimer 64227 blt 0,0,640,480,0, 4132,640,480:waittimer 64243 blt 0,0,640,480,0, 4133,640,480:waittimer 64258 blt 0,0,640,480,0, 4134,640,480:waittimer 64274 blt 0,0,640,480,0, 4135,640,480:waittimer 64289 blt 0,0,640,480,0, 4136,640,480:waittimer 64305 blt 0,0,640,480,0, 4137,640,480:waittimer 64320 blt 0,0,640,480,0, 4138,640,480:waittimer 64336 blt 0,0,640,480,0, 4139,640,480:waittimer 64351 blt 0,0,640,480,0, 4140,640,480:waittimer 64367 blt 0,0,640,480,0, 4141,640,480:waittimer 64383 blt 0,0,640,480,0, 4142,640,480:waittimer 64398 blt 0,0,640,480,0, 4143,640,480:waittimer 64414 blt 0,0,640,480,0, 4144,640,480:waittimer 64429 blt 0,0,640,480,0, 4145,640,480:waittimer 64445 blt 0,0,640,480,0, 4146,640,480:waittimer 64460 blt 0,0,640,480,0, 4147,640,480:waittimer 64476 blt 0,0,640,480,0, 4148,640,480:waittimer 64491 blt 0,0,640,480,0, 4149,640,480:waittimer 64507 blt 0,0,640,480,0, 4150,640,480:waittimer 64522 blt 0,0,640,480,0, 4151,640,480:waittimer 64538 blt 0,0,640,480,0, 4152,640,480:waittimer 64554 blt 0,0,640,480,0, 4153,640,480:waittimer 64569 blt 0,0,640,480,0, 4154,640,480:waittimer 64585 blt 0,0,640,480,0, 4155,640,480:waittimer 64600 blt 0,0,640,480,0, 4156,640,480:waittimer 64616 blt 0,0,640,480,0, 4157,640,480:waittimer 64631 blt 0,0,640,480,0, 4158,640,480:waittimer 64647 blt 0,0,640,480,0, 4159,640,480:waittimer 64662 blt 0,0,640,480,0, 4160,640,480:waittimer 64678 blt 0,0,640,480,0, 4161,640,480:waittimer 64693 blt 0,0,640,480,0, 4162,640,480:waittimer 64709 blt 0,0,640,480,0, 4163,640,480:waittimer 64725 blt 0,0,640,480,0, 4164,640,480:waittimer 64740 blt 0,0,640,480,0, 4165,640,480:waittimer 64756 blt 0,0,640,480,0, 4166,640,480:waittimer 64771 blt 0,0,640,480,0, 4167,640,480:waittimer 64787 blt 0,0,640,480,0, 4168,640,480:waittimer 64802 blt 0,0,640,480,0, 4169,640,480:waittimer 64818 blt 0,0,640,480,0, 4170,640,480:waittimer 64833 blt 0,0,640,480,0, 4171,640,480:waittimer 64849 blt 0,0,640,480,0, 4172,640,480:waittimer 64865 blt 0,0,640,480,0, 4173,640,480:waittimer 64880 blt 0,0,640,480,0, 4174,640,480:waittimer 64896 blt 0,0,640,480,0, 4175,640,480:waittimer 64911 blt 0,0,640,480,0, 4176,640,480:waittimer 64927 blt 0,0,640,480,0, 4177,640,480:waittimer 64942 blt 0,0,640,480,0, 4178,640,480:waittimer 64958 blt 0,0,640,480,0, 4179,640,480:waittimer 64973 blt 0,0,640,480,0, 4180,640,480:waittimer 64989 blt 0,0,640,480,0, 4181,640,480:waittimer 65004 blt 0,0,640,480,0, 4182,640,480:waittimer 65020 blt 0,0,640,480,0, 4183,640,480:waittimer 65036 blt 0,0,640,480,0, 4184,640,480:waittimer 65051 blt 0,0,640,480,0, 4185,640,480:waittimer 65067 blt 0,0,640,480,0, 4186,640,480:waittimer 65082 blt 0,0,640,480,0, 4187,640,480:waittimer 65098 blt 0,0,640,480,0, 4188,640,480:waittimer 65113 blt 0,0,640,480,0, 4189,640,480:waittimer 65129 blt 0,0,640,480,0, 4190,640,480:waittimer 65144 blt 0,0,640,480,0, 4191,640,480:waittimer 65160 blt 0,0,640,480,0, 4192,640,480:waittimer 65175 blt 0,0,640,480,0, 4193,640,480:waittimer 65191 blt 0,0,640,480,0, 4194,640,480:waittimer 65207 blt 0,0,640,480,0, 4195,640,480:waittimer 65222 blt 0,0,640,480,0, 4196,640,480:waittimer 65238 blt 0,0,640,480,0, 4197,640,480:waittimer 65253 blt 0,0,640,480,0, 4198,640,480:waittimer 65269 blt 0,0,640,480,0, 4199,640,480:waittimer 65284 blt 0,0,640,480,0, 4200,640,480:waittimer 65300 blt 0,0,640,480,0, 4201,640,480:waittimer 65315 blt 0,0,640,480,0, 4202,640,480:waittimer 65331 blt 0,0,640,480,0, 4203,640,480:waittimer 65346 blt 0,0,640,480,0, 4204,640,480:waittimer 65362 blt 0,0,640,480,0, 4205,640,480:waittimer 65378 blt 0,0,640,480,0, 4206,640,480:waittimer 65393 blt 0,0,640,480,0, 4207,640,480:waittimer 65409 blt 0,0,640,480,0, 4208,640,480:waittimer 65424 blt 0,0,640,480,0, 4209,640,480:waittimer 65440 blt 0,0,640,480,0, 4210,640,480:waittimer 65455 blt 0,0,640,480,0, 4211,640,480:waittimer 65471 blt 0,0,640,480,0, 4212,640,480:waittimer 65486 blt 0,0,640,480,0, 4213,640,480:waittimer 65502 blt 0,0,640,480,0, 4214,640,480:waittimer 65518 blt 0,0,640,480,0, 4215,640,480:waittimer 65533 blt 0,0,640,480,0, 4216,640,480:waittimer 65549 blt 0,0,640,480,0, 4217,640,480:waittimer 65564 blt 0,0,640,480,0, 4218,640,480:waittimer 65580 blt 0,0,640,480,0, 4219,640,480:waittimer 65595 blt 0,0,640,480,0, 4220,640,480:waittimer 65611 blt 0,0,640,480,0, 4221,640,480:waittimer 65626 blt 0,0,640,480,0, 4222,640,480:waittimer 65642 blt 0,0,640,480,0, 4223,640,480:waittimer 65657 blt 0,0,640,480,0, 4224,640,480:waittimer 65673 blt 0,0,640,480,0, 4225,640,480:waittimer 65689 blt 0,0,640,480,0, 4226,640,480:waittimer 65704 blt 0,0,640,480,0, 4227,640,480:waittimer 65720 blt 0,0,640,480,0, 4228,640,480:waittimer 65735 blt 0,0,640,480,0, 4229,640,480:waittimer 65751 blt 0,0,640,480,0, 4230,640,480:waittimer 65766 blt 0,0,640,480,0, 4231,640,480:waittimer 65782 blt 0,0,640,480,0, 4232,640,480:waittimer 65797 blt 0,0,640,480,0, 4233,640,480:waittimer 65813 blt 0,0,640,480,0, 4234,640,480:waittimer 65828 blt 0,0,640,480,0, 4235,640,480:waittimer 65844 blt 0,0,640,480,0, 4236,640,480:waittimer 65860 blt 0,0,640,480,0, 4237,640,480:waittimer 65875 blt 0,0,640,480,0, 4238,640,480:waittimer 65891 blt 0,0,640,480,0, 4239,640,480:waittimer 65906 blt 0,0,640,480,0, 4240,640,480:waittimer 65922 blt 0,0,640,480,0, 4241,640,480:waittimer 65937 blt 0,0,640,480,0, 4242,640,480:waittimer 65953 blt 0,0,640,480,0, 4243,640,480:waittimer 65968 blt 0,0,640,480,0, 4244,640,480:waittimer 65984 blt 0,0,640,480,0, 4245,640,480:waittimer 65999 blt 0,0,640,480,0, 4246,640,480:waittimer 66015 blt 0,0,640,480,0, 4247,640,480:waittimer 66031 blt 0,0,640,480,0, 4248,640,480:waittimer 66046 blt 0,0,640,480,0, 4249,640,480:waittimer 66062 blt 0,0,640,480,0, 4250,640,480:waittimer 66077 blt 0,0,640,480,0, 4251,640,480:waittimer 66093 blt 0,0,640,480,0, 4252,640,480:waittimer 66108 blt 0,0,640,480,0, 4253,640,480:waittimer 66124 blt 0,0,640,480,0, 4254,640,480:waittimer 66139 blt 0,0,640,480,0, 4255,640,480:waittimer 66155 blt 0,0,640,480,0, 4256,640,480:waittimer 66171 blt 0,0,640,480,0, 4257,640,480:waittimer 66186 blt 0,0,640,480,0, 4258,640,480:waittimer 66202 blt 0,0,640,480,0, 4259,640,480:waittimer 66217 blt 0,0,640,480,0, 4260,640,480:waittimer 66233 blt 0,0,640,480,0, 4261,640,480:waittimer 66248 blt 0,0,640,480,0, 4262,640,480:waittimer 66264 blt 0,0,640,480,0, 4263,640,480:waittimer 66279 blt 0,0,640,480,0, 4264,640,480:waittimer 66295 blt 0,0,640,480,0, 4265,640,480:waittimer 66310 blt 0,0,640,480,0, 4266,640,480:waittimer 66326 blt 0,0,640,480,0, 4267,640,480:waittimer 66342 blt 0,0,640,480,0, 4268,640,480:waittimer 66357 blt 0,0,640,480,0, 4269,640,480:waittimer 66373 blt 0,0,640,480,0, 4270,640,480:waittimer 66388 blt 0,0,640,480,0, 4271,640,480:waittimer 66404 blt 0,0,640,480,0, 4272,640,480:waittimer 66419 blt 0,0,640,480,0, 4273,640,480:waittimer 66435 blt 0,0,640,480,0, 4274,640,480:waittimer 66450 blt 0,0,640,480,0, 4275,640,480:waittimer 66466 blt 0,0,640,480,0, 4276,640,480:waittimer 66481 blt 0,0,640,480,0, 4277,640,480:waittimer 66497 blt 0,0,640,480,0, 4278,640,480:waittimer 66513 blt 0,0,640,480,0, 4279,640,480:waittimer 66528 blt 0,0,640,480,0, 4280,640,480:waittimer 66544 blt 0,0,640,480,0, 4281,640,480:waittimer 66559 blt 0,0,640,480,0, 4282,640,480:waittimer 66575 blt 0,0,640,480,0, 4283,640,480:waittimer 66590 blt 0,0,640,480,0, 4284,640,480:waittimer 66606 blt 0,0,640,480,0, 4285,640,480:waittimer 66621 blt 0,0,640,480,0, 4286,640,480:waittimer 66637 blt 0,0,640,480,0, 4287,640,480:waittimer 66653 blt 0,0,640,480,0, 4288,640,480:waittimer 66668 blt 0,0,640,480,0, 4289,640,480:waittimer 66684 blt 0,0,640,480,0, 4290,640,480:waittimer 66699 blt 0,0,640,480,0, 4291,640,480:waittimer 66715 blt 0,0,640,480,0, 4292,640,480:waittimer 66730 blt 0,0,640,480,0, 4293,640,480:waittimer 66746 blt 0,0,640,480,0, 4294,640,480:waittimer 66761 blt 0,0,640,480,0, 4295,640,480:waittimer 66777 blt 0,0,640,480,0, 4296,640,480:waittimer 66792 blt 0,0,640,480,0, 4297,640,480:waittimer 66808 blt 0,0,640,480,0, 4298,640,480:waittimer 66824 blt 0,0,640,480,0, 4299,640,480:waittimer 66839 blt 0,0,640,480,0, 4300,640,480:waittimer 66855 blt 0,0,640,480,0, 4301,640,480:waittimer 66870 blt 0,0,640,480,0, 4302,640,480:waittimer 66886 blt 0,0,640,480,0, 4303,640,480:waittimer 66901 blt 0,0,640,480,0, 4304,640,480:waittimer 66917 blt 0,0,640,480,0, 4305,640,480:waittimer 66932 blt 0,0,640,480,0, 4306,640,480:waittimer 66948 blt 0,0,640,480,0, 4307,640,480:waittimer 66963 blt 0,0,640,480,0, 4308,640,480:waittimer 66979 blt 0,0,640,480,0, 4309,640,480:waittimer 66995 blt 0,0,640,480,0, 4310,640,480:waittimer 67010 blt 0,0,640,480,0, 4311,640,480:waittimer 67026 blt 0,0,640,480,0, 4312,640,480:waittimer 67041 blt 0,0,640,480,0, 4313,640,480:waittimer 67057 blt 0,0,640,480,0, 4314,640,480:waittimer 67072 blt 0,0,640,480,0, 4315,640,480:waittimer 67088 blt 0,0,640,480,0, 4316,640,480:waittimer 67103 blt 0,0,640,480,0, 4317,640,480:waittimer 67119 blt 0,0,640,480,0, 4318,640,480:waittimer 67134 blt 0,0,640,480,0, 4319,640,480:waittimer 67150 blt 0,0,640,480,0, 4320,640,480:waittimer 67166 blt 0,0,640,480,0, 4321,640,480:waittimer 67181 blt 0,0,640,480,0, 4322,640,480:waittimer 67197 blt 0,0,640,480,0, 4323,640,480:waittimer 67212 blt 0,0,640,480,0, 4324,640,480:waittimer 67228 blt 0,0,640,480,0, 4325,640,480:waittimer 67243 blt 0,0,640,480,0, 4326,640,480:waittimer 67259 blt 0,0,640,480,0, 4327,640,480:waittimer 67274 blt 0,0,640,480,0, 4328,640,480:waittimer 67290 blt 0,0,640,480,0, 4329,640,480:waittimer 67306 blt 0,0,640,480,0, 4330,640,480:waittimer 67321 blt 0,0,640,480,0, 4331,640,480:waittimer 67337 blt 0,0,640,480,0, 4332,640,480:waittimer 67352 blt 0,0,640,480,0, 4333,640,480:waittimer 67368 blt 0,0,640,480,0, 4334,640,480:waittimer 67383 blt 0,0,640,480,0, 4335,640,480:waittimer 67399 blt 0,0,640,480,0, 4336,640,480:waittimer 67414 blt 0,0,640,480,0, 4337,640,480:waittimer 67430 blt 0,0,640,480,0, 4338,640,480:waittimer 67445 blt 0,0,640,480,0, 4339,640,480:waittimer 67461 blt 0,0,640,480,0, 4340,640,480:waittimer 67477 blt 0,0,640,480,0, 4341,640,480:waittimer 67492 blt 0,0,640,480,0, 4342,640,480:waittimer 67508 blt 0,0,640,480,0, 4343,640,480:waittimer 67523 blt 0,0,640,480,0, 4344,640,480:waittimer 67539 blt 0,0,640,480,0, 4345,640,480:waittimer 67554 blt 0,0,640,480,0, 4346,640,480:waittimer 67570 blt 0,0,640,480,0, 4347,640,480:waittimer 67585 blt 0,0,640,480,0, 4348,640,480:waittimer 67601 blt 0,0,640,480,0, 4349,640,480:waittimer 67616 blt 0,0,640,480,0, 4350,640,480:waittimer 67632 blt 0,0,640,480,0, 4351,640,480:waittimer 67648 blt 0,0,640,480,0, 4352,640,480:waittimer 67663 blt 0,0,640,480,0, 4353,640,480:waittimer 67679 blt 0,0,640,480,0, 4354,640,480:waittimer 67694 blt 0,0,640,480,0, 4355,640,480:waittimer 67710 blt 0,0,640,480,0, 4356,640,480:waittimer 67725 blt 0,0,640,480,0, 4357,640,480:waittimer 67741 blt 0,0,640,480,0, 4358,640,480:waittimer 67756 blt 0,0,640,480,0, 4359,640,480:waittimer 67772 blt 0,0,640,480,0, 4360,640,480:waittimer 67787 blt 0,0,640,480,0, 4361,640,480:waittimer 67803 blt 0,0,640,480,0, 4362,640,480:waittimer 67819 blt 0,0,640,480,0, 4363,640,480:waittimer 67834 blt 0,0,640,480,0, 4364,640,480:waittimer 67850 blt 0,0,640,480,0, 4365,640,480:waittimer 67865 blt 0,0,640,480,0, 4366,640,480:waittimer 67881 blt 0,0,640,480,0, 4367,640,480:waittimer 67896 blt 0,0,640,480,0, 4368,640,480:waittimer 67912 blt 0,0,640,480,0, 4369,640,480:waittimer 67927 blt 0,0,640,480,0, 4370,640,480:waittimer 67943 blt 0,0,640,480,0, 4371,640,480:waittimer 67959 blt 0,0,640,480,0, 4372,640,480:waittimer 67974 blt 0,0,640,480,0, 4373,640,480:waittimer 67990 blt 0,0,640,480,0, 4374,640,480:waittimer 68005 blt 0,0,640,480,0, 4375,640,480:waittimer 68021 blt 0,0,640,480,0, 4376,640,480:waittimer 68036 blt 0,0,640,480,0, 4377,640,480:waittimer 68052 blt 0,0,640,480,0, 4378,640,480:waittimer 68067 blt 0,0,640,480,0, 4379,640,480:waittimer 68083 blt 0,0,640,480,0, 4380,640,480:waittimer 68098 blt 0,0,640,480,0, 4381,640,480:waittimer 68114 blt 0,0,640,480,0, 4382,640,480:waittimer 68130 blt 0,0,640,480,0, 4383,640,480:waittimer 68145 blt 0,0,640,480,0, 4384,640,480:waittimer 68161 blt 0,0,640,480,0, 4385,640,480:waittimer 68176 blt 0,0,640,480,0, 4386,640,480:waittimer 68192 blt 0,0,640,480,0, 4387,640,480:waittimer 68207 blt 0,0,640,480,0, 4388,640,480:waittimer 68223 blt 0,0,640,480,0, 4389,640,480:waittimer 68238 blt 0,0,640,480,0, 4390,640,480:waittimer 68254 blt 0,0,640,480,0, 4391,640,480:waittimer 68269 blt 0,0,640,480,0, 4392,640,480:waittimer 68285 blt 0,0,640,480,0, 4393,640,480:waittimer 68301 blt 0,0,640,480,0, 4394,640,480:waittimer 68316 blt 0,0,640,480,0, 4395,640,480:waittimer 68332 blt 0,0,640,480,0, 4396,640,480:waittimer 68347 blt 0,0,640,480,0, 4397,640,480:waittimer 68363 blt 0,0,640,480,0, 4398,640,480:waittimer 68378 blt 0,0,640,480,0, 4399,640,480:waittimer 68394 blt 0,0,640,480,0, 4400,640,480:waittimer 68409 blt 0,0,640,480,0, 4401,640,480:waittimer 68425 blt 0,0,640,480,0, 4402,640,480:waittimer 68440 blt 0,0,640,480,0, 4403,640,480:waittimer 68456 blt 0,0,640,480,0, 4404,640,480:waittimer 68472 blt 0,0,640,480,0, 4405,640,480:waittimer 68487 blt 0,0,640,480,0, 4406,640,480:waittimer 68503 blt 0,0,640,480,0, 4407,640,480:waittimer 68518 blt 0,0,640,480,0, 4408,640,480:waittimer 68534 blt 0,0,640,480,0, 4409,640,480:waittimer 68549 blt 0,0,640,480,0, 4410,640,480:waittimer 68565 blt 0,0,640,480,0, 4411,640,480:waittimer 68580 blt 0,0,640,480,0, 4412,640,480:waittimer 68596 blt 0,0,640,480,0, 4413,640,480:waittimer 68612 blt 0,0,640,480,0, 4414,640,480:waittimer 68627 blt 0,0,640,480,0, 4415,640,480:waittimer 68643 blt 0,0,640,480,0, 4416,640,480:waittimer 68658 blt 0,0,640,480,0, 4417,640,480:waittimer 68674 blt 0,0,640,480,0, 4418,640,480:waittimer 68689 blt 0,0,640,480,0, 4419,640,480:waittimer 68705 blt 0,0,640,480,0, 4420,640,480:waittimer 68720 blt 0,0,640,480,0, 4421,640,480:waittimer 68736 blt 0,0,640,480,0, 4422,640,480:waittimer 68751 blt 0,0,640,480,0, 4423,640,480:waittimer 68767 blt 0,0,640,480,0, 4424,640,480:waittimer 68783 blt 0,0,640,480,0, 4425,640,480:waittimer 68798 blt 0,0,640,480,0, 4426,640,480:waittimer 68814 blt 0,0,640,480,0, 4427,640,480:waittimer 68829 blt 0,0,640,480,0, 4428,640,480:waittimer 68845 blt 0,0,640,480,0, 4429,640,480:waittimer 68860 blt 0,0,640,480,0, 4430,640,480:waittimer 68876 blt 0,0,640,480,0, 4431,640,480:waittimer 68891 blt 0,0,640,480,0, 4432,640,480:waittimer 68907 blt 0,0,640,480,0, 4433,640,480:waittimer 68922 blt 0,0,640,480,0, 4434,640,480:waittimer 68938 blt 0,0,640,480,0, 4435,640,480:waittimer 68954 blt 0,0,640,480,0, 4436,640,480:waittimer 68969 blt 0,0,640,480,0, 4437,640,480:waittimer 68985 blt 0,0,640,480,0, 4438,640,480:waittimer 69000 blt 0,0,640,480,0, 4439,640,480:waittimer 69016 blt 0,0,640,480,0, 4440,640,480:waittimer 69031 blt 0,0,640,480,0, 4441,640,480:waittimer 69047 blt 0,0,640,480,0, 4442,640,480:waittimer 69062 blt 0,0,640,480,0, 4443,640,480:waittimer 69078 blt 0,0,640,480,0, 4444,640,480:waittimer 69093 blt 0,0,640,480,0, 4445,640,480:waittimer 69109 blt 0,0,640,480,0, 4446,640,480:waittimer 69125 blt 0,0,640,480,0, 4447,640,480:waittimer 69140 blt 0,0,640,480,0, 4448,640,480:waittimer 69156 blt 0,0,640,480,0, 4449,640,480:waittimer 69171 blt 0,0,640,480,0, 4450,640,480:waittimer 69187 blt 0,0,640,480,0, 4451,640,480:waittimer 69202 blt 0,0,640,480,0, 4452,640,480:waittimer 69218 blt 0,0,640,480,0, 4453,640,480:waittimer 69233 blt 0,0,640,480,0, 4454,640,480:waittimer 69249 blt 0,0,640,480,0, 4455,640,480:waittimer 69265 blt 0,0,640,480,0, 4456,640,480:waittimer 69280 blt 0,0,640,480,0, 4457,640,480:waittimer 69296 blt 0,0,640,480,0, 4458,640,480:waittimer 69311 blt 0,0,640,480,0, 4459,640,480:waittimer 69327 blt 0,0,640,480,0, 4460,640,480:waittimer 69342 blt 0,0,640,480,0, 4461,640,480:waittimer 69358 blt 0,0,640,480,0, 4462,640,480:waittimer 69373 blt 0,0,640,480,0, 4463,640,480:waittimer 69389 blt 0,0,640,480,0, 4464,640,480:waittimer 69404 blt 0,0,640,480,0, 4465,640,480:waittimer 69420 blt 0,0,640,480,0, 4466,640,480:waittimer 69436 blt 0,0,640,480,0, 4467,640,480:waittimer 69451 blt 0,0,640,480,0, 4468,640,480:waittimer 69467 blt 0,0,640,480,0, 4469,640,480:waittimer 69482 blt 0,0,640,480,0, 4470,640,480:waittimer 69498 blt 0,0,640,480,0, 4471,640,480:waittimer 69513 blt 0,0,640,480,0, 4472,640,480:waittimer 69529 blt 0,0,640,480,0, 4473,640,480:waittimer 69544 blt 0,0,640,480,0, 4474,640,480:waittimer 69560 blt 0,0,640,480,0, 4475,640,480:waittimer 69575 blt 0,0,640,480,0, 4476,640,480:waittimer 69591 blt 0,0,640,480,0, 4477,640,480:waittimer 69607 blt 0,0,640,480,0, 4478,640,480:waittimer 69622 blt 0,0,640,480,0, 4479,640,480:waittimer 69638 blt 0,0,640,480,0, 4480,640,480:waittimer 69653 blt 0,0,640,480,0, 4481,640,480:waittimer 69669 blt 0,0,640,480,0, 4482,640,480:waittimer 69684 blt 0,0,640,480,0, 4483,640,480:waittimer 69700 blt 0,0,640,480,0, 4484,640,480:waittimer 69715 blt 0,0,640,480,0, 4485,640,480:waittimer 69731 blt 0,0,640,480,0, 4486,640,480:waittimer 69746 blt 0,0,640,480,0, 4487,640,480:waittimer 69762 blt 0,0,640,480,0, 4488,640,480:waittimer 69778 blt 0,0,640,480,0, 4489,640,480:waittimer 69793 blt 0,0,640,480,0, 4490,640,480:waittimer 69809 blt 0,0,640,480,0, 4491,640,480:waittimer 69824 blt 0,0,640,480,0, 4492,640,480:waittimer 69840 blt 0,0,640,480,0, 4493,640,480:waittimer 69855 blt 0,0,640,480,0, 4494,640,480:waittimer 69871 blt 0,0,640,480,0, 4495,640,480:waittimer 69886 blt 0,0,640,480,0, 4496,640,480:waittimer 69902 blt 0,0,640,480,0, 4497,640,480:waittimer 69918 blt 0,0,640,480,0, 4498,640,480:waittimer 69933 blt 0,0,640,480,0, 4499,640,480:waittimer 69949 blt 0,0,640,480,0, 4500,640,480:waittimer 69964 blt 0,0,640,480,0, 4501,640,480:waittimer 69980 blt 0,0,640,480,0, 4502,640,480:waittimer 69995 blt 0,0,640,480,0, 4503,640,480:waittimer 70011 blt 0,0,640,480,0, 4504,640,480:waittimer 70026 blt 0,0,640,480,0, 4505,640,480:waittimer 70042 blt 0,0,640,480,0, 4506,640,480:waittimer 70057 blt 0,0,640,480,0, 4507,640,480:waittimer 70073 blt 0,0,640,480,0, 4508,640,480:waittimer 70089 blt 0,0,640,480,0, 4509,640,480:waittimer 70104 blt 0,0,640,480,0, 4510,640,480:waittimer 70120 blt 0,0,640,480,0, 4511,640,480:waittimer 70135 blt 0,0,640,480,0, 4512,640,480:waittimer 70151 blt 0,0,640,480,0, 4513,640,480:waittimer 70166 blt 0,0,640,480,0, 4514,640,480:waittimer 70182 blt 0,0,640,480,0, 4515,640,480:waittimer 70197 blt 0,0,640,480,0, 4516,640,480:waittimer 70213 blt 0,0,640,480,0, 4517,640,480:waittimer 70228 blt 0,0,640,480,0, 4518,640,480:waittimer 70244 blt 0,0,640,480,0, 4519,640,480:waittimer 70260 blt 0,0,640,480,0, 4520,640,480:waittimer 70275 blt 0,0,640,480,0, 4521,640,480:waittimer 70291 blt 0,0,640,480,0, 4522,640,480:waittimer 70306 blt 0,0,640,480,0, 4523,640,480:waittimer 70322 blt 0,0,640,480,0, 4524,640,480:waittimer 70337 blt 0,0,640,480,0, 4525,640,480:waittimer 70353 blt 0,0,640,480,0, 4526,640,480:waittimer 70368 blt 0,0,640,480,0, 4527,640,480:waittimer 70384 blt 0,0,640,480,0, 4528,640,480:waittimer 70399 blt 0,0,640,480,0, 4529,640,480:waittimer 70415 blt 0,0,640,480,0, 4530,640,480:waittimer 70431 blt 0,0,640,480,0, 4531,640,480:waittimer 70446 blt 0,0,640,480,0, 4532,640,480:waittimer 70462 blt 0,0,640,480,0, 4533,640,480:waittimer 70477 blt 0,0,640,480,0, 4534,640,480:waittimer 70493 blt 0,0,640,480,0, 4535,640,480:waittimer 70508 blt 0,0,640,480,0, 4536,640,480:waittimer 70524 blt 0,0,640,480,0, 4537,640,480:waittimer 70539 blt 0,0,640,480,0, 4538,640,480:waittimer 70555 blt 0,0,640,480,0, 4539,640,480:waittimer 70571 blt 0,0,640,480,0, 4540,640,480:waittimer 70586 blt 0,0,640,480,0, 4541,640,480:waittimer 70602 blt 0,0,640,480,0, 4542,640,480:waittimer 70617 blt 0,0,640,480,0, 4543,640,480:waittimer 70633 blt 0,0,640,480,0, 4544,640,480:waittimer 70648 blt 0,0,640,480,0, 4545,640,480:waittimer 70664 blt 0,0,640,480,0, 4546,640,480:waittimer 70679 blt 0,0,640,480,0, 4547,640,480:waittimer 70695 blt 0,0,640,480,0, 4548,640,480:waittimer 70710 blt 0,0,640,480,0, 4549,640,480:waittimer 70726 blt 0,0,640,480,0, 4550,640,480:waittimer 70742 blt 0,0,640,480,0, 4551,640,480:waittimer 70757 blt 0,0,640,480,0, 4552,640,480:waittimer 70773 blt 0,0,640,480,0, 4553,640,480:waittimer 70788 blt 0,0,640,480,0, 4554,640,480:waittimer 70804 blt 0,0,640,480,0, 4555,640,480:waittimer 70819 blt 0,0,640,480,0, 4556,640,480:waittimer 70835 blt 0,0,640,480,0, 4557,640,480:waittimer 70850 blt 0,0,640,480,0, 4558,640,480:waittimer 70866 blt 0,0,640,480,0, 4559,640,480:waittimer 70881 blt 0,0,640,480,0, 4560,640,480:waittimer 70897 blt 0,0,640,480,0, 4561,640,480:waittimer 70913 blt 0,0,640,480,0, 4562,640,480:waittimer 70928 blt 0,0,640,480,0, 4563,640,480:waittimer 70944 blt 0,0,640,480,0, 4564,640,480:waittimer 70959 blt 0,0,640,480,0, 4565,640,480:waittimer 70975 blt 0,0,640,480,0, 4566,640,480:waittimer 70990 blt 0,0,640,480,0, 4567,640,480:waittimer 71006 blt 0,0,640,480,0, 4568,640,480:waittimer 71021 blt 0,0,640,480,0, 4569,640,480:waittimer 71037 blt 0,0,640,480,0, 4570,640,480:waittimer 71053 blt 0,0,640,480,0, 4571,640,480:waittimer 71068 blt 0,0,640,480,0, 4572,640,480:waittimer 71084 blt 0,0,640,480,0, 4573,640,480:waittimer 71099 blt 0,0,640,480,0, 4574,640,480:waittimer 71115 blt 0,0,640,480,0, 4575,640,480:waittimer 71130 blt 0,0,640,480,0, 4576,640,480:waittimer 71146 blt 0,0,640,480,0, 4577,640,480:waittimer 71161 blt 0,0,640,480,0, 4578,640,480:waittimer 71177 blt 0,0,640,480,0, 4579,640,480:waittimer 71192 blt 0,0,640,480,0, 4580,640,480:waittimer 71208 blt 0,0,640,480,0, 4581,640,480:waittimer 71224 blt 0,0,640,480,0, 4582,640,480:waittimer 71239 blt 0,0,640,480,0, 4583,640,480:waittimer 71255 blt 0,0,640,480,0, 4584,640,480:waittimer 71270 blt 0,0,640,480,0, 4585,640,480:waittimer 71286 blt 0,0,640,480,0, 4586,640,480:waittimer 71301 blt 0,0,640,480,0, 4587,640,480:waittimer 71317 blt 0,0,640,480,0, 4588,640,480:waittimer 71332 blt 0,0,640,480,0, 4589,640,480:waittimer 71348 blt 0,0,640,480,0, 4590,640,480:waittimer 71363 blt 0,0,640,480,0, 4591,640,480:waittimer 71379 blt 0,0,640,480,0, 4592,640,480:waittimer 71395 blt 0,0,640,480,0, 4593,640,480:waittimer 71410 blt 0,0,640,480,0, 4594,640,480:waittimer 71426 blt 0,0,640,480,0, 4595,640,480:waittimer 71441 blt 0,0,640,480,0, 4596,640,480:waittimer 71457 blt 0,0,640,480,0, 4597,640,480:waittimer 71472 blt 0,0,640,480,0, 4598,640,480:waittimer 71488 blt 0,0,640,480,0, 4599,640,480:waittimer 71503 blt 0,0,640,480,0, 4600,640,480:waittimer 71519 blt 0,0,640,480,0, 4601,640,480:waittimer 71534 blt 0,0,640,480,0, 4602,640,480:waittimer 71550 blt 0,0,640,480,0, 4603,640,480:waittimer 71566 blt 0,0,640,480,0, 4604,640,480:waittimer 71581 blt 0,0,640,480,0, 4605,640,480:waittimer 71597 blt 0,0,640,480,0, 4606,640,480:waittimer 71612 blt 0,0,640,480,0, 4607,640,480:waittimer 71628 blt 0,0,640,480,0, 4608,640,480:waittimer 71643 blt 0,0,640,480,0, 4609,640,480:waittimer 71659 blt 0,0,640,480,0, 4610,640,480:waittimer 71674 blt 0,0,640,480,0, 4611,640,480:waittimer 71690 blt 0,0,640,480,0, 4612,640,480:waittimer 71706 blt 0,0,640,480,0, 4613,640,480:waittimer 71721 blt 0,0,640,480,0, 4614,640,480:waittimer 71737 blt 0,0,640,480,0, 4615,640,480:waittimer 71752 blt 0,0,640,480,0, 4616,640,480:waittimer 71768 blt 0,0,640,480,0, 4617,640,480:waittimer 71783 blt 0,0,640,480,0, 4618,640,480:waittimer 71799 blt 0,0,640,480,0, 4619,640,480:waittimer 71814 blt 0,0,640,480,0, 4620,640,480:waittimer 71830 blt 0,0,640,480,0, 4621,640,480:waittimer 71845 blt 0,0,640,480,0, 4622,640,480:waittimer 71861 blt 0,0,640,480,0, 4623,640,480:waittimer 71877 blt 0,0,640,480,0, 4624,640,480:waittimer 71892 blt 0,0,640,480,0, 4625,640,480:waittimer 71908 blt 0,0,640,480,0, 4626,640,480:waittimer 71923 blt 0,0,640,480,0, 4627,640,480:waittimer 71939 blt 0,0,640,480,0, 4628,640,480:waittimer 71954 blt 0,0,640,480,0, 4629,640,480:waittimer 71970 blt 0,0,640,480,0, 4630,640,480:waittimer 71985 blt 0,0,640,480,0, 4631,640,480:waittimer 72001 blt 0,0,640,480,0, 4632,640,480:waittimer 72016 blt 0,0,640,480,0, 4633,640,480:waittimer 72032 blt 0,0,640,480,0, 4634,640,480:waittimer 72048 blt 0,0,640,480,0, 4635,640,480:waittimer 72063 blt 0,0,640,480,0, 4636,640,480:waittimer 72079 blt 0,0,640,480,0, 4637,640,480:waittimer 72094 blt 0,0,640,480,0, 4638,640,480:waittimer 72110 blt 0,0,640,480,0, 4639,640,480:waittimer 72125 blt 0,0,640,480,0, 4640,640,480:waittimer 72141 blt 0,0,640,480,0, 4641,640,480:waittimer 72156 blt 0,0,640,480,0, 4642,640,480:waittimer 72172 blt 0,0,640,480,0, 4643,640,480:waittimer 72187 blt 0,0,640,480,0, 4644,640,480:waittimer 72203 blt 0,0,640,480,0, 4645,640,480:waittimer 72219 blt 0,0,640,480,0, 4646,640,480:waittimer 72234 blt 0,0,640,480,0, 4647,640,480:waittimer 72250 blt 0,0,640,480,0, 4648,640,480:waittimer 72265 blt 0,0,640,480,0, 4649,640,480:waittimer 72281 blt 0,0,640,480,0, 4650,640,480:waittimer 72296 blt 0,0,640,480,0, 4651,640,480:waittimer 72312 blt 0,0,640,480,0, 4652,640,480:waittimer 72327 blt 0,0,640,480,0, 4653,640,480:waittimer 72343 blt 0,0,640,480,0, 4654,640,480:waittimer 72359 blt 0,0,640,480,0, 4655,640,480:waittimer 72374 blt 0,0,640,480,0, 4656,640,480:waittimer 72390 blt 0,0,640,480,0, 4657,640,480:waittimer 72405 blt 0,0,640,480,0, 4658,640,480:waittimer 72421 blt 0,0,640,480,0, 4659,640,480:waittimer 72436 blt 0,0,640,480,0, 4660,640,480:waittimer 72452 blt 0,0,640,480,0, 4661,640,480:waittimer 72467 blt 0,0,640,480,0, 4662,640,480:waittimer 72483 blt 0,0,640,480,0, 4663,640,480:waittimer 72498 blt 0,0,640,480,0, 4664,640,480:waittimer 72514 blt 0,0,640,480,0, 4665,640,480:waittimer 72530 blt 0,0,640,480,0, 4666,640,480:waittimer 72545 blt 0,0,640,480,0, 4667,640,480:waittimer 72561 blt 0,0,640,480,0, 4668,640,480:waittimer 72576 blt 0,0,640,480,0, 4669,640,480:waittimer 72592 blt 0,0,640,480,0, 4670,640,480:waittimer 72607 blt 0,0,640,480,0, 4671,640,480:waittimer 72623 blt 0,0,640,480,0, 4672,640,480:waittimer 72638 blt 0,0,640,480,0, 4673,640,480:waittimer 72654 blt 0,0,640,480,0, 4674,640,480:waittimer 72669 blt 0,0,640,480,0, 4675,640,480:waittimer 72685 blt 0,0,640,480,0, 4676,640,480:waittimer 72701 blt 0,0,640,480,0, 4677,640,480:waittimer 72716 blt 0,0,640,480,0, 4678,640,480:waittimer 72732 blt 0,0,640,480,0, 4679,640,480:waittimer 72747 blt 0,0,640,480,0, 4680,640,480:waittimer 72763 blt 0,0,640,480,0, 4681,640,480:waittimer 72778 blt 0,0,640,480,0, 4682,640,480:waittimer 72794 blt 0,0,640,480,0, 4683,640,480:waittimer 72809 blt 0,0,640,480,0, 4684,640,480:waittimer 72825 blt 0,0,640,480,0, 4685,640,480:waittimer 72840 blt 0,0,640,480,0, 4686,640,480:waittimer 72856 blt 0,0,640,480,0, 4687,640,480:waittimer 72872 blt 0,0,640,480,0, 4688,640,480:waittimer 72887 blt 0,0,640,480,0, 4689,640,480:waittimer 72903 blt 0,0,640,480,0, 4690,640,480:waittimer 72918 blt 0,0,640,480,0, 4691,640,480:waittimer 72934 blt 0,0,640,480,0, 4692,640,480:waittimer 72949 blt 0,0,640,480,0, 4693,640,480:waittimer 72965 blt 0,0,640,480,0, 4694,640,480:waittimer 72980 blt 0,0,640,480,0, 4695,640,480:waittimer 72996 blt 0,0,640,480,0, 4696,640,480:waittimer 73012 blt 0,0,640,480,0, 4697,640,480:waittimer 73027 blt 0,0,640,480,0, 4698,640,480:waittimer 73043 blt 0,0,640,480,0, 4699,640,480:waittimer 73058 blt 0,0,640,480,0, 4700,640,480:waittimer 73074 blt 0,0,640,480,0, 4701,640,480:waittimer 73089 blt 0,0,640,480,0, 4702,640,480:waittimer 73105 blt 0,0,640,480,0, 4703,640,480:waittimer 73120 blt 0,0,640,480,0, 4704,640,480:waittimer 73136 blt 0,0,640,480,0, 4705,640,480:waittimer 73151 blt 0,0,640,480,0, 4706,640,480:waittimer 73167 blt 0,0,640,480,0, 4707,640,480:waittimer 73183 blt 0,0,640,480,0, 4708,640,480:waittimer 73198 blt 0,0,640,480,0, 4709,640,480:waittimer 73214 blt 0,0,640,480,0, 4710,640,480:waittimer 73229 blt 0,0,640,480,0, 4711,640,480:waittimer 73245 blt 0,0,640,480,0, 4712,640,480:waittimer 73260 blt 0,0,640,480,0, 4713,640,480:waittimer 73276 blt 0,0,640,480,0, 4714,640,480:waittimer 73291 blt 0,0,640,480,0, 4715,640,480:waittimer 73307 blt 0,0,640,480,0, 4716,640,480:waittimer 73322 blt 0,0,640,480,0, 4717,640,480:waittimer 73338 blt 0,0,640,480,0, 4718,640,480:waittimer 73354 blt 0,0,640,480,0, 4719,640,480:waittimer 73369 blt 0,0,640,480,0, 4720,640,480:waittimer 73385 blt 0,0,640,480,0, 4721,640,480:waittimer 73400 blt 0,0,640,480,0, 4722,640,480:waittimer 73416 blt 0,0,640,480,0, 4723,640,480:waittimer 73431 blt 0,0,640,480,0, 4724,640,480:waittimer 73447 blt 0,0,640,480,0, 4725,640,480:waittimer 73462 blt 0,0,640,480,0, 4726,640,480:waittimer 73478 blt 0,0,640,480,0, 4727,640,480:waittimer 73493 blt 0,0,640,480,0, 4728,640,480:waittimer 73509 blt 0,0,640,480,0, 4729,640,480:waittimer 73525 blt 0,0,640,480,0, 4730,640,480:waittimer 73540 blt 0,0,640,480,0, 4731,640,480:waittimer 73556 blt 0,0,640,480,0, 4732,640,480:waittimer 73571 blt 0,0,640,480,0, 4733,640,480:waittimer 73587 blt 0,0,640,480,0, 4734,640,480:waittimer 73602 blt 0,0,640,480,0, 4735,640,480:waittimer 73618 blt 0,0,640,480,0, 4736,640,480:waittimer 73633 blt 0,0,640,480,0, 4737,640,480:waittimer 73649 blt 0,0,640,480,0, 4738,640,480:waittimer 73665 blt 0,0,640,480,0, 4739,640,480:waittimer 73680 blt 0,0,640,480,0, 4740,640,480:waittimer 73696 blt 0,0,640,480,0, 4741,640,480:waittimer 73711 blt 0,0,640,480,0, 4742,640,480:waittimer 73727 blt 0,0,640,480,0, 4743,640,480:waittimer 73742 blt 0,0,640,480,0, 4744,640,480:waittimer 73758 blt 0,0,640,480,0, 4745,640,480:waittimer 73773 blt 0,0,640,480,0, 4746,640,480:waittimer 73789 blt 0,0,640,480,0, 4747,640,480:waittimer 73804 blt 0,0,640,480,0, 4748,640,480:waittimer 73820 blt 0,0,640,480,0, 4749,640,480:waittimer 73836 blt 0,0,640,480,0, 4750,640,480:waittimer 73851 blt 0,0,640,480,0, 4751,640,480:waittimer 73867 blt 0,0,640,480,0, 4752,640,480:waittimer 73882 blt 0,0,640,480,0, 4753,640,480:waittimer 73898 blt 0,0,640,480,0, 4754,640,480:waittimer 73913 blt 0,0,640,480,0, 4755,640,480:waittimer 73929 blt 0,0,640,480,0, 4756,640,480:waittimer 73944 blt 0,0,640,480,0, 4757,640,480:waittimer 73960 blt 0,0,640,480,0, 4758,640,480:waittimer 73975 blt 0,0,640,480,0, 4759,640,480:waittimer 73991 blt 0,0,640,480,0, 4760,640,480:waittimer 74007 blt 0,0,640,480,0, 4761,640,480:waittimer 74022 blt 0,0,640,480,0, 4762,640,480:waittimer 74038 blt 0,0,640,480,0, 4763,640,480:waittimer 74053 blt 0,0,640,480,0, 4764,640,480:waittimer 74069 blt 0,0,640,480,0, 4765,640,480:waittimer 74084 blt 0,0,640,480,0, 4766,640,480:waittimer 74100 blt 0,0,640,480,0, 4767,640,480:waittimer 74115 blt 0,0,640,480,0, 4768,640,480:waittimer 74131 blt 0,0,640,480,0, 4769,640,480:waittimer 74146 blt 0,0,640,480,0, 4770,640,480:waittimer 74162 blt 0,0,640,480,0, 4771,640,480:waittimer 74178 blt 0,0,640,480,0, 4772,640,480:waittimer 74193 blt 0,0,640,480,0, 4773,640,480:waittimer 74209 blt 0,0,640,480,0, 4774,640,480:waittimer 74224 blt 0,0,640,480,0, 4775,640,480:waittimer 74240 blt 0,0,640,480,0, 4776,640,480:waittimer 74255 blt 0,0,640,480,0, 4777,640,480:waittimer 74271 blt 0,0,640,480,0, 4778,640,480:waittimer 74286 blt 0,0,640,480,0, 4779,640,480:waittimer 74302 blt 0,0,640,480,0, 4780,640,480:waittimer 74318 blt 0,0,640,480,0, 4781,640,480:waittimer 74333 blt 0,0,640,480,0, 4782,640,480:waittimer 74349 blt 0,0,640,480,0, 4783,640,480:waittimer 74364 blt 0,0,640,480,0, 4784,640,480:waittimer 74380 blt 0,0,640,480,0, 4785,640,480:waittimer 74395 blt 0,0,640,480,0, 4786,640,480:waittimer 74411 blt 0,0,640,480,0, 4787,640,480:waittimer 74426 blt 0,0,640,480,0, 4788,640,480:waittimer 74442 blt 0,0,640,480,0, 4789,640,480:waittimer 74457 blt 0,0,640,480,0, 4790,640,480:waittimer 74473 blt 0,0,640,480,0, 4791,640,480:waittimer 74489 blt 0,0,640,480,0, 4792,640,480:waittimer 74504 blt 0,0,640,480,0, 4793,640,480:waittimer 74520 blt 0,0,640,480,0, 4794,640,480:waittimer 74535 blt 0,0,640,480,0, 4795,640,480:waittimer 74551 blt 0,0,640,480,0, 4796,640,480:waittimer 74566 blt 0,0,640,480,0, 4797,640,480:waittimer 74582 blt 0,0,640,480,0, 4798,640,480:waittimer 74597 blt 0,0,640,480,0, 4799,640,480:waittimer 74613 blt 0,0,640,480,0, 4800,640,480:waittimer 74628 blt 0,0,640,480,0, 4801,640,480:waittimer 74644 blt 0,0,640,480,0, 4802,640,480:waittimer 74660 blt 0,0,640,480,0, 4803,640,480:waittimer 74675 blt 0,0,640,480,0, 4804,640,480:waittimer 74691 blt 0,0,640,480,0, 4805,640,480:waittimer 74706 blt 0,0,640,480,0, 4806,640,480:waittimer 74722 blt 0,0,640,480,0, 4807,640,480:waittimer 74737 blt 0,0,640,480,0, 4808,640,480:waittimer 74753 blt 0,0,640,480,0, 4809,640,480:waittimer 74768 blt 0,0,640,480,0, 4810,640,480:waittimer 74784 blt 0,0,640,480,0, 4811,640,480:waittimer 74799 blt 0,0,640,480,0, 4812,640,480:waittimer 74815 blt 0,0,640,480,0, 4813,640,480:waittimer 74831 blt 0,0,640,480,0, 4814,640,480:waittimer 74846 blt 0,0,640,480,0, 4815,640,480:waittimer 74862 blt 0,0,640,480,0, 4816,640,480:waittimer 74877 blt 0,0,640,480,0, 4817,640,480:waittimer 74893 blt 0,0,640,480,0, 4818,640,480:waittimer 74908 blt 0,0,640,480,0, 4819,640,480:waittimer 74924 blt 0,0,640,480,0, 4820,640,480:waittimer 74939 blt 0,0,640,480,0, 4821,640,480:waittimer 74955 blt 0,0,640,480,0, 4822,640,480:waittimer 74971 blt 0,0,640,480,0, 4823,640,480:waittimer 74986 blt 0,0,640,480,0, 4824,640,480:waittimer 75002 blt 0,0,640,480,0, 4825,640,480:waittimer 75017 blt 0,0,640,480,0, 4826,640,480:waittimer 75033 blt 0,0,640,480,0, 4827,640,480:waittimer 75048 blt 0,0,640,480,0, 4828,640,480:waittimer 75064 blt 0,0,640,480,0, 4829,640,480:waittimer 75079 blt 0,0,640,480,0, 4830,640,480:waittimer 75095 blt 0,0,640,480,0, 4831,640,480:waittimer 75110 blt 0,0,640,480,0, 4832,640,480:waittimer 75126 blt 0,0,640,480,0, 4833,640,480:waittimer 75142 blt 0,0,640,480,0, 4834,640,480:waittimer 75157 blt 0,0,640,480,0, 4835,640,480:waittimer 75173 blt 0,0,640,480,0, 4836,640,480:waittimer 75188 blt 0,0,640,480,0, 4837,640,480:waittimer 75204 blt 0,0,640,480,0, 4838,640,480:waittimer 75219 blt 0,0,640,480,0, 4839,640,480:waittimer 75235 blt 0,0,640,480,0, 4840,640,480:waittimer 75250 blt 0,0,640,480,0, 4841,640,480:waittimer 75266 blt 0,0,640,480,0, 4842,640,480:waittimer 75281 blt 0,0,640,480,0, 4843,640,480:waittimer 75297 blt 0,0,640,480,0, 4844,640,480:waittimer 75313 blt 0,0,640,480,0, 4845,640,480:waittimer 75328 blt 0,0,640,480,0, 4846,640,480:waittimer 75344 blt 0,0,640,480,0, 4847,640,480:waittimer 75359 blt 0,0,640,480,0, 4848,640,480:waittimer 75375 blt 0,0,640,480,0, 4849,640,480:waittimer 75390 blt 0,0,640,480,0, 4850,640,480:waittimer 75406 blt 0,0,640,480,0, 4851,640,480:waittimer 75421 blt 0,0,640,480,0, 4852,640,480:waittimer 75437 blt 0,0,640,480,0, 4853,640,480:waittimer 75453 blt 0,0,640,480,0, 4854,640,480:waittimer 75468 blt 0,0,640,480,0, 4855,640,480:waittimer 75484 blt 0,0,640,480,0, 4856,640,480:waittimer 75499 blt 0,0,640,480,0, 4857,640,480:waittimer 75515 blt 0,0,640,480,0, 4858,640,480:waittimer 75530 blt 0,0,640,480,0, 4859,640,480:waittimer 75546 blt 0,0,640,480,0, 4860,640,480:waittimer 75561 blt 0,0,640,480,0, 4861,640,480:waittimer 75577 blt 0,0,640,480,0, 4862,640,480:waittimer 75592 blt 0,0,640,480,0, 4863,640,480:waittimer 75608 blt 0,0,640,480,0, 4864,640,480:waittimer 75624 blt 0,0,640,480,0, 4865,640,480:waittimer 75639 blt 0,0,640,480,0, 4866,640,480:waittimer 75655 blt 0,0,640,480,0, 4867,640,480:waittimer 75670 blt 0,0,640,480,0, 4868,640,480:waittimer 75686 blt 0,0,640,480,0, 4869,640,480:waittimer 75701 blt 0,0,640,480,0, 4870,640,480:waittimer 75717 blt 0,0,640,480,0, 4871,640,480:waittimer 75732 blt 0,0,640,480,0, 4872,640,480:waittimer 75748 blt 0,0,640,480,0, 4873,640,480:waittimer 75763 blt 0,0,640,480,0, 4874,640,480:waittimer 75779 blt 0,0,640,480,0, 4875,640,480:waittimer 75795 blt 0,0,640,480,0, 4876,640,480:waittimer 75810 blt 0,0,640,480,0, 4877,640,480:waittimer 75826 blt 0,0,640,480,0, 4878,640,480:waittimer 75841 blt 0,0,640,480,0, 4879,640,480:waittimer 75857 blt 0,0,640,480,0, 4880,640,480:waittimer 75872 blt 0,0,640,480,0, 4881,640,480:waittimer 75888 blt 0,0,640,480,0, 4882,640,480:waittimer 75903 blt 0,0,640,480,0, 4883,640,480:waittimer 75919 blt 0,0,640,480,0, 4884,640,480:waittimer 75934 blt 0,0,640,480,0, 4885,640,480:waittimer 75950 blt 0,0,640,480,0, 4886,640,480:waittimer 75966 blt 0,0,640,480,0, 4887,640,480:waittimer 75981 blt 0,0,640,480,0, 4888,640,480:waittimer 75997 blt 0,0,640,480,0, 4889,640,480:waittimer 76012 blt 0,0,640,480,0, 4890,640,480:waittimer 76028 blt 0,0,640,480,0, 4891,640,480:waittimer 76043 blt 0,0,640,480,0, 4892,640,480:waittimer 76059 blt 0,0,640,480,0, 4893,640,480:waittimer 76074 blt 0,0,640,480,0, 4894,640,480:waittimer 76090 blt 0,0,640,480,0, 4895,640,480:waittimer 76106 blt 0,0,640,480,0, 4896,640,480:waittimer 76121 blt 0,0,640,480,0, 4897,640,480:waittimer 76137 blt 0,0,640,480,0, 4898,640,480:waittimer 76152 blt 0,0,640,480,0, 4899,640,480:waittimer 76168 blt 0,0,640,480,0, 4900,640,480:waittimer 76183 blt 0,0,640,480,0, 4901,640,480:waittimer 76199 blt 0,0,640,480,0, 4902,640,480:waittimer 76214 blt 0,0,640,480,0, 4903,640,480:waittimer 76230 blt 0,0,640,480,0, 4904,640,480:waittimer 76245 blt 0,0,640,480,0, 4905,640,480:waittimer 76261 blt 0,0,640,480,0, 4906,640,480:waittimer 76277 blt 0,0,640,480,0, 4907,640,480:waittimer 76292 blt 0,0,640,480,0, 4908,640,480:waittimer 76308 blt 0,0,640,480,0, 4909,640,480:waittimer 76323 blt 0,0,640,480,0, 4910,640,480:waittimer 76339 blt 0,0,640,480,0, 4911,640,480:waittimer 76354 blt 0,0,640,480,0, 4912,640,480:waittimer 76370 blt 0,0,640,480,0, 4913,640,480:waittimer 76385 blt 0,0,640,480,0, 4914,640,480:waittimer 76401 blt 0,0,640,480,0, 4915,640,480:waittimer 76416 blt 0,0,640,480,0, 4916,640,480:waittimer 76432 blt 0,0,640,480,0, 4917,640,480:waittimer 76448 blt 0,0,640,480,0, 4918,640,480:waittimer 76463 blt 0,0,640,480,0, 4919,640,480:waittimer 76479 blt 0,0,640,480,0, 4920,640,480:waittimer 76494 blt 0,0,640,480,0, 4921,640,480:waittimer 76510 blt 0,0,640,480,0, 4922,640,480:waittimer 76525 blt 0,0,640,480,0, 4923,640,480:waittimer 76541 blt 0,0,640,480,0, 4924,640,480:waittimer 76556 blt 0,0,640,480,0, 4925,640,480:waittimer 76572 blt 0,0,640,480,0, 4926,640,480:waittimer 76587 blt 0,0,640,480,0, 4927,640,480:waittimer 76603 blt 0,0,640,480,0, 4928,640,480:waittimer 76619 blt 0,0,640,480,0, 4929,640,480:waittimer 76634 blt 0,0,640,480,0, 4930,640,480:waittimer 76650 blt 0,0,640,480,0, 4931,640,480:waittimer 76665 blt 0,0,640,480,0, 4932,640,480:waittimer 76681 blt 0,0,640,480,0, 4933,640,480:waittimer 76696 blt 0,0,640,480,0, 4934,640,480:waittimer 76712 blt 0,0,640,480,0, 4935,640,480:waittimer 76727 blt 0,0,640,480,0, 4936,640,480:waittimer 76743 blt 0,0,640,480,0, 4937,640,480:waittimer 76759 blt 0,0,640,480,0, 4938,640,480:waittimer 76774 blt 0,0,640,480,0, 4939,640,480:waittimer 76790 blt 0,0,640,480,0, 4940,640,480:waittimer 76805 blt 0,0,640,480,0, 4941,640,480:waittimer 76821 blt 0,0,640,480,0, 4942,640,480:waittimer 76836 blt 0,0,640,480,0, 4943,640,480:waittimer 76852 blt 0,0,640,480,0, 4944,640,480:waittimer 76867 blt 0,0,640,480,0, 4945,640,480:waittimer 76883 blt 0,0,640,480,0, 4946,640,480:waittimer 76898 blt 0,0,640,480,0, 4947,640,480:waittimer 76914 blt 0,0,640,480,0, 4948,640,480:waittimer 76930 blt 0,0,640,480,0, 4949,640,480:waittimer 76945 blt 0,0,640,480,0, 4950,640,480:waittimer 76961 blt 0,0,640,480,0, 4951,640,480:waittimer 76976 blt 0,0,640,480,0, 4952,640,480:waittimer 76992 blt 0,0,640,480,0, 4953,640,480:waittimer 77007 blt 0,0,640,480,0, 4954,640,480:waittimer 77023 blt 0,0,640,480,0, 4955,640,480:waittimer 77038 blt 0,0,640,480,0, 4956,640,480:waittimer 77054 blt 0,0,640,480,0, 4957,640,480:waittimer 77069 blt 0,0,640,480,0, 4958,640,480:waittimer 77085 blt 0,0,640,480,0, 4959,640,480:waittimer 77101 blt 0,0,640,480,0, 4960,640,480:waittimer 77116 blt 0,0,640,480,0, 4961,640,480:waittimer 77132 blt 0,0,640,480,0, 4962,640,480:waittimer 77147 blt 0,0,640,480,0, 4963,640,480:waittimer 77163 blt 0,0,640,480,0, 4964,640,480:waittimer 77178 blt 0,0,640,480,0, 4965,640,480:waittimer 77194 blt 0,0,640,480,0, 4966,640,480:waittimer 77209 blt 0,0,640,480,0, 4967,640,480:waittimer 77225 blt 0,0,640,480,0, 4968,640,480:waittimer 77240 blt 0,0,640,480,0, 4969,640,480:waittimer 77256 blt 0,0,640,480,0, 4970,640,480:waittimer 77272 blt 0,0,640,480,0, 4971,640,480:waittimer 77287 blt 0,0,640,480,0, 4972,640,480:waittimer 77303 blt 0,0,640,480,0, 4973,640,480:waittimer 77318 blt 0,0,640,480,0, 4974,640,480:waittimer 77334 blt 0,0,640,480,0, 4975,640,480:waittimer 77349 blt 0,0,640,480,0, 4976,640,480:waittimer 77365 blt 0,0,640,480,0, 4977,640,480:waittimer 77380 blt 0,0,640,480,0, 4978,640,480:waittimer 77396 blt 0,0,640,480,0, 4979,640,480:waittimer 77412 blt 0,0,640,480,0, 4980,640,480:waittimer 77427 blt 0,0,640,480,0, 4981,640,480:waittimer 77443 blt 0,0,640,480,0, 4982,640,480:waittimer 77458 blt 0,0,640,480,0, 4983,640,480:waittimer 77474 blt 0,0,640,480,0, 4984,640,480:waittimer 77489 blt 0,0,640,480,0, 4985,640,480:waittimer 77505 blt 0,0,640,480,0, 4986,640,480:waittimer 77520 blt 0,0,640,480,0, 4987,640,480:waittimer 77536 blt 0,0,640,480,0, 4988,640,480:waittimer 77551 blt 0,0,640,480,0, 4989,640,480:waittimer 77567 blt 0,0,640,480,0, 4990,640,480:waittimer 77583 blt 0,0,640,480,0, 4991,640,480:waittimer 77598 blt 0,0,640,480,0, 4992,640,480:waittimer 77614 blt 0,0,640,480,0, 4993,640,480:waittimer 77629 blt 0,0,640,480,0, 4994,640,480:waittimer 77645 blt 0,0,640,480,0, 4995,640,480:waittimer 77660 blt 0,0,640,480,0, 4996,640,480:waittimer 77676 blt 0,0,640,480,0, 4997,640,480:waittimer 77691 blt 0,0,640,480,0, 4998,640,480:waittimer 77707 blt 0,0,640,480,0, 4999,640,480:waittimer 77722 blt 0,0,640,480,0, 5000,640,480:waittimer 77738 blt 0,0,640,480,0, 5001,640,480:waittimer 77754 blt 0,0,640,480,0, 5002,640,480:waittimer 77769 blt 0,0,640,480,0, 5003,640,480:waittimer 77785 blt 0,0,640,480,0, 5004,640,480:waittimer 77800 blt 0,0,640,480,0, 5005,640,480:waittimer 77816 blt 0,0,640,480,0, 5006,640,480:waittimer 77831 blt 0,0,640,480,0, 5007,640,480:waittimer 77847 blt 0,0,640,480,0, 5008,640,480:waittimer 77862 blt 0,0,640,480,0, 5009,640,480:waittimer 77878 blt 0,0,640,480,0, 5010,640,480:waittimer 77893 blt 0,0,640,480,0, 5011,640,480:waittimer 77909 blt 0,0,640,480,0, 5012,640,480:waittimer 77925 blt 0,0,640,480,0, 5013,640,480:waittimer 77940 blt 0,0,640,480,0, 5014,640,480:waittimer 77956 blt 0,0,640,480,0, 5015,640,480:waittimer 77971 blt 0,0,640,480,0, 5016,640,480:waittimer 77987 blt 0,0,640,480,0, 5017,640,480:waittimer 78002 blt 0,0,640,480,0, 5018,640,480:waittimer 78018 blt 0,0,640,480,0, 5019,640,480:waittimer 78033 blt 0,0,640,480,0, 5020,640,480:waittimer 78049 blt 0,0,640,480,0, 5021,640,480:waittimer 78065 blt 0,0,640,480,0, 5022,640,480:waittimer 78080 blt 0,0,640,480,0, 5023,640,480:waittimer 78096 blt 0,0,640,480,0, 5024,640,480:waittimer 78111 blt 0,0,640,480,0, 5025,640,480:waittimer 78127 blt 0,0,640,480,0, 5026,640,480:waittimer 78142 blt 0,0,640,480,0, 5027,640,480:waittimer 78158 blt 0,0,640,480,0, 5028,640,480:waittimer 78173 blt 0,0,640,480,0, 5029,640,480:waittimer 78189 blt 0,0,640,480,0, 5030,640,480:waittimer 78204 blt 0,0,640,480,0, 5031,640,480:waittimer 78220 blt 0,0,640,480,0, 5032,640,480:waittimer 78236 blt 0,0,640,480,0, 5033,640,480:waittimer 78251 blt 0,0,640,480,0, 5034,640,480:waittimer 78267 blt 0,0,640,480,0, 5035,640,480:waittimer 78282 blt 0,0,640,480,0, 5036,640,480:waittimer 78298 blt 0,0,640,480,0, 5037,640,480:waittimer 78313 blt 0,0,640,480,0, 5038,640,480:waittimer 78329 blt 0,0,640,480,0, 5039,640,480:waittimer 78344 blt 0,0,640,480,0, 5040,640,480:waittimer 78360 blt 0,0,640,480,0, 5041,640,480:waittimer 78375 blt 0,0,640,480,0, 5042,640,480:waittimer 78391 blt 0,0,640,480,0, 5043,640,480:waittimer 78407 blt 0,0,640,480,0, 5044,640,480:waittimer 78422 blt 0,0,640,480,0, 5045,640,480:waittimer 78438 blt 0,0,640,480,0, 5046,640,480:waittimer 78453 blt 0,0,640,480,0, 5047,640,480:waittimer 78469 blt 0,0,640,480,0, 5048,640,480:waittimer 78484 blt 0,0,640,480,0, 5049,640,480:waittimer 78500 blt 0,0,640,480,0, 5050,640,480:waittimer 78515 blt 0,0,640,480,0, 5051,640,480:waittimer 78531 blt 0,0,640,480,0, 5052,640,480:waittimer 78546 blt 0,0,640,480,0, 5053,640,480:waittimer 78562 blt 0,0,640,480,0, 5054,640,480:waittimer 78578 blt 0,0,640,480,0, 5055,640,480:waittimer 78593 blt 0,0,640,480,0, 5056,640,480:waittimer 78609 blt 0,0,640,480,0, 5057,640,480:waittimer 78624 blt 0,0,640,480,0, 5058,640,480:waittimer 78640 blt 0,0,640,480,0, 5059,640,480:waittimer 78655 blt 0,0,640,480,0, 5060,640,480:waittimer 78671 blt 0,0,640,480,0, 5061,640,480:waittimer 78686 blt 0,0,640,480,0, 5062,640,480:waittimer 78702 blt 0,0,640,480,0, 5063,640,480:waittimer 78718 blt 0,0,640,480,0, 5064,640,480:waittimer 78733 blt 0,0,640,480,0, 5065,640,480:waittimer 78749 blt 0,0,640,480,0, 5066,640,480:waittimer 78764 blt 0,0,640,480,0, 5067,640,480:waittimer 78780 blt 0,0,640,480,0, 5068,640,480:waittimer 78795 blt 0,0,640,480,0, 5069,640,480:waittimer 78811 blt 0,0,640,480,0, 5070,640,480:waittimer 78826 blt 0,0,640,480,0, 5071,640,480:waittimer 78842 blt 0,0,640,480,0, 5072,640,480:waittimer 78857 blt 0,0,640,480,0, 5073,640,480:waittimer 78873 blt 0,0,640,480,0, 5074,640,480:waittimer 78889 blt 0,0,640,480,0, 5075,640,480:waittimer 78904 blt 0,0,640,480,0, 5076,640,480:waittimer 78920 blt 0,0,640,480,0, 5077,640,480:waittimer 78935 blt 0,0,640,480,0, 5078,640,480:waittimer 78951 blt 0,0,640,480,0, 5079,640,480:waittimer 78966 blt 0,0,640,480,0, 5080,640,480:waittimer 78982 blt 0,0,640,480,0, 5081,640,480:waittimer 78997 blt 0,0,640,480,0, 5082,640,480:waittimer 79013 blt 0,0,640,480,0, 5083,640,480:waittimer 79028 blt 0,0,640,480,0, 5084,640,480:waittimer 79044 blt 0,0,640,480,0, 5085,640,480:waittimer 79060 blt 0,0,640,480,0, 5086,640,480:waittimer 79075 blt 0,0,640,480,0, 5087,640,480:waittimer 79091 blt 0,0,640,480,0, 5088,640,480:waittimer 79106 blt 0,0,640,480,0, 5089,640,480:waittimer 79122 blt 0,0,640,480,0, 5090,640,480:waittimer 79137 blt 0,0,640,480,0, 5091,640,480:waittimer 79153 blt 0,0,640,480,0, 5092,640,480:waittimer 79168 blt 0,0,640,480,0, 5093,640,480:waittimer 79184 blt 0,0,640,480,0, 5094,640,480:waittimer 79199 blt 0,0,640,480,0, 5095,640,480:waittimer 79215 blt 0,0,640,480,0, 5096,640,480:waittimer 79231 blt 0,0,640,480,0, 5097,640,480:waittimer 79246 blt 0,0,640,480,0, 5098,640,480:waittimer 79262 blt 0,0,640,480,0, 5099,640,480:waittimer 79277 blt 0,0,640,480,0, 5100,640,480:waittimer 79293 blt 0,0,640,480,0, 5101,640,480:waittimer 79308 blt 0,0,640,480,0, 5102,640,480:waittimer 79324 blt 0,0,640,480,0, 5103,640,480:waittimer 79339 blt 0,0,640,480,0, 5104,640,480:waittimer 79355 blt 0,0,640,480,0, 5105,640,480:waittimer 79371 blt 0,0,640,480,0, 5106,640,480:waittimer 79386 blt 0,0,640,480,0, 5107,640,480:waittimer 79402 blt 0,0,640,480,0, 5108,640,480:waittimer 79417 blt 0,0,640,480,0, 5109,640,480:waittimer 79433 blt 0,0,640,480,0, 5110,640,480:waittimer 79448 blt 0,0,640,480,0, 5111,640,480:waittimer 79464 blt 0,0,640,480,0, 5112,640,480:waittimer 79479 blt 0,0,640,480,0, 5113,640,480:waittimer 79495 blt 0,0,640,480,0, 5114,640,480:waittimer 79510 blt 0,0,640,480,0, 5115,640,480:waittimer 79526 blt 0,0,640,480,0, 5116,640,480:waittimer 79542 blt 0,0,640,480,0, 5117,640,480:waittimer 79557 blt 0,0,640,480,0, 5118,640,480:waittimer 79573 blt 0,0,640,480,0, 5119,640,480:waittimer 79588 blt 0,0,640,480,0, 5120,640,480:waittimer 79604 blt 0,0,640,480,0, 5121,640,480:waittimer 79619 blt 0,0,640,480,0, 5122,640,480:waittimer 79635 blt 0,0,640,480,0, 5123,640,480:waittimer 79650 blt 0,0,640,480,0, 5124,640,480:waittimer 79666 blt 0,0,640,480,0, 5125,640,480:waittimer 79681 blt 0,0,640,480,0, 5126,640,480:waittimer 79697 blt 0,0,640,480,0, 5127,640,480:waittimer 79713 blt 0,0,640,480,0, 5128,640,480:waittimer 79728 blt 0,0,640,480,0, 5129,640,480:waittimer 79744 blt 0,0,640,480,0, 5130,640,480:waittimer 79759 blt 0,0,640,480,0, 5131,640,480:waittimer 79775 blt 0,0,640,480,0, 5132,640,480:waittimer 79790 blt 0,0,640,480,0, 5133,640,480:waittimer 79806 blt 0,0,640,480,0, 5134,640,480:waittimer 79821 blt 0,0,640,480,0, 5135,640,480:waittimer 79837 blt 0,0,640,480,0, 5136,640,480:waittimer 79853 blt 0,0,640,480,0, 5137,640,480:waittimer 79868 blt 0,0,640,480,0, 5138,640,480:waittimer 79884 blt 0,0,640,480,0, 5139,640,480:waittimer 79899 blt 0,0,640,480,0, 5140,640,480:waittimer 79915 blt 0,0,640,480,0, 5141,640,480:waittimer 79930 blt 0,0,640,480,0, 5142,640,480:waittimer 79946 blt 0,0,640,480,0, 5143,640,480:waittimer 79961 blt 0,0,640,480,0, 5144,640,480:waittimer 79977 blt 0,0,640,480,0, 5145,640,480:waittimer 79992 blt 0,0,640,480,0, 5146,640,480:waittimer 80008 blt 0,0,640,480,0, 5147,640,480:waittimer 80024 blt 0,0,640,480,0, 5148,640,480:waittimer 80039 blt 0,0,640,480,0, 5149,640,480:waittimer 80055 blt 0,0,640,480,0, 5150,640,480:waittimer 80070 blt 0,0,640,480,0, 5151,640,480:waittimer 80086 blt 0,0,640,480,0, 5152,640,480:waittimer 80101 blt 0,0,640,480,0, 5153,640,480:waittimer 80117 blt 0,0,640,480,0, 5154,640,480:waittimer 80132 blt 0,0,640,480,0, 5155,640,480:waittimer 80148 blt 0,0,640,480,0, 5156,640,480:waittimer 80163 blt 0,0,640,480,0, 5157,640,480:waittimer 80179 blt 0,0,640,480,0, 5158,640,480:waittimer 80195 blt 0,0,640,480,0, 5159,640,480:waittimer 80210 blt 0,0,640,480,0, 5160,640,480:waittimer 80226 blt 0,0,640,480,0, 5161,640,480:waittimer 80241 blt 0,0,640,480,0, 5162,640,480:waittimer 80257 blt 0,0,640,480,0, 5163,640,480:waittimer 80272 blt 0,0,640,480,0, 5164,640,480:waittimer 80288 blt 0,0,640,480,0, 5165,640,480:waittimer 80303 blt 0,0,640,480,0, 5166,640,480:waittimer 80319 blt 0,0,640,480,0, 5167,640,480:waittimer 80334 blt 0,0,640,480,0, 5168,640,480:waittimer 80350 blt 0,0,640,480,0, 5169,640,480:waittimer 80366 blt 0,0,640,480,0, 5170,640,480:waittimer 80381 blt 0,0,640,480,0, 5171,640,480:waittimer 80397 blt 0,0,640,480,0, 5172,640,480:waittimer 80412 blt 0,0,640,480,0, 5173,640,480:waittimer 80428 blt 0,0,640,480,0, 5174,640,480:waittimer 80443 blt 0,0,640,480,0, 5175,640,480:waittimer 80459 blt 0,0,640,480,0, 5176,640,480:waittimer 80474 blt 0,0,640,480,0, 5177,640,480:waittimer 80490 blt 0,0,640,480,0, 5178,640,480:waittimer 80506 blt 0,0,640,480,0, 5179,640,480:waittimer 80521 blt 0,0,640,480,0, 5180,640,480:waittimer 80537 blt 0,0,640,480,0, 5181,640,480:waittimer 80552 blt 0,0,640,480,0, 5182,640,480:waittimer 80568 blt 0,0,640,480,0, 5183,640,480:waittimer 80583 blt 0,0,640,480,0, 5184,640,480:waittimer 80599 blt 0,0,640,480,0, 5185,640,480:waittimer 80614 blt 0,0,640,480,0, 5186,640,480:waittimer 80630 blt 0,0,640,480,0, 5187,640,480:waittimer 80645 blt 0,0,640,480,0, 5188,640,480:waittimer 80661 blt 0,0,640,480,0, 5189,640,480:waittimer 80677 blt 0,0,640,480,0, 5190,640,480:waittimer 80692 blt 0,0,640,480,0, 5191,640,480:waittimer 80708 blt 0,0,640,480,0, 5192,640,480:waittimer 80723 blt 0,0,640,480,0, 5193,640,480:waittimer 80739 blt 0,0,640,480,0, 5194,640,480:waittimer 80754 blt 0,0,640,480,0, 5195,640,480:waittimer 80770 blt 0,0,640,480,0, 5196,640,480:waittimer 80785 blt 0,0,640,480,0, 5197,640,480:waittimer 80801 blt 0,0,640,480,0, 5198,640,480:waittimer 80816 blt 0,0,640,480,0, 5199,640,480:waittimer 80832 blt 0,0,640,480,0, 5200,640,480:waittimer 80848 blt 0,0,640,480,0, 5201,640,480:waittimer 80863 blt 0,0,640,480,0, 5202,640,480:waittimer 80879 blt 0,0,640,480,0, 5203,640,480:waittimer 80894 blt 0,0,640,480,0, 5204,640,480:waittimer 80910 blt 0,0,640,480,0, 5205,640,480:waittimer 80925 blt 0,0,640,480,0, 5206,640,480:waittimer 80941 blt 0,0,640,480,0, 5207,640,480:waittimer 80956 blt 0,0,640,480,0, 5208,640,480:waittimer 80972 blt 0,0,640,480,0, 5209,640,480:waittimer 80987 blt 0,0,640,480,0, 5210,640,480:waittimer 81003 blt 0,0,640,480,0, 5211,640,480:waittimer 81019 blt 0,0,640,480,0, 5212,640,480:waittimer 81034 blt 0,0,640,480,0, 5213,640,480:waittimer 81050 blt 0,0,640,480,0, 5214,640,480:waittimer 81065 blt 0,0,640,480,0, 5215,640,480:waittimer 81081 blt 0,0,640,480,0, 5216,640,480:waittimer 81096 blt 0,0,640,480,0, 5217,640,480:waittimer 81112 blt 0,0,640,480,0, 5218,640,480:waittimer 81127 blt 0,0,640,480,0, 5219,640,480:waittimer 81143 blt 0,0,640,480,0, 5220,640,480:waittimer 81159 blt 0,0,640,480,0, 5221,640,480:waittimer 81174 blt 0,0,640,480,0, 5222,640,480:waittimer 81190 blt 0,0,640,480,0, 5223,640,480:waittimer 81205 blt 0,0,640,480,0, 5224,640,480:waittimer 81221 blt 0,0,640,480,0, 5225,640,480:waittimer 81236 blt 0,0,640,480,0, 5226,640,480:waittimer 81252 blt 0,0,640,480,0, 5227,640,480:waittimer 81267 blt 0,0,640,480,0, 5228,640,480:waittimer 81283 blt 0,0,640,480,0, 5229,640,480:waittimer 81298 blt 0,0,640,480,0, 5230,640,480:waittimer 81314 blt 0,0,640,480,0, 5231,640,480:waittimer 81330 blt 0,0,640,480,0, 5232,640,480:waittimer 81345 blt 0,0,640,480,0, 5233,640,480:waittimer 81361 blt 0,0,640,480,0, 5234,640,480:waittimer 81376 blt 0,0,640,480,0, 5235,640,480:waittimer 81392 blt 0,0,640,480,0, 5236,640,480:waittimer 81407 blt 0,0,640,480,0, 5237,640,480:waittimer 81423 blt 0,0,640,480,0, 5238,640,480:waittimer 81438 blt 0,0,640,480,0, 5239,640,480:waittimer 81454 blt 0,0,640,480,0, 5240,640,480:waittimer 81469 blt 0,0,640,480,0, 5241,640,480:waittimer 81485 blt 0,0,640,480,0, 5242,640,480:waittimer 81501 blt 0,0,640,480,0, 5243,640,480:waittimer 81516 blt 0,0,640,480,0, 5244,640,480:waittimer 81532 blt 0,0,640,480,0, 5245,640,480:waittimer 81547 blt 0,0,640,480,0, 5246,640,480:waittimer 81563 blt 0,0,640,480,0, 5247,640,480:waittimer 81578 blt 0,0,640,480,0, 5248,640,480:waittimer 81594 blt 0,0,640,480,0, 5249,640,480:waittimer 81609 blt 0,0,640,480,0, 5250,640,480:waittimer 81625 blt 0,0,640,480,0, 5251,640,480:waittimer 81640 blt 0,0,640,480,0, 5252,640,480:waittimer 81656 blt 0,0,640,480,0, 5253,640,480:waittimer 81672 blt 0,0,640,480,0, 5254,640,480:waittimer 81687 blt 0,0,640,480,0, 5255,640,480:waittimer 81703 blt 0,0,640,480,0, 5256,640,480:waittimer 81718 blt 0,0,640,480,0, 5257,640,480:waittimer 81734 blt 0,0,640,480,0, 5258,640,480:waittimer 81749 blt 0,0,640,480,0, 5259,640,480:waittimer 81765 blt 0,0,640,480,0, 5260,640,480:waittimer 81780 blt 0,0,640,480,0, 5261,640,480:waittimer 81796 blt 0,0,640,480,0, 5262,640,480:waittimer 81812 blt 0,0,640,480,0, 5263,640,480:waittimer 81827 blt 0,0,640,480,0, 5264,640,480:waittimer 81843 blt 0,0,640,480,0, 5265,640,480:waittimer 81858 blt 0,0,640,480,0, 5266,640,480:waittimer 81874 blt 0,0,640,480,0, 5267,640,480:waittimer 81889 blt 0,0,640,480,0, 5268,640,480:waittimer 81905 blt 0,0,640,480,0, 5269,640,480:waittimer 81920 blt 0,0,640,480,0, 5270,640,480:waittimer 81936 blt 0,0,640,480,0, 5271,640,480:waittimer 81951 blt 0,0,640,480,0, 5272,640,480:waittimer 81967 blt 0,0,640,480,0, 5273,640,480:waittimer 81983 blt 0,0,640,480,0, 5274,640,480:waittimer 81998 blt 0,0,640,480,0, 5275,640,480:waittimer 82014 blt 0,0,640,480,0, 5276,640,480:waittimer 82029 blt 0,0,640,480,0, 5277,640,480:waittimer 82045 blt 0,0,640,480,0, 5278,640,480:waittimer 82060 blt 0,0,640,480,0, 5279,640,480:waittimer 82076 blt 0,0,640,480,0, 5280,640,480:waittimer 82091 blt 0,0,640,480,0, 5281,640,480:waittimer 82107 blt 0,0,640,480,0, 5282,640,480:waittimer 82122 blt 0,0,640,480,0, 5283,640,480:waittimer 82138 blt 0,0,640,480,0, 5284,640,480:waittimer 82154 blt 0,0,640,480,0, 5285,640,480:waittimer 82169 blt 0,0,640,480,0, 5286,640,480:waittimer 82185 blt 0,0,640,480,0, 5287,640,480:waittimer 82200 blt 0,0,640,480,0, 5288,640,480:waittimer 82216 blt 0,0,640,480,0, 5289,640,480:waittimer 82231 blt 0,0,640,480,0, 5290,640,480:waittimer 82247 blt 0,0,640,480,0, 5291,640,480:waittimer 82262 blt 0,0,640,480,0, 5292,640,480:waittimer 82278 blt 0,0,640,480,0, 5293,640,480:waittimer 82293 blt 0,0,640,480,0, 5294,640,480:waittimer 82309 blt 0,0,640,480,0, 5295,640,480:waittimer 82325 blt 0,0,640,480,0, 5296,640,480:waittimer 82340 blt 0,0,640,480,0, 5297,640,480:waittimer 82356 blt 0,0,640,480,0, 5298,640,480:waittimer 82371 blt 0,0,640,480,0, 5299,640,480:waittimer 82387 blt 0,0,640,480,0, 5300,640,480:waittimer 82402 blt 0,0,640,480,0, 5301,640,480:waittimer 82418 blt 0,0,640,480,0, 5302,640,480:waittimer 82433 blt 0,0,640,480,0, 5303,640,480:waittimer 82449 blt 0,0,640,480,0, 5304,640,480:waittimer 82465 blt 0,0,640,480,0, 5305,640,480:waittimer 82480 blt 0,0,640,480,0, 5306,640,480:waittimer 82496 blt 0,0,640,480,0, 5307,640,480:waittimer 82511 blt 0,0,640,480,0, 5308,640,480:waittimer 82527 blt 0,0,640,480,0, 5309,640,480:waittimer 82542 blt 0,0,640,480,0, 5310,640,480:waittimer 82558 blt 0,0,640,480,0, 5311,640,480:waittimer 82573 blt 0,0,640,480,0, 5312,640,480:waittimer 82589 blt 0,0,640,480,0, 5313,640,480:waittimer 82604 blt 0,0,640,480,0, 5314,640,480:waittimer 82620 blt 0,0,640,480,0, 5315,640,480:waittimer 82636 blt 0,0,640,480,0, 5316,640,480:waittimer 82651 blt 0,0,640,480,0, 5317,640,480:waittimer 82667 blt 0,0,640,480,0, 5318,640,480:waittimer 82682 blt 0,0,640,480,0, 5319,640,480:waittimer 82698 blt 0,0,640,480,0, 5320,640,480:waittimer 82713 blt 0,0,640,480,0, 5321,640,480:waittimer 82729 blt 0,0,640,480,0, 5322,640,480:waittimer 82744 blt 0,0,640,480,0, 5323,640,480:waittimer 82760 blt 0,0,640,480,0, 5324,640,480:waittimer 82775 blt 0,0,640,480,0, 5325,640,480:waittimer 82791 blt 0,0,640,480,0, 5326,640,480:waittimer 82807 blt 0,0,640,480,0, 5327,640,480:waittimer 82822 blt 0,0,640,480,0, 5328,640,480:waittimer 82838 blt 0,0,640,480,0, 5329,640,480:waittimer 82853 blt 0,0,640,480,0, 5330,640,480:waittimer 82869 blt 0,0,640,480,0, 5331,640,480:waittimer 82884 blt 0,0,640,480,0, 5332,640,480:waittimer 82900 blt 0,0,640,480,0, 5333,640,480:waittimer 82915 blt 0,0,640,480,0, 5334,640,480:waittimer 82931 blt 0,0,640,480,0, 5335,640,480:waittimer 82946 blt 0,0,640,480,0, 5336,640,480:waittimer 82962 blt 0,0,640,480,0, 5337,640,480:waittimer 82978 blt 0,0,640,480,0, 5338,640,480:waittimer 82993 blt 0,0,640,480,0, 5339,640,480:waittimer 83009 blt 0,0,640,480,0, 5340,640,480:waittimer 83024 blt 0,0,640,480,0, 5341,640,480:waittimer 83040 blt 0,0,640,480,0, 5342,640,480:waittimer 83055 blt 0,0,640,480,0, 5343,640,480:waittimer 83071 blt 0,0,640,480,0, 5344,640,480:waittimer 83086 blt 0,0,640,480,0, 5345,640,480:waittimer 83102 blt 0,0,640,480,0, 5346,640,480:waittimer 83118 blt 0,0,640,480,0, 5347,640,480:waittimer 83133 blt 0,0,640,480,0, 5348,640,480:waittimer 83149 blt 0,0,640,480,0, 5349,640,480:waittimer 83164 blt 0,0,640,480,0, 5350,640,480:waittimer 83180 blt 0,0,640,480,0, 5351,640,480:waittimer 83195 blt 0,0,640,480,0, 5352,640,480:waittimer 83211 blt 0,0,640,480,0, 5353,640,480:waittimer 83226 blt 0,0,640,480,0, 5354,640,480:waittimer 83242 blt 0,0,640,480,0, 5355,640,480:waittimer 83257 blt 0,0,640,480,0, 5356,640,480:waittimer 83273 blt 0,0,640,480,0, 5357,640,480:waittimer 83289 blt 0,0,640,480,0, 5358,640,480:waittimer 83304 blt 0,0,640,480,0, 5359,640,480:waittimer 83320 blt 0,0,640,480,0, 5360,640,480:waittimer 83335 blt 0,0,640,480,0, 5361,640,480:waittimer 83351 blt 0,0,640,480,0, 5362,640,480:waittimer 83366 blt 0,0,640,480,0, 5363,640,480:waittimer 83382 blt 0,0,640,480,0, 5364,640,480:waittimer 83397 blt 0,0,640,480,0, 5365,640,480:waittimer 83413 blt 0,0,640,480,0, 5366,640,480:waittimer 83428 blt 0,0,640,480,0, 5367,640,480:waittimer 83444 blt 0,0,640,480,0, 5368,640,480:waittimer 83460 blt 0,0,640,480,0, 5369,640,480:waittimer 83475 blt 0,0,640,480,0, 5370,640,480:waittimer 83491 blt 0,0,640,480,0, 5371,640,480:waittimer 83506 blt 0,0,640,480,0, 5372,640,480:waittimer 83522 blt 0,0,640,480,0, 5373,640,480:waittimer 83537 blt 0,0,640,480,0, 5374,640,480:waittimer 83553 blt 0,0,640,480,0, 5375,640,480:waittimer 83568 blt 0,0,640,480,0, 5376,640,480:waittimer 83584 blt 0,0,640,480,0, 5377,640,480:waittimer 83599 blt 0,0,640,480,0, 5378,640,480:waittimer 83615 blt 0,0,640,480,0, 5379,640,480:waittimer 83631 blt 0,0,640,480,0, 5380,640,480:waittimer 83646 blt 0,0,640,480,0, 5381,640,480:waittimer 83662 blt 0,0,640,480,0, 5382,640,480:waittimer 83677 blt 0,0,640,480,0, 5383,640,480:waittimer 83693 blt 0,0,640,480,0, 5384,640,480:waittimer 83708 blt 0,0,640,480,0, 5385,640,480:waittimer 83724 blt 0,0,640,480,0, 5386,640,480:waittimer 83739 blt 0,0,640,480,0, 5387,640,480:waittimer 83755 blt 0,0,640,480,0, 5388,640,480:waittimer 83771 blt 0,0,640,480,0, 5389,640,480:waittimer 83786 blt 0,0,640,480,0, 5390,640,480:waittimer 83802 blt 0,0,640,480,0, 5391,640,480:waittimer 83817 blt 0,0,640,480,0, 5392,640,480:waittimer 83833 blt 0,0,640,480,0, 5393,640,480:waittimer 83848 blt 0,0,640,480,0, 5394,640,480:waittimer 83864 blt 0,0,640,480,0, 5395,640,480:waittimer 83879 blt 0,0,640,480,0, 5396,640,480:waittimer 83895 blt 0,0,640,480,0, 5397,640,480:waittimer 83910 blt 0,0,640,480,0, 5398,640,480:waittimer 83926 blt 0,0,640,480,0, 5399,640,480:waittimer 83942 blt 0,0,640,480,0, 5400,640,480:waittimer 83957 blt 0,0,640,480,0, 5401,640,480:waittimer 83973 blt 0,0,640,480,0, 5402,640,480:waittimer 83988 blt 0,0,640,480,0, 5403,640,480:waittimer 84004 blt 0,0,640,480,0, 5404,640,480:waittimer 84019 blt 0,0,640,480,0, 5405,640,480:waittimer 84035 blt 0,0,640,480,0, 5406,640,480:waittimer 84050 blt 0,0,640,480,0, 5407,640,480:waittimer 84066 blt 0,0,640,480,0, 5408,640,480:waittimer 84081 blt 0,0,640,480,0, 5409,640,480:waittimer 84097 blt 0,0,640,480,0, 5410,640,480:waittimer 84113 blt 0,0,640,480,0, 5411,640,480:waittimer 84128 blt 0,0,640,480,0, 5412,640,480:waittimer 84144 blt 0,0,640,480,0, 5413,640,480:waittimer 84159 blt 0,0,640,480,0, 5414,640,480:waittimer 84175 blt 0,0,640,480,0, 5415,640,480:waittimer 84190 blt 0,0,640,480,0, 5416,640,480:waittimer 84206 blt 0,0,640,480,0, 5417,640,480:waittimer 84221 blt 0,0,640,480,0, 5418,640,480:waittimer 84237 blt 0,0,640,480,0, 5419,640,480:waittimer 84253 blt 0,0,640,480,0, 5420,640,480:waittimer 84268 blt 0,0,640,480,0, 5421,640,480:waittimer 84284 blt 0,0,640,480,0, 5422,640,480:waittimer 84299 blt 0,0,640,480,0, 5423,640,480:waittimer 84315 blt 0,0,640,480,0, 5424,640,480:waittimer 84330 blt 0,0,640,480,0, 5425,640,480:waittimer 84346 blt 0,0,640,480,0, 5426,640,480:waittimer 84361 blt 0,0,640,480,0, 5427,640,480:waittimer 84377 blt 0,0,640,480,0, 5428,640,480:waittimer 84392 blt 0,0,640,480,0, 5429,640,480:waittimer 84408 blt 0,0,640,480,0, 5430,640,480:waittimer 84424 blt 0,0,640,480,0, 5431,640,480:waittimer 84439 blt 0,0,640,480,0, 5432,640,480:waittimer 84455 blt 0,0,640,480,0, 5433,640,480:waittimer 84470 blt 0,0,640,480,0, 5434,640,480:waittimer 84486 blt 0,0,640,480,0, 5435,640,480:waittimer 84501 blt 0,0,640,480,0, 5436,640,480:waittimer 84517 blt 0,0,640,480,0, 5437,640,480:waittimer 84532 blt 0,0,640,480,0, 5438,640,480:waittimer 84548 blt 0,0,640,480,0, 5439,640,480:waittimer 84563 blt 0,0,640,480,0, 5440,640,480:waittimer 84579 blt 0,0,640,480,0, 5441,640,480:waittimer 84595 blt 0,0,640,480,0, 5442,640,480:waittimer 84610 blt 0,0,640,480,0, 5443,640,480:waittimer 84626 blt 0,0,640,480,0, 5444,640,480:waittimer 84641 blt 0,0,640,480,0, 5445,640,480:waittimer 84657 blt 0,0,640,480,0, 5446,640,480:waittimer 84672 blt 0,0,640,480,0, 5447,640,480:waittimer 84688 blt 0,0,640,480,0, 5448,640,480:waittimer 84703 blt 0,0,640,480,0, 5449,640,480:waittimer 84719 blt 0,0,640,480,0, 5450,640,480:waittimer 84734 blt 0,0,640,480,0, 5451,640,480:waittimer 84750 blt 0,0,640,480,0, 5452,640,480:waittimer 84766 blt 0,0,640,480,0, 5453,640,480:waittimer 84781 blt 0,0,640,480,0, 5454,640,480:waittimer 84797 blt 0,0,640,480,0, 5455,640,480:waittimer 84812 blt 0,0,640,480,0, 5456,640,480:waittimer 84828 blt 0,0,640,480,0, 5457,640,480:waittimer 84843 blt 0,0,640,480,0, 5458,640,480:waittimer 84859 blt 0,0,640,480,0, 5459,640,480:waittimer 84874 blt 0,0,640,480,0, 5460,640,480:waittimer 84890 blt 0,0,640,480,0, 5461,640,480:waittimer 84906 blt 0,0,640,480,0, 5462,640,480:waittimer 84921 blt 0,0,640,480,0, 5463,640,480:waittimer 84937 blt 0,0,640,480,0, 5464,640,480:waittimer 84952 blt 0,0,640,480,0, 5465,640,480:waittimer 84968 blt 0,0,640,480,0, 5466,640,480:waittimer 84983 blt 0,0,640,480,0, 5467,640,480:waittimer 84999 blt 0,0,640,480,0, 5468,640,480:waittimer 85014 blt 0,0,640,480,0, 5469,640,480:waittimer 85030 blt 0,0,640,480,0, 5470,640,480:waittimer 85045 blt 0,0,640,480,0, 5471,640,480:waittimer 85061 blt 0,0,640,480,0, 5472,640,480:waittimer 85077 blt 0,0,640,480,0, 5473,640,480:waittimer 85092 blt 0,0,640,480,0, 5474,640,480:waittimer 85108 blt 0,0,640,480,0, 5475,640,480:waittimer 85123 blt 0,0,640,480,0, 5476,640,480:waittimer 85139 blt 0,0,640,480,0, 5477,640,480:waittimer 85154 blt 0,0,640,480,0, 5478,640,480:waittimer 85170 blt 0,0,640,480,0, 5479,640,480:waittimer 85185 blt 0,0,640,480,0, 5480,640,480:waittimer 85201 blt 0,0,640,480,0, 5481,640,480:waittimer 85216 blt 0,0,640,480,0, 5482,640,480:waittimer 85232 blt 0,0,640,480,0, 5483,640,480:waittimer 85248 blt 0,0,640,480,0, 5484,640,480:waittimer 85263 blt 0,0,640,480,0, 5485,640,480:waittimer 85279 blt 0,0,640,480,0, 5486,640,480:waittimer 85294 blt 0,0,640,480,0, 5487,640,480:waittimer 85310 blt 0,0,640,480,0, 5488,640,480:waittimer 85325 blt 0,0,640,480,0, 5489,640,480:waittimer 85341 blt 0,0,640,480,0, 5490,640,480:waittimer 85356 blt 0,0,640,480,0, 5491,640,480:waittimer 85372 blt 0,0,640,480,0, 5492,640,480:waittimer 85387 blt 0,0,640,480,0, 5493,640,480:waittimer 85403 blt 0,0,640,480,0, 5494,640,480:waittimer 85419 blt 0,0,640,480,0, 5495,640,480:waittimer 85434 blt 0,0,640,480,0, 5496,640,480:waittimer 85450 blt 0,0,640,480,0, 5497,640,480:waittimer 85465 blt 0,0,640,480,0, 5498,640,480:waittimer 85481 blt 0,0,640,480,0, 5499,640,480:waittimer 85496 blt 0,0,640,480,0, 5500,640,480:waittimer 85512 blt 0,0,640,480,0, 5501,640,480:waittimer 85527 blt 0,0,640,480,0, 5502,640,480:waittimer 85543 blt 0,0,640,480,0, 5503,640,480:waittimer 85559 blt 0,0,640,480,0, 5504,640,480:waittimer 85574 blt 0,0,640,480,0, 5505,640,480:waittimer 85590 blt 0,0,640,480,0, 5506,640,480:waittimer 85605 blt 0,0,640,480,0, 5507,640,480:waittimer 85621 blt 0,0,640,480,0, 5508,640,480:waittimer 85636 blt 0,0,640,480,0, 5509,640,480:waittimer 85652 blt 0,0,640,480,0, 5510,640,480:waittimer 85667 blt 0,0,640,480,0, 5511,640,480:waittimer 85683 blt 0,0,640,480,0, 5512,640,480:waittimer 85698 blt 0,0,640,480,0, 5513,640,480:waittimer 85714 blt 0,0,640,480,0, 5514,640,480:waittimer 85730 blt 0,0,640,480,0, 5515,640,480:waittimer 85745 blt 0,0,640,480,0, 5516,640,480:waittimer 85761 blt 0,0,640,480,0, 5517,640,480:waittimer 85776 blt 0,0,640,480,0, 5518,640,480:waittimer 85792 blt 0,0,640,480,0, 5519,640,480:waittimer 85807 blt 0,0,640,480,0, 5520,640,480:waittimer 85823 blt 0,0,640,480,0, 5521,640,480:waittimer 85838 blt 0,0,640,480,0, 5522,640,480:waittimer 85854 blt 0,0,640,480,0, 5523,640,480:waittimer 85869 blt 0,0,640,480,0, 5524,640,480:waittimer 85885 blt 0,0,640,480,0, 5525,640,480:waittimer 85901 blt 0,0,640,480,0, 5526,640,480:waittimer 85916 blt 0,0,640,480,0, 5527,640,480:waittimer 85932 blt 0,0,640,480,0, 5528,640,480:waittimer 85947 blt 0,0,640,480,0, 5529,640,480:waittimer 85963 blt 0,0,640,480,0, 5530,640,480:waittimer 85978 blt 0,0,640,480,0, 5531,640,480:waittimer 85994 blt 0,0,640,480,0, 5532,640,480:waittimer 86009 blt 0,0,640,480,0, 5533,640,480:waittimer 86025 blt 0,0,640,480,0, 5534,640,480:waittimer 86040 blt 0,0,640,480,0, 5535,640,480:waittimer 86056 blt 0,0,640,480,0, 5536,640,480:waittimer 86072 blt 0,0,640,480,0, 5537,640,480:waittimer 86087 blt 0,0,640,480,0, 5538,640,480:waittimer 86103 blt 0,0,640,480,0, 5539,640,480:waittimer 86118 blt 0,0,640,480,0, 5540,640,480:waittimer 86134 blt 0,0,640,480,0, 5541,640,480:waittimer 86149 blt 0,0,640,480,0, 5542,640,480:waittimer 86165 blt 0,0,640,480,0, 5543,640,480:waittimer 86180 blt 0,0,640,480,0, 5544,640,480:waittimer 86196 blt 0,0,640,480,0, 5545,640,480:waittimer 86212 blt 0,0,640,480,0, 5546,640,480:waittimer 86227 blt 0,0,640,480,0, 5547,640,480:waittimer 86243 blt 0,0,640,480,0, 5548,640,480:waittimer 86258 blt 0,0,640,480,0, 5549,640,480:waittimer 86274 blt 0,0,640,480,0, 5550,640,480:waittimer 86289 blt 0,0,640,480,0, 5551,640,480:waittimer 86305 blt 0,0,640,480,0, 5552,640,480:waittimer 86320 blt 0,0,640,480,0, 5553,640,480:waittimer 86336 blt 0,0,640,480,0, 5554,640,480:waittimer 86351 blt 0,0,640,480,0, 5555,640,480:waittimer 86367 blt 0,0,640,480,0, 5556,640,480:waittimer 86383 blt 0,0,640,480,0, 5557,640,480:waittimer 86398 blt 0,0,640,480,0, 5558,640,480:waittimer 86414 blt 0,0,640,480,0, 5559,640,480:waittimer 86429 blt 0,0,640,480,0, 5560,640,480:waittimer 86445 blt 0,0,640,480,0, 5561,640,480:waittimer 86460 blt 0,0,640,480,0, 5562,640,480:waittimer 86476 blt 0,0,640,480,0, 5563,640,480:waittimer 86491 blt 0,0,640,480,0, 5564,640,480:waittimer 86507 blt 0,0,640,480,0, 5565,640,480:waittimer 86522 blt 0,0,640,480,0, 5566,640,480:waittimer 86538 blt 0,0,640,480,0, 5567,640,480:waittimer 86554 blt 0,0,640,480,0, 5568,640,480:waittimer 86569 blt 0,0,640,480,0, 5569,640,480:waittimer 86585 blt 0,0,640,480,0, 5570,640,480:waittimer 86600 blt 0,0,640,480,0, 5571,640,480:waittimer 86616 blt 0,0,640,480,0, 5572,640,480:waittimer 86631 blt 0,0,640,480,0, 5573,640,480:waittimer 86647 blt 0,0,640,480,0, 5574,640,480:waittimer 86662 blt 0,0,640,480,0, 5575,640,480:waittimer 86678 blt 0,0,640,480,0, 5576,640,480:waittimer 86693 blt 0,0,640,480,0, 5577,640,480:waittimer 86709 blt 0,0,640,480,0, 5578,640,480:waittimer 86725 blt 0,0,640,480,0, 5579,640,480:waittimer 86740 blt 0,0,640,480,0, 5580,640,480:waittimer 86756 blt 0,0,640,480,0, 5581,640,480:waittimer 86771 blt 0,0,640,480,0, 5582,640,480:waittimer 86787 blt 0,0,640,480,0, 5583,640,480:waittimer 86802 blt 0,0,640,480,0, 5584,640,480:waittimer 86818 blt 0,0,640,480,0, 5585,640,480:waittimer 86833 blt 0,0,640,480,0, 5586,640,480:waittimer 86849 blt 0,0,640,480,0, 5587,640,480:waittimer 86865 blt 0,0,640,480,0, 5588,640,480:waittimer 86880 blt 0,0,640,480,0, 5589,640,480:waittimer 86896 blt 0,0,640,480,0, 5590,640,480:waittimer 86911 blt 0,0,640,480,0, 5591,640,480:waittimer 86927 blt 0,0,640,480,0, 5592,640,480:waittimer 86942 blt 0,0,640,480,0, 5593,640,480:waittimer 86958 blt 0,0,640,480,0, 5594,640,480:waittimer 86973 blt 0,0,640,480,0, 5595,640,480:waittimer 86989 blt 0,0,640,480,0, 5596,640,480:waittimer 87004 blt 0,0,640,480,0, 5597,640,480:waittimer 87020 blt 0,0,640,480,0, 5598,640,480:waittimer 87036 blt 0,0,640,480,0, 5599,640,480:waittimer 87051 blt 0,0,640,480,0, 5600,640,480:waittimer 87067 blt 0,0,640,480,0, 5601,640,480:waittimer 87082 blt 0,0,640,480,0, 5602,640,480:waittimer 87098 blt 0,0,640,480,0, 5603,640,480:waittimer 87113 blt 0,0,640,480,0, 5604,640,480:waittimer 87129 blt 0,0,640,480,0, 5605,640,480:waittimer 87144 blt 0,0,640,480,0, 5606,640,480:waittimer 87160 blt 0,0,640,480,0, 5607,640,480:waittimer 87175 blt 0,0,640,480,0, 5608,640,480:waittimer 87191 blt 0,0,640,480,0, 5609,640,480:waittimer 87207 blt 0,0,640,480,0, 5610,640,480:waittimer 87222 blt 0,0,640,480,0, 5611,640,480:waittimer 87238 blt 0,0,640,480,0, 5612,640,480:waittimer 87253 blt 0,0,640,480,0, 5613,640,480:waittimer 87269 blt 0,0,640,480,0, 5614,640,480:waittimer 87284 blt 0,0,640,480,0, 5615,640,480:waittimer 87300 blt 0,0,640,480,0, 5616,640,480:waittimer 87315 blt 0,0,640,480,0, 5617,640,480:waittimer 87331 blt 0,0,640,480,0, 5618,640,480:waittimer 87346 blt 0,0,640,480,0, 5619,640,480:waittimer 87362 blt 0,0,640,480,0, 5620,640,480:waittimer 87378 blt 0,0,640,480,0, 5621,640,480:waittimer 87393 blt 0,0,640,480,0, 5622,640,480:waittimer 87409 blt 0,0,640,480,0, 5623,640,480:waittimer 87424 blt 0,0,640,480,0, 5624,640,480:waittimer 87440 blt 0,0,640,480,0, 5625,640,480:waittimer 87455 blt 0,0,640,480,0, 5626,640,480:waittimer 87471 blt 0,0,640,480,0, 5627,640,480:waittimer 87486 blt 0,0,640,480,0, 5628,640,480:waittimer 87502 blt 0,0,640,480,0, 5629,640,480:waittimer 87518 blt 0,0,640,480,0, 5630,640,480:waittimer 87533 blt 0,0,640,480,0, 5631,640,480:waittimer 87549 blt 0,0,640,480,0, 5632,640,480:waittimer 87564 blt 0,0,640,480,0, 5633,640,480:waittimer 87580 blt 0,0,640,480,0, 5634,640,480:waittimer 87595 blt 0,0,640,480,0, 5635,640,480:waittimer 87611 blt 0,0,640,480,0, 5636,640,480:waittimer 87626 blt 0,0,640,480,0, 5637,640,480:waittimer 87642 blt 0,0,640,480,0, 5638,640,480:waittimer 87657 blt 0,0,640,480,0, 5639,640,480:waittimer 87673 blt 0,0,640,480,0, 5640,640,480:waittimer 87689 blt 0,0,640,480,0, 5641,640,480:waittimer 87704 blt 0,0,640,480,0, 5642,640,480:waittimer 87720 blt 0,0,640,480,0, 5643,640,480:waittimer 87735 blt 0,0,640,480,0, 5644,640,480:waittimer 87751 blt 0,0,640,480,0, 5645,640,480:waittimer 87766 blt 0,0,640,480,0, 5646,640,480:waittimer 87782 blt 0,0,640,480,0, 5647,640,480:waittimer 87797 blt 0,0,640,480,0, 5648,640,480:waittimer 87813 blt 0,0,640,480,0, 5649,640,480:waittimer 87828 blt 0,0,640,480,0, 5650,640,480:waittimer 87844 blt 0,0,640,480,0, 5651,640,480:waittimer 87860 blt 0,0,640,480,0, 5652,640,480:waittimer 87875 blt 0,0,640,480,0, 5653,640,480:waittimer 87891 blt 0,0,640,480,0, 5654,640,480:waittimer 87906 blt 0,0,640,480,0, 5655,640,480:waittimer 87922 blt 0,0,640,480,0, 5656,640,480:waittimer 87937 blt 0,0,640,480,0, 5657,640,480:waittimer 87953 blt 0,0,640,480,0, 5658,640,480:waittimer 87968 blt 0,0,640,480,0, 5659,640,480:waittimer 87984 blt 0,0,640,480,0, 5660,640,480:waittimer 87999 blt 0,0,640,480,0, 5661,640,480:waittimer 88015 blt 0,0,640,480,0, 5662,640,480:waittimer 88031 blt 0,0,640,480,0, 5663,640,480:waittimer 88046 blt 0,0,640,480,0, 5664,640,480:waittimer 88062 blt 0,0,640,480,0, 5665,640,480:waittimer 88077 blt 0,0,640,480,0, 5666,640,480:waittimer 88093 blt 0,0,640,480,0, 5667,640,480:waittimer 88108 blt 0,0,640,480,0, 5668,640,480:waittimer 88124 blt 0,0,640,480,0, 5669,640,480:waittimer 88139 blt 0,0,640,480,0, 5670,640,480:waittimer 88155 blt 0,0,640,480,0, 5671,640,480:waittimer 88171 blt 0,0,640,480,0, 5672,640,480:waittimer 88186 blt 0,0,640,480,0, 5673,640,480:waittimer 88202 blt 0,0,640,480,0, 5674,640,480:waittimer 88217 blt 0,0,640,480,0, 5675,640,480:waittimer 88233 blt 0,0,640,480,0, 5676,640,480:waittimer 88248 blt 0,0,640,480,0, 5677,640,480:waittimer 88264 blt 0,0,640,480,0, 5678,640,480:waittimer 88279 blt 0,0,640,480,0, 5679,640,480:waittimer 88295 blt 0,0,640,480,0, 5680,640,480:waittimer 88310 blt 0,0,640,480,0, 5681,640,480:waittimer 88326 blt 0,0,640,480,0, 5682,640,480:waittimer 88342 blt 0,0,640,480,0, 5683,640,480:waittimer 88357 blt 0,0,640,480,0, 5684,640,480:waittimer 88373 blt 0,0,640,480,0, 5685,640,480:waittimer 88388 blt 0,0,640,480,0, 5686,640,480:waittimer 88404 blt 0,0,640,480,0, 5687,640,480:waittimer 88419 blt 0,0,640,480,0, 5688,640,480:waittimer 88435 blt 0,0,640,480,0, 5689,640,480:waittimer 88450 blt 0,0,640,480,0, 5690,640,480:waittimer 88466 blt 0,0,640,480,0, 5691,640,480:waittimer 88481 blt 0,0,640,480,0, 5692,640,480:waittimer 88497 blt 0,0,640,480,0, 5693,640,480:waittimer 88513 blt 0,0,640,480,0, 5694,640,480:waittimer 88528 blt 0,0,640,480,0, 5695,640,480:waittimer 88544 blt 0,0,640,480,0, 5696,640,480:waittimer 88559 blt 0,0,640,480,0, 5697,640,480:waittimer 88575 blt 0,0,640,480,0, 5698,640,480:waittimer 88590 blt 0,0,640,480,0, 5699,640,480:waittimer 88606 blt 0,0,640,480,0, 5700,640,480:waittimer 88621 blt 0,0,640,480,0, 5701,640,480:waittimer 88637 blt 0,0,640,480,0, 5702,640,480:waittimer 88653 blt 0,0,640,480,0, 5703,640,480:waittimer 88668 blt 0,0,640,480,0, 5704,640,480:waittimer 88684 blt 0,0,640,480,0, 5705,640,480:waittimer 88699 blt 0,0,640,480,0, 5706,640,480:waittimer 88715 blt 0,0,640,480,0, 5707,640,480:waittimer 88730 blt 0,0,640,480,0, 5708,640,480:waittimer 88746 blt 0,0,640,480,0, 5709,640,480:waittimer 88761 blt 0,0,640,480,0, 5710,640,480:waittimer 88777 blt 0,0,640,480,0, 5711,640,480:waittimer 88792 blt 0,0,640,480,0, 5712,640,480:waittimer 88808 blt 0,0,640,480,0, 5713,640,480:waittimer 88824 blt 0,0,640,480,0, 5714,640,480:waittimer 88839 blt 0,0,640,480,0, 5715,640,480:waittimer 88855 blt 0,0,640,480,0, 5716,640,480:waittimer 88870 blt 0,0,640,480,0, 5717,640,480:waittimer 88886 blt 0,0,640,480,0, 5718,640,480:waittimer 88901 blt 0,0,640,480,0, 5719,640,480:waittimer 88917 blt 0,0,640,480,0, 5720,640,480:waittimer 88932 blt 0,0,640,480,0, 5721,640,480:waittimer 88948 blt 0,0,640,480,0, 5722,640,480:waittimer 88963 blt 0,0,640,480,0, 5723,640,480:waittimer 88979 blt 0,0,640,480,0, 5724,640,480:waittimer 88995 blt 0,0,640,480,0, 5725,640,480:waittimer 89010 blt 0,0,640,480,0, 5726,640,480:waittimer 89026 blt 0,0,640,480,0, 5727,640,480:waittimer 89041 blt 0,0,640,480,0, 5728,640,480:waittimer 89057 blt 0,0,640,480,0, 5729,640,480:waittimer 89072 blt 0,0,640,480,0, 5730,640,480:waittimer 89088 blt 0,0,640,480,0, 5731,640,480:waittimer 89103 blt 0,0,640,480,0, 5732,640,480:waittimer 89119 blt 0,0,640,480,0, 5733,640,480:waittimer 89134 blt 0,0,640,480,0, 5734,640,480:waittimer 89150 blt 0,0,640,480,0, 5735,640,480:waittimer 89166 blt 0,0,640,480,0, 5736,640,480:waittimer 89181 blt 0,0,640,480,0, 5737,640,480:waittimer 89197 blt 0,0,640,480,0, 5738,640,480:waittimer 89212 blt 0,0,640,480,0, 5739,640,480:waittimer 89228 blt 0,0,640,480,0, 5740,640,480:waittimer 89243 blt 0,0,640,480,0, 5741,640,480:waittimer 89259 blt 0,0,640,480,0, 5742,640,480:waittimer 89274 blt 0,0,640,480,0, 5743,640,480:waittimer 89290 blt 0,0,640,480,0, 5744,640,480:waittimer 89306 blt 0,0,640,480,0, 5745,640,480:waittimer 89321 blt 0,0,640,480,0, 5746,640,480:waittimer 89337 blt 0,0,640,480,0, 5747,640,480:waittimer 89352 blt 0,0,640,480,0, 5748,640,480:waittimer 89368 blt 0,0,640,480,0, 5749,640,480:waittimer 89383 blt 0,0,640,480,0, 5750,640,480:waittimer 89399 blt 0,0,640,480,0, 5751,640,480:waittimer 89414 blt 0,0,640,480,0, 5752,640,480:waittimer 89430 blt 0,0,640,480,0, 5753,640,480:waittimer 89445 blt 0,0,640,480,0, 5754,640,480:waittimer 89461 blt 0,0,640,480,0, 5755,640,480:waittimer 89477 blt 0,0,640,480,0, 5756,640,480:waittimer 89492 blt 0,0,640,480,0, 5757,640,480:waittimer 89508 blt 0,0,640,480,0, 5758,640,480:waittimer 89523 blt 0,0,640,480,0, 5759,640,480:waittimer 89539 blt 0,0,640,480,0, 5760,640,480:waittimer 89554 blt 0,0,640,480,0, 5761,640,480:waittimer 89570 blt 0,0,640,480,0, 5762,640,480:waittimer 89585 blt 0,0,640,480,0, 5763,640,480:waittimer 89601 blt 0,0,640,480,0, 5764,640,480:waittimer 89616 blt 0,0,640,480,0, 5765,640,480:waittimer 89632 blt 0,0,640,480,0, 5766,640,480:waittimer 89648 blt 0,0,640,480,0, 5767,640,480:waittimer 89663 blt 0,0,640,480,0, 5768,640,480:waittimer 89679 blt 0,0,640,480,0, 5769,640,480:waittimer 89694 blt 0,0,640,480,0, 5770,640,480:waittimer 89710 blt 0,0,640,480,0, 5771,640,480:waittimer 89725 blt 0,0,640,480,0, 5772,640,480:waittimer 89741 blt 0,0,640,480,0, 5773,640,480:waittimer 89756 blt 0,0,640,480,0, 5774,640,480:waittimer 89772 blt 0,0,640,480,0, 5775,640,480:waittimer 89787 blt 0,0,640,480,0, 5776,640,480:waittimer 89803 blt 0,0,640,480,0, 5777,640,480:waittimer 89819 blt 0,0,640,480,0, 5778,640,480:waittimer 89834 blt 0,0,640,480,0, 5779,640,480:waittimer 89850 blt 0,0,640,480,0, 5780,640,480:waittimer 89865 blt 0,0,640,480,0, 5781,640,480:waittimer 89881 blt 0,0,640,480,0, 5782,640,480:waittimer 89896 blt 0,0,640,480,0, 5783,640,480:waittimer 89912 blt 0,0,640,480,0, 5784,640,480:waittimer 89927 blt 0,0,640,480,0, 5785,640,480:waittimer 89943 blt 0,0,640,480,0, 5786,640,480:waittimer 89959 blt 0,0,640,480,0, 5787,640,480:waittimer 89974 blt 0,0,640,480,0, 5788,640,480:waittimer 89990 blt 0,0,640,480,0, 5789,640,480:waittimer 90005 blt 0,0,640,480,0, 5790,640,480:waittimer 90021 blt 0,0,640,480,0, 5791,640,480:waittimer 90036 blt 0,0,640,480,0, 5792,640,480:waittimer 90052 blt 0,0,640,480,0, 5793,640,480:waittimer 90067 blt 0,0,640,480,0, 5794,640,480:waittimer 90083 blt 0,0,640,480,0, 5795,640,480:waittimer 90098 blt 0,0,640,480,0, 5796,640,480:waittimer 90114 blt 0,0,640,480,0, 5797,640,480:waittimer 90130 blt 0,0,640,480,0, 5798,640,480:waittimer 90145 blt 0,0,640,480,0, 5799,640,480:waittimer 90161 blt 0,0,640,480,0, 5800,640,480:waittimer 90176 blt 0,0,640,480,0, 5801,640,480:waittimer 90192 blt 0,0,640,480,0, 5802,640,480:waittimer 90207 blt 0,0,640,480,0, 5803,640,480:waittimer 90223 blt 0,0,640,480,0, 5804,640,480:waittimer 90238 blt 0,0,640,480,0, 5805,640,480:waittimer 90254 blt 0,0,640,480,0, 5806,640,480:waittimer 90269 blt 0,0,640,480,0, 5807,640,480:waittimer 90285 blt 0,0,640,480,0, 5808,640,480:waittimer 90301 blt 0,0,640,480,0, 5809,640,480:waittimer 90316 blt 0,0,640,480,0, 5810,640,480:waittimer 90332 blt 0,0,640,480,0, 5811,640,480:waittimer 90347 blt 0,0,640,480,0, 5812,640,480:waittimer 90363 blt 0,0,640,480,0, 5813,640,480:waittimer 90378 blt 0,0,640,480,0, 5814,640,480:waittimer 90394 blt 0,0,640,480,0, 5815,640,480:waittimer 90409 blt 0,0,640,480,0, 5816,640,480:waittimer 90425 blt 0,0,640,480,0, 5817,640,480:waittimer 90440 blt 0,0,640,480,0, 5818,640,480:waittimer 90456 blt 0,0,640,480,0, 5819,640,480:waittimer 90472 blt 0,0,640,480,0, 5820,640,480:waittimer 90487 blt 0,0,640,480,0, 5821,640,480:waittimer 90503 blt 0,0,640,480,0, 5822,640,480:waittimer 90518 blt 0,0,640,480,0, 5823,640,480:waittimer 90534 blt 0,0,640,480,0, 5824,640,480:waittimer 90549 blt 0,0,640,480,0, 5825,640,480:waittimer 90565 blt 0,0,640,480,0, 5826,640,480:waittimer 90580 blt 0,0,640,480,0, 5827,640,480:waittimer 90596 blt 0,0,640,480,0, 5828,640,480:waittimer 90612 blt 0,0,640,480,0, 5829,640,480:waittimer 90627 blt 0,0,640,480,0, 5830,640,480:waittimer 90643 blt 0,0,640,480,0, 5831,640,480:waittimer 90658 blt 0,0,640,480,0, 5832,640,480:waittimer 90674 blt 0,0,640,480,0, 5833,640,480:waittimer 90689 blt 0,0,640,480,0, 5834,640,480:waittimer 90705 blt 0,0,640,480,0, 5835,640,480:waittimer 90720 blt 0,0,640,480,0, 5836,640,480:waittimer 90736 blt 0,0,640,480,0, 5837,640,480:waittimer 90751 blt 0,0,640,480,0, 5838,640,480:waittimer 90767 blt 0,0,640,480,0, 5839,640,480:waittimer 90783 blt 0,0,640,480,0, 5840,640,480:waittimer 90798 blt 0,0,640,480,0, 5841,640,480:waittimer 90814 blt 0,0,640,480,0, 5842,640,480:waittimer 90829 blt 0,0,640,480,0, 5843,640,480:waittimer 90845 blt 0,0,640,480,0, 5844,640,480:waittimer 90860 blt 0,0,640,480,0, 5845,640,480:waittimer 90876 blt 0,0,640,480,0, 5846,640,480:waittimer 90891 blt 0,0,640,480,0, 5847,640,480:waittimer 90907 blt 0,0,640,480,0, 5848,640,480:waittimer 90922 blt 0,0,640,480,0, 5849,640,480:waittimer 90938 blt 0,0,640,480,0, 5850,640,480:waittimer 90954 blt 0,0,640,480,0, 5851,640,480:waittimer 90969 blt 0,0,640,480,0, 5852,640,480:waittimer 90985 blt 0,0,640,480,0, 5853,640,480:waittimer 91000 blt 0,0,640,480,0, 5854,640,480:waittimer 91016 blt 0,0,640,480,0, 5855,640,480:waittimer 91031 blt 0,0,640,480,0, 5856,640,480:waittimer 91047 blt 0,0,640,480,0, 5857,640,480:waittimer 91062 blt 0,0,640,480,0, 5858,640,480:waittimer 91078 blt 0,0,640,480,0, 5859,640,480:waittimer 91093 blt 0,0,640,480,0, 5860,640,480:waittimer 91109 blt 0,0,640,480,0, 5861,640,480:waittimer 91125 blt 0,0,640,480,0, 5862,640,480:waittimer 91140 blt 0,0,640,480,0, 5863,640,480:waittimer 91156 blt 0,0,640,480,0, 5864,640,480:waittimer 91171 blt 0,0,640,480,0, 5865,640,480:waittimer 91187 blt 0,0,640,480,0, 5866,640,480:waittimer 91202 blt 0,0,640,480,0, 5867,640,480:waittimer 91218 blt 0,0,640,480,0, 5868,640,480:waittimer 91233 blt 0,0,640,480,0, 5869,640,480:waittimer 91249 blt 0,0,640,480,0, 5870,640,480:waittimer 91265 blt 0,0,640,480,0, 5871,640,480:waittimer 91280 blt 0,0,640,480,0, 5872,640,480:waittimer 91296 blt 0,0,640,480,0, 5873,640,480:waittimer 91311 blt 0,0,640,480,0, 5874,640,480:waittimer 91327 blt 0,0,640,480,0, 5875,640,480:waittimer 91342 blt 0,0,640,480,0, 5876,640,480:waittimer 91358 blt 0,0,640,480,0, 5877,640,480:waittimer 91373 blt 0,0,640,480,0, 5878,640,480:waittimer 91389 blt 0,0,640,480,0, 5879,640,480:waittimer 91404 blt 0,0,640,480,0, 5880,640,480:waittimer 91420 blt 0,0,640,480,0, 5881,640,480:waittimer 91436 blt 0,0,640,480,0, 5882,640,480:waittimer 91451 blt 0,0,640,480,0, 5883,640,480:waittimer 91467 blt 0,0,640,480,0, 5884,640,480:waittimer 91482 blt 0,0,640,480,0, 5885,640,480:waittimer 91498 blt 0,0,640,480,0, 5886,640,480:waittimer 91513 blt 0,0,640,480,0, 5887,640,480:waittimer 91529 blt 0,0,640,480,0, 5888,640,480:waittimer 91544 blt 0,0,640,480,0, 5889,640,480:waittimer 91560 blt 0,0,640,480,0, 5890,640,480:waittimer 91575 blt 0,0,640,480,0, 5891,640,480:waittimer 91591 blt 0,0,640,480,0, 5892,640,480:waittimer 91607 blt 0,0,640,480,0, 5893,640,480:waittimer 91622 blt 0,0,640,480,0, 5894,640,480:waittimer 91638 blt 0,0,640,480,0, 5895,640,480:waittimer 91653 blt 0,0,640,480,0, 5896,640,480:waittimer 91669 blt 0,0,640,480,0, 5897,640,480:waittimer 91684 blt 0,0,640,480,0, 5898,640,480:waittimer 91700 blt 0,0,640,480,0, 5899,640,480:waittimer 91715 blt 0,0,640,480,0, 5900,640,480:waittimer 91731 blt 0,0,640,480,0, 5901,640,480:waittimer 91746 blt 0,0,640,480,0, 5902,640,480:waittimer 91762 blt 0,0,640,480,0, 5903,640,480:waittimer 91778 blt 0,0,640,480,0, 5904,640,480:waittimer 91793 blt 0,0,640,480,0, 5905,640,480:waittimer 91809 blt 0,0,640,480,0, 5906,640,480:waittimer 91824 blt 0,0,640,480,0, 5907,640,480:waittimer 91840 blt 0,0,640,480,0, 5908,640,480:waittimer 91855 blt 0,0,640,480,0, 5909,640,480:waittimer 91871 blt 0,0,640,480,0, 5910,640,480:waittimer 91886 blt 0,0,640,480,0, 5911,640,480:waittimer 91902 blt 0,0,640,480,0, 5912,640,480:waittimer 91918 blt 0,0,640,480,0, 5913,640,480:waittimer 91933 blt 0,0,640,480,0, 5914,640,480:waittimer 91949 blt 0,0,640,480,0, 5915,640,480:waittimer 91964 blt 0,0,640,480,0, 5916,640,480:waittimer 91980 blt 0,0,640,480,0, 5917,640,480:waittimer 91995 blt 0,0,640,480,0, 5918,640,480:waittimer 92011 blt 0,0,640,480,0, 5919,640,480:waittimer 92026 blt 0,0,640,480,0, 5920,640,480:waittimer 92042 blt 0,0,640,480,0, 5921,640,480:waittimer 92057 blt 0,0,640,480,0, 5922,640,480:waittimer 92073 blt 0,0,640,480,0, 5923,640,480:waittimer 92089 blt 0,0,640,480,0, 5924,640,480:waittimer 92104 blt 0,0,640,480,0, 5925,640,480:waittimer 92120 blt 0,0,640,480,0, 5926,640,480:waittimer 92135 blt 0,0,640,480,0, 5927,640,480:waittimer 92151 blt 0,0,640,480,0, 5928,640,480:waittimer 92166 blt 0,0,640,480,0, 5929,640,480:waittimer 92182 blt 0,0,640,480,0, 5930,640,480:waittimer 92197 blt 0,0,640,480,0, 5931,640,480:waittimer 92213 blt 0,0,640,480,0, 5932,640,480:waittimer 92228 blt 0,0,640,480,0, 5933,640,480:waittimer 92244 blt 0,0,640,480,0, 5934,640,480:waittimer 92260 blt 0,0,640,480,0, 5935,640,480:waittimer 92275 blt 0,0,640,480,0, 5936,640,480:waittimer 92291 blt 0,0,640,480,0, 5937,640,480:waittimer 92306 blt 0,0,640,480,0, 5938,640,480:waittimer 92322 blt 0,0,640,480,0, 5939,640,480:waittimer 92337 blt 0,0,640,480,0, 5940,640,480:waittimer 92353 blt 0,0,640,480,0, 5941,640,480:waittimer 92368 blt 0,0,640,480,0, 5942,640,480:waittimer 92384 blt 0,0,640,480,0, 5943,640,480:waittimer 92399 blt 0,0,640,480,0, 5944,640,480:waittimer 92415 blt 0,0,640,480,0, 5945,640,480:waittimer 92431 blt 0,0,640,480,0, 5946,640,480:waittimer 92446 blt 0,0,640,480,0, 5947,640,480:waittimer 92462 blt 0,0,640,480,0, 5948,640,480:waittimer 92477 blt 0,0,640,480,0, 5949,640,480:waittimer 92493 blt 0,0,640,480,0, 5950,640,480:waittimer 92508 blt 0,0,640,480,0, 5951,640,480:waittimer 92524 blt 0,0,640,480,0, 5952,640,480:waittimer 92539 blt 0,0,640,480,0, 5953,640,480:waittimer 92555 blt 0,0,640,480,0, 5954,640,480:waittimer 92571 blt 0,0,640,480,0, 5955,640,480:waittimer 92586 blt 0,0,640,480,0, 5956,640,480:waittimer 92602 blt 0,0,640,480,0, 5957,640,480:waittimer 92617 blt 0,0,640,480,0, 5958,640,480:waittimer 92633 blt 0,0,640,480,0, 5959,640,480:waittimer 92648 blt 0,0,640,480,0, 5960,640,480:waittimer 92664 blt 0,0,640,480,0, 5961,640,480:waittimer 92679 blt 0,0,640,480,0, 5962,640,480:waittimer 92695 blt 0,0,640,480,0, 5963,640,480:waittimer 92710 blt 0,0,640,480,0, 5964,640,480:waittimer 92726 blt 0,0,640,480,0, 5965,640,480:waittimer 92742 blt 0,0,640,480,0, 5966,640,480:waittimer 92757 blt 0,0,640,480,0, 5967,640,480:waittimer 92773 blt 0,0,640,480,0, 5968,640,480:waittimer 92788 blt 0,0,640,480,0, 5969,640,480:waittimer 92804 blt 0,0,640,480,0, 5970,640,480:waittimer 92819 blt 0,0,640,480,0, 5971,640,480:waittimer 92835 blt 0,0,640,480,0, 5972,640,480:waittimer 92850 blt 0,0,640,480,0, 5973,640,480:waittimer 92866 blt 0,0,640,480,0, 5974,640,480:waittimer 92881 blt 0,0,640,480,0, 5975,640,480:waittimer 92897 blt 0,0,640,480,0, 5976,640,480:waittimer 92913 blt 0,0,640,480,0, 5977,640,480:waittimer 92928 blt 0,0,640,480,0, 5978,640,480:waittimer 92944 blt 0,0,640,480,0, 5979,640,480:waittimer 92959 blt 0,0,640,480,0, 5980,640,480:waittimer 92975 blt 0,0,640,480,0, 5981,640,480:waittimer 92990 blt 0,0,640,480,0, 5982,640,480:waittimer 93006 blt 0,0,640,480,0, 5983,640,480:waittimer 93021 blt 0,0,640,480,0, 5984,640,480:waittimer 93037 blt 0,0,640,480,0, 5985,640,480:waittimer 93053 blt 0,0,640,480,0, 5986,640,480:waittimer 93068 blt 0,0,640,480,0, 5987,640,480:waittimer 93084 blt 0,0,640,480,0, 5988,640,480:waittimer 93099 blt 0,0,640,480,0, 5989,640,480:waittimer 93115 blt 0,0,640,480,0, 5990,640,480:waittimer 93130 blt 0,0,640,480,0, 5991,640,480:waittimer 93146 blt 0,0,640,480,0, 5992,640,480:waittimer 93161 blt 0,0,640,480,0, 5993,640,480:waittimer 93177 blt 0,0,640,480,0, 5994,640,480:waittimer 93192 blt 0,0,640,480,0, 5995,640,480:waittimer 93208 blt 0,0,640,480,0, 5996,640,480:waittimer 93224 blt 0,0,640,480,0, 5997,640,480:waittimer 93239 blt 0,0,640,480,0, 5998,640,480:waittimer 93255 blt 0,0,640,480,0, 5999,640,480:waittimer 93270 blt 0,0,640,480,0, 6000,640,480:waittimer 93286 blt 0,0,640,480,0, 6001,640,480:waittimer 93301 blt 0,0,640,480,0, 6002,640,480:waittimer 93317 blt 0,0,640,480,0, 6003,640,480:waittimer 93332 blt 0,0,640,480,0, 6004,640,480:waittimer 93348 blt 0,0,640,480,0, 6005,640,480:waittimer 93363 blt 0,0,640,480,0, 6006,640,480:waittimer 93379 blt 0,0,640,480,0, 6007,640,480:waittimer 93395 blt 0,0,640,480,0, 6008,640,480:waittimer 93410 blt 0,0,640,480,0, 6009,640,480:waittimer 93426 blt 0,0,640,480,0, 6010,640,480:waittimer 93441 blt 0,0,640,480,0, 6011,640,480:waittimer 93457 blt 0,0,640,480,0, 6012,640,480:waittimer 93472 blt 0,0,640,480,0, 6013,640,480:waittimer 93488 blt 0,0,640,480,0, 6014,640,480:waittimer 93503 blt 0,0,640,480,0, 6015,640,480:waittimer 93519 blt 0,0,640,480,0, 6016,640,480:waittimer 93534 blt 0,0,640,480,0, 6017,640,480:waittimer 93550 blt 0,0,640,480,0, 6018,640,480:waittimer 93566 blt 0,0,640,480,0, 6019,640,480:waittimer 93581 blt 0,0,640,480,0, 6020,640,480:waittimer 93597 blt 0,0,640,480,0, 6021,640,480:waittimer 93612 blt 0,0,640,480,0, 6022,640,480:waittimer 93628 blt 0,0,640,480,0, 6023,640,480:waittimer 93643 blt 0,0,640,480,0, 6024,640,480:waittimer 93659 blt 0,0,640,480,0, 6025,640,480:waittimer 93674 blt 0,0,640,480,0, 6026,640,480:waittimer 93690 blt 0,0,640,480,0, 6027,640,480:waittimer 93706 blt 0,0,640,480,0, 6028,640,480:waittimer 93721 blt 0,0,640,480,0, 6029,640,480:waittimer 93737 blt 0,0,640,480,0, 6030,640,480:waittimer 93752 blt 0,0,640,480,0, 6031,640,480:waittimer 93768 blt 0,0,640,480,0, 6032,640,480:waittimer 93783 blt 0,0,640,480,0, 6033,640,480:waittimer 93799 blt 0,0,640,480,0, 6034,640,480:waittimer 93814 blt 0,0,640,480,0, 6035,640,480:waittimer 93830 blt 0,0,640,480,0, 6036,640,480:waittimer 93845 blt 0,0,640,480,0, 6037,640,480:waittimer 93861 blt 0,0,640,480,0, 6038,640,480:waittimer 93877 blt 0,0,640,480,0, 6039,640,480:waittimer 93892 blt 0,0,640,480,0, 6040,640,480:waittimer 93908 blt 0,0,640,480,0, 6041,640,480:waittimer 93923 blt 0,0,640,480,0, 6042,640,480:waittimer 93939 blt 0,0,640,480,0, 6043,640,480:waittimer 93954 blt 0,0,640,480,0, 6044,640,480:waittimer 93970 blt 0,0,640,480,0, 6045,640,480:waittimer 93985 blt 0,0,640,480,0, 6046,640,480:waittimer 94001 blt 0,0,640,480,0, 6047,640,480:waittimer 94016 blt 0,0,640,480,0, 6048,640,480:waittimer 94032 blt 0,0,640,480,0, 6049,640,480:waittimer 94048 blt 0,0,640,480,0, 6050,640,480:waittimer 94063 blt 0,0,640,480,0, 6051,640,480:waittimer 94079 blt 0,0,640,480,0, 6052,640,480:waittimer 94094 blt 0,0,640,480,0, 6053,640,480:waittimer 94110 blt 0,0,640,480,0, 6054,640,480:waittimer 94125 blt 0,0,640,480,0, 6055,640,480:waittimer 94141 blt 0,0,640,480,0, 6056,640,480:waittimer 94156 blt 0,0,640,480,0, 6057,640,480:waittimer 94172 blt 0,0,640,480,0, 6058,640,480:waittimer 94187 blt 0,0,640,480,0, 6059,640,480:waittimer 94203 blt 0,0,640,480,0, 6060,640,480:waittimer 94219 blt 0,0,640,480,0, 6061,640,480:waittimer 94234 blt 0,0,640,480,0, 6062,640,480:waittimer 94250 blt 0,0,640,480,0, 6063,640,480:waittimer 94265 blt 0,0,640,480,0, 6064,640,480:waittimer 94281 blt 0,0,640,480,0, 6065,640,480:waittimer 94296 blt 0,0,640,480,0, 6066,640,480:waittimer 94312 blt 0,0,640,480,0, 6067,640,480:waittimer 94327 blt 0,0,640,480,0, 6068,640,480:waittimer 94343 blt 0,0,640,480,0, 6069,640,480:waittimer 94359 blt 0,0,640,480,0, 6070,640,480:waittimer 94374 blt 0,0,640,480,0, 6071,640,480:waittimer 94390 blt 0,0,640,480,0, 6072,640,480:waittimer 94405 blt 0,0,640,480,0, 6073,640,480:waittimer 94421 blt 0,0,640,480,0, 6074,640,480:waittimer 94436 blt 0,0,640,480,0, 6075,640,480:waittimer 94452 blt 0,0,640,480,0, 6076,640,480:waittimer 94467 blt 0,0,640,480,0, 6077,640,480:waittimer 94483 blt 0,0,640,480,0, 6078,640,480:waittimer 94498 blt 0,0,640,480,0, 6079,640,480:waittimer 94514 blt 0,0,640,480,0, 6080,640,480:waittimer 94530 blt 0,0,640,480,0, 6081,640,480:waittimer 94545 blt 0,0,640,480,0, 6082,640,480:waittimer 94561 blt 0,0,640,480,0, 6083,640,480:waittimer 94576 blt 0,0,640,480,0, 6084,640,480:waittimer 94592 blt 0,0,640,480,0, 6085,640,480:waittimer 94607 blt 0,0,640,480,0, 6086,640,480:waittimer 94623 blt 0,0,640,480,0, 6087,640,480:waittimer 94638 blt 0,0,640,480,0, 6088,640,480:waittimer 94654 blt 0,0,640,480,0, 6089,640,480:waittimer 94669 blt 0,0,640,480,0, 6090,640,480:waittimer 94685 blt 0,0,640,480,0, 6091,640,480:waittimer 94701 blt 0,0,640,480,0, 6092,640,480:waittimer 94716 blt 0,0,640,480,0, 6093,640,480:waittimer 94732 blt 0,0,640,480,0, 6094,640,480:waittimer 94747 blt 0,0,640,480,0, 6095,640,480:waittimer 94763 blt 0,0,640,480,0, 6096,640,480:waittimer 94778 blt 0,0,640,480,0, 6097,640,480:waittimer 94794 blt 0,0,640,480,0, 6098,640,480:waittimer 94809 blt 0,0,640,480,0, 6099,640,480:waittimer 94825 blt 0,0,640,480,0, 6100,640,480:waittimer 94840 blt 0,0,640,480,0, 6101,640,480:waittimer 94856 blt 0,0,640,480,0, 6102,640,480:waittimer 94872 blt 0,0,640,480,0, 6103,640,480:waittimer 94887 blt 0,0,640,480,0, 6104,640,480:waittimer 94903 blt 0,0,640,480,0, 6105,640,480:waittimer 94918 blt 0,0,640,480,0, 6106,640,480:waittimer 94934 blt 0,0,640,480,0, 6107,640,480:waittimer 94949 blt 0,0,640,480,0, 6108,640,480:waittimer 94965 blt 0,0,640,480,0, 6109,640,480:waittimer 94980 blt 0,0,640,480,0, 6110,640,480:waittimer 94996 blt 0,0,640,480,0, 6111,640,480:waittimer 95012 blt 0,0,640,480,0, 6112,640,480:waittimer 95027 blt 0,0,640,480,0, 6113,640,480:waittimer 95043 blt 0,0,640,480,0, 6114,640,480:waittimer 95058 blt 0,0,640,480,0, 6115,640,480:waittimer 95074 blt 0,0,640,480,0, 6116,640,480:waittimer 95089 blt 0,0,640,480,0, 6117,640,480:waittimer 95105 blt 0,0,640,480,0, 6118,640,480:waittimer 95120 blt 0,0,640,480,0, 6119,640,480:waittimer 95136 blt 0,0,640,480,0, 6120,640,480:waittimer 95151 blt 0,0,640,480,0, 6121,640,480:waittimer 95167 blt 0,0,640,480,0, 6122,640,480:waittimer 95183 blt 0,0,640,480,0, 6123,640,480:waittimer 95198 blt 0,0,640,480,0, 6124,640,480:waittimer 95214 blt 0,0,640,480,0, 6125,640,480:waittimer 95229 blt 0,0,640,480,0, 6126,640,480:waittimer 95245 blt 0,0,640,480,0, 6127,640,480:waittimer 95260 blt 0,0,640,480,0, 6128,640,480:waittimer 95276 blt 0,0,640,480,0, 6129,640,480:waittimer 95291 blt 0,0,640,480,0, 6130,640,480:waittimer 95307 blt 0,0,640,480,0, 6131,640,480:waittimer 95322 blt 0,0,640,480,0, 6132,640,480:waittimer 95338 blt 0,0,640,480,0, 6133,640,480:waittimer 95354 blt 0,0,640,480,0, 6134,640,480:waittimer 95369 blt 0,0,640,480,0, 6135,640,480:waittimer 95385 blt 0,0,640,480,0, 6136,640,480:waittimer 95400 blt 0,0,640,480,0, 6137,640,480:waittimer 95416 blt 0,0,640,480,0, 6138,640,480:waittimer 95431 blt 0,0,640,480,0, 6139,640,480:waittimer 95447 blt 0,0,640,480,0, 6140,640,480:waittimer 95462 blt 0,0,640,480,0, 6141,640,480:waittimer 95478 blt 0,0,640,480,0, 6142,640,480:waittimer 95493 blt 0,0,640,480,0, 6143,640,480:waittimer 95509 blt 0,0,640,480,0, 6144,640,480:waittimer 95525 blt 0,0,640,480,0, 6145,640,480:waittimer 95540 blt 0,0,640,480,0, 6146,640,480:waittimer 95556 blt 0,0,640,480,0, 6147,640,480:waittimer 95571 blt 0,0,640,480,0, 6148,640,480:waittimer 95587 blt 0,0,640,480,0, 6149,640,480:waittimer 95602 blt 0,0,640,480,0, 6150,640,480:waittimer 95618 blt 0,0,640,480,0, 6151,640,480:waittimer 95633 blt 0,0,640,480,0, 6152,640,480:waittimer 95649 blt 0,0,640,480,0, 6153,640,480:waittimer 95665 blt 0,0,640,480,0, 6154,640,480:waittimer 95680 blt 0,0,640,480,0, 6155,640,480:waittimer 95696 blt 0,0,640,480,0, 6156,640,480:waittimer 95711 blt 0,0,640,480,0, 6157,640,480:waittimer 95727 blt 0,0,640,480,0, 6158,640,480:waittimer 95742 blt 0,0,640,480,0, 6159,640,480:waittimer 95758 blt 0,0,640,480,0, 6160,640,480:waittimer 95773 blt 0,0,640,480,0, 6161,640,480:waittimer 95789 blt 0,0,640,480,0, 6162,640,480:waittimer 95804 blt 0,0,640,480,0, 6163,640,480:waittimer 95820 blt 0,0,640,480,0, 6164,640,480:waittimer 95836 blt 0,0,640,480,0, 6165,640,480:waittimer 95851 blt 0,0,640,480,0, 6166,640,480:waittimer 95867 blt 0,0,640,480,0, 6167,640,480:waittimer 95882 blt 0,0,640,480,0, 6168,640,480:waittimer 95898 blt 0,0,640,480,0, 6169,640,480:waittimer 95913 blt 0,0,640,480,0, 6170,640,480:waittimer 95929 blt 0,0,640,480,0, 6171,640,480:waittimer 95944 blt 0,0,640,480,0, 6172,640,480:waittimer 95960 blt 0,0,640,480,0, 6173,640,480:waittimer 95975 blt 0,0,640,480,0, 6174,640,480:waittimer 95991 blt 0,0,640,480,0, 6175,640,480:waittimer 96007 blt 0,0,640,480,0, 6176,640,480:waittimer 96022 blt 0,0,640,480,0, 6177,640,480:waittimer 96038 blt 0,0,640,480,0, 6178,640,480:waittimer 96053 blt 0,0,640,480,0, 6179,640,480:waittimer 96069 blt 0,0,640,480,0, 6180,640,480:waittimer 96084 blt 0,0,640,480,0, 6181,640,480:waittimer 96100 blt 0,0,640,480,0, 6182,640,480:waittimer 96115 blt 0,0,640,480,0, 6183,640,480:waittimer 96131 blt 0,0,640,480,0, 6184,640,480:waittimer 96146 blt 0,0,640,480,0, 6185,640,480:waittimer 96162 blt 0,0,640,480,0, 6186,640,480:waittimer 96178 blt 0,0,640,480,0, 6187,640,480:waittimer 96193 blt 0,0,640,480,0, 6188,640,480:waittimer 96209 blt 0,0,640,480,0, 6189,640,480:waittimer 96224 blt 0,0,640,480,0, 6190,640,480:waittimer 96240 blt 0,0,640,480,0, 6191,640,480:waittimer 96255 blt 0,0,640,480,0, 6192,640,480:waittimer 96271 blt 0,0,640,480,0, 6193,640,480:waittimer 96286 blt 0,0,640,480,0, 6194,640,480:waittimer 96302 blt 0,0,640,480,0, 6195,640,480:waittimer 96318 blt 0,0,640,480,0, 6196,640,480:waittimer 96333 blt 0,0,640,480,0, 6197,640,480:waittimer 96349 blt 0,0,640,480,0, 6198,640,480:waittimer 96364 blt 0,0,640,480,0, 6199,640,480:waittimer 96380 blt 0,0,640,480,0, 6200,640,480:waittimer 96395 blt 0,0,640,480,0, 6201,640,480:waittimer 96411 blt 0,0,640,480,0, 6202,640,480:waittimer 96426 blt 0,0,640,480,0, 6203,640,480:waittimer 96442 blt 0,0,640,480,0, 6204,640,480:waittimer 96457 blt 0,0,640,480,0, 6205,640,480:waittimer 96473 blt 0,0,640,480,0, 6206,640,480:waittimer 96489 blt 0,0,640,480,0, 6207,640,480:waittimer 96504 blt 0,0,640,480,0, 6208,640,480:waittimer 96520 blt 0,0,640,480,0, 6209,640,480:waittimer 96535 blt 0,0,640,480,0, 6210,640,480:waittimer 96551 blt 0,0,640,480,0, 6211,640,480:waittimer 96566 blt 0,0,640,480,0, 6212,640,480:waittimer 96582 blt 0,0,640,480,0, 6213,640,480:waittimer 96597 blt 0,0,640,480,0, 6214,640,480:waittimer 96613 blt 0,0,640,480,0, 6215,640,480:waittimer 96628 blt 0,0,640,480,0, 6216,640,480:waittimer 96644 blt 0,0,640,480,0, 6217,640,480:waittimer 96660 blt 0,0,640,480,0, 6218,640,480:waittimer 96675 blt 0,0,640,480,0, 6219,640,480:waittimer 96691 blt 0,0,640,480,0, 6220,640,480:waittimer 96706 blt 0,0,640,480,0, 6221,640,480:waittimer 96722 blt 0,0,640,480,0, 6222,640,480:waittimer 96737 blt 0,0,640,480,0, 6223,640,480:waittimer 96753 blt 0,0,640,480,0, 6224,640,480:waittimer 96768 blt 0,0,640,480,0, 6225,640,480:waittimer 96784 blt 0,0,640,480,0, 6226,640,480:waittimer 96799 blt 0,0,640,480,0, 6227,640,480:waittimer 96815 blt 0,0,640,480,0, 6228,640,480:waittimer 96831 blt 0,0,640,480,0, 6229,640,480:waittimer 96846 blt 0,0,640,480,0, 6230,640,480:waittimer 96862 blt 0,0,640,480,0, 6231,640,480:waittimer 96877 blt 0,0,640,480,0, 6232,640,480:waittimer 96893 blt 0,0,640,480,0, 6233,640,480:waittimer 96908 blt 0,0,640,480,0, 6234,640,480:waittimer 96924 blt 0,0,640,480,0, 6235,640,480:waittimer 96939 blt 0,0,640,480,0, 6236,640,480:waittimer 96955 blt 0,0,640,480,0, 6237,640,480:waittimer 96971 blt 0,0,640,480,0, 6238,640,480:waittimer 96986 blt 0,0,640,480,0, 6239,640,480:waittimer 97002 blt 0,0,640,480,0, 6240,640,480:waittimer 97017 blt 0,0,640,480,0, 6241,640,480:waittimer 97033 blt 0,0,640,480,0, 6242,640,480:waittimer 97048 blt 0,0,640,480,0, 6243,640,480:waittimer 97064 blt 0,0,640,480,0, 6244,640,480:waittimer 97079 blt 0,0,640,480,0, 6245,640,480:waittimer 97095 blt 0,0,640,480,0, 6246,640,480:waittimer 97110 blt 0,0,640,480,0, 6247,640,480:waittimer 97126 blt 0,0,640,480,0, 6248,640,480:waittimer 97142 blt 0,0,640,480,0, 6249,640,480:waittimer 97157 blt 0,0,640,480,0, 6250,640,480:waittimer 97173 blt 0,0,640,480,0, 6251,640,480:waittimer 97188 blt 0,0,640,480,0, 6252,640,480:waittimer 97204 blt 0,0,640,480,0, 6253,640,480:waittimer 97219 blt 0,0,640,480,0, 6254,640,480:waittimer 97235 blt 0,0,640,480,0, 6255,640,480:waittimer 97250 blt 0,0,640,480,0, 6256,640,480:waittimer 97266 blt 0,0,640,480,0, 6257,640,480:waittimer 97281 blt 0,0,640,480,0, 6258,640,480:waittimer 97297 blt 0,0,640,480,0, 6259,640,480:waittimer 97313 blt 0,0,640,480,0, 6260,640,480:waittimer 97328 blt 0,0,640,480,0, 6261,640,480:waittimer 97344 blt 0,0,640,480,0, 6262,640,480:waittimer 97359 blt 0,0,640,480,0, 6263,640,480:waittimer 97375 blt 0,0,640,480,0, 6264,640,480:waittimer 97390 blt 0,0,640,480,0, 6265,640,480:waittimer 97406 blt 0,0,640,480,0, 6266,640,480:waittimer 97421 blt 0,0,640,480,0, 6267,640,480:waittimer 97437 blt 0,0,640,480,0, 6268,640,480:waittimer 97453 blt 0,0,640,480,0, 6269,640,480:waittimer 97468 blt 0,0,640,480,0, 6270,640,480:waittimer 97484 blt 0,0,640,480,0, 6271,640,480:waittimer 97499 blt 0,0,640,480,0, 6272,640,480:waittimer 97515 blt 0,0,640,480,0, 6273,640,480:waittimer 97530 blt 0,0,640,480,0, 6274,640,480:waittimer 97546 blt 0,0,640,480,0, 6275,640,480:waittimer 97561 blt 0,0,640,480,0, 6276,640,480:waittimer 97577 blt 0,0,640,480,0, 6277,640,480:waittimer 97592 blt 0,0,640,480,0, 6278,640,480:waittimer 97608 blt 0,0,640,480,0, 6279,640,480:waittimer 97624 blt 0,0,640,480,0, 6280,640,480:waittimer 97639 blt 0,0,640,480,0, 6281,640,480:waittimer 97655 blt 0,0,640,480,0, 6282,640,480:waittimer 97670 blt 0,0,640,480,0, 6283,640,480:waittimer 97686 blt 0,0,640,480,0, 6284,640,480:waittimer 97701 blt 0,0,640,480,0, 6285,640,480:waittimer 97717 blt 0,0,640,480,0, 6286,640,480:waittimer 97732 blt 0,0,640,480,0, 6287,640,480:waittimer 97748 blt 0,0,640,480,0, 6288,640,480:waittimer 97763 blt 0,0,640,480,0, 6289,640,480:waittimer 97779 blt 0,0,640,480,0, 6290,640,480:waittimer 97795 blt 0,0,640,480,0, 6291,640,480:waittimer 97810 blt 0,0,640,480,0, 6292,640,480:waittimer 97826 blt 0,0,640,480,0, 6293,640,480:waittimer 97841 blt 0,0,640,480,0, 6294,640,480:waittimer 97857 blt 0,0,640,480,0, 6295,640,480:waittimer 97872 blt 0,0,640,480,0, 6296,640,480:waittimer 97888 blt 0,0,640,480,0, 6297,640,480:waittimer 97903 blt 0,0,640,480,0, 6298,640,480:waittimer 97919 blt 0,0,640,480,0, 6299,640,480:waittimer 97934 blt 0,0,640,480,0, 6300,640,480:waittimer 97950 blt 0,0,640,480,0, 6301,640,480:waittimer 97966 blt 0,0,640,480,0, 6302,640,480:waittimer 97981 blt 0,0,640,480,0, 6303,640,480:waittimer 97997 blt 0,0,640,480,0, 6304,640,480:waittimer 98012 blt 0,0,640,480,0, 6305,640,480:waittimer 98028 blt 0,0,640,480,0, 6306,640,480:waittimer 98043 blt 0,0,640,480,0, 6307,640,480:waittimer 98059 blt 0,0,640,480,0, 6308,640,480:waittimer 98074 blt 0,0,640,480,0, 6309,640,480:waittimer 98090 blt 0,0,640,480,0, 6310,640,480:waittimer 98106 blt 0,0,640,480,0, 6311,640,480:waittimer 98121 blt 0,0,640,480,0, 6312,640,480:waittimer 98137 blt 0,0,640,480,0, 6313,640,480:waittimer 98152 blt 0,0,640,480,0, 6314,640,480:waittimer 98168 blt 0,0,640,480,0, 6315,640,480:waittimer 98183 blt 0,0,640,480,0, 6316,640,480:waittimer 98199 blt 0,0,640,480,0, 6317,640,480:waittimer 98214 blt 0,0,640,480,0, 6318,640,480:waittimer 98230 blt 0,0,640,480,0, 6319,640,480:waittimer 98245 blt 0,0,640,480,0, 6320,640,480:waittimer 98261 blt 0,0,640,480,0, 6321,640,480:waittimer 98277 blt 0,0,640,480,0, 6322,640,480:waittimer 98292 blt 0,0,640,480,0, 6323,640,480:waittimer 98308 blt 0,0,640,480,0, 6324,640,480:waittimer 98323 blt 0,0,640,480,0, 6325,640,480:waittimer 98339 blt 0,0,640,480,0, 6326,640,480:waittimer 98354 blt 0,0,640,480,0, 6327,640,480:waittimer 98370 blt 0,0,640,480,0, 6328,640,480:waittimer 98385 blt 0,0,640,480,0, 6329,640,480:waittimer 98401 blt 0,0,640,480,0, 6330,640,480:waittimer 98416 blt 0,0,640,480,0, 6331,640,480:waittimer 98432 blt 0,0,640,480,0, 6332,640,480:waittimer 98448 blt 0,0,640,480,0, 6333,640,480:waittimer 98463 blt 0,0,640,480,0, 6334,640,480:waittimer 98479 blt 0,0,640,480,0, 6335,640,480:waittimer 98494 blt 0,0,640,480,0, 6336,640,480:waittimer 98510 blt 0,0,640,480,0, 6337,640,480:waittimer 98525 blt 0,0,640,480,0, 6338,640,480:waittimer 98541 blt 0,0,640,480,0, 6339,640,480:waittimer 98556 blt 0,0,640,480,0, 6340,640,480:waittimer 98572 blt 0,0,640,480,0, 6341,640,480:waittimer 98587 blt 0,0,640,480,0, 6342,640,480:waittimer 98603 blt 0,0,640,480,0, 6343,640,480:waittimer 98619 blt 0,0,640,480,0, 6344,640,480:waittimer 98634 blt 0,0,640,480,0, 6345,640,480:waittimer 98650 blt 0,0,640,480,0, 6346,640,480:waittimer 98665 blt 0,0,640,480,0, 6347,640,480:waittimer 98681 blt 0,0,640,480,0, 6348,640,480:waittimer 98696 blt 0,0,640,480,0, 6349,640,480:waittimer 98712 blt 0,0,640,480,0, 6350,640,480:waittimer 98727 blt 0,0,640,480,0, 6351,640,480:waittimer 98743 blt 0,0,640,480,0, 6352,640,480:waittimer 98759 blt 0,0,640,480,0, 6353,640,480:waittimer 98774 blt 0,0,640,480,0, 6354,640,480:waittimer 98790 blt 0,0,640,480,0, 6355,640,480:waittimer 98805 blt 0,0,640,480,0, 6356,640,480:waittimer 98821 blt 0,0,640,480,0, 6357,640,480:waittimer 98836 blt 0,0,640,480,0, 6358,640,480:waittimer 98852 blt 0,0,640,480,0, 6359,640,480:waittimer 98867 blt 0,0,640,480,0, 6360,640,480:waittimer 98883 blt 0,0,640,480,0, 6361,640,480:waittimer 98898 blt 0,0,640,480,0, 6362,640,480:waittimer 98914 blt 0,0,640,480,0, 6363,640,480:waittimer 98930 blt 0,0,640,480,0, 6364,640,480:waittimer 98945 blt 0,0,640,480,0, 6365,640,480:waittimer 98961 blt 0,0,640,480,0, 6366,640,480:waittimer 98976 blt 0,0,640,480,0, 6367,640,480:waittimer 98992 blt 0,0,640,480,0, 6368,640,480:waittimer 99007 blt 0,0,640,480,0, 6369,640,480:waittimer 99023 blt 0,0,640,480,0, 6370,640,480:waittimer 99038 blt 0,0,640,480,0, 6371,640,480:waittimer 99054 blt 0,0,640,480,0, 6372,640,480:waittimer 99069 blt 0,0,640,480,0, 6373,640,480:waittimer 99085 blt 0,0,640,480,0, 6374,640,480:waittimer 99101 blt 0,0,640,480,0, 6375,640,480:waittimer 99116 blt 0,0,640,480,0, 6376,640,480:waittimer 99132 blt 0,0,640,480,0, 6377,640,480:waittimer 99147 blt 0,0,640,480,0, 6378,640,480:waittimer 99163 blt 0,0,640,480,0, 6379,640,480:waittimer 99178 blt 0,0,640,480,0, 6380,640,480:waittimer 99194 blt 0,0,640,480,0, 6381,640,480:waittimer 99209 blt 0,0,640,480,0, 6382,640,480:waittimer 99225 blt 0,0,640,480,0, 6383,640,480:waittimer 99240 blt 0,0,640,480,0, 6384,640,480:waittimer 99256 blt 0,0,640,480,0, 6385,640,480:waittimer 99272 blt 0,0,640,480,0, 6386,640,480:waittimer 99287 blt 0,0,640,480,0, 6387,640,480:waittimer 99303 blt 0,0,640,480,0, 6388,640,480:waittimer 99318 blt 0,0,640,480,0, 6389,640,480:waittimer 99334 blt 0,0,640,480,0, 6390,640,480:waittimer 99349 blt 0,0,640,480,0, 6391,640,480:waittimer 99365 blt 0,0,640,480,0, 6392,640,480:waittimer 99380 blt 0,0,640,480,0, 6393,640,480:waittimer 99396 blt 0,0,640,480,0, 6394,640,480:waittimer 99412 blt 0,0,640,480,0, 6395,640,480:waittimer 99427 blt 0,0,640,480,0, 6396,640,480:waittimer 99443 blt 0,0,640,480,0, 6397,640,480:waittimer 99458 blt 0,0,640,480,0, 6398,640,480:waittimer 99474 blt 0,0,640,480,0, 6399,640,480:waittimer 99489 blt 0,0,640,480,0, 6400,640,480:waittimer 99505 blt 0,0,640,480,0, 6401,640,480:waittimer 99520 blt 0,0,640,480,0, 6402,640,480:waittimer 99536 blt 0,0,640,480,0, 6403,640,480:waittimer 99551 blt 0,0,640,480,0, 6404,640,480:waittimer 99567 blt 0,0,640,480,0, 6405,640,480:waittimer 99583 blt 0,0,640,480,0, 6406,640,480:waittimer 99598 blt 0,0,640,480,0, 6407,640,480:waittimer 99614 blt 0,0,640,480,0, 6408,640,480:waittimer 99629 blt 0,0,640,480,0, 6409,640,480:waittimer 99645 blt 0,0,640,480,0, 6410,640,480:waittimer 99660 blt 0,0,640,480,0, 6411,640,480:waittimer 99676 blt 0,0,640,480,0, 6412,640,480:waittimer 99691 blt 0,0,640,480,0, 6413,640,480:waittimer 99707 blt 0,0,640,480,0, 6414,640,480:waittimer 99722 blt 0,0,640,480,0, 6415,640,480:waittimer 99738 blt 0,0,640,480,0, 6416,640,480:waittimer 99754 blt 0,0,640,480,0, 6417,640,480:waittimer 99769 blt 0,0,640,480,0, 6418,640,480:waittimer 99785 blt 0,0,640,480,0, 6419,640,480:waittimer 99800 blt 0,0,640,480,0, 6420,640,480:waittimer 99816 blt 0,0,640,480,0, 6421,640,480:waittimer 99831 blt 0,0,640,480,0, 6422,640,480:waittimer 99847 blt 0,0,640,480,0, 6423,640,480:waittimer 99862 blt 0,0,640,480,0, 6424,640,480:waittimer 99878 blt 0,0,640,480,0, 6425,640,480:waittimer 99893 blt 0,0,640,480,0, 6426,640,480:waittimer 99909 blt 0,0,640,480,0, 6427,640,480:waittimer 99925 blt 0,0,640,480,0, 6428,640,480:waittimer 99940 blt 0,0,640,480,0, 6429,640,480:waittimer 99956 blt 0,0,640,480,0, 6430,640,480:waittimer 99971 blt 0,0,640,480,0, 6431,640,480:waittimer 99987 blt 0,0,640,480,0, 6432,640,480:waittimer 100002 blt 0,0,640,480,0, 6433,640,480:waittimer 100018 blt 0,0,640,480,0, 6434,640,480:waittimer 100033 blt 0,0,640,480,0, 6435,640,480:waittimer 100049 blt 0,0,640,480,0, 6436,640,480:waittimer 100065 blt 0,0,640,480,0, 6437,640,480:waittimer 100080 blt 0,0,640,480,0, 6438,640,480:waittimer 100096 blt 0,0,640,480,0, 6439,640,480:waittimer 100111 blt 0,0,640,480,0, 6440,640,480:waittimer 100127 blt 0,0,640,480,0, 6441,640,480:waittimer 100142 blt 0,0,640,480,0, 6442,640,480:waittimer 100158 blt 0,0,640,480,0, 6443,640,480:waittimer 100173 blt 0,0,640,480,0, 6444,640,480:waittimer 100189 blt 0,0,640,480,0, 6445,640,480:waittimer 100204 blt 0,0,640,480,0, 6446,640,480:waittimer 100220 blt 0,0,640,480,0, 6447,640,480:waittimer 100236 blt 0,0,640,480,0, 6448,640,480:waittimer 100251 blt 0,0,640,480,0, 6449,640,480:waittimer 100267 blt 0,0,640,480,0, 6450,640,480:waittimer 100282 blt 0,0,640,480,0, 6451,640,480:waittimer 100298 blt 0,0,640,480,0, 6452,640,480:waittimer 100313 blt 0,0,640,480,0, 6453,640,480:waittimer 100329 blt 0,0,640,480,0, 6454,640,480:waittimer 100344 blt 0,0,640,480,0, 6455,640,480:waittimer 100360 blt 0,0,640,480,0, 6456,640,480:waittimer 100375 blt 0,0,640,480,0, 6457,640,480:waittimer 100391 blt 0,0,640,480,0, 6458,640,480:waittimer 100407 blt 0,0,640,480,0, 6459,640,480:waittimer 100422 blt 0,0,640,480,0, 6460,640,480:waittimer 100438 blt 0,0,640,480,0, 6461,640,480:waittimer 100453 blt 0,0,640,480,0, 6462,640,480:waittimer 100469 blt 0,0,640,480,0, 6463,640,480:waittimer 100484 blt 0,0,640,480,0, 6464,640,480:waittimer 100500 blt 0,0,640,480,0, 6465,640,480:waittimer 100515 blt 0,0,640,480,0, 6466,640,480:waittimer 100531 blt 0,0,640,480,0, 6467,640,480:waittimer 100546 blt 0,0,640,480,0, 6468,640,480:waittimer 100562 blt 0,0,640,480,0, 6469,640,480:waittimer 100578 blt 0,0,640,480,0, 6470,640,480:waittimer 100593 blt 0,0,640,480,0, 6471,640,480:waittimer 100609 blt 0,0,640,480,0, 6472,640,480:waittimer 100624 blt 0,0,640,480,0, 6473,640,480:waittimer 100640 blt 0,0,640,480,0, 6474,640,480:waittimer 100655 blt 0,0,640,480,0, 6475,640,480:waittimer 100671 blt 0,0,640,480,0, 6476,640,480:waittimer 100686 blt 0,0,640,480,0, 6477,640,480:waittimer 100702 blt 0,0,640,480,0, 6478,640,480:waittimer 100718 blt 0,0,640,480,0, 6479,640,480:waittimer 100733 blt 0,0,640,480,0, 6480,640,480:waittimer 100749 blt 0,0,640,480,0, 6481,640,480:waittimer 100764 blt 0,0,640,480,0, 6482,640,480:waittimer 100780 blt 0,0,640,480,0, 6483,640,480:waittimer 100795 blt 0,0,640,480,0, 6484,640,480:waittimer 100811 blt 0,0,640,480,0, 6485,640,480:waittimer 100826 blt 0,0,640,480,0, 6486,640,480:waittimer 100842 blt 0,0,640,480,0, 6487,640,480:waittimer 100857 blt 0,0,640,480,0, 6488,640,480:waittimer 100873 blt 0,0,640,480,0, 6489,640,480:waittimer 100889 blt 0,0,640,480,0, 6490,640,480:waittimer 100904 blt 0,0,640,480,0, 6491,640,480:waittimer 100920 blt 0,0,640,480,0, 6492,640,480:waittimer 100935 blt 0,0,640,480,0, 6493,640,480:waittimer 100951 blt 0,0,640,480,0, 6494,640,480:waittimer 100966 blt 0,0,640,480,0, 6495,640,480:waittimer 100982 blt 0,0,640,480,0, 6496,640,480:waittimer 100997 blt 0,0,640,480,0, 6497,640,480:waittimer 101013 blt 0,0,640,480,0, 6498,640,480:waittimer 101028 blt 0,0,640,480,0, 6499,640,480:waittimer 101044 blt 0,0,640,480,0, 6500,640,480:waittimer 101060 blt 0,0,640,480,0, 6501,640,480:waittimer 101075 blt 0,0,640,480,0, 6502,640,480:waittimer 101091 blt 0,0,640,480,0, 6503,640,480:waittimer 101106 blt 0,0,640,480,0, 6504,640,480:waittimer 101122 blt 0,0,640,480,0, 6505,640,480:waittimer 101137 blt 0,0,640,480,0, 6506,640,480:waittimer 101153 blt 0,0,640,480,0, 6507,640,480:waittimer 101168 blt 0,0,640,480,0, 6508,640,480:waittimer 101184 blt 0,0,640,480,0, 6509,640,480:waittimer 101199 blt 0,0,640,480,0, 6510,640,480:waittimer 101215 blt 0,0,640,480,0, 6511,640,480:waittimer 101231 blt 0,0,640,480,0, 6512,640,480:waittimer 101246 blt 0,0,640,480,0, 6513,640,480:waittimer 101262 blt 0,0,640,480,0, 6514,640,480:waittimer 101277 blt 0,0,640,480,0, 6515,640,480:waittimer 101293 blt 0,0,640,480,0, 6516,640,480:waittimer 101308 blt 0,0,640,480,0, 6517,640,480:waittimer 101324 blt 0,0,640,480,0, 6518,640,480:waittimer 101339 blt 0,0,640,480,0, 6519,640,480:waittimer 101355 blt 0,0,640,480,0, 6520,640,480:waittimer 101371 blt 0,0,640,480,0, 6521,640,480:waittimer 101386 blt 0,0,640,480,0, 6522,640,480:waittimer 101402 blt 0,0,640,480,0, 6523,640,480:waittimer 101417 blt 0,0,640,480,0, 6524,640,480:waittimer 101433 blt 0,0,640,480,0, 6525,640,480:waittimer 101448 blt 0,0,640,480,0, 6526,640,480:waittimer 101464 blt 0,0,640,480,0, 6527,640,480:waittimer 101479 blt 0,0,640,480,0, 6528,640,480:waittimer 101495 blt 0,0,640,480,0, 6529,640,480:waittimer 101510 blt 0,0,640,480,0, 6530,640,480:waittimer 101526 blt 0,0,640,480,0, 6531,640,480:waittimer 101542 blt 0,0,640,480,0, 6532,640,480:waittimer 101557 blt 0,0,640,480,0, 6533,640,480:waittimer 101573 blt 0,0,640,480,0, 6534,640,480:waittimer 101588 blt 0,0,640,480,0, 6535,640,480:waittimer 101604 blt 0,0,640,480,0, 6536,640,480:waittimer 101619 blt 0,0,640,480,0, 6537,640,480:waittimer 101635 blt 0,0,640,480,0, 6538,640,480:waittimer 101650 blt 0,0,640,480,0, 6539,640,480:waittimer 101666 blt 0,0,640,480,0, 6540,640,480:waittimer 101681 blt 0,0,640,480,0, 6541,640,480:waittimer 101697 blt 0,0,640,480,0, 6542,640,480:waittimer 101713 blt 0,0,640,480,0, 6543,640,480:waittimer 101728 blt 0,0,640,480,0, 6544,640,480:waittimer 101744 blt 0,0,640,480,0, 6545,640,480:waittimer 101759 blt 0,0,640,480,0, 6546,640,480:waittimer 101775 blt 0,0,640,480,0, 6547,640,480:waittimer 101790 blt 0,0,640,480,0, 6548,640,480:waittimer 101806 blt 0,0,640,480,0, 6549,640,480:waittimer 101821 blt 0,0,640,480,0, 6550,640,480:waittimer 101837 blt 0,0,640,480,0, 6551,640,480:waittimer 101853 blt 0,0,640,480,0, 6552,640,480:waittimer 101868 blt 0,0,640,480,0, 6553,640,480:waittimer 101884 blt 0,0,640,480,0, 6554,640,480:waittimer 101899 blt 0,0,640,480,0, 6555,640,480:waittimer 101915 blt 0,0,640,480,0, 6556,640,480:waittimer 101930 blt 0,0,640,480,0, 6557,640,480:waittimer 101946 blt 0,0,640,480,0, 6558,640,480:waittimer 101961 blt 0,0,640,480,0, 6559,640,480:waittimer 101977 blt 0,0,640,480,0, 6560,640,480:waittimer 101992 blt 0,0,640,480,0, 6561,640,480:waittimer 102008 blt 0,0,640,480,0, 6562,640,480:waittimer 102024 blt 0,0,640,480,0, 6563,640,480:waittimer 102039 blt 0,0,640,480,0, 6564,640,480:waittimer 102055 blt 0,0,640,480,0, 6565,640,480:waittimer 102070 blt 0,0,640,480,0, 6566,640,480:waittimer 102086 blt 0,0,640,480,0, 6567,640,480:waittimer 102101 blt 0,0,640,480,0, 6568,640,480:waittimer 102117 blt 0,0,640,480,0, 6569,640,480:waittimer 102132 blt 0,0,640,480,0, 6570,640,480:waittimer 102148 blt 0,0,640,480,0, 6571,640,480:waittimer 102163 blt 0,0,640,480,0, 6572,640,480:waittimer 102179 blt 0,0,640,480,0, 6573,640,480:waittimer 102195 blt 0,0,640,480,0, 6574,640,480:waittimer 102210 blt 0,0,640,480,0, 6575,640,480:waittimer 102226 blt 0,0,640,480,0, 6576,640,480:waittimer 102241 blt 0,0,640,480,0, 6577,640,480:waittimer 102257 blt 0,0,640,480,0, 6578,640,480:waittimer 102272 blt 0,0,640,480,0, 6579,640,480:waittimer 102288 blt 0,0,640,480,0, 6580,640,480:waittimer 102303 blt 0,0,640,480,0, 6581,640,480:waittimer 102319 blt 0,0,640,480,0, 6582,640,480:waittimer 102334 blt 0,0,640,480,0, 6583,640,480:waittimer 102350 blt 0,0,640,480,0, 6584,640,480:waittimer 102366 blt 0,0,640,480,0, 6585,640,480:waittimer 102381 blt 0,0,640,480,0, 6586,640,480:waittimer 102397 blt 0,0,640,480,0, 6587,640,480:waittimer 102412 blt 0,0,640,480,0, 6588,640,480:waittimer 102428 blt 0,0,640,480,0, 6589,640,480:waittimer 102443 blt 0,0,640,480,0, 6590,640,480:waittimer 102459 blt 0,0,640,480,0, 6591,640,480:waittimer 102474 blt 0,0,640,480,0, 6592,640,480:waittimer 102490 blt 0,0,640,480,0, 6593,640,480:waittimer 102506 blt 0,0,640,480,0, 6594,640,480:waittimer 102521 blt 0,0,640,480,0, 6595,640,480:waittimer 102537 blt 0,0,640,480,0, 6596,640,480:waittimer 102552 blt 0,0,640,480,0, 6597,640,480:waittimer 102568 blt 0,0,640,480,0, 6598,640,480:waittimer 102583 blt 0,0,640,480,0, 6599,640,480:waittimer 102599 blt 0,0,640,480,0, 6600,640,480:waittimer 102614 blt 0,0,640,480,0, 6601,640,480:waittimer 102630 blt 0,0,640,480,0, 6602,640,480:waittimer 102645 blt 0,0,640,480,0, 6603,640,480:waittimer 102661 blt 0,0,640,480,0, 6604,640,480:waittimer 102677 blt 0,0,640,480,0, 6605,640,480:waittimer 102692 blt 0,0,640,480,0, 6606,640,480:waittimer 102708 blt 0,0,640,480,0, 6607,640,480:waittimer 102723 blt 0,0,640,480,0, 6608,640,480:waittimer 102739 blt 0,0,640,480,0, 6609,640,480:waittimer 102754 blt 0,0,640,480,0, 6610,640,480:waittimer 102770 blt 0,0,640,480,0, 6611,640,480:waittimer 102785 blt 0,0,640,480,0, 6612,640,480:waittimer 102801 blt 0,0,640,480,0, 6613,640,480:waittimer 102816 blt 0,0,640,480,0, 6614,640,480:waittimer 102832 blt 0,0,640,480,0, 6615,640,480:waittimer 102848 blt 0,0,640,480,0, 6616,640,480:waittimer 102863 blt 0,0,640,480,0, 6617,640,480:waittimer 102879 blt 0,0,640,480,0, 6618,640,480:waittimer 102894 blt 0,0,640,480,0, 6619,640,480:waittimer 102910 blt 0,0,640,480,0, 6620,640,480:waittimer 102925 blt 0,0,640,480,0, 6621,640,480:waittimer 102941 blt 0,0,640,480,0, 6622,640,480:waittimer 102956 blt 0,0,640,480,0, 6623,640,480:waittimer 102972 blt 0,0,640,480,0, 6624,640,480:waittimer 102987 blt 0,0,640,480,0, 6625,640,480:waittimer 103003 blt 0,0,640,480,0, 6626,640,480:waittimer 103019 blt 0,0,640,480,0, 6627,640,480:waittimer 103034 blt 0,0,640,480,0, 6628,640,480:waittimer 103050 blt 0,0,640,480,0, 6629,640,480:waittimer 103065 blt 0,0,640,480,0, 6630,640,480:waittimer 103081 blt 0,0,640,480,0, 6631,640,480:waittimer 103096 blt 0,0,640,480,0, 6632,640,480:waittimer 103112 blt 0,0,640,480,0, 6633,640,480:waittimer 103127 blt 0,0,640,480,0, 6634,640,480:waittimer 103143 blt 0,0,640,480,0, 6635,640,480:waittimer 103159 blt 0,0,640,480,0, 6636,640,480:waittimer 103174 blt 0,0,640,480,0, 6637,640,480:waittimer 103190 blt 0,0,640,480,0, 6638,640,480:waittimer 103205 blt 0,0,640,480,0, 6639,640,480:waittimer 103221 blt 0,0,640,480,0, 6640,640,480:waittimer 103236 blt 0,0,640,480,0, 6641,640,480:waittimer 103252 blt 0,0,640,480,0, 6642,640,480:waittimer 103267 blt 0,0,640,480,0, 6643,640,480:waittimer 103283 blt 0,0,640,480,0, 6644,640,480:waittimer 103298 blt 0,0,640,480,0, 6645,640,480:waittimer 103314 blt 0,0,640,480,0, 6646,640,480:waittimer 103330 blt 0,0,640,480,0, 6647,640,480:waittimer 103345 blt 0,0,640,480,0, 6648,640,480:waittimer 103361 blt 0,0,640,480,0, 6649,640,480:waittimer 103376 blt 0,0,640,480,0, 6650,640,480:waittimer 103392 blt 0,0,640,480,0, 6651,640,480:waittimer 103407 blt 0,0,640,480,0, 6652,640,480:waittimer 103423 blt 0,0,640,480,0, 6653,640,480:waittimer 103438 blt 0,0,640,480,0, 6654,640,480:waittimer 103454 blt 0,0,640,480,0, 6655,640,480:waittimer 103469 blt 0,0,640,480,0, 6656,640,480:waittimer 103485 blt 0,0,640,480,0, 6657,640,480:waittimer 103501 blt 0,0,640,480,0, 6658,640,480:waittimer 103516 blt 0,0,640,480,0, 6659,640,480:waittimer 103532 blt 0,0,640,480,0, 6660,640,480:waittimer 103547 blt 0,0,640,480,0, 6661,640,480:waittimer 103563 blt 0,0,640,480,0, 6662,640,480:waittimer 103578 blt 0,0,640,480,0, 6663,640,480:waittimer 103594 blt 0,0,640,480,0, 6664,640,480:waittimer 103609 blt 0,0,640,480,0, 6665,640,480:waittimer 103625 blt 0,0,640,480,0, 6666,640,480:waittimer 103640 blt 0,0,640,480,0, 6667,640,480:waittimer 103656 blt 0,0,640,480,0, 6668,640,480:waittimer 103672 blt 0,0,640,480,0, 6669,640,480:waittimer 103687 blt 0,0,640,480,0, 6670,640,480:waittimer 103703 blt 0,0,640,480,0, 6671,640,480:waittimer 103718 blt 0,0,640,480,0, 6672,640,480:waittimer 103734 blt 0,0,640,480,0, 6673,640,480:waittimer 103749 blt 0,0,640,480,0, 6674,640,480:waittimer 103765 blt 0,0,640,480,0, 6675,640,480:waittimer 103780 blt 0,0,640,480,0, 6676,640,480:waittimer 103796 blt 0,0,640,480,0, 6677,640,480:waittimer 103812 blt 0,0,640,480,0, 6678,640,480:waittimer 103827 blt 0,0,640,480,0, 6679,640,480:waittimer 103843 blt 0,0,640,480,0, 6680,640,480:waittimer 103858 blt 0,0,640,480,0, 6681,640,480:waittimer 103874 blt 0,0,640,480,0, 6682,640,480:waittimer 103889 blt 0,0,640,480,0, 6683,640,480:waittimer 103905 blt 0,0,640,480,0, 6684,640,480:waittimer 103920 blt 0,0,640,480,0, 6685,640,480:waittimer 103936 blt 0,0,640,480,0, 6686,640,480:waittimer 103951 blt 0,0,640,480,0, 6687,640,480:waittimer 103967 blt 0,0,640,480,0, 6688,640,480:waittimer 103983 blt 0,0,640,480,0, 6689,640,480:waittimer 103998 blt 0,0,640,480,0, 6690,640,480:waittimer 104014 blt 0,0,640,480,0, 6691,640,480:waittimer 104029 blt 0,0,640,480,0, 6692,640,480:waittimer 104045 blt 0,0,640,480,0, 6693,640,480:waittimer 104060 blt 0,0,640,480,0, 6694,640,480:waittimer 104076 blt 0,0,640,480,0, 6695,640,480:waittimer 104091 blt 0,0,640,480,0, 6696,640,480:waittimer 104107 blt 0,0,640,480,0, 6697,640,480:waittimer 104122 blt 0,0,640,480,0, 6698,640,480:waittimer 104138 blt 0,0,640,480,0, 6699,640,480:waittimer 104154 blt 0,0,640,480,0, 6700,640,480:waittimer 104169 blt 0,0,640,480,0, 6701,640,480:waittimer 104185 blt 0,0,640,480,0, 6702,640,480:waittimer 104200 blt 0,0,640,480,0, 6703,640,480:waittimer 104216 blt 0,0,640,480,0, 6704,640,480:waittimer 104231 blt 0,0,640,480,0, 6705,640,480:waittimer 104247 blt 0,0,640,480,0, 6706,640,480:waittimer 104262 blt 0,0,640,480,0, 6707,640,480:waittimer 104278 blt 0,0,640,480,0, 6708,640,480:waittimer 104293 blt 0,0,640,480,0, 6709,640,480:waittimer 104309 blt 0,0,640,480,0, 6710,640,480:waittimer 104325 blt 0,0,640,480,0, 6711,640,480:waittimer 104340 blt 0,0,640,480,0, 6712,640,480:waittimer 104356 blt 0,0,640,480,0, 6713,640,480:waittimer 104371 blt 0,0,640,480,0, 6714,640,480:waittimer 104387 blt 0,0,640,480,0, 6715,640,480:waittimer 104402 blt 0,0,640,480,0, 6716,640,480:waittimer 104418 blt 0,0,640,480,0, 6717,640,480:waittimer 104433 blt 0,0,640,480,0, 6718,640,480:waittimer 104449 blt 0,0,640,480,0, 6719,640,480:waittimer 104465 blt 0,0,640,480,0, 6720,640,480:waittimer 104480 blt 0,0,640,480,0, 6721,640,480:waittimer 104496 blt 0,0,640,480,0, 6722,640,480:waittimer 104511 blt 0,0,640,480,0, 6723,640,480:waittimer 104527 blt 0,0,640,480,0, 6724,640,480:waittimer 104542 blt 0,0,640,480,0, 6725,640,480:waittimer 104558 blt 0,0,640,480,0, 6726,640,480:waittimer 104573 blt 0,0,640,480,0, 6727,640,480:waittimer 104589 blt 0,0,640,480,0, 6728,640,480:waittimer 104604 blt 0,0,640,480,0, 6729,640,480:waittimer 104620 blt 0,0,640,480,0, 6730,640,480:waittimer 104636 blt 0,0,640,480,0, 6731,640,480:waittimer 104651 blt 0,0,640,480,0, 6732,640,480:waittimer 104667 blt 0,0,640,480,0, 6733,640,480:waittimer 104682 blt 0,0,640,480,0, 6734,640,480:waittimer 104698 blt 0,0,640,480,0, 6735,640,480:waittimer 104713 blt 0,0,640,480,0, 6736,640,480:waittimer 104729 blt 0,0,640,480,0, 6737,640,480:waittimer 104744 blt 0,0,640,480,0, 6738,640,480:waittimer 104760 blt 0,0,640,480,0, 6739,640,480:waittimer 104775 blt 0,0,640,480,0, 6740,640,480:waittimer 104791 blt 0,0,640,480,0, 6741,640,480:waittimer 104807 blt 0,0,640,480,0, 6742,640,480:waittimer 104822 blt 0,0,640,480,0, 6743,640,480:waittimer 104838 blt 0,0,640,480,0, 6744,640,480:waittimer 104853 blt 0,0,640,480,0, 6745,640,480:waittimer 104869 blt 0,0,640,480,0, 6746,640,480:waittimer 104884 blt 0,0,640,480,0, 6747,640,480:waittimer 104900 blt 0,0,640,480,0, 6748,640,480:waittimer 104915 blt 0,0,640,480,0, 6749,640,480:waittimer 104931 blt 0,0,640,480,0, 6750,640,480:waittimer 104946 blt 0,0,640,480,0, 6751,640,480:waittimer 104962 blt 0,0,640,480,0, 6752,640,480:waittimer 104978 blt 0,0,640,480,0, 6753,640,480:waittimer 104993 blt 0,0,640,480,0, 6754,640,480:waittimer 105009 blt 0,0,640,480,0, 6755,640,480:waittimer 105024 blt 0,0,640,480,0, 6756,640,480:waittimer 105040 blt 0,0,640,480,0, 6757,640,480:waittimer 105055 blt 0,0,640,480,0, 6758,640,480:waittimer 105071 blt 0,0,640,480,0, 6759,640,480:waittimer 105086 blt 0,0,640,480,0, 6760,640,480:waittimer 105102 blt 0,0,640,480,0, 6761,640,480:waittimer 105118 blt 0,0,640,480,0, 6762,640,480:waittimer 105133 blt 0,0,640,480,0, 6763,640,480:waittimer 105149 blt 0,0,640,480,0, 6764,640,480:waittimer 105164 blt 0,0,640,480,0, 6765,640,480:waittimer 105180 blt 0,0,640,480,0, 6766,640,480:waittimer 105195 blt 0,0,640,480,0, 6767,640,480:waittimer 105211 blt 0,0,640,480,0, 6768,640,480:waittimer 105226 blt 0,0,640,480,0, 6769,640,480:waittimer 105242 blt 0,0,640,480,0, 6770,640,480:waittimer 105257 blt 0,0,640,480,0, 6771,640,480:waittimer 105273 blt 0,0,640,480,0, 6772,640,480:waittimer 105289 blt 0,0,640,480,0, 6773,640,480:waittimer 105304 blt 0,0,640,480,0, 6774,640,480:waittimer 105320 blt 0,0,640,480,0, 6775,640,480:waittimer 105335 blt 0,0,640,480,0, 6776,640,480:waittimer 105351 blt 0,0,640,480,0, 6777,640,480:waittimer 105366 blt 0,0,640,480,0, 6778,640,480:waittimer 105382 blt 0,0,640,480,0, 6779,640,480:waittimer 105397 blt 0,0,640,480,0, 6780,640,480:waittimer 105413 blt 0,0,640,480,0, 6781,640,480:waittimer 105428 blt 0,0,640,480,0, 6782,640,480:waittimer 105444 blt 0,0,640,480,0, 6783,640,480:waittimer 105460 blt 0,0,640,480,0, 6784,640,480:waittimer 105475 blt 0,0,640,480,0, 6785,640,480:waittimer 105491 blt 0,0,640,480,0, 6786,640,480:waittimer 105506 blt 0,0,640,480,0, 6787,640,480:waittimer 105522 blt 0,0,640,480,0, 6788,640,480:waittimer 105537 blt 0,0,640,480,0, 6789,640,480:waittimer 105553 blt 0,0,640,480,0, 6790,640,480:waittimer 105568 blt 0,0,640,480,0, 6791,640,480:waittimer 105584 blt 0,0,640,480,0, 6792,640,480:waittimer 105599 blt 0,0,640,480,0, 6793,640,480:waittimer 105615 blt 0,0,640,480,0, 6794,640,480:waittimer 105631 blt 0,0,640,480,0, 6795,640,480:waittimer 105646 blt 0,0,640,480,0, 6796,640,480:waittimer 105662 blt 0,0,640,480,0, 6797,640,480:waittimer 105677 blt 0,0,640,480,0, 6798,640,480:waittimer 105693 blt 0,0,640,480,0, 6799,640,480:waittimer 105708 blt 0,0,640,480,0, 6800,640,480:waittimer 105724 blt 0,0,640,480,0, 6801,640,480:waittimer 105739 blt 0,0,640,480,0, 6802,640,480:waittimer 105755 blt 0,0,640,480,0, 6803,640,480:waittimer 105771 blt 0,0,640,480,0, 6804,640,480:waittimer 105786 blt 0,0,640,480,0, 6805,640,480:waittimer 105802 blt 0,0,640,480,0, 6806,640,480:waittimer 105817 blt 0,0,640,480,0, 6807,640,480:waittimer 105833 blt 0,0,640,480,0, 6808,640,480:waittimer 105848 blt 0,0,640,480,0, 6809,640,480:waittimer 105864 blt 0,0,640,480,0, 6810,640,480:waittimer 105879 blt 0,0,640,480,0, 6811,640,480:waittimer 105895 blt 0,0,640,480,0, 6812,640,480:waittimer 105910 blt 0,0,640,480,0, 6813,640,480:waittimer 105926 blt 0,0,640,480,0, 6814,640,480:waittimer 105942 blt 0,0,640,480,0, 6815,640,480:waittimer 105957 blt 0,0,640,480,0, 6816,640,480:waittimer 105973 blt 0,0,640,480,0, 6817,640,480:waittimer 105988 blt 0,0,640,480,0, 6818,640,480:waittimer 106004 blt 0,0,640,480,0, 6819,640,480:waittimer 106019 blt 0,0,640,480,0, 6820,640,480:waittimer 106035 blt 0,0,640,480,0, 6821,640,480:waittimer 106050 blt 0,0,640,480,0, 6822,640,480:waittimer 106066 blt 0,0,640,480,0, 6823,640,480:waittimer 106081 blt 0,0,640,480,0, 6824,640,480:waittimer 106097 blt 0,0,640,480,0, 6825,640,480:waittimer 106113 blt 0,0,640,480,0, 6826,640,480:waittimer 106128 blt 0,0,640,480,0, 6827,640,480:waittimer 106144 blt 0,0,640,480,0, 6828,640,480:waittimer 106159 blt 0,0,640,480,0, 6829,640,480:waittimer 106175 blt 0,0,640,480,0, 6830,640,480:waittimer 106190 blt 0,0,640,480,0, 6831,640,480:waittimer 106206 blt 0,0,640,480,0, 6832,640,480:waittimer 106221 blt 0,0,640,480,0, 6833,640,480:waittimer 106237 blt 0,0,640,480,0, 6834,640,480:waittimer 106253 blt 0,0,640,480,0, 6835,640,480:waittimer 106268 blt 0,0,640,480,0, 6836,640,480:waittimer 106284 blt 0,0,640,480,0, 6837,640,480:waittimer 106299 blt 0,0,640,480,0, 6838,640,480:waittimer 106315 blt 0,0,640,480,0, 6839,640,480:waittimer 106330 blt 0,0,640,480,0, 6840,640,480:waittimer 106346 blt 0,0,640,480,0, 6841,640,480:waittimer 106361 blt 0,0,640,480,0, 6842,640,480:waittimer 106377 blt 0,0,640,480,0, 6843,640,480:waittimer 106392 blt 0,0,640,480,0, 6844,640,480:waittimer 106408 blt 0,0,640,480,0, 6845,640,480:waittimer 106424 blt 0,0,640,480,0, 6846,640,480:waittimer 106439 blt 0,0,640,480,0, 6847,640,480:waittimer 106455 blt 0,0,640,480,0, 6848,640,480:waittimer 106470 blt 0,0,640,480,0, 6849,640,480:waittimer 106486 blt 0,0,640,480,0, 6850,640,480:waittimer 106501 blt 0,0,640,480,0, 6851,640,480:waittimer 106517 blt 0,0,640,480,0, 6852,640,480:waittimer 106532 blt 0,0,640,480,0, 6853,640,480:waittimer 106548 blt 0,0,640,480,0, 6854,640,480:waittimer 106563 blt 0,0,640,480,0, 6855,640,480:waittimer 106579 blt 0,0,640,480,0, 6856,640,480:waittimer 106595 blt 0,0,640,480,0, 6857,640,480:waittimer 106610 blt 0,0,640,480,0, 6858,640,480:waittimer 106626 blt 0,0,640,480,0, 6859,640,480:waittimer 106641 blt 0,0,640,480,0, 6860,640,480:waittimer 106657 blt 0,0,640,480,0, 6861,640,480:waittimer 106672 blt 0,0,640,480,0, 6862,640,480:waittimer 106688 blt 0,0,640,480,0, 6863,640,480:waittimer 106703 blt 0,0,640,480,0, 6864,640,480:waittimer 106719 blt 0,0,640,480,0, 6865,640,480:waittimer 106734 blt 0,0,640,480,0, 6866,640,480:waittimer 106750 blt 0,0,640,480,0, 6867,640,480:waittimer 106766 blt 0,0,640,480,0, 6868,640,480:waittimer 106781 blt 0,0,640,480,0, 6869,640,480:waittimer 106797 blt 0,0,640,480,0, 6870,640,480:waittimer 106812 blt 0,0,640,480,0, 6871,640,480:waittimer 106828 blt 0,0,640,480,0, 6872,640,480:waittimer 106843 blt 0,0,640,480,0, 6873,640,480:waittimer 106859 blt 0,0,640,480,0, 6874,640,480:waittimer 106874 blt 0,0,640,480,0, 6875,640,480:waittimer 106890 blt 0,0,640,480,0, 6876,640,480:waittimer 106906 blt 0,0,640,480,0, 6877,640,480:waittimer 106921 blt 0,0,640,480,0, 6878,640,480:waittimer 106937 blt 0,0,640,480,0, 6879,640,480:waittimer 106952 blt 0,0,640,480,0, 6880,640,480:waittimer 106968 blt 0,0,640,480,0, 6881,640,480:waittimer 106983 blt 0,0,640,480,0, 6882,640,480:waittimer 106999 blt 0,0,640,480,0, 6883,640,480:waittimer 107014 blt 0,0,640,480,0, 6884,640,480:waittimer 107030 blt 0,0,640,480,0, 6885,640,480:waittimer 107045 blt 0,0,640,480,0, 6886,640,480:waittimer 107061 blt 0,0,640,480,0, 6887,640,480:waittimer 107077 blt 0,0,640,480,0, 6888,640,480:waittimer 107092 blt 0,0,640,480,0, 6889,640,480:waittimer 107108 blt 0,0,640,480,0, 6890,640,480:waittimer 107123 blt 0,0,640,480,0, 6891,640,480:waittimer 107139 blt 0,0,640,480,0, 6892,640,480:waittimer 107154 blt 0,0,640,480,0, 6893,640,480:waittimer 107170 blt 0,0,640,480,0, 6894,640,480:waittimer 107185 blt 0,0,640,480,0, 6895,640,480:waittimer 107201 blt 0,0,640,480,0, 6896,640,480:waittimer 107216 blt 0,0,640,480,0, 6897,640,480:waittimer 107232 blt 0,0,640,480,0, 6898,640,480:waittimer 107248 blt 0,0,640,480,0, 6899,640,480:waittimer 107263 blt 0,0,640,480,0, 6900,640,480:waittimer 107279 blt 0,0,640,480,0, 6901,640,480:waittimer 107294 blt 0,0,640,480,0, 6902,640,480:waittimer 107310 blt 0,0,640,480,0, 6903,640,480:waittimer 107325 blt 0,0,640,480,0, 6904,640,480:waittimer 107341 blt 0,0,640,480,0, 6905,640,480:waittimer 107356 blt 0,0,640,480,0, 6906,640,480:waittimer 107372 blt 0,0,640,480,0, 6907,640,480:waittimer 107387 blt 0,0,640,480,0, 6908,640,480:waittimer 107403 blt 0,0,640,480,0, 6909,640,480:waittimer 107419 blt 0,0,640,480,0, 6910,640,480:waittimer 107434 blt 0,0,640,480,0, 6911,640,480:waittimer 107450 blt 0,0,640,480,0, 6912,640,480:waittimer 107465 blt 0,0,640,480,0, 6913,640,480:waittimer 107481 blt 0,0,640,480,0, 6914,640,480:waittimer 107496 blt 0,0,640,480,0, 6915,640,480:waittimer 107512 blt 0,0,640,480,0, 6916,640,480:waittimer 107527 blt 0,0,640,480,0, 6917,640,480:waittimer 107543 blt 0,0,640,480,0, 6918,640,480:waittimer 107559 blt 0,0,640,480,0, 6919,640,480:waittimer 107574 blt 0,0,640,480,0, 6920,640,480:waittimer 107590 blt 0,0,640,480,0, 6921,640,480:waittimer 107605 blt 0,0,640,480,0, 6922,640,480:waittimer 107621 blt 0,0,640,480,0, 6923,640,480:waittimer 107636 blt 0,0,640,480,0, 6924,640,480:waittimer 107652 blt 0,0,640,480,0, 6925,640,480:waittimer 107667 blt 0,0,640,480,0, 6926,640,480:waittimer 107683 blt 0,0,640,480,0, 6927,640,480:waittimer 107698 blt 0,0,640,480,0, 6928,640,480:waittimer 107714 blt 0,0,640,480,0, 6929,640,480:waittimer 107730 blt 0,0,640,480,0, 6930,640,480:waittimer 107745 blt 0,0,640,480,0, 6931,640,480:waittimer 107761 blt 0,0,640,480,0, 6932,640,480:waittimer 107776 blt 0,0,640,480,0, 6933,640,480:waittimer 107792 blt 0,0,640,480,0, 6934,640,480:waittimer 107807 blt 0,0,640,480,0, 6935,640,480:waittimer 107823 blt 0,0,640,480,0, 6936,640,480:waittimer 107838 blt 0,0,640,480,0, 6937,640,480:waittimer 107854 blt 0,0,640,480,0, 6938,640,480:waittimer 107869 blt 0,0,640,480,0, 6939,640,480:waittimer 107885 blt 0,0,640,480,0, 6940,640,480:waittimer 107901 blt 0,0,640,480,0, 6941,640,480:waittimer 107916 blt 0,0,640,480,0, 6942,640,480:waittimer 107932 blt 0,0,640,480,0, 6943,640,480:waittimer 107947 blt 0,0,640,480,0, 6944,640,480:waittimer 107963 blt 0,0,640,480,0, 6945,640,480:waittimer 107978 blt 0,0,640,480,0, 6946,640,480:waittimer 107994 blt 0,0,640,480,0, 6947,640,480:waittimer 108009 blt 0,0,640,480,0, 6948,640,480:waittimer 108025 blt 0,0,640,480,0, 6949,640,480:waittimer 108040 blt 0,0,640,480,0, 6950,640,480:waittimer 108056 blt 0,0,640,480,0, 6951,640,480:waittimer 108072 blt 0,0,640,480,0, 6952,640,480:waittimer 108087 blt 0,0,640,480,0, 6953,640,480:waittimer 108103 blt 0,0,640,480,0, 6954,640,480:waittimer 108118 blt 0,0,640,480,0, 6955,640,480:waittimer 108134 blt 0,0,640,480,0, 6956,640,480:waittimer 108149 blt 0,0,640,480,0, 6957,640,480:waittimer 108165 blt 0,0,640,480,0, 6958,640,480:waittimer 108180 blt 0,0,640,480,0, 6959,640,480:waittimer 108196 blt 0,0,640,480,0, 6960,640,480:waittimer 108212 blt 0,0,640,480,0, 6961,640,480:waittimer 108227 blt 0,0,640,480,0, 6962,640,480:waittimer 108243 blt 0,0,640,480,0, 6963,640,480:waittimer 108258 blt 0,0,640,480,0, 6964,640,480:waittimer 108274 blt 0,0,640,480,0, 6965,640,480:waittimer 108289 blt 0,0,640,480,0, 6966,640,480:waittimer 108305 blt 0,0,640,480,0, 6967,640,480:waittimer 108320 blt 0,0,640,480,0, 6968,640,480:waittimer 108336 blt 0,0,640,480,0, 6969,640,480:waittimer 108351 blt 0,0,640,480,0, 6970,640,480:waittimer 108367 blt 0,0,640,480,0, 6971,640,480:waittimer 108383 blt 0,0,640,480,0, 6972,640,480:waittimer 108398 blt 0,0,640,480,0, 6973,640,480:waittimer 108414 blt 0,0,640,480,0, 6974,640,480:waittimer 108429 blt 0,0,640,480,0, 6975,640,480:waittimer 108445 blt 0,0,640,480,0, 6976,640,480:waittimer 108460 blt 0,0,640,480,0, 6977,640,480:waittimer 108476 blt 0,0,640,480,0, 6978,640,480:waittimer 108491 blt 0,0,640,480,0, 6979,640,480:waittimer 108507 blt 0,0,640,480,0, 6980,640,480:waittimer 108522 blt 0,0,640,480,0, 6981,640,480:waittimer 108538 blt 0,0,640,480,0, 6982,640,480:waittimer 108554 blt 0,0,640,480,0, 6983,640,480:waittimer 108569 blt 0,0,640,480,0, 6984,640,480:waittimer 108585 blt 0,0,640,480,0, 6985,640,480:waittimer 108600 blt 0,0,640,480,0, 6986,640,480:waittimer 108616 blt 0,0,640,480,0, 6987,640,480:waittimer 108631 blt 0,0,640,480,0, 6988,640,480:waittimer 108647 blt 0,0,640,480,0, 6989,640,480:waittimer 108662 blt 0,0,640,480,0, 6990,640,480:waittimer 108678 blt 0,0,640,480,0, 6991,640,480:waittimer 108693 blt 0,0,640,480,0, 6992,640,480:waittimer 108709 blt 0,0,640,480,0, 6993,640,480:waittimer 108725 blt 0,0,640,480,0, 6994,640,480:waittimer 108740 blt 0,0,640,480,0, 6995,640,480:waittimer 108756 blt 0,0,640,480,0, 6996,640,480:waittimer 108771 blt 0,0,640,480,0, 6997,640,480:waittimer 108787 blt 0,0,640,480,0, 6998,640,480:waittimer 108802 blt 0,0,640,480,0, 6999,640,480:waittimer 108818 blt 0,0,640,480,0, 7000,640,480:waittimer 108833 blt 0,0,640,480,0, 7001,640,480:waittimer 108849 blt 0,0,640,480,0, 7002,640,480:waittimer 108865 blt 0,0,640,480,0, 7003,640,480:waittimer 108880 blt 0,0,640,480,0, 7004,640,480:waittimer 108896 blt 0,0,640,480,0, 7005,640,480:waittimer 108911 blt 0,0,640,480,0, 7006,640,480:waittimer 108927 blt 0,0,640,480,0, 7007,640,480:waittimer 108942 blt 0,0,640,480,0, 7008,640,480:waittimer 108958 blt 0,0,640,480,0, 7009,640,480:waittimer 108973 blt 0,0,640,480,0, 7010,640,480:waittimer 108989 blt 0,0,640,480,0, 7011,640,480:waittimer 109004 blt 0,0,640,480,0, 7012,640,480:waittimer 109020 blt 0,0,640,480,0, 7013,640,480:waittimer 109036 blt 0,0,640,480,0, 7014,640,480:waittimer 109051 blt 0,0,640,480,0, 7015,640,480:waittimer 109067 blt 0,0,640,480,0, 7016,640,480:waittimer 109082 blt 0,0,640,480,0, 7017,640,480:waittimer 109098 blt 0,0,640,480,0, 7018,640,480:waittimer 109113 blt 0,0,640,480,0, 7019,640,480:waittimer 109129 blt 0,0,640,480,0, 7020,640,480:waittimer 109144 blt 0,0,640,480,0, 7021,640,480:waittimer 109160 blt 0,0,640,480,0, 7022,640,480:waittimer 109175 blt 0,0,640,480,0, 7023,640,480:waittimer 109191 blt 0,0,640,480,0, 7024,640,480:waittimer 109207 blt 0,0,640,480,0, 7025,640,480:waittimer 109222 blt 0,0,640,480,0, 7026,640,480:waittimer 109238 blt 0,0,640,480,0, 7027,640,480:waittimer 109253 blt 0,0,640,480,0, 7028,640,480:waittimer 109269 blt 0,0,640,480,0, 7029,640,480:waittimer 109284 blt 0,0,640,480,0, 7030,640,480:waittimer 109300 blt 0,0,640,480,0, 7031,640,480:waittimer 109315 blt 0,0,640,480,0, 7032,640,480:waittimer 109331 blt 0,0,640,480,0, 7033,640,480:waittimer 109346 blt 0,0,640,480,0, 7034,640,480:waittimer 109362 blt 0,0,640,480,0, 7035,640,480:waittimer 109378 blt 0,0,640,480,0, 7036,640,480:waittimer 109393 blt 0,0,640,480,0, 7037,640,480:waittimer 109409 blt 0,0,640,480,0, 7038,640,480:waittimer 109424 blt 0,0,640,480,0, 7039,640,480:waittimer 109440 blt 0,0,640,480,0, 7040,640,480:waittimer 109455 blt 0,0,640,480,0, 7041,640,480:waittimer 109471 blt 0,0,640,480,0, 7042,640,480:waittimer 109486 blt 0,0,640,480,0, 7043,640,480:waittimer 109502 blt 0,0,640,480,0, 7044,640,480:waittimer 109518 blt 0,0,640,480,0, 7045,640,480:waittimer 109533 blt 0,0,640,480,0, 7046,640,480:waittimer 109549 blt 0,0,640,480,0, 7047,640,480:waittimer 109564 blt 0,0,640,480,0, 7048,640,480:waittimer 109580 blt 0,0,640,480,0, 7049,640,480:waittimer 109595 blt 0,0,640,480,0, 7050,640,480:waittimer 109611 blt 0,0,640,480,0, 7051,640,480:waittimer 109626 blt 0,0,640,480,0, 7052,640,480:waittimer 109642 blt 0,0,640,480,0, 7053,640,480:waittimer 109657 blt 0,0,640,480,0, 7054,640,480:waittimer 109673 blt 0,0,640,480,0, 7055,640,480:waittimer 109689 blt 0,0,640,480,0, 7056,640,480:waittimer 109704 blt 0,0,640,480,0, 7057,640,480:waittimer 109720 blt 0,0,640,480,0, 7058,640,480:waittimer 109735 blt 0,0,640,480,0, 7059,640,480:waittimer 109751 blt 0,0,640,480,0, 7060,640,480:waittimer 109766 blt 0,0,640,480,0, 7061,640,480:waittimer 109782 blt 0,0,640,480,0, 7062,640,480:waittimer 109797 blt 0,0,640,480,0, 7063,640,480:waittimer 109813 blt 0,0,640,480,0, 7064,640,480:waittimer 109828 blt 0,0,640,480,0, 7065,640,480:waittimer 109844 blt 0,0,640,480,0, 7066,640,480:waittimer 109860 blt 0,0,640,480,0, 7067,640,480:waittimer 109875 blt 0,0,640,480,0, 7068,640,480:waittimer 109891 blt 0,0,640,480,0, 7069,640,480:waittimer 109906 blt 0,0,640,480,0, 7070,640,480:waittimer 109922 blt 0,0,640,480,0, 7071,640,480:waittimer 109937 blt 0,0,640,480,0, 7072,640,480:waittimer 109953 blt 0,0,640,480,0, 7073,640,480:waittimer 109968 blt 0,0,640,480,0, 7074,640,480:waittimer 109984 blt 0,0,640,480,0, 7075,640,480:waittimer 109999 blt 0,0,640,480,0, 7076,640,480:waittimer 110015 blt 0,0,640,480,0, 7077,640,480:waittimer 110031 blt 0,0,640,480,0, 7078,640,480:waittimer 110046 blt 0,0,640,480,0, 7079,640,480:waittimer 110062 blt 0,0,640,480,0, 7080,640,480:waittimer 110077 blt 0,0,640,480,0, 7081,640,480:waittimer 110093 blt 0,0,640,480,0, 7082,640,480:waittimer 110108 blt 0,0,640,480,0, 7083,640,480:waittimer 110124 blt 0,0,640,480,0, 7084,640,480:waittimer 110139 blt 0,0,640,480,0, 7085,640,480:waittimer 110155 blt 0,0,640,480,0, 7086,640,480:waittimer 110171 blt 0,0,640,480,0, 7087,640,480:waittimer 110186 blt 0,0,640,480,0, 7088,640,480:waittimer 110202 blt 0,0,640,480,0, 7089,640,480:waittimer 110217 blt 0,0,640,480,0, 7090,640,480:waittimer 110233 blt 0,0,640,480,0, 7091,640,480:waittimer 110248 blt 0,0,640,480,0, 7092,640,480:waittimer 110264 blt 0,0,640,480,0, 7093,640,480:waittimer 110279 blt 0,0,640,480,0, 7094,640,480:waittimer 110295 blt 0,0,640,480,0, 7095,640,480:waittimer 110310 blt 0,0,640,480,0, 7096,640,480:waittimer 110326 blt 0,0,640,480,0, 7097,640,480:waittimer 110342 blt 0,0,640,480,0, 7098,640,480:waittimer 110357 blt 0,0,640,480,0, 7099,640,480:waittimer 110373 blt 0,0,640,480,0, 7100,640,480:waittimer 110388 blt 0,0,640,480,0, 7101,640,480:waittimer 110404 blt 0,0,640,480,0, 7102,640,480:waittimer 110419 blt 0,0,640,480,0, 7103,640,480:waittimer 110435 blt 0,0,640,480,0, 7104,640,480:waittimer 110450 blt 0,0,640,480,0, 7105,640,480:waittimer 110466 blt 0,0,640,480,0, 7106,640,480:waittimer 110481 blt 0,0,640,480,0, 7107,640,480:waittimer 110497 blt 0,0,640,480,0, 7108,640,480:waittimer 110513 blt 0,0,640,480,0, 7109,640,480:waittimer 110528 blt 0,0,640,480,0, 7110,640,480:waittimer 110544 blt 0,0,640,480,0, 7111,640,480:waittimer 110559 blt 0,0,640,480,0, 7112,640,480:waittimer 110575 blt 0,0,640,480,0, 7113,640,480:waittimer 110590 blt 0,0,640,480,0, 7114,640,480:waittimer 110606 blt 0,0,640,480,0, 7115,640,480:waittimer 110621 blt 0,0,640,480,0, 7116,640,480:waittimer 110637 blt 0,0,640,480,0, 7117,640,480:waittimer 110653 blt 0,0,640,480,0, 7118,640,480:waittimer 110668 blt 0,0,640,480,0, 7119,640,480:waittimer 110684 blt 0,0,640,480,0, 7120,640,480:waittimer 110699 blt 0,0,640,480,0, 7121,640,480:waittimer 110715 blt 0,0,640,480,0, 7122,640,480:waittimer 110730 blt 0,0,640,480,0, 7123,640,480:waittimer 110746 blt 0,0,640,480,0, 7124,640,480:waittimer 110761 blt 0,0,640,480,0, 7125,640,480:waittimer 110777 blt 0,0,640,480,0, 7126,640,480:waittimer 110792 blt 0,0,640,480,0, 7127,640,480:waittimer 110808 blt 0,0,640,480,0, 7128,640,480:waittimer 110824 blt 0,0,640,480,0, 7129,640,480:waittimer 110839 blt 0,0,640,480,0, 7130,640,480:waittimer 110855 blt 0,0,640,480,0, 7131,640,480:waittimer 110870 blt 0,0,640,480,0, 7132,640,480:waittimer 110886 blt 0,0,640,480,0, 7133,640,480:waittimer 110901 blt 0,0,640,480,0, 7134,640,480:waittimer 110917 blt 0,0,640,480,0, 7135,640,480:waittimer 110932 blt 0,0,640,480,0, 7136,640,480:waittimer 110948 blt 0,0,640,480,0, 7137,640,480:waittimer 110963 blt 0,0,640,480,0, 7138,640,480:waittimer 110979 blt 0,0,640,480,0, 7139,640,480:waittimer 110995 blt 0,0,640,480,0, 7140,640,480:waittimer 111010 blt 0,0,640,480,0, 7141,640,480:waittimer 111026 blt 0,0,640,480,0, 7142,640,480:waittimer 111041 blt 0,0,640,480,0, 7143,640,480:waittimer 111057 blt 0,0,640,480,0, 7144,640,480:waittimer 111072 blt 0,0,640,480,0, 7145,640,480:waittimer 111088 blt 0,0,640,480,0, 7146,640,480:waittimer 111103 blt 0,0,640,480,0, 7147,640,480:waittimer 111119 blt 0,0,640,480,0, 7148,640,480:waittimer 111134 blt 0,0,640,480,0, 7149,640,480:waittimer 111150 blt 0,0,640,480,0, 7150,640,480:waittimer 111166 blt 0,0,640,480,0, 7151,640,480:waittimer 111181 blt 0,0,640,480,0, 7152,640,480:waittimer 111197 blt 0,0,640,480,0, 7153,640,480:waittimer 111212 blt 0,0,640,480,0, 7154,640,480:waittimer 111228 blt 0,0,640,480,0, 7155,640,480:waittimer 111243 blt 0,0,640,480,0, 7156,640,480:waittimer 111259 blt 0,0,640,480,0, 7157,640,480:waittimer 111274 blt 0,0,640,480,0, 7158,640,480:waittimer 111290 blt 0,0,640,480,0, 7159,640,480:waittimer 111306 blt 0,0,640,480,0, 7160,640,480:waittimer 111321 blt 0,0,640,480,0, 7161,640,480:waittimer 111337 blt 0,0,640,480,0, 7162,640,480:waittimer 111352 blt 0,0,640,480,0, 7163,640,480:waittimer 111368 blt 0,0,640,480,0, 7164,640,480:waittimer 111383 blt 0,0,640,480,0, 7165,640,480:waittimer 111399 blt 0,0,640,480,0, 7166,640,480:waittimer 111414 blt 0,0,640,480,0, 7167,640,480:waittimer 111430 blt 0,0,640,480,0, 7168,640,480:waittimer 111445 blt 0,0,640,480,0, 7169,640,480:waittimer 111461 blt 0,0,640,480,0, 7170,640,480:waittimer 111477 blt 0,0,640,480,0, 7171,640,480:waittimer 111492 blt 0,0,640,480,0, 7172,640,480:waittimer 111508 blt 0,0,640,480,0, 7173,640,480:waittimer 111523 blt 0,0,640,480,0, 7174,640,480:waittimer 111539 blt 0,0,640,480,0, 7175,640,480:waittimer 111554 blt 0,0,640,480,0, 7176,640,480:waittimer 111570 blt 0,0,640,480,0, 7177,640,480:waittimer 111585 blt 0,0,640,480,0, 7178,640,480:waittimer 111601 blt 0,0,640,480,0, 7179,640,480:waittimer 111616 blt 0,0,640,480,0, 7180,640,480:waittimer 111632 blt 0,0,640,480,0, 7181,640,480:waittimer 111648 blt 0,0,640,480,0, 7182,640,480:waittimer 111663 blt 0,0,640,480,0, 7183,640,480:waittimer 111679 blt 0,0,640,480,0, 7184,640,480:waittimer 111694 blt 0,0,640,480,0, 7185,640,480:waittimer 111710 blt 0,0,640,480,0, 7186,640,480:waittimer 111725 blt 0,0,640,480,0, 7187,640,480:waittimer 111741 blt 0,0,640,480,0, 7188,640,480:waittimer 111756 blt 0,0,640,480,0, 7189,640,480:waittimer 111772 blt 0,0,640,480,0, 7190,640,480:waittimer 111787 blt 0,0,640,480,0, 7191,640,480:waittimer 111803 blt 0,0,640,480,0, 7192,640,480:waittimer 111819 blt 0,0,640,480,0, 7193,640,480:waittimer 111834 blt 0,0,640,480,0, 7194,640,480:waittimer 111850 blt 0,0,640,480,0, 7195,640,480:waittimer 111865 blt 0,0,640,480,0, 7196,640,480:waittimer 111881 blt 0,0,640,480,0, 7197,640,480:waittimer 111896 blt 0,0,640,480,0, 7198,640,480:waittimer 111912 blt 0,0,640,480,0, 7199,640,480:waittimer 111927 blt 0,0,640,480,0, 7200,640,480:waittimer 111943 blt 0,0,640,480,0, 7201,640,480:waittimer 111959 blt 0,0,640,480,0, 7202,640,480:waittimer 111974 blt 0,0,640,480,0, 7203,640,480:waittimer 111990 blt 0,0,640,480,0, 7204,640,480:waittimer 112005 blt 0,0,640,480,0, 7205,640,480:waittimer 112021 blt 0,0,640,480,0, 7206,640,480:waittimer 112036 blt 0,0,640,480,0, 7207,640,480:waittimer 112052 blt 0,0,640,480,0, 7208,640,480:waittimer 112067 blt 0,0,640,480,0, 7209,640,480:waittimer 112083 blt 0,0,640,480,0, 7210,640,480:waittimer 112098 blt 0,0,640,480,0, 7211,640,480:waittimer 112114 blt 0,0,640,480,0, 7212,640,480:waittimer 112130 blt 0,0,640,480,0, 7213,640,480:waittimer 112145 blt 0,0,640,480,0, 7214,640,480:waittimer 112161 blt 0,0,640,480,0, 7215,640,480:waittimer 112176 blt 0,0,640,480,0, 7216,640,480:waittimer 112192 blt 0,0,640,480,0, 7217,640,480:waittimer 112207 blt 0,0,640,480,0, 7218,640,480:waittimer 112223 blt 0,0,640,480,0, 7219,640,480:waittimer 112238 blt 0,0,640,480,0, 7220,640,480:waittimer 112254 blt 0,0,640,480,0, 7221,640,480:waittimer 112269 blt 0,0,640,480,0, 7222,640,480:waittimer 112285 blt 0,0,640,480,0, 7223,640,480:waittimer 112301 blt 0,0,640,480,0, 7224,640,480:waittimer 112316 blt 0,0,640,480,0, 7225,640,480:waittimer 112332 blt 0,0,640,480,0, 7226,640,480:waittimer 112347 blt 0,0,640,480,0, 7227,640,480:waittimer 112363 blt 0,0,640,480,0, 7228,640,480:waittimer 112378 blt 0,0,640,480,0, 7229,640,480:waittimer 112394 blt 0,0,640,480,0, 7230,640,480:waittimer 112409 blt 0,0,640,480,0, 7231,640,480:waittimer 112425 blt 0,0,640,480,0, 7232,640,480:waittimer 112440 blt 0,0,640,480,0, 7233,640,480:waittimer 112456 blt 0,0,640,480,0, 7234,640,480:waittimer 112472 blt 0,0,640,480,0, 7235,640,480:waittimer 112487 blt 0,0,640,480,0, 7236,640,480:waittimer 112503 blt 0,0,640,480,0, 7237,640,480:waittimer 112518 blt 0,0,640,480,0, 7238,640,480:waittimer 112534 blt 0,0,640,480,0, 7239,640,480:waittimer 112549 blt 0,0,640,480,0, 7240,640,480:waittimer 112565 blt 0,0,640,480,0, 7241,640,480:waittimer 112580 blt 0,0,640,480,0, 7242,640,480:waittimer 112596 blt 0,0,640,480,0, 7243,640,480:waittimer 112612 blt 0,0,640,480,0, 7244,640,480:waittimer 112627 blt 0,0,640,480,0, 7245,640,480:waittimer 112643 blt 0,0,640,480,0, 7246,640,480:waittimer 112658 blt 0,0,640,480,0, 7247,640,480:waittimer 112674 blt 0,0,640,480,0, 7248,640,480:waittimer 112689 blt 0,0,640,480,0, 7249,640,480:waittimer 112705 blt 0,0,640,480,0, 7250,640,480:waittimer 112720 blt 0,0,640,480,0, 7251,640,480:waittimer 112736 blt 0,0,640,480,0, 7252,640,480:waittimer 112751 blt 0,0,640,480,0, 7253,640,480:waittimer 112767 blt 0,0,640,480,0, 7254,640,480:waittimer 112783 blt 0,0,640,480,0, 7255,640,480:waittimer 112798 blt 0,0,640,480,0, 7256,640,480:waittimer 112814 blt 0,0,640,480,0, 7257,640,480:waittimer 112829 blt 0,0,640,480,0, 7258,640,480:waittimer 112845 blt 0,0,640,480,0, 7259,640,480:waittimer 112860 blt 0,0,640,480,0, 7260,640,480:waittimer 112876 blt 0,0,640,480,0, 7261,640,480:waittimer 112891 blt 0,0,640,480,0, 7262,640,480:waittimer 112907 blt 0,0,640,480,0, 7263,640,480:waittimer 112922 blt 0,0,640,480,0, 7264,640,480:waittimer 112938 blt 0,0,640,480,0, 7265,640,480:waittimer 112954 blt 0,0,640,480,0, 7266,640,480:waittimer 112969 blt 0,0,640,480,0, 7267,640,480:waittimer 112985 blt 0,0,640,480,0, 7268,640,480:waittimer 113000 blt 0,0,640,480,0, 7269,640,480:waittimer 113016 blt 0,0,640,480,0, 7270,640,480:waittimer 113031 blt 0,0,640,480,0, 7271,640,480:waittimer 113047 blt 0,0,640,480,0, 7272,640,480:waittimer 113062 blt 0,0,640,480,0, 7273,640,480:waittimer 113078 blt 0,0,640,480,0, 7274,640,480:waittimer 113093 blt 0,0,640,480,0, 7275,640,480:waittimer 113109 blt 0,0,640,480,0, 7276,640,480:waittimer 113125 blt 0,0,640,480,0, 7277,640,480:waittimer 113140 blt 0,0,640,480,0, 7278,640,480:waittimer 113156 blt 0,0,640,480,0, 7279,640,480:waittimer 113171 blt 0,0,640,480,0, 7280,640,480:waittimer 113187 blt 0,0,640,480,0, 7281,640,480:waittimer 113202 blt 0,0,640,480,0, 7282,640,480:waittimer 113218 blt 0,0,640,480,0, 7283,640,480:waittimer 113233 blt 0,0,640,480,0, 7284,640,480:waittimer 113249 blt 0,0,640,480,0, 7285,640,480:waittimer 113265 blt 0,0,640,480,0, 7286,640,480:waittimer 113280 blt 0,0,640,480,0, 7287,640,480:waittimer 113296 blt 0,0,640,480,0, 7288,640,480:waittimer 113311 blt 0,0,640,480,0, 7289,640,480:waittimer 113327 blt 0,0,640,480,0, 7290,640,480:waittimer 113342 blt 0,0,640,480,0, 7291,640,480:waittimer 113358 blt 0,0,640,480,0, 7292,640,480:waittimer 113373 blt 0,0,640,480,0, 7293,640,480:waittimer 113389 blt 0,0,640,480,0, 7294,640,480:waittimer 113404 blt 0,0,640,480,0, 7295,640,480:waittimer 113420 blt 0,0,640,480,0, 7296,640,480:waittimer 113436 blt 0,0,640,480,0, 7297,640,480:waittimer 113451 blt 0,0,640,480,0, 7298,640,480:waittimer 113467 blt 0,0,640,480,0, 7299,640,480:waittimer 113482 blt 0,0,640,480,0, 7300,640,480:waittimer 113498 blt 0,0,640,480,0, 7301,640,480:waittimer 113513 blt 0,0,640,480,0, 7302,640,480:waittimer 113529 blt 0,0,640,480,0, 7303,640,480:waittimer 113544 blt 0,0,640,480,0, 7304,640,480:waittimer 113560 blt 0,0,640,480,0, 7305,640,480:waittimer 113575 blt 0,0,640,480,0, 7306,640,480:waittimer 113591 blt 0,0,640,480,0, 7307,640,480:waittimer 113607 blt 0,0,640,480,0, 7308,640,480:waittimer 113622 blt 0,0,640,480,0, 7309,640,480:waittimer 113638 blt 0,0,640,480,0, 7310,640,480:waittimer 113653 blt 0,0,640,480,0, 7311,640,480:waittimer 113669 blt 0,0,640,480,0, 7312,640,480:waittimer 113684 blt 0,0,640,480,0, 7313,640,480:waittimer 113700 blt 0,0,640,480,0, 7314,640,480:waittimer 113715 blt 0,0,640,480,0, 7315,640,480:waittimer 113731 blt 0,0,640,480,0, 7316,640,480:waittimer 113746 blt 0,0,640,480,0, 7317,640,480:waittimer 113762 blt 0,0,640,480,0, 7318,640,480:waittimer 113778 blt 0,0,640,480,0, 7319,640,480:waittimer 113793 blt 0,0,640,480,0, 7320,640,480:waittimer 113809 blt 0,0,640,480,0, 7321,640,480:waittimer 113824 blt 0,0,640,480,0, 7322,640,480:waittimer 113840 blt 0,0,640,480,0, 7323,640,480:waittimer 113855 blt 0,0,640,480,0, 7324,640,480:waittimer 113871 blt 0,0,640,480,0, 7325,640,480:waittimer 113886 blt 0,0,640,480,0, 7326,640,480:waittimer 113902 blt 0,0,640,480,0, 7327,640,480:waittimer 113918 blt 0,0,640,480,0, 7328,640,480:waittimer 113933 blt 0,0,640,480,0, 7329,640,480:waittimer 113949 blt 0,0,640,480,0, 7330,640,480:waittimer 113964 blt 0,0,640,480,0, 7331,640,480:waittimer 113980 blt 0,0,640,480,0, 7332,640,480:waittimer 113995 blt 0,0,640,480,0, 7333,640,480:waittimer 114011 blt 0,0,640,480,0, 7334,640,480:waittimer 114026 blt 0,0,640,480,0, 7335,640,480:waittimer 114042 blt 0,0,640,480,0, 7336,640,480:waittimer 114057 blt 0,0,640,480,0, 7337,640,480:waittimer 114073 blt 0,0,640,480,0, 7338,640,480:waittimer 114089 blt 0,0,640,480,0, 7339,640,480:waittimer 114104 blt 0,0,640,480,0, 7340,640,480:waittimer 114120 blt 0,0,640,480,0, 7341,640,480:waittimer 114135 blt 0,0,640,480,0, 7342,640,480:waittimer 114151 blt 0,0,640,480,0, 7343,640,480:waittimer 114166 blt 0,0,640,480,0, 7344,640,480:waittimer 114182 blt 0,0,640,480,0, 7345,640,480:waittimer 114197 blt 0,0,640,480,0, 7346,640,480:waittimer 114213 blt 0,0,640,480,0, 7347,640,480:waittimer 114228 blt 0,0,640,480,0, 7348,640,480:waittimer 114244 blt 0,0,640,480,0, 7349,640,480:waittimer 114260 blt 0,0,640,480,0, 7350,640,480:waittimer 114275 blt 0,0,640,480,0, 7351,640,480:waittimer 114291 blt 0,0,640,480,0, 7352,640,480:waittimer 114306 blt 0,0,640,480,0, 7353,640,480:waittimer 114322 blt 0,0,640,480,0, 7354,640,480:waittimer 114337 blt 0,0,640,480,0, 7355,640,480:waittimer 114353 blt 0,0,640,480,0, 7356,640,480:waittimer 114368 blt 0,0,640,480,0, 7357,640,480:waittimer 114384 blt 0,0,640,480,0, 7358,640,480:waittimer 114399 blt 0,0,640,480,0, 7359,640,480:waittimer 114415 blt 0,0,640,480,0, 7360,640,480:waittimer 114431 blt 0,0,640,480,0, 7361,640,480:waittimer 114446 blt 0,0,640,480,0, 7362,640,480:waittimer 114462 blt 0,0,640,480,0, 7363,640,480:waittimer 114477 blt 0,0,640,480,0, 7364,640,480:waittimer 114493 blt 0,0,640,480,0, 7365,640,480:waittimer 114508 blt 0,0,640,480,0, 7366,640,480:waittimer 114524 blt 0,0,640,480,0, 7367,640,480:waittimer 114539 blt 0,0,640,480,0, 7368,640,480:waittimer 114555 blt 0,0,640,480,0, 7369,640,480:waittimer 114571 blt 0,0,640,480,0, 7370,640,480:waittimer 114586 blt 0,0,640,480,0, 7371,640,480:waittimer 114602 blt 0,0,640,480,0, 7372,640,480:waittimer 114617 blt 0,0,640,480,0, 7373,640,480:waittimer 114633 blt 0,0,640,480,0, 7374,640,480:waittimer 114648 blt 0,0,640,480,0, 7375,640,480:waittimer 114664 blt 0,0,640,480,0, 7376,640,480:waittimer 114679 blt 0,0,640,480,0, 7377,640,480:waittimer 114695 blt 0,0,640,480,0, 7378,640,480:waittimer 114710 blt 0,0,640,480,0, 7379,640,480:waittimer 114726 blt 0,0,640,480,0, 7380,640,480:waittimer 114742 blt 0,0,640,480,0, 7381,640,480:waittimer 114757 blt 0,0,640,480,0, 7382,640,480:waittimer 114773 blt 0,0,640,480,0, 7383,640,480:waittimer 114788 blt 0,0,640,480,0, 7384,640,480:waittimer 114804 blt 0,0,640,480,0, 7385,640,480:waittimer 114819 blt 0,0,640,480,0, 7386,640,480:waittimer 114835 blt 0,0,640,480,0, 7387,640,480:waittimer 114850 blt 0,0,640,480,0, 7388,640,480:waittimer 114866 blt 0,0,640,480,0, 7389,640,480:waittimer 114881 blt 0,0,640,480,0, 7390,640,480:waittimer 114897 blt 0,0,640,480,0, 7391,640,480:waittimer 114913 blt 0,0,640,480,0, 7392,640,480:waittimer 114928 blt 0,0,640,480,0, 7393,640,480:waittimer 114944 blt 0,0,640,480,0, 7394,640,480:waittimer 114959 blt 0,0,640,480,0, 7395,640,480:waittimer 114975 blt 0,0,640,480,0, 7396,640,480:waittimer 114990 blt 0,0,640,480,0, 7397,640,480:waittimer 115006 blt 0,0,640,480,0, 7398,640,480:waittimer 115021 blt 0,0,640,480,0, 7399,640,480:waittimer 115037 blt 0,0,640,480,0, 7400,640,480:waittimer 115053 blt 0,0,640,480,0, 7401,640,480:waittimer 115068 blt 0,0,640,480,0, 7402,640,480:waittimer 115084 blt 0,0,640,480,0, 7403,640,480:waittimer 115099 blt 0,0,640,480,0, 7404,640,480:waittimer 115115 blt 0,0,640,480,0, 7405,640,480:waittimer 115130 blt 0,0,640,480,0, 7406,640,480:waittimer 115146 blt 0,0,640,480,0, 7407,640,480:waittimer 115161 blt 0,0,640,480,0, 7408,640,480:waittimer 115177 blt 0,0,640,480,0, 7409,640,480:waittimer 115192 blt 0,0,640,480,0, 7410,640,480:waittimer 115208 blt 0,0,640,480,0, 7411,640,480:waittimer 115224 blt 0,0,640,480,0, 7412,640,480:waittimer 115239 blt 0,0,640,480,0, 7413,640,480:waittimer 115255 blt 0,0,640,480,0, 7414,640,480:waittimer 115270 blt 0,0,640,480,0, 7415,640,480:waittimer 115286 blt 0,0,640,480,0, 7416,640,480:waittimer 115301 blt 0,0,640,480,0, 7417,640,480:waittimer 115317 blt 0,0,640,480,0, 7418,640,480:waittimer 115332 blt 0,0,640,480,0, 7419,640,480:waittimer 115348 blt 0,0,640,480,0, 7420,640,480:waittimer 115363 blt 0,0,640,480,0, 7421,640,480:waittimer 115379 blt 0,0,640,480,0, 7422,640,480:waittimer 115395 blt 0,0,640,480,0, 7423,640,480:waittimer 115410 blt 0,0,640,480,0, 7424,640,480:waittimer 115426 blt 0,0,640,480,0, 7425,640,480:waittimer 115441 blt 0,0,640,480,0, 7426,640,480:waittimer 115457 blt 0,0,640,480,0, 7427,640,480:waittimer 115472 blt 0,0,640,480,0, 7428,640,480:waittimer 115488 blt 0,0,640,480,0, 7429,640,480:waittimer 115503 blt 0,0,640,480,0, 7430,640,480:waittimer 115519 blt 0,0,640,480,0, 7431,640,480:waittimer 115534 blt 0,0,640,480,0, 7432,640,480:waittimer 115550 blt 0,0,640,480,0, 7433,640,480:waittimer 115566 blt 0,0,640,480,0, 7434,640,480:waittimer 115581 blt 0,0,640,480,0, 7435,640,480:waittimer 115597 blt 0,0,640,480,0, 7436,640,480:waittimer 115612 blt 0,0,640,480,0, 7437,640,480:waittimer 115628 blt 0,0,640,480,0, 7438,640,480:waittimer 115643 blt 0,0,640,480,0, 7439,640,480:waittimer 115659 blt 0,0,640,480,0, 7440,640,480:waittimer 115674 blt 0,0,640,480,0, 7441,640,480:waittimer 115690 blt 0,0,640,480,0, 7442,640,480:waittimer 115706 blt 0,0,640,480,0, 7443,640,480:waittimer 115721 blt 0,0,640,480,0, 7444,640,480:waittimer 115737 blt 0,0,640,480,0, 7445,640,480:waittimer 115752 blt 0,0,640,480,0, 7446,640,480:waittimer 115768 blt 0,0,640,480,0, 7447,640,480:waittimer 115783 blt 0,0,640,480,0, 7448,640,480:waittimer 115799 blt 0,0,640,480,0, 7449,640,480:waittimer 115814 blt 0,0,640,480,0, 7450,640,480:waittimer 115830 blt 0,0,640,480,0, 7451,640,480:waittimer 115845 blt 0,0,640,480,0, 7452,640,480:waittimer 115861 blt 0,0,640,480,0, 7453,640,480:waittimer 115877 blt 0,0,640,480,0, 7454,640,480:waittimer 115892 blt 0,0,640,480,0, 7455,640,480:waittimer 115908 blt 0,0,640,480,0, 7456,640,480:waittimer 115923 blt 0,0,640,480,0, 7457,640,480:waittimer 115939 blt 0,0,640,480,0, 7458,640,480:waittimer 115954 blt 0,0,640,480,0, 7459,640,480:waittimer 115970 blt 0,0,640,480,0, 7460,640,480:waittimer 115985 blt 0,0,640,480,0, 7461,640,480:waittimer 116001 blt 0,0,640,480,0, 7462,640,480:waittimer 116016 blt 0,0,640,480,0, 7463,640,480:waittimer 116032 blt 0,0,640,480,0, 7464,640,480:waittimer 116048 blt 0,0,640,480,0, 7465,640,480:waittimer 116063 blt 0,0,640,480,0, 7466,640,480:waittimer 116079 blt 0,0,640,480,0, 7467,640,480:waittimer 116094 blt 0,0,640,480,0, 7468,640,480:waittimer 116110 blt 0,0,640,480,0, 7469,640,480:waittimer 116125 blt 0,0,640,480,0, 7470,640,480:waittimer 116141 blt 0,0,640,480,0, 7471,640,480:waittimer 116156 blt 0,0,640,480,0, 7472,640,480:waittimer 116172 blt 0,0,640,480,0, 7473,640,480:waittimer 116187 blt 0,0,640,480,0, 7474,640,480:waittimer 116203 blt 0,0,640,480,0, 7475,640,480:waittimer 116219 blt 0,0,640,480,0, 7476,640,480:waittimer 116234 blt 0,0,640,480,0, 7477,640,480:waittimer 116250 blt 0,0,640,480,0, 7478,640,480:waittimer 116265 blt 0,0,640,480,0, 7479,640,480:waittimer 116281 blt 0,0,640,480,0, 7480,640,480:waittimer 116296 blt 0,0,640,480,0, 7481,640,480:waittimer 116312 blt 0,0,640,480,0, 7482,640,480:waittimer 116327 blt 0,0,640,480,0, 7483,640,480:waittimer 116343 blt 0,0,640,480,0, 7484,640,480:waittimer 116359 blt 0,0,640,480,0, 7485,640,480:waittimer 116374 blt 0,0,640,480,0, 7486,640,480:waittimer 116390 blt 0,0,640,480,0, 7487,640,480:waittimer 116405 blt 0,0,640,480,0, 7488,640,480:waittimer 116421 blt 0,0,640,480,0, 7489,640,480:waittimer 116436 blt 0,0,640,480,0, 7490,640,480:waittimer 116452 blt 0,0,640,480,0, 7491,640,480:waittimer 116467 blt 0,0,640,480,0, 7492,640,480:waittimer 116483 blt 0,0,640,480,0, 7493,640,480:waittimer 116498 blt 0,0,640,480,0, 7494,640,480:waittimer 116514 blt 0,0,640,480,0, 7495,640,480:waittimer 116530 blt 0,0,640,480,0, 7496,640,480:waittimer 116545 blt 0,0,640,480,0, 7497,640,480:waittimer 116561 blt 0,0,640,480,0, 7498,640,480:waittimer 116576 blt 0,0,640,480,0, 7499,640,480:waittimer 116592 blt 0,0,640,480,0, 7500,640,480:waittimer 116607 blt 0,0,640,480,0, 7501,640,480:waittimer 116623 blt 0,0,640,480,0, 7502,640,480:waittimer 116638 blt 0,0,640,480,0, 7503,640,480:waittimer 116654 blt 0,0,640,480,0, 7504,640,480:waittimer 116669 blt 0,0,640,480,0, 7505,640,480:waittimer 116685 blt 0,0,640,480,0, 7506,640,480:waittimer 116701 blt 0,0,640,480,0, 7507,640,480:waittimer 116716 blt 0,0,640,480,0, 7508,640,480:waittimer 116732 blt 0,0,640,480,0, 7509,640,480:waittimer 116747 blt 0,0,640,480,0, 7510,640,480:waittimer 116763 blt 0,0,640,480,0, 7511,640,480:waittimer 116778 blt 0,0,640,480,0, 7512,640,480:waittimer 116794 blt 0,0,640,480,0, 7513,640,480:waittimer 116809 blt 0,0,640,480,0, 7514,640,480:waittimer 116825 blt 0,0,640,480,0, 7515,640,480:waittimer 116840 blt 0,0,640,480,0, 7516,640,480:waittimer 116856 blt 0,0,640,480,0, 7517,640,480:waittimer 116872 blt 0,0,640,480,0, 7518,640,480:waittimer 116887 blt 0,0,640,480,0, 7519,640,480:waittimer 116903 blt 0,0,640,480,0, 7520,640,480:waittimer 116918 blt 0,0,640,480,0, 7521,640,480:waittimer 116934 blt 0,0,640,480,0, 7522,640,480:waittimer 116949 blt 0,0,640,480,0, 7523,640,480:waittimer 116965 blt 0,0,640,480,0, 7524,640,480:waittimer 116980 blt 0,0,640,480,0, 7525,640,480:waittimer 116996 blt 0,0,640,480,0, 7526,640,480:waittimer 117012 blt 0,0,640,480,0, 7527,640,480:waittimer 117027 blt 0,0,640,480,0, 7528,640,480:waittimer 117043 blt 0,0,640,480,0, 7529,640,480:waittimer 117058 blt 0,0,640,480,0, 7530,640,480:waittimer 117074 blt 0,0,640,480,0, 7531,640,480:waittimer 117089 blt 0,0,640,480,0, 7532,640,480:waittimer 117105 blt 0,0,640,480,0, 7533,640,480:waittimer 117120 blt 0,0,640,480,0, 7534,640,480:waittimer 117136 blt 0,0,640,480,0, 7535,640,480:waittimer 117151 blt 0,0,640,480,0, 7536,640,480:waittimer 117167 blt 0,0,640,480,0, 7537,640,480:waittimer 117183 blt 0,0,640,480,0, 7538,640,480:waittimer 117198 blt 0,0,640,480,0, 7539,640,480:waittimer 117214 blt 0,0,640,480,0, 7540,640,480:waittimer 117229 blt 0,0,640,480,0, 7541,640,480:waittimer 117245 blt 0,0,640,480,0, 7542,640,480:waittimer 117260 blt 0,0,640,480,0, 7543,640,480:waittimer 117276 blt 0,0,640,480,0, 7544,640,480:waittimer 117291 blt 0,0,640,480,0, 7545,640,480:waittimer 117307 blt 0,0,640,480,0, 7546,640,480:waittimer 117322 blt 0,0,640,480,0, 7547,640,480:waittimer 117338 blt 0,0,640,480,0, 7548,640,480:waittimer 117354 blt 0,0,640,480,0, 7549,640,480:waittimer 117369 blt 0,0,640,480,0, 7550,640,480:waittimer 117385 blt 0,0,640,480,0, 7551,640,480:waittimer 117400 blt 0,0,640,480,0, 7552,640,480:waittimer 117416 blt 0,0,640,480,0, 7553,640,480:waittimer 117431 blt 0,0,640,480,0, 7554,640,480:waittimer 117447 blt 0,0,640,480,0, 7555,640,480:waittimer 117462 blt 0,0,640,480,0, 7556,640,480:waittimer 117478 blt 0,0,640,480,0, 7557,640,480:waittimer 117493 blt 0,0,640,480,0, 7558,640,480:waittimer 117509 blt 0,0,640,480,0, 7559,640,480:waittimer 117525 blt 0,0,640,480,0, 7560,640,480:waittimer 117540 blt 0,0,640,480,0, 7561,640,480:waittimer 117556 blt 0,0,640,480,0, 7562,640,480:waittimer 117571 blt 0,0,640,480,0, 7563,640,480:waittimer 117587 blt 0,0,640,480,0, 7564,640,480:waittimer 117602 blt 0,0,640,480,0, 7565,640,480:waittimer 117618 blt 0,0,640,480,0, 7566,640,480:waittimer 117633 blt 0,0,640,480,0, 7567,640,480:waittimer 117649 blt 0,0,640,480,0, 7568,640,480:waittimer 117665 blt 0,0,640,480,0, 7569,640,480:waittimer 117680 blt 0,0,640,480,0, 7570,640,480:waittimer 117696 blt 0,0,640,480,0, 7571,640,480:waittimer 117711 blt 0,0,640,480,0, 7572,640,480:waittimer 117727 blt 0,0,640,480,0, 7573,640,480:waittimer 117742 blt 0,0,640,480,0, 7574,640,480:waittimer 117758 blt 0,0,640,480,0, 7575,640,480:waittimer 117773 blt 0,0,640,480,0, 7576,640,480:waittimer 117789 blt 0,0,640,480,0, 7577,640,480:waittimer 117804 blt 0,0,640,480,0, 7578,640,480:waittimer 117820 blt 0,0,640,480,0, 7579,640,480:waittimer 117836 blt 0,0,640,480,0, 7580,640,480:waittimer 117851 blt 0,0,640,480,0, 7581,640,480:waittimer 117867 blt 0,0,640,480,0, 7582,640,480:waittimer 117882 blt 0,0,640,480,0, 7583,640,480:waittimer 117898 blt 0,0,640,480,0, 7584,640,480:waittimer 117913 blt 0,0,640,480,0, 7585,640,480:waittimer 117929 blt 0,0,640,480,0, 7586,640,480:waittimer 117944 blt 0,0,640,480,0, 7587,640,480:waittimer 117960 blt 0,0,640,480,0, 7588,640,480:waittimer 117975 blt 0,0,640,480,0, 7589,640,480:waittimer 117991 blt 0,0,640,480,0, 7590,640,480:waittimer 118007 blt 0,0,640,480,0, 7591,640,480:waittimer 118022 blt 0,0,640,480,0, 7592,640,480:waittimer 118038 blt 0,0,640,480,0, 7593,640,480:waittimer 118053 blt 0,0,640,480,0, 7594,640,480:waittimer 118069 blt 0,0,640,480,0, 7595,640,480:waittimer 118084 blt 0,0,640,480,0, 7596,640,480:waittimer 118100 blt 0,0,640,480,0, 7597,640,480:waittimer 118115 blt 0,0,640,480,0, 7598,640,480:waittimer 118131 blt 0,0,640,480,0, 7599,640,480:waittimer 118146 blt 0,0,640,480,0, 7600,640,480:waittimer 118162 blt 0,0,640,480,0, 7601,640,480:waittimer 118178 blt 0,0,640,480,0, 7602,640,480:waittimer 118193 blt 0,0,640,480,0, 7603,640,480:waittimer 118209 blt 0,0,640,480,0, 7604,640,480:waittimer 118224 blt 0,0,640,480,0, 7605,640,480:waittimer 118240 blt 0,0,640,480,0, 7606,640,480:waittimer 118255 blt 0,0,640,480,0, 7607,640,480:waittimer 118271 blt 0,0,640,480,0, 7608,640,480:waittimer 118286 blt 0,0,640,480,0, 7609,640,480:waittimer 118302 blt 0,0,640,480,0, 7610,640,480:waittimer 118318 blt 0,0,640,480,0, 7611,640,480:waittimer 118333 blt 0,0,640,480,0, 7612,640,480:waittimer 118349 blt 0,0,640,480,0, 7613,640,480:waittimer 118364 blt 0,0,640,480,0, 7614,640,480:waittimer 118380 blt 0,0,640,480,0, 7615,640,480:waittimer 118395 blt 0,0,640,480,0, 7616,640,480:waittimer 118411 blt 0,0,640,480,0, 7617,640,480:waittimer 118426 blt 0,0,640,480,0, 7618,640,480:waittimer 118442 blt 0,0,640,480,0, 7619,640,480:waittimer 118457 blt 0,0,640,480,0, 7620,640,480:waittimer 118473 blt 0,0,640,480,0, 7621,640,480:waittimer 118489 blt 0,0,640,480,0, 7622,640,480:waittimer 118504 blt 0,0,640,480,0, 7623,640,480:waittimer 118520 blt 0,0,640,480,0, 7624,640,480:waittimer 118535 blt 0,0,640,480,0, 7625,640,480:waittimer 118551 blt 0,0,640,480,0, 7626,640,480:waittimer 118566 blt 0,0,640,480,0, 7627,640,480:waittimer 118582 blt 0,0,640,480,0, 7628,640,480:waittimer 118597 blt 0,0,640,480,0, 7629,640,480:waittimer 118613 blt 0,0,640,480,0, 7630,640,480:waittimer 118628 blt 0,0,640,480,0, 7631,640,480:waittimer 118644 blt 0,0,640,480,0, 7632,640,480:waittimer 118660 blt 0,0,640,480,0, 7633,640,480:waittimer 118675 blt 0,0,640,480,0, 7634,640,480:waittimer 118691 blt 0,0,640,480,0, 7635,640,480:waittimer 118706 blt 0,0,640,480,0, 7636,640,480:waittimer 118722 blt 0,0,640,480,0, 7637,640,480:waittimer 118737 blt 0,0,640,480,0, 7638,640,480:waittimer 118753 blt 0,0,640,480,0, 7639,640,480:waittimer 118768 blt 0,0,640,480,0, 7640,640,480:waittimer 118784 blt 0,0,640,480,0, 7641,640,480:waittimer 118799 blt 0,0,640,480,0, 7642,640,480:waittimer 118815 blt 0,0,640,480,0, 7643,640,480:waittimer 118831 blt 0,0,640,480,0, 7644,640,480:waittimer 118846 blt 0,0,640,480,0, 7645,640,480:waittimer 118862 blt 0,0,640,480,0, 7646,640,480:waittimer 118877 blt 0,0,640,480,0, 7647,640,480:waittimer 118893 blt 0,0,640,480,0, 7648,640,480:waittimer 118908 blt 0,0,640,480,0, 7649,640,480:waittimer 118924 blt 0,0,640,480,0, 7650,640,480:waittimer 118939 blt 0,0,640,480,0, 7651,640,480:waittimer 118955 blt 0,0,640,480,0, 7652,640,480:waittimer 118971 blt 0,0,640,480,0, 7653,640,480:waittimer 118986 blt 0,0,640,480,0, 7654,640,480:waittimer 119002 blt 0,0,640,480,0, 7655,640,480:waittimer 119017 blt 0,0,640,480,0, 7656,640,480:waittimer 119033 blt 0,0,640,480,0, 7657,640,480:waittimer 119048 blt 0,0,640,480,0, 7658,640,480:waittimer 119064 blt 0,0,640,480,0, 7659,640,480:waittimer 119079 blt 0,0,640,480,0, 7660,640,480:waittimer 119095 blt 0,0,640,480,0, 7661,640,480:waittimer 119110 blt 0,0,640,480,0, 7662,640,480:waittimer 119126 blt 0,0,640,480,0, 7663,640,480:waittimer 119142 blt 0,0,640,480,0, 7664,640,480:waittimer 119157 blt 0,0,640,480,0, 7665,640,480:waittimer 119173 blt 0,0,640,480,0, 7666,640,480:waittimer 119188 blt 0,0,640,480,0, 7667,640,480:waittimer 119204 blt 0,0,640,480,0, 7668,640,480:waittimer 119219 blt 0,0,640,480,0, 7669,640,480:waittimer 119235 blt 0,0,640,480,0, 7670,640,480:waittimer 119250 blt 0,0,640,480,0, 7671,640,480:waittimer 119266 blt 0,0,640,480,0, 7672,640,480:waittimer 119281 blt 0,0,640,480,0, 7673,640,480:waittimer 119297 blt 0,0,640,480,0, 7674,640,480:waittimer 119313 blt 0,0,640,480,0, 7675,640,480:waittimer 119328 blt 0,0,640,480,0, 7676,640,480:waittimer 119344 blt 0,0,640,480,0, 7677,640,480:waittimer 119359 blt 0,0,640,480,0, 7678,640,480:waittimer 119375 blt 0,0,640,480,0, 7679,640,480:waittimer 119390 blt 0,0,640,480,0, 7680,640,480:waittimer 119406 blt 0,0,640,480,0, 7681,640,480:waittimer 119421 blt 0,0,640,480,0, 7682,640,480:waittimer 119437 blt 0,0,640,480,0, 7683,640,480:waittimer 119453 blt 0,0,640,480,0, 7684,640,480:waittimer 119468 blt 0,0,640,480,0, 7685,640,480:waittimer 119484 blt 0,0,640,480,0, 7686,640,480:waittimer 119499 blt 0,0,640,480,0, 7687,640,480:waittimer 119515 blt 0,0,640,480,0, 7688,640,480:waittimer 119530 blt 0,0,640,480,0, 7689,640,480:waittimer 119546 blt 0,0,640,480,0, 7690,640,480:waittimer 119561 blt 0,0,640,480,0, 7691,640,480:waittimer 119577 blt 0,0,640,480,0, 7692,640,480:waittimer 119592 blt 0,0,640,480,0, 7693,640,480:waittimer 119608 blt 0,0,640,480,0, 7694,640,480:waittimer 119624 blt 0,0,640,480,0, 7695,640,480:waittimer 119639 blt 0,0,640,480,0, 7696,640,480:waittimer 119655 blt 0,0,640,480,0, 7697,640,480:waittimer 119670 blt 0,0,640,480,0, 7698,640,480:waittimer 119686 blt 0,0,640,480,0, 7699,640,480:waittimer 119701 blt 0,0,640,480,0, 7700,640,480:waittimer 119717 blt 0,0,640,480,0, 7701,640,480:waittimer 119732 blt 0,0,640,480,0, 7702,640,480:waittimer 119748 blt 0,0,640,480,0, 7703,640,480:waittimer 119763 blt 0,0,640,480,0, 7704,640,480:waittimer 119779 blt 0,0,640,480,0, 7705,640,480:waittimer 119795 blt 0,0,640,480,0, 7706,640,480:waittimer 119810 blt 0,0,640,480,0, 7707,640,480:waittimer 119826 blt 0,0,640,480,0, 7708,640,480:waittimer 119841 blt 0,0,640,480,0, 7709,640,480:waittimer 119857 blt 0,0,640,480,0, 7710,640,480:waittimer 119872 blt 0,0,640,480,0, 7711,640,480:waittimer 119888 blt 0,0,640,480,0, 7712,640,480:waittimer 119903 blt 0,0,640,480,0, 7713,640,480:waittimer 119919 blt 0,0,640,480,0, 7714,640,480:waittimer 119934 blt 0,0,640,480,0, 7715,640,480:waittimer 119950 blt 0,0,640,480,0, 7716,640,480:waittimer 119966 blt 0,0,640,480,0, 7717,640,480:waittimer 119981 blt 0,0,640,480,0, 7718,640,480:waittimer 119997 blt 0,0,640,480,0, 7719,640,480:waittimer 120012 blt 0,0,640,480,0, 7720,640,480:waittimer 120028 blt 0,0,640,480,0, 7721,640,480:waittimer 120043 blt 0,0,640,480,0, 7722,640,480:waittimer 120059 blt 0,0,640,480,0, 7723,640,480:waittimer 120074 blt 0,0,640,480,0, 7724,640,480:waittimer 120090 blt 0,0,640,480,0, 7725,640,480:waittimer 120106 blt 0,0,640,480,0, 7726,640,480:waittimer 120121 blt 0,0,640,480,0, 7727,640,480:waittimer 120137 blt 0,0,640,480,0, 7728,640,480:waittimer 120152 blt 0,0,640,480,0, 7729,640,480:waittimer 120168 blt 0,0,640,480,0, 7730,640,480:waittimer 120183 blt 0,0,640,480,0, 7731,640,480:waittimer 120199 blt 0,0,640,480,0, 7732,640,480:waittimer 120214 blt 0,0,640,480,0, 7733,640,480:waittimer 120230 blt 0,0,640,480,0, 7734,640,480:waittimer 120245 blt 0,0,640,480,0, 7735,640,480:waittimer 120261 blt 0,0,640,480,0, 7736,640,480:waittimer 120277 blt 0,0,640,480,0, 7737,640,480:waittimer 120292 blt 0,0,640,480,0, 7738,640,480:waittimer 120308 blt 0,0,640,480,0, 7739,640,480:waittimer 120323 blt 0,0,640,480,0, 7740,640,480:waittimer 120339 blt 0,0,640,480,0, 7741,640,480:waittimer 120354 blt 0,0,640,480,0, 7742,640,480:waittimer 120370 blt 0,0,640,480,0, 7743,640,480:waittimer 120385 blt 0,0,640,480,0, 7744,640,480:waittimer 120401 blt 0,0,640,480,0, 7745,640,480:waittimer 120416 blt 0,0,640,480,0, 7746,640,480:waittimer 120432 blt 0,0,640,480,0, 7747,640,480:waittimer 120448 blt 0,0,640,480,0, 7748,640,480:waittimer 120463 blt 0,0,640,480,0, 7749,640,480:waittimer 120479 blt 0,0,640,480,0, 7750,640,480:waittimer 120494 blt 0,0,640,480,0, 7751,640,480:waittimer 120510 blt 0,0,640,480,0, 7752,640,480:waittimer 120525 blt 0,0,640,480,0, 7753,640,480:waittimer 120541 blt 0,0,640,480,0, 7754,640,480:waittimer 120556 blt 0,0,640,480,0, 7755,640,480:waittimer 120572 blt 0,0,640,480,0, 7756,640,480:waittimer 120587 blt 0,0,640,480,0, 7757,640,480:waittimer 120603 blt 0,0,640,480,0, 7758,640,480:waittimer 120619 blt 0,0,640,480,0, 7759,640,480:waittimer 120634 blt 0,0,640,480,0, 7760,640,480:waittimer 120650 blt 0,0,640,480,0, 7761,640,480:waittimer 120665 blt 0,0,640,480,0, 7762,640,480:waittimer 120681 blt 0,0,640,480,0, 7763,640,480:waittimer 120696 blt 0,0,640,480,0, 7764,640,480:waittimer 120712 blt 0,0,640,480,0, 7765,640,480:waittimer 120727 blt 0,0,640,480,0, 7766,640,480:waittimer 120743 blt 0,0,640,480,0, 7767,640,480:waittimer 120759 blt 0,0,640,480,0, 7768,640,480:waittimer 120774 blt 0,0,640,480,0, 7769,640,480:waittimer 120790 blt 0,0,640,480,0, 7770,640,480:waittimer 120805 blt 0,0,640,480,0, 7771,640,480:waittimer 120821 blt 0,0,640,480,0, 7772,640,480:waittimer 120836 blt 0,0,640,480,0, 7773,640,480:waittimer 120852 blt 0,0,640,480,0, 7774,640,480:waittimer 120867 blt 0,0,640,480,0, 7775,640,480:waittimer 120883 blt 0,0,640,480,0, 7776,640,480:waittimer 120898 blt 0,0,640,480,0, 7777,640,480:waittimer 120914 blt 0,0,640,480,0, 7778,640,480:waittimer 120930 blt 0,0,640,480,0, 7779,640,480:waittimer 120945 blt 0,0,640,480,0, 7780,640,480:waittimer 120961 blt 0,0,640,480,0, 7781,640,480:waittimer 120976 blt 0,0,640,480,0, 7782,640,480:waittimer 120992 blt 0,0,640,480,0, 7783,640,480:waittimer 121007 blt 0,0,640,480,0, 7784,640,480:waittimer 121023 blt 0,0,640,480,0, 7785,640,480:waittimer 121038 blt 0,0,640,480,0, 7786,640,480:waittimer 121054 blt 0,0,640,480,0, 7787,640,480:waittimer 121069 blt 0,0,640,480,0, 7788,640,480:waittimer 121085 blt 0,0,640,480,0, 7789,640,480:waittimer 121101 blt 0,0,640,480,0, 7790,640,480:waittimer 121116 blt 0,0,640,480,0, 7791,640,480:waittimer 121132 blt 0,0,640,480,0, 7792,640,480:waittimer 121147 blt 0,0,640,480,0, 7793,640,480:waittimer 121163 blt 0,0,640,480,0, 7794,640,480:waittimer 121178 blt 0,0,640,480,0, 7795,640,480:waittimer 121194 blt 0,0,640,480,0, 7796,640,480:waittimer 121209 blt 0,0,640,480,0, 7797,640,480:waittimer 121225 blt 0,0,640,480,0, 7798,640,480:waittimer 121240 blt 0,0,640,480,0, 7799,640,480:waittimer 121256 blt 0,0,640,480,0, 7800,640,480:waittimer 121272 blt 0,0,640,480,0, 7801,640,480:waittimer 121287 blt 0,0,640,480,0, 7802,640,480:waittimer 121303 blt 0,0,640,480,0, 7803,640,480:waittimer 121318 blt 0,0,640,480,0, 7804,640,480:waittimer 121334 blt 0,0,640,480,0, 7805,640,480:waittimer 121349 blt 0,0,640,480,0, 7806,640,480:waittimer 121365 blt 0,0,640,480,0, 7807,640,480:waittimer 121380 blt 0,0,640,480,0, 7808,640,480:waittimer 121396 blt 0,0,640,480,0, 7809,640,480:waittimer 121412 blt 0,0,640,480,0, 7810,640,480:waittimer 121427 blt 0,0,640,480,0, 7811,640,480:waittimer 121443 blt 0,0,640,480,0, 7812,640,480:waittimer 121458 blt 0,0,640,480,0, 7813,640,480:waittimer 121474 blt 0,0,640,480,0, 7814,640,480:waittimer 121489 blt 0,0,640,480,0, 7815,640,480:waittimer 121505 blt 0,0,640,480,0, 7816,640,480:waittimer 121520 blt 0,0,640,480,0, 7817,640,480:waittimer 121536 blt 0,0,640,480,0, 7818,640,480:waittimer 121551 blt 0,0,640,480,0, 7819,640,480:waittimer 121567 blt 0,0,640,480,0, 7820,640,480:waittimer 121583 blt 0,0,640,480,0, 7821,640,480:waittimer 121598 blt 0,0,640,480,0, 7822,640,480:waittimer 121614 blt 0,0,640,480,0, 7823,640,480:waittimer 121629 blt 0,0,640,480,0, 7824,640,480:waittimer 121645 blt 0,0,640,480,0, 7825,640,480:waittimer 121660 blt 0,0,640,480,0, 7826,640,480:waittimer 121676 blt 0,0,640,480,0, 7827,640,480:waittimer 121691 blt 0,0,640,480,0, 7828,640,480:waittimer 121707 blt 0,0,640,480,0, 7829,640,480:waittimer 121722 blt 0,0,640,480,0, 7830,640,480:waittimer 121738 blt 0,0,640,480,0, 7831,640,480:waittimer 121754 blt 0,0,640,480,0, 7832,640,480:waittimer 121769 blt 0,0,640,480,0, 7833,640,480:waittimer 121785 blt 0,0,640,480,0, 7834,640,480:waittimer 121800 blt 0,0,640,480,0, 7835,640,480:waittimer 121816 blt 0,0,640,480,0, 7836,640,480:waittimer 121831 blt 0,0,640,480,0, 7837,640,480:waittimer 121847 blt 0,0,640,480,0, 7838,640,480:waittimer 121862 blt 0,0,640,480,0, 7839,640,480:waittimer 121878 blt 0,0,640,480,0, 7840,640,480:waittimer 121893 blt 0,0,640,480,0, 7841,640,480:waittimer 121909 blt 0,0,640,480,0, 7842,640,480:waittimer 121925 blt 0,0,640,480,0, 7843,640,480:waittimer 121940 blt 0,0,640,480,0, 7844,640,480:waittimer 121956 blt 0,0,640,480,0, 7845,640,480:waittimer 121971 blt 0,0,640,480,0, 7846,640,480:waittimer 121987 blt 0,0,640,480,0, 7847,640,480:waittimer 122002 blt 0,0,640,480,0, 7848,640,480:waittimer 122018 blt 0,0,640,480,0, 7849,640,480:waittimer 122033 blt 0,0,640,480,0, 7850,640,480:waittimer 122049 blt 0,0,640,480,0, 7851,640,480:waittimer 122065 blt 0,0,640,480,0, 7852,640,480:waittimer 122080 blt 0,0,640,480,0, 7853,640,480:waittimer 122096 blt 0,0,640,480,0, 7854,640,480:waittimer 122111 blt 0,0,640,480,0, 7855,640,480:waittimer 122127 blt 0,0,640,480,0, 7856,640,480:waittimer 122142 blt 0,0,640,480,0, 7857,640,480:waittimer 122158 blt 0,0,640,480,0, 7858,640,480:waittimer 122173 blt 0,0,640,480,0, 7859,640,480:waittimer 122189 blt 0,0,640,480,0, 7860,640,480:waittimer 122204 blt 0,0,640,480,0, 7861,640,480:waittimer 122220 blt 0,0,640,480,0, 7862,640,480:waittimer 122236 blt 0,0,640,480,0, 7863,640,480:waittimer 122251 blt 0,0,640,480,0, 7864,640,480:waittimer 122267 blt 0,0,640,480,0, 7865,640,480:waittimer 122282 blt 0,0,640,480,0, 7866,640,480:waittimer 122298 blt 0,0,640,480,0, 7867,640,480:waittimer 122313 blt 0,0,640,480,0, 7868,640,480:waittimer 122329 blt 0,0,640,480,0, 7869,640,480:waittimer 122344 blt 0,0,640,480,0, 7870,640,480:waittimer 122360 blt 0,0,640,480,0, 7871,640,480:waittimer 122375 blt 0,0,640,480,0, 7872,640,480:waittimer 122391 blt 0,0,640,480,0, 7873,640,480:waittimer 122407 blt 0,0,640,480,0, 7874,640,480:waittimer 122422 blt 0,0,640,480,0, 7875,640,480:waittimer 122438 blt 0,0,640,480,0, 7876,640,480:waittimer 122453 blt 0,0,640,480,0, 7877,640,480:waittimer 122469 blt 0,0,640,480,0, 7878,640,480:waittimer 122484 blt 0,0,640,480,0, 7879,640,480:waittimer 122500 blt 0,0,640,480,0, 7880,640,480:waittimer 122515 blt 0,0,640,480,0, 7881,640,480:waittimer 122531 blt 0,0,640,480,0, 7882,640,480:waittimer 122546 blt 0,0,640,480,0, 7883,640,480:waittimer 122562 blt 0,0,640,480,0, 7884,640,480:waittimer 122578 blt 0,0,640,480,0, 7885,640,480:waittimer 122593 blt 0,0,640,480,0, 7886,640,480:waittimer 122609 blt 0,0,640,480,0, 7887,640,480:waittimer 122624 blt 0,0,640,480,0, 7888,640,480:waittimer 122640 blt 0,0,640,480,0, 7889,640,480:waittimer 122655 blt 0,0,640,480,0, 7890,640,480:waittimer 122671 blt 0,0,640,480,0, 7891,640,480:waittimer 122686 blt 0,0,640,480,0, 7892,640,480:waittimer 122702 blt 0,0,640,480,0, 7893,640,480:waittimer 122718 blt 0,0,640,480,0, 7894,640,480:waittimer 122733 blt 0,0,640,480,0, 7895,640,480:waittimer 122749 blt 0,0,640,480,0, 7896,640,480:waittimer 122764 blt 0,0,640,480,0, 7897,640,480:waittimer 122780 blt 0,0,640,480,0, 7898,640,480:waittimer 122795 blt 0,0,640,480,0, 7899,640,480:waittimer 122811 blt 0,0,640,480,0, 7900,640,480:waittimer 122826 blt 0,0,640,480,0, 7901,640,480:waittimer 122842 blt 0,0,640,480,0, 7902,640,480:waittimer 122857 blt 0,0,640,480,0, 7903,640,480:waittimer 122873 blt 0,0,640,480,0, 7904,640,480:waittimer 122889 blt 0,0,640,480,0, 7905,640,480:waittimer 122904 blt 0,0,640,480,0, 7906,640,480:waittimer 122920 blt 0,0,640,480,0, 7907,640,480:waittimer 122935 blt 0,0,640,480,0, 7908,640,480:waittimer 122951 blt 0,0,640,480,0, 7909,640,480:waittimer 122966 blt 0,0,640,480,0, 7910,640,480:waittimer 122982 blt 0,0,640,480,0, 7911,640,480:waittimer 122997 blt 0,0,640,480,0, 7912,640,480:waittimer 123013 blt 0,0,640,480,0, 7913,640,480:waittimer 123028 blt 0,0,640,480,0, 7914,640,480:waittimer 123044 blt 0,0,640,480,0, 7915,640,480:waittimer 123060 blt 0,0,640,480,0, 7916,640,480:waittimer 123075 blt 0,0,640,480,0, 7917,640,480:waittimer 123091 blt 0,0,640,480,0, 7918,640,480:waittimer 123106 blt 0,0,640,480,0, 7919,640,480:waittimer 123122 blt 0,0,640,480,0, 7920,640,480:waittimer 123137 blt 0,0,640,480,0, 7921,640,480:waittimer 123153 blt 0,0,640,480,0, 7922,640,480:waittimer 123168 blt 0,0,640,480,0, 7923,640,480:waittimer 123184 blt 0,0,640,480,0, 7924,640,480:waittimer 123199 blt 0,0,640,480,0, 7925,640,480:waittimer 123215 blt 0,0,640,480,0, 7926,640,480:waittimer 123231 blt 0,0,640,480,0, 7927,640,480:waittimer 123246 blt 0,0,640,480,0, 7928,640,480:waittimer 123262 blt 0,0,640,480,0, 7929,640,480:waittimer 123277 blt 0,0,640,480,0, 7930,640,480:waittimer 123293 blt 0,0,640,480,0, 7931,640,480:waittimer 123308 blt 0,0,640,480,0, 7932,640,480:waittimer 123324 blt 0,0,640,480,0, 7933,640,480:waittimer 123339 blt 0,0,640,480,0, 7934,640,480:waittimer 123355 blt 0,0,640,480,0, 7935,640,480:waittimer 123371 blt 0,0,640,480,0, 7936,640,480:waittimer 123386 blt 0,0,640,480,0, 7937,640,480:waittimer 123402 blt 0,0,640,480,0, 7938,640,480:waittimer 123417 blt 0,0,640,480,0, 7939,640,480:waittimer 123433 blt 0,0,640,480,0, 7940,640,480:waittimer 123448 blt 0,0,640,480,0, 7941,640,480:waittimer 123464 blt 0,0,640,480,0, 7942,640,480:waittimer 123479 blt 0,0,640,480,0, 7943,640,480:waittimer 123495 blt 0,0,640,480,0, 7944,640,480:waittimer 123510 blt 0,0,640,480,0, 7945,640,480:waittimer 123526 blt 0,0,640,480,0, 7946,640,480:waittimer 123542 blt 0,0,640,480,0, 7947,640,480:waittimer 123557 blt 0,0,640,480,0, 7948,640,480:waittimer 123573 blt 0,0,640,480,0, 7949,640,480:waittimer 123588 blt 0,0,640,480,0, 7950,640,480:waittimer 123604 blt 0,0,640,480,0, 7951,640,480:waittimer 123619 blt 0,0,640,480,0, 7952,640,480:waittimer 123635 blt 0,0,640,480,0, 7953,640,480:waittimer 123650 blt 0,0,640,480,0, 7954,640,480:waittimer 123666 blt 0,0,640,480,0, 7955,640,480:waittimer 123681 blt 0,0,640,480,0, 7956,640,480:waittimer 123697 blt 0,0,640,480,0, 7957,640,480:waittimer 123713 blt 0,0,640,480,0, 7958,640,480:waittimer 123728 blt 0,0,640,480,0, 7959,640,480:waittimer 123744 blt 0,0,640,480,0, 7960,640,480:waittimer 123759 blt 0,0,640,480,0, 7961,640,480:waittimer 123775 blt 0,0,640,480,0, 7962,640,480:waittimer 123790 blt 0,0,640,480,0, 7963,640,480:waittimer 123806 blt 0,0,640,480,0, 7964,640,480:waittimer 123821 blt 0,0,640,480,0, 7965,640,480:waittimer 123837 blt 0,0,640,480,0, 7966,640,480:waittimer 123853 blt 0,0,640,480,0, 7967,640,480:waittimer 123868 blt 0,0,640,480,0, 7968,640,480:waittimer 123884 blt 0,0,640,480,0, 7969,640,480:waittimer 123899 blt 0,0,640,480,0, 7970,640,480:waittimer 123915 blt 0,0,640,480,0, 7971,640,480:waittimer 123930 blt 0,0,640,480,0, 7972,640,480:waittimer 123946 blt 0,0,640,480,0, 7973,640,480:waittimer 123961 blt 0,0,640,480,0, 7974,640,480:waittimer 123977 blt 0,0,640,480,0, 7975,640,480:waittimer 123992 blt 0,0,640,480,0, 7976,640,480:waittimer 124008 blt 0,0,640,480,0, 7977,640,480:waittimer 124024 blt 0,0,640,480,0, 7978,640,480:waittimer 124039 blt 0,0,640,480,0, 7979,640,480:waittimer 124055 blt 0,0,640,480,0, 7980,640,480:waittimer 124070 blt 0,0,640,480,0, 7981,640,480:waittimer 124086 blt 0,0,640,480,0, 7982,640,480:waittimer 124101 blt 0,0,640,480,0, 7983,640,480:waittimer 124117 blt 0,0,640,480,0, 7984,640,480:waittimer 124132 blt 0,0,640,480,0, 7985,640,480:waittimer 124148 blt 0,0,640,480,0, 7986,640,480:waittimer 124163 blt 0,0,640,480,0, 7987,640,480:waittimer 124179 blt 0,0,640,480,0, 7988,640,480:waittimer 124195 blt 0,0,640,480,0, 7989,640,480:waittimer 124210 blt 0,0,640,480,0, 7990,640,480:waittimer 124226 blt 0,0,640,480,0, 7991,640,480:waittimer 124241 blt 0,0,640,480,0, 7992,640,480:waittimer 124257 blt 0,0,640,480,0, 7993,640,480:waittimer 124272 blt 0,0,640,480,0, 7994,640,480:waittimer 124288 blt 0,0,640,480,0, 7995,640,480:waittimer 124303 blt 0,0,640,480,0, 7996,640,480:waittimer 124319 blt 0,0,640,480,0, 7997,640,480:waittimer 124334 blt 0,0,640,480,0, 7998,640,480:waittimer 124350 blt 0,0,640,480,0, 7999,640,480:waittimer 124366 blt 0,0,640,480,0, 8000,640,480:waittimer 124381 blt 0,0,640,480,0, 8001,640,480:waittimer 124397 blt 0,0,640,480,0, 8002,640,480:waittimer 124412 blt 0,0,640,480,0, 8003,640,480:waittimer 124428 blt 0,0,640,480,0, 8004,640,480:waittimer 124443 blt 0,0,640,480,0, 8005,640,480:waittimer 124459 blt 0,0,640,480,0, 8006,640,480:waittimer 124474 blt 0,0,640,480,0, 8007,640,480:waittimer 124490 blt 0,0,640,480,0, 8008,640,480:waittimer 124506 blt 0,0,640,480,0, 8009,640,480:waittimer 124521 blt 0,0,640,480,0, 8010,640,480:waittimer 124537 blt 0,0,640,480,0, 8011,640,480:waittimer 124552 blt 0,0,640,480,0, 8012,640,480:waittimer 124568 blt 0,0,640,480,0, 8013,640,480:waittimer 124583 blt 0,0,640,480,0, 8014,640,480:waittimer 124599 blt 0,0,640,480,0, 8015,640,480:waittimer 124614 blt 0,0,640,480,0, 8016,640,480:waittimer 124630 blt 0,0,640,480,0, 8017,640,480:waittimer 124645 blt 0,0,640,480,0, 8018,640,480:waittimer 124661 blt 0,0,640,480,0, 8019,640,480:waittimer 124677 blt 0,0,640,480,0, 8020,640,480:waittimer 124692 blt 0,0,640,480,0, 8021,640,480:waittimer 124708 blt 0,0,640,480,0, 8022,640,480:waittimer 124723 blt 0,0,640,480,0, 8023,640,480:waittimer 124739 blt 0,0,640,480,0, 8024,640,480:waittimer 124754 blt 0,0,640,480,0, 8025,640,480:waittimer 124770 blt 0,0,640,480,0, 8026,640,480:waittimer 124785 blt 0,0,640,480,0, 8027,640,480:waittimer 124801 blt 0,0,640,480,0, 8028,640,480:waittimer 124816 blt 0,0,640,480,0, 8029,640,480:waittimer 124832 blt 0,0,640,480,0, 8030,640,480:waittimer 124848 blt 0,0,640,480,0, 8031,640,480:waittimer 124863 blt 0,0,640,480,0, 8032,640,480:waittimer 124879 blt 0,0,640,480,0, 8033,640,480:waittimer 124894 blt 0,0,640,480,0, 8034,640,480:waittimer 124910 blt 0,0,640,480,0, 8035,640,480:waittimer 124925 blt 0,0,640,480,0, 8036,640,480:waittimer 124941 blt 0,0,640,480,0, 8037,640,480:waittimer 124956 blt 0,0,640,480,0, 8038,640,480:waittimer 124972 blt 0,0,640,480,0, 8039,640,480:waittimer 124987 blt 0,0,640,480,0, 8040,640,480:waittimer 125003 blt 0,0,640,480,0, 8041,640,480:waittimer 125019 blt 0,0,640,480,0, 8042,640,480:waittimer 125034 blt 0,0,640,480,0, 8043,640,480:waittimer 125050 blt 0,0,640,480,0, 8044,640,480:waittimer 125065 blt 0,0,640,480,0, 8045,640,480:waittimer 125081 blt 0,0,640,480,0, 8046,640,480:waittimer 125096 blt 0,0,640,480,0, 8047,640,480:waittimer 125112 blt 0,0,640,480,0, 8048,640,480:waittimer 125127 blt 0,0,640,480,0, 8049,640,480:waittimer 125143 blt 0,0,640,480,0, 8050,640,480:waittimer 125159 blt 0,0,640,480,0, 8051,640,480:waittimer 125174 blt 0,0,640,480,0, 8052,640,480:waittimer 125190 blt 0,0,640,480,0, 8053,640,480:waittimer 125205 blt 0,0,640,480,0, 8054,640,480:waittimer 125221 blt 0,0,640,480,0, 8055,640,480:waittimer 125236 blt 0,0,640,480,0, 8056,640,480:waittimer 125252 blt 0,0,640,480,0, 8057,640,480:waittimer 125267 blt 0,0,640,480,0, 8058,640,480:waittimer 125283 blt 0,0,640,480,0, 8059,640,480:waittimer 125298 blt 0,0,640,480,0, 8060,640,480:waittimer 125314 blt 0,0,640,480,0, 8061,640,480:waittimer 125330 blt 0,0,640,480,0, 8062,640,480:waittimer 125345 blt 0,0,640,480,0, 8063,640,480:waittimer 125361 blt 0,0,640,480,0, 8064,640,480:waittimer 125376 blt 0,0,640,480,0, 8065,640,480:waittimer 125392 blt 0,0,640,480,0, 8066,640,480:waittimer 125407 blt 0,0,640,480,0, 8067,640,480:waittimer 125423 blt 0,0,640,480,0, 8068,640,480:waittimer 125438 blt 0,0,640,480,0, 8069,640,480:waittimer 125454 blt 0,0,640,480,0, 8070,640,480:waittimer 125469 blt 0,0,640,480,0, 8071,640,480:waittimer 125485 blt 0,0,640,480,0, 8072,640,480:waittimer 125501 blt 0,0,640,480,0, 8073,640,480:waittimer 125516 blt 0,0,640,480,0, 8074,640,480:waittimer 125532 blt 0,0,640,480,0, 8075,640,480:waittimer 125547 blt 0,0,640,480,0, 8076,640,480:waittimer 125563 blt 0,0,640,480,0, 8077,640,480:waittimer 125578 blt 0,0,640,480,0, 8078,640,480:waittimer 125594 blt 0,0,640,480,0, 8079,640,480:waittimer 125609 blt 0,0,640,480,0, 8080,640,480:waittimer 125625 blt 0,0,640,480,0, 8081,640,480:waittimer 125640 blt 0,0,640,480,0, 8082,640,480:waittimer 125656 blt 0,0,640,480,0, 8083,640,480:waittimer 125672 blt 0,0,640,480,0, 8084,640,480:waittimer 125687 blt 0,0,640,480,0, 8085,640,480:waittimer 125703 blt 0,0,640,480,0, 8086,640,480:waittimer 125718 blt 0,0,640,480,0, 8087,640,480:waittimer 125734 blt 0,0,640,480,0, 8088,640,480:waittimer 125749 blt 0,0,640,480,0, 8089,640,480:waittimer 125765 blt 0,0,640,480,0, 8090,640,480:waittimer 125780 blt 0,0,640,480,0, 8091,640,480:waittimer 125796 blt 0,0,640,480,0, 8092,640,480:waittimer 125812 blt 0,0,640,480,0, 8093,640,480:waittimer 125827 blt 0,0,640,480,0, 8094,640,480:waittimer 125843 blt 0,0,640,480,0, 8095,640,480:waittimer 125858 blt 0,0,640,480,0, 8096,640,480:waittimer 125874 blt 0,0,640,480,0, 8097,640,480:waittimer 125889 blt 0,0,640,480,0, 8098,640,480:waittimer 125905 blt 0,0,640,480,0, 8099,640,480:waittimer 125920 blt 0,0,640,480,0, 8100,640,480:waittimer 125936 blt 0,0,640,480,0, 8101,640,480:waittimer 125951 blt 0,0,640,480,0, 8102,640,480:waittimer 125967 blt 0,0,640,480,0, 8103,640,480:waittimer 125983 blt 0,0,640,480,0, 8104,640,480:waittimer 125998 blt 0,0,640,480,0, 8105,640,480:waittimer 126014 blt 0,0,640,480,0, 8106,640,480:waittimer 126029 blt 0,0,640,480,0, 8107,640,480:waittimer 126045 blt 0,0,640,480,0, 8108,640,480:waittimer 126060 blt 0,0,640,480,0, 8109,640,480:waittimer 126076 blt 0,0,640,480,0, 8110,640,480:waittimer 126091 blt 0,0,640,480,0, 8111,640,480:waittimer 126107 blt 0,0,640,480,0, 8112,640,480:waittimer 126122 blt 0,0,640,480,0, 8113,640,480:waittimer 126138 blt 0,0,640,480,0, 8114,640,480:waittimer 126154 blt 0,0,640,480,0, 8115,640,480:waittimer 126169 blt 0,0,640,480,0, 8116,640,480:waittimer 126185 blt 0,0,640,480,0, 8117,640,480:waittimer 126200 blt 0,0,640,480,0, 8118,640,480:waittimer 126216 blt 0,0,640,480,0, 8119,640,480:waittimer 126231 blt 0,0,640,480,0, 8120,640,480:waittimer 126247 blt 0,0,640,480,0, 8121,640,480:waittimer 126262 blt 0,0,640,480,0, 8122,640,480:waittimer 126278 blt 0,0,640,480,0, 8123,640,480:waittimer 126293 blt 0,0,640,480,0, 8124,640,480:waittimer 126309 blt 0,0,640,480,0, 8125,640,480:waittimer 126325 blt 0,0,640,480,0, 8126,640,480:waittimer 126340 blt 0,0,640,480,0, 8127,640,480:waittimer 126356 blt 0,0,640,480,0, 8128,640,480:waittimer 126371 blt 0,0,640,480,0, 8129,640,480:waittimer 126387 blt 0,0,640,480,0, 8130,640,480:waittimer 126402 blt 0,0,640,480,0, 8131,640,480:waittimer 126418 blt 0,0,640,480,0, 8132,640,480:waittimer 126433 blt 0,0,640,480,0, 8133,640,480:waittimer 126449 blt 0,0,640,480,0, 8134,640,480:waittimer 126465 blt 0,0,640,480,0, 8135,640,480:waittimer 126480 blt 0,0,640,480,0, 8136,640,480:waittimer 126496 blt 0,0,640,480,0, 8137,640,480:waittimer 126511 blt 0,0,640,480,0, 8138,640,480:waittimer 126527 blt 0,0,640,480,0, 8139,640,480:waittimer 126542 blt 0,0,640,480,0, 8140,640,480:waittimer 126558 blt 0,0,640,480,0, 8141,640,480:waittimer 126573 blt 0,0,640,480,0, 8142,640,480:waittimer 126589 blt 0,0,640,480,0, 8143,640,480:waittimer 126604 blt 0,0,640,480,0, 8144,640,480:waittimer 126620 blt 0,0,640,480,0, 8145,640,480:waittimer 126636 blt 0,0,640,480,0, 8146,640,480:waittimer 126651 blt 0,0,640,480,0, 8147,640,480:waittimer 126667 blt 0,0,640,480,0, 8148,640,480:waittimer 126682 blt 0,0,640,480,0, 8149,640,480:waittimer 126698 blt 0,0,640,480,0, 8150,640,480:waittimer 126713 blt 0,0,640,480,0, 8151,640,480:waittimer 126729 blt 0,0,640,480,0, 8152,640,480:waittimer 126744 blt 0,0,640,480,0, 8153,640,480:waittimer 126760 blt 0,0,640,480,0, 8154,640,480:waittimer 126775 blt 0,0,640,480,0, 8155,640,480:waittimer 126791 blt 0,0,640,480,0, 8156,640,480:waittimer 126807 blt 0,0,640,480,0, 8157,640,480:waittimer 126822 blt 0,0,640,480,0, 8158,640,480:waittimer 126838 blt 0,0,640,480,0, 8159,640,480:waittimer 126853 blt 0,0,640,480,0, 8160,640,480:waittimer 126869 blt 0,0,640,480,0, 8161,640,480:waittimer 126884 blt 0,0,640,480,0, 8162,640,480:waittimer 126900 blt 0,0,640,480,0, 8163,640,480:waittimer 126915 blt 0,0,640,480,0, 8164,640,480:waittimer 126931 blt 0,0,640,480,0, 8165,640,480:waittimer 126946 blt 0,0,640,480,0, 8166,640,480:waittimer 126962 blt 0,0,640,480,0, 8167,640,480:waittimer 126978 blt 0,0,640,480,0, 8168,640,480:waittimer 126993 blt 0,0,640,480,0, 8169,640,480:waittimer 127009 blt 0,0,640,480,0, 8170,640,480:waittimer 127024 blt 0,0,640,480,0, 8171,640,480:waittimer 127040 blt 0,0,640,480,0, 8172,640,480:waittimer 127055 blt 0,0,640,480,0, 8173,640,480:waittimer 127071 blt 0,0,640,480,0, 8174,640,480:waittimer 127086 blt 0,0,640,480,0, 8175,640,480:waittimer 127102 blt 0,0,640,480,0, 8176,640,480:waittimer 127118 blt 0,0,640,480,0, 8177,640,480:waittimer 127133 blt 0,0,640,480,0, 8178,640,480:waittimer 127149 blt 0,0,640,480,0, 8179,640,480:waittimer 127164 blt 0,0,640,480,0, 8180,640,480:waittimer 127180 blt 0,0,640,480,0, 8181,640,480:waittimer 127195 blt 0,0,640,480,0, 8182,640,480:waittimer 127211 blt 0,0,640,480,0, 8183,640,480:waittimer 127226 blt 0,0,640,480,0, 8184,640,480:waittimer 127242 blt 0,0,640,480,0, 8185,640,480:waittimer 127257 blt 0,0,640,480,0, 8186,640,480:waittimer 127273 blt 0,0,640,480,0, 8187,640,480:waittimer 127289 blt 0,0,640,480,0, 8188,640,480:waittimer 127304 blt 0,0,640,480,0, 8189,640,480:waittimer 127320 blt 0,0,640,480,0, 8190,640,480:waittimer 127335 blt 0,0,640,480,0, 8191,640,480:waittimer 127351 blt 0,0,640,480,0, 8192,640,480:waittimer 127366 blt 0,0,640,480,0, 8193,640,480:waittimer 127382 blt 0,0,640,480,0, 8194,640,480:waittimer 127397 blt 0,0,640,480,0, 8195,640,480:waittimer 127413 blt 0,0,640,480,0, 8196,640,480:waittimer 127428 blt 0,0,640,480,0, 8197,640,480:waittimer 127444 blt 0,0,640,480,0, 8198,640,480:waittimer 127460 blt 0,0,640,480,0, 8199,640,480:waittimer 127475 blt 0,0,640,480,0, 8200,640,480:waittimer 127491 blt 0,0,640,480,0, 8201,640,480:waittimer 127506 blt 0,0,640,480,0, 8202,640,480:waittimer 127522 blt 0,0,640,480,0, 8203,640,480:waittimer 127537 blt 0,0,640,480,0, 8204,640,480:waittimer 127553 blt 0,0,640,480,0, 8205,640,480:waittimer 127568 blt 0,0,640,480,0, 8206,640,480:waittimer 127584 blt 0,0,640,480,0, 8207,640,480:waittimer 127599 blt 0,0,640,480,0, 8208,640,480:waittimer 127615 blt 0,0,640,480,0, 8209,640,480:waittimer 127631 blt 0,0,640,480,0, 8210,640,480:waittimer 127646 blt 0,0,640,480,0, 8211,640,480:waittimer 127662 blt 0,0,640,480,0, 8212,640,480:waittimer 127677 blt 0,0,640,480,0, 8213,640,480:waittimer 127693 blt 0,0,640,480,0, 8214,640,480:waittimer 127708 blt 0,0,640,480,0, 8215,640,480:waittimer 127724 blt 0,0,640,480,0, 8216,640,480:waittimer 127739 blt 0,0,640,480,0, 8217,640,480:waittimer 127755 blt 0,0,640,480,0, 8218,640,480:waittimer 127771 blt 0,0,640,480,0, 8219,640,480:waittimer 127786 blt 0,0,640,480,0, 8220,640,480:waittimer 127802 blt 0,0,640,480,0, 8221,640,480:waittimer 127817 blt 0,0,640,480,0, 8222,640,480:waittimer 127833 blt 0,0,640,480,0, 8223,640,480:waittimer 127848 blt 0,0,640,480,0, 8224,640,480:waittimer 127864 blt 0,0,640,480,0, 8225,640,480:waittimer 127879 blt 0,0,640,480,0, 8226,640,480:waittimer 127895 blt 0,0,640,480,0, 8227,640,480:waittimer 127910 blt 0,0,640,480,0, 8228,640,480:waittimer 127926 blt 0,0,640,480,0, 8229,640,480:waittimer 127942 blt 0,0,640,480,0, 8230,640,480:waittimer 127957 blt 0,0,640,480,0, 8231,640,480:waittimer 127973 blt 0,0,640,480,0, 8232,640,480:waittimer 127988 blt 0,0,640,480,0, 8233,640,480:waittimer 128004 blt 0,0,640,480,0, 8234,640,480:waittimer 128019 blt 0,0,640,480,0, 8235,640,480:waittimer 128035 blt 0,0,640,480,0, 8236,640,480:waittimer 128050 blt 0,0,640,480,0, 8237,640,480:waittimer 128066 blt 0,0,640,480,0, 8238,640,480:waittimer 128081 blt 0,0,640,480,0, 8239,640,480:waittimer 128097 blt 0,0,640,480,0, 8240,640,480:waittimer 128113 blt 0,0,640,480,0, 8241,640,480:waittimer 128128 blt 0,0,640,480,0, 8242,640,480:waittimer 128144 blt 0,0,640,480,0, 8243,640,480:waittimer 128159 blt 0,0,640,480,0, 8244,640,480:waittimer 128175 blt 0,0,640,480,0, 8245,640,480:waittimer 128190 blt 0,0,640,480,0, 8246,640,480:waittimer 128206 blt 0,0,640,480,0, 8247,640,480:waittimer 128221 blt 0,0,640,480,0, 8248,640,480:waittimer 128237 blt 0,0,640,480,0, 8249,640,480:waittimer 128253 blt 0,0,640,480,0, 8250,640,480:waittimer 128268 blt 0,0,640,480,0, 8251,640,480:waittimer 128284 blt 0,0,640,480,0, 8252,640,480:waittimer 128299 blt 0,0,640,480,0, 8253,640,480:waittimer 128315 blt 0,0,640,480,0, 8254,640,480:waittimer 128330 blt 0,0,640,480,0, 8255,640,480:waittimer 128346 blt 0,0,640,480,0, 8256,640,480:waittimer 128361 blt 0,0,640,480,0, 8257,640,480:waittimer 128377 blt 0,0,640,480,0, 8258,640,480:waittimer 128392 blt 0,0,640,480,0, 8259,640,480:waittimer 128408 blt 0,0,640,480,0, 8260,640,480:waittimer 128424 blt 0,0,640,480,0, 8261,640,480:waittimer 128439 blt 0,0,640,480,0, 8262,640,480:waittimer 128455 blt 0,0,640,480,0, 8263,640,480:waittimer 128470 blt 0,0,640,480,0, 8264,640,480:waittimer 128486 blt 0,0,640,480,0, 8265,640,480:waittimer 128501 blt 0,0,640,480,0, 8266,640,480:waittimer 128517 blt 0,0,640,480,0, 8267,640,480:waittimer 128532 blt 0,0,640,480,0, 8268,640,480:waittimer 128548 blt 0,0,640,480,0, 8269,640,480:waittimer 128563 blt 0,0,640,480,0, 8270,640,480:waittimer 128579 blt 0,0,640,480,0, 8271,640,480:waittimer 128595 blt 0,0,640,480,0, 8272,640,480:waittimer 128610 blt 0,0,640,480,0, 8273,640,480:waittimer 128626 blt 0,0,640,480,0, 8274,640,480:waittimer 128641 blt 0,0,640,480,0, 8275,640,480:waittimer 128657 blt 0,0,640,480,0, 8276,640,480:waittimer 128672 blt 0,0,640,480,0, 8277,640,480:waittimer 128688 blt 0,0,640,480,0, 8278,640,480:waittimer 128703 blt 0,0,640,480,0, 8279,640,480:waittimer 128719 blt 0,0,640,480,0, 8280,640,480:waittimer 128734 blt 0,0,640,480,0, 8281,640,480:waittimer 128750 blt 0,0,640,480,0, 8282,640,480:waittimer 128766 blt 0,0,640,480,0, 8283,640,480:waittimer 128781 blt 0,0,640,480,0, 8284,640,480:waittimer 128797 blt 0,0,640,480,0, 8285,640,480:waittimer 128812 blt 0,0,640,480,0, 8286,640,480:waittimer 128828 blt 0,0,640,480,0, 8287,640,480:waittimer 128843 blt 0,0,640,480,0, 8288,640,480:waittimer 128859 blt 0,0,640,480,0, 8289,640,480:waittimer 128874 blt 0,0,640,480,0, 8290,640,480:waittimer 128890 blt 0,0,640,480,0, 8291,640,480:waittimer 128906 blt 0,0,640,480,0, 8292,640,480:waittimer 128921 blt 0,0,640,480,0, 8293,640,480:waittimer 128937 blt 0,0,640,480,0, 8294,640,480:waittimer 128952 blt 0,0,640,480,0, 8295,640,480:waittimer 128968 blt 0,0,640,480,0, 8296,640,480:waittimer 128983 blt 0,0,640,480,0, 8297,640,480:waittimer 128999 blt 0,0,640,480,0, 8298,640,480:waittimer 129014 blt 0,0,640,480,0, 8299,640,480:waittimer 129030 blt 0,0,640,480,0, 8300,640,480:waittimer 129045 blt 0,0,640,480,0, 8301,640,480:waittimer 129061 blt 0,0,640,480,0, 8302,640,480:waittimer 129077 blt 0,0,640,480,0, 8303,640,480:waittimer 129092 blt 0,0,640,480,0, 8304,640,480:waittimer 129108 blt 0,0,640,480,0, 8305,640,480:waittimer 129123 blt 0,0,640,480,0, 8306,640,480:waittimer 129139 blt 0,0,640,480,0, 8307,640,480:waittimer 129154 blt 0,0,640,480,0, 8308,640,480:waittimer 129170 blt 0,0,640,480,0, 8309,640,480:waittimer 129185 blt 0,0,640,480,0, 8310,640,480:waittimer 129201 blt 0,0,640,480,0, 8311,640,480:waittimer 129216 blt 0,0,640,480,0, 8312,640,480:waittimer 129232 blt 0,0,640,480,0, 8313,640,480:waittimer 129248 blt 0,0,640,480,0, 8314,640,480:waittimer 129263 blt 0,0,640,480,0, 8315,640,480:waittimer 129279 blt 0,0,640,480,0, 8316,640,480:waittimer 129294 blt 0,0,640,480,0, 8317,640,480:waittimer 129310 blt 0,0,640,480,0, 8318,640,480:waittimer 129325 blt 0,0,640,480,0, 8319,640,480:waittimer 129341 blt 0,0,640,480,0, 8320,640,480:waittimer 129356 blt 0,0,640,480,0, 8321,640,480:waittimer 129372 blt 0,0,640,480,0, 8322,640,480:waittimer 129387 blt 0,0,640,480,0, 8323,640,480:waittimer 129403 blt 0,0,640,480,0, 8324,640,480:waittimer 129419 blt 0,0,640,480,0, 8325,640,480:waittimer 129434 blt 0,0,640,480,0, 8326,640,480:waittimer 129450 blt 0,0,640,480,0, 8327,640,480:waittimer 129465 blt 0,0,640,480,0, 8328,640,480:waittimer 129481 blt 0,0,640,480,0, 8329,640,480:waittimer 129496 blt 0,0,640,480,0, 8330,640,480:waittimer 129512 blt 0,0,640,480,0, 8331,640,480:waittimer 129527 blt 0,0,640,480,0, 8332,640,480:waittimer 129543 blt 0,0,640,480,0, 8333,640,480:waittimer 129559 blt 0,0,640,480,0, 8334,640,480:waittimer 129574 blt 0,0,640,480,0, 8335,640,480:waittimer 129590 blt 0,0,640,480,0, 8336,640,480:waittimer 129605 blt 0,0,640,480,0, 8337,640,480:waittimer 129621 blt 0,0,640,480,0, 8338,640,480:waittimer 129636 blt 0,0,640,480,0, 8339,640,480:waittimer 129652 blt 0,0,640,480,0, 8340,640,480:waittimer 129667 blt 0,0,640,480,0, 8341,640,480:waittimer 129683 blt 0,0,640,480,0, 8342,640,480:waittimer 129698 blt 0,0,640,480,0, 8343,640,480:waittimer 129714 blt 0,0,640,480,0, 8344,640,480:waittimer 129730 blt 0,0,640,480,0, 8345,640,480:waittimer 129745 blt 0,0,640,480,0, 8346,640,480:waittimer 129761 blt 0,0,640,480,0, 8347,640,480:waittimer 129776 blt 0,0,640,480,0, 8348,640,480:waittimer 129792 blt 0,0,640,480,0, 8349,640,480:waittimer 129807 blt 0,0,640,480,0, 8350,640,480:waittimer 129823 blt 0,0,640,480,0, 8351,640,480:waittimer 129838 blt 0,0,640,480,0, 8352,640,480:waittimer 129854 blt 0,0,640,480,0, 8353,640,480:waittimer 129869 blt 0,0,640,480,0, 8354,640,480:waittimer 129885 blt 0,0,640,480,0, 8355,640,480:waittimer 129901 blt 0,0,640,480,0, 8356,640,480:waittimer 129916 blt 0,0,640,480,0, 8357,640,480:waittimer 129932 blt 0,0,640,480,0, 8358,640,480:waittimer 129947 blt 0,0,640,480,0, 8359,640,480:waittimer 129963 blt 0,0,640,480,0, 8360,640,480:waittimer 129978 blt 0,0,640,480,0, 8361,640,480:waittimer 129994 blt 0,0,640,480,0, 8362,640,480:waittimer 130009 blt 0,0,640,480,0, 8363,640,480:waittimer 130025 blt 0,0,640,480,0, 8364,640,480:waittimer 130040 blt 0,0,640,480,0, 8365,640,480:waittimer 130056 blt 0,0,640,480,0, 8366,640,480:waittimer 130072 blt 0,0,640,480,0, 8367,640,480:waittimer 130087 blt 0,0,640,480,0, 8368,640,480:waittimer 130103 blt 0,0,640,480,0, 8369,640,480:waittimer 130118 blt 0,0,640,480,0, 8370,640,480:waittimer 130134 blt 0,0,640,480,0, 8371,640,480:waittimer 130149 blt 0,0,640,480,0, 8372,640,480:waittimer 130165 blt 0,0,640,480,0, 8373,640,480:waittimer 130180 blt 0,0,640,480,0, 8374,640,480:waittimer 130196 blt 0,0,640,480,0, 8375,640,480:waittimer 130212 blt 0,0,640,480,0, 8376,640,480:waittimer 130227 blt 0,0,640,480,0, 8377,640,480:waittimer 130243 blt 0,0,640,480,0, 8378,640,480:waittimer 130258 blt 0,0,640,480,0, 8379,640,480:waittimer 130274 blt 0,0,640,480,0, 8380,640,480:waittimer 130289 blt 0,0,640,480,0, 8381,640,480:waittimer 130305 blt 0,0,640,480,0, 8382,640,480:waittimer 130320 blt 0,0,640,480,0, 8383,640,480:waittimer 130336 blt 0,0,640,480,0, 8384,640,480:waittimer 130351 blt 0,0,640,480,0, 8385,640,480:waittimer 130367 blt 0,0,640,480,0, 8386,640,480:waittimer 130383 blt 0,0,640,480,0, 8387,640,480:waittimer 130398 blt 0,0,640,480,0, 8388,640,480:waittimer 130414 blt 0,0,640,480,0, 8389,640,480:waittimer 130429 blt 0,0,640,480,0, 8390,640,480:waittimer 130445 blt 0,0,640,480,0, 8391,640,480:waittimer 130460 blt 0,0,640,480,0, 8392,640,480:waittimer 130476 blt 0,0,640,480,0, 8393,640,480:waittimer 130491 blt 0,0,640,480,0, 8394,640,480:waittimer 130507 blt 0,0,640,480,0, 8395,640,480:waittimer 130522 blt 0,0,640,480,0, 8396,640,480:waittimer 130538 blt 0,0,640,480,0, 8397,640,480:waittimer 130554 blt 0,0,640,480,0, 8398,640,480:waittimer 130569 blt 0,0,640,480,0, 8399,640,480:waittimer 130585 blt 0,0,640,480,0, 8400,640,480:waittimer 130600 blt 0,0,640,480,0, 8401,640,480:waittimer 130616 blt 0,0,640,480,0, 8402,640,480:waittimer 130631 blt 0,0,640,480,0, 8403,640,480:waittimer 130647 blt 0,0,640,480,0, 8404,640,480:waittimer 130662 blt 0,0,640,480,0, 8405,640,480:waittimer 130678 blt 0,0,640,480,0, 8406,640,480:waittimer 130693 blt 0,0,640,480,0, 8407,640,480:waittimer 130709 blt 0,0,640,480,0, 8408,640,480:waittimer 130725 blt 0,0,640,480,0, 8409,640,480:waittimer 130740 blt 0,0,640,480,0, 8410,640,480:waittimer 130756 blt 0,0,640,480,0, 8411,640,480:waittimer 130771 blt 0,0,640,480,0, 8412,640,480:waittimer 130787 blt 0,0,640,480,0, 8413,640,480:waittimer 130802 blt 0,0,640,480,0, 8414,640,480:waittimer 130818 blt 0,0,640,480,0, 8415,640,480:waittimer 130833 blt 0,0,640,480,0, 8416,640,480:waittimer 130849 blt 0,0,640,480,0, 8417,640,480:waittimer 130865 blt 0,0,640,480,0, 8418,640,480:waittimer 130880 blt 0,0,640,480,0, 8419,640,480:waittimer 130896 blt 0,0,640,480,0, 8420,640,480:waittimer 130911 blt 0,0,640,480,0, 8421,640,480:waittimer 130927 blt 0,0,640,480,0, 8422,640,480:waittimer 130942 blt 0,0,640,480,0, 8423,640,480:waittimer 130958 blt 0,0,640,480,0, 8424,640,480:waittimer 130973 blt 0,0,640,480,0, 8425,640,480:waittimer 130989 blt 0,0,640,480,0, 8426,640,480:waittimer 131004 blt 0,0,640,480,0, 8427,640,480:waittimer 131020 blt 0,0,640,480,0, 8428,640,480:waittimer 131036 blt 0,0,640,480,0, 8429,640,480:waittimer 131051 blt 0,0,640,480,0, 8430,640,480:waittimer 131067 blt 0,0,640,480,0, 8431,640,480:waittimer 131082 blt 0,0,640,480,0, 8432,640,480:waittimer 131098 blt 0,0,640,480,0, 8433,640,480:waittimer 131113 blt 0,0,640,480,0, 8434,640,480:waittimer 131129 blt 0,0,640,480,0, 8435,640,480:waittimer 131144 blt 0,0,640,480,0, 8436,640,480:waittimer 131160 blt 0,0,640,480,0, 8437,640,480:waittimer 131175 blt 0,0,640,480,0, 8438,640,480:waittimer 131191 blt 0,0,640,480,0, 8439,640,480:waittimer 131207 blt 0,0,640,480,0, 8440,640,480:waittimer 131222 blt 0,0,640,480,0, 8441,640,480:waittimer 131238 blt 0,0,640,480,0, 8442,640,480:waittimer 131253 blt 0,0,640,480,0, 8443,640,480:waittimer 131269 blt 0,0,640,480,0, 8444,640,480:waittimer 131284 blt 0,0,640,480,0, 8445,640,480:waittimer 131300 blt 0,0,640,480,0, 8446,640,480:waittimer 131315 blt 0,0,640,480,0, 8447,640,480:waittimer 131331 blt 0,0,640,480,0, 8448,640,480:waittimer 131346 blt 0,0,640,480,0, 8449,640,480:waittimer 131362 blt 0,0,640,480,0, 8450,640,480:waittimer 131378 blt 0,0,640,480,0, 8451,640,480:waittimer 131393 blt 0,0,640,480,0, 8452,640,480:waittimer 131409 blt 0,0,640,480,0, 8453,640,480:waittimer 131424 blt 0,0,640,480,0, 8454,640,480:waittimer 131440 blt 0,0,640,480,0, 8455,640,480:waittimer 131455 blt 0,0,640,480,0, 8456,640,480:waittimer 131471 blt 0,0,640,480,0, 8457,640,480:waittimer 131486 blt 0,0,640,480,0, 8458,640,480:waittimer 131502 blt 0,0,640,480,0, 8459,640,480:waittimer 131518 blt 0,0,640,480,0, 8460,640,480:waittimer 131533 blt 0,0,640,480,0, 8461,640,480:waittimer 131549 blt 0,0,640,480,0, 8462,640,480:waittimer 131564 blt 0,0,640,480,0, 8463,640,480:waittimer 131580 blt 0,0,640,480,0, 8464,640,480:waittimer 131595 blt 0,0,640,480,0, 8465,640,480:waittimer 131611 blt 0,0,640,480,0, 8466,640,480:waittimer 131626 blt 0,0,640,480,0, 8467,640,480:waittimer 131642 blt 0,0,640,480,0, 8468,640,480:waittimer 131657 blt 0,0,640,480,0, 8469,640,480:waittimer 131673 blt 0,0,640,480,0, 8470,640,480:waittimer 131689 blt 0,0,640,480,0, 8471,640,480:waittimer 131704 blt 0,0,640,480,0, 8472,640,480:waittimer 131720 blt 0,0,640,480,0, 8473,640,480:waittimer 131735 blt 0,0,640,480,0, 8474,640,480:waittimer 131751 blt 0,0,640,480,0, 8475,640,480:waittimer 131766 blt 0,0,640,480,0, 8476,640,480:waittimer 131782 blt 0,0,640,480,0, 8477,640,480:waittimer 131797 blt 0,0,640,480,0, 8478,640,480:waittimer 131813 blt 0,0,640,480,0, 8479,640,480:waittimer 131828 blt 0,0,640,480,0, 8480,640,480:waittimer 131844 blt 0,0,640,480,0, 8481,640,480:waittimer 131860 blt 0,0,640,480,0, 8482,640,480:waittimer 131875 blt 0,0,640,480,0, 8483,640,480:waittimer 131891 blt 0,0,640,480,0, 8484,640,480:waittimer 131906 blt 0,0,640,480,0, 8485,640,480:waittimer 131922 blt 0,0,640,480,0, 8486,640,480:waittimer 131937 blt 0,0,640,480,0, 8487,640,480:waittimer 131953 blt 0,0,640,480,0, 8488,640,480:waittimer 131968 blt 0,0,640,480,0, 8489,640,480:waittimer 131984 blt 0,0,640,480,0, 8490,640,480:waittimer 131999 return ;****************************************************** *teatime_ep4_scroll ;スクロール実行本体 resettimer blt 0,0,640,480,0, 2,640,480:waittimer 26 blt 0,0,640,480,0, 4,640,480:waittimer 53 blt 0,0,640,480,0, 6,640,480:waittimer 80 blt 0,0,640,480,0, 8,640,480:waittimer 107 blt 0,0,640,480,0, 10,640,480:waittimer 134 blt 0,0,640,480,0, 12,640,480:waittimer 161 blt 0,0,640,480,0, 14,640,480:waittimer 188 blt 0,0,640,480,0, 16,640,480:waittimer 215 blt 0,0,640,480,0, 18,640,480:waittimer 242 blt 0,0,640,480,0, 20,640,480:waittimer 269 blt 0,0,640,480,0, 22,640,480:waittimer 296 blt 0,0,640,480,0, 24,640,480:waittimer 323 blt 0,0,640,480,0, 26,640,480:waittimer 350 blt 0,0,640,480,0, 28,640,480:waittimer 377 blt 0,0,640,480,0, 30,640,480:waittimer 404 blt 0,0,640,480,0, 32,640,480:waittimer 431 blt 0,0,640,480,0, 34,640,480:waittimer 458 blt 0,0,640,480,0, 36,640,480:waittimer 485 blt 0,0,640,480,0, 38,640,480:waittimer 512 blt 0,0,640,480,0, 40,640,480:waittimer 539 blt 0,0,640,480,0, 42,640,480:waittimer 566 blt 0,0,640,480,0, 44,640,480:waittimer 593 blt 0,0,640,480,0, 46,640,480:waittimer 620 blt 0,0,640,480,0, 48,640,480:waittimer 647 blt 0,0,640,480,0, 50,640,480:waittimer 674 blt 0,0,640,480,0, 52,640,480:waittimer 701 blt 0,0,640,480,0, 54,640,480:waittimer 728 blt 0,0,640,480,0, 56,640,480:waittimer 755 blt 0,0,640,480,0, 58,640,480:waittimer 782 blt 0,0,640,480,0, 60,640,480:waittimer 809 blt 0,0,640,480,0, 62,640,480:waittimer 836 blt 0,0,640,480,0, 64,640,480:waittimer 863 blt 0,0,640,480,0, 66,640,480:waittimer 890 blt 0,0,640,480,0, 68,640,480:waittimer 917 blt 0,0,640,480,0, 70,640,480:waittimer 944 blt 0,0,640,480,0, 72,640,480:waittimer 971 blt 0,0,640,480,0, 74,640,480:waittimer 998 blt 0,0,640,480,0, 76,640,480:waittimer 1025 blt 0,0,640,480,0, 78,640,480:waittimer 1052 blt 0,0,640,480,0, 80,640,480:waittimer 1079 blt 0,0,640,480,0, 82,640,480:waittimer 1106 blt 0,0,640,480,0, 84,640,480:waittimer 1133 blt 0,0,640,480,0, 86,640,480:waittimer 1160 blt 0,0,640,480,0, 88,640,480:waittimer 1187 blt 0,0,640,480,0, 90,640,480:waittimer 1214 blt 0,0,640,480,0, 92,640,480:waittimer 1241 blt 0,0,640,480,0, 94,640,480:waittimer 1268 blt 0,0,640,480,0, 96,640,480:waittimer 1295 blt 0,0,640,480,0, 98,640,480:waittimer 1322 blt 0,0,640,480,0, 100,640,480:waittimer 1349 blt 0,0,640,480,0, 102,640,480:waittimer 1376 blt 0,0,640,480,0, 104,640,480:waittimer 1403 blt 0,0,640,480,0, 106,640,480:waittimer 1430 blt 0,0,640,480,0, 108,640,480:waittimer 1457 blt 0,0,640,480,0, 110,640,480:waittimer 1484 blt 0,0,640,480,0, 112,640,480:waittimer 1511 blt 0,0,640,480,0, 114,640,480:waittimer 1538 blt 0,0,640,480,0, 116,640,480:waittimer 1565 blt 0,0,640,480,0, 118,640,480:waittimer 1592 blt 0,0,640,480,0, 120,640,480:waittimer 1619 blt 0,0,640,480,0, 122,640,480:waittimer 1646 blt 0,0,640,480,0, 124,640,480:waittimer 1673 blt 0,0,640,480,0, 126,640,480:waittimer 1700 blt 0,0,640,480,0, 128,640,480:waittimer 1727 blt 0,0,640,480,0, 130,640,480:waittimer 1754 blt 0,0,640,480,0, 132,640,480:waittimer 1781 blt 0,0,640,480,0, 134,640,480:waittimer 1808 blt 0,0,640,480,0, 136,640,480:waittimer 1835 blt 0,0,640,480,0, 138,640,480:waittimer 1862 blt 0,0,640,480,0, 140,640,480:waittimer 1889 blt 0,0,640,480,0, 142,640,480:waittimer 1916 blt 0,0,640,480,0, 144,640,480:waittimer 1943 blt 0,0,640,480,0, 146,640,480:waittimer 1970 blt 0,0,640,480,0, 148,640,480:waittimer 1997 blt 0,0,640,480,0, 150,640,480:waittimer 2024 blt 0,0,640,480,0, 152,640,480:waittimer 2051 blt 0,0,640,480,0, 154,640,480:waittimer 2078 blt 0,0,640,480,0, 156,640,480:waittimer 2105 blt 0,0,640,480,0, 158,640,480:waittimer 2132 blt 0,0,640,480,0, 160,640,480:waittimer 2159 blt 0,0,640,480,0, 162,640,480:waittimer 2186 blt 0,0,640,480,0, 164,640,480:waittimer 2213 blt 0,0,640,480,0, 166,640,480:waittimer 2240 blt 0,0,640,480,0, 168,640,480:waittimer 2267 blt 0,0,640,480,0, 170,640,480:waittimer 2294 blt 0,0,640,480,0, 172,640,480:waittimer 2321 blt 0,0,640,480,0, 174,640,480:waittimer 2348 blt 0,0,640,480,0, 176,640,480:waittimer 2375 blt 0,0,640,480,0, 178,640,480:waittimer 2402 blt 0,0,640,480,0, 180,640,480:waittimer 2429 blt 0,0,640,480,0, 182,640,480:waittimer 2456 blt 0,0,640,480,0, 184,640,480:waittimer 2483 blt 0,0,640,480,0, 186,640,480:waittimer 2510 blt 0,0,640,480,0, 188,640,480:waittimer 2537 blt 0,0,640,480,0, 190,640,480:waittimer 2564 blt 0,0,640,480,0, 192,640,480:waittimer 2591 blt 0,0,640,480,0, 194,640,480:waittimer 2618 blt 0,0,640,480,0, 196,640,480:waittimer 2645 blt 0,0,640,480,0, 198,640,480:waittimer 2672 blt 0,0,640,480,0, 200,640,480:waittimer 2699 blt 0,0,640,480,0, 202,640,480:waittimer 2726 blt 0,0,640,480,0, 204,640,480:waittimer 2753 blt 0,0,640,480,0, 206,640,480:waittimer 2780 blt 0,0,640,480,0, 208,640,480:waittimer 2807 blt 0,0,640,480,0, 210,640,480:waittimer 2834 blt 0,0,640,480,0, 212,640,480:waittimer 2861 blt 0,0,640,480,0, 214,640,480:waittimer 2888 blt 0,0,640,480,0, 216,640,480:waittimer 2915 blt 0,0,640,480,0, 218,640,480:waittimer 2942 blt 0,0,640,480,0, 220,640,480:waittimer 2969 blt 0,0,640,480,0, 222,640,480:waittimer 2996 blt 0,0,640,480,0, 224,640,480:waittimer 3023 blt 0,0,640,480,0, 226,640,480:waittimer 3050 blt 0,0,640,480,0, 228,640,480:waittimer 3077 blt 0,0,640,480,0, 230,640,480:waittimer 3104 blt 0,0,640,480,0, 232,640,480:waittimer 3131 blt 0,0,640,480,0, 234,640,480:waittimer 3158 blt 0,0,640,480,0, 236,640,480:waittimer 3185 blt 0,0,640,480,0, 238,640,480:waittimer 3212 blt 0,0,640,480,0, 240,640,480:waittimer 3239 blt 0,0,640,480,0, 242,640,480:waittimer 3266 blt 0,0,640,480,0, 244,640,480:waittimer 3293 blt 0,0,640,480,0, 246,640,480:waittimer 3320 blt 0,0,640,480,0, 248,640,480:waittimer 3347 blt 0,0,640,480,0, 250,640,480:waittimer 3374 blt 0,0,640,480,0, 252,640,480:waittimer 3401 blt 0,0,640,480,0, 254,640,480:waittimer 3428 blt 0,0,640,480,0, 256,640,480:waittimer 3455 blt 0,0,640,480,0, 258,640,480:waittimer 3482 blt 0,0,640,480,0, 260,640,480:waittimer 3509 blt 0,0,640,480,0, 262,640,480:waittimer 3536 blt 0,0,640,480,0, 264,640,480:waittimer 3563 blt 0,0,640,480,0, 266,640,480:waittimer 3590 blt 0,0,640,480,0, 268,640,480:waittimer 3617 blt 0,0,640,480,0, 270,640,480:waittimer 3644 blt 0,0,640,480,0, 272,640,480:waittimer 3671 blt 0,0,640,480,0, 274,640,480:waittimer 3698 blt 0,0,640,480,0, 276,640,480:waittimer 3725 blt 0,0,640,480,0, 278,640,480:waittimer 3752 blt 0,0,640,480,0, 280,640,480:waittimer 3779 blt 0,0,640,480,0, 282,640,480:waittimer 3806 blt 0,0,640,480,0, 284,640,480:waittimer 3833 blt 0,0,640,480,0, 286,640,480:waittimer 3860 blt 0,0,640,480,0, 288,640,480:waittimer 3887 blt 0,0,640,480,0, 290,640,480:waittimer 3914 blt 0,0,640,480,0, 292,640,480:waittimer 3941 blt 0,0,640,480,0, 294,640,480:waittimer 3968 blt 0,0,640,480,0, 296,640,480:waittimer 3995 blt 0,0,640,480,0, 298,640,480:waittimer 4022 blt 0,0,640,480,0, 300,640,480:waittimer 4049 blt 0,0,640,480,0, 302,640,480:waittimer 4076 blt 0,0,640,480,0, 304,640,480:waittimer 4103 blt 0,0,640,480,0, 306,640,480:waittimer 4130 blt 0,0,640,480,0, 308,640,480:waittimer 4157 blt 0,0,640,480,0, 310,640,480:waittimer 4184 blt 0,0,640,480,0, 312,640,480:waittimer 4211 blt 0,0,640,480,0, 314,640,480:waittimer 4237 blt 0,0,640,480,0, 316,640,480:waittimer 4264 blt 0,0,640,480,0, 318,640,480:waittimer 4291 blt 0,0,640,480,0, 320,640,480:waittimer 4318 blt 0,0,640,480,0, 322,640,480:waittimer 4345 blt 0,0,640,480,0, 324,640,480:waittimer 4372 blt 0,0,640,480,0, 326,640,480:waittimer 4399 blt 0,0,640,480,0, 328,640,480:waittimer 4426 blt 0,0,640,480,0, 330,640,480:waittimer 4453 blt 0,0,640,480,0, 332,640,480:waittimer 4480 blt 0,0,640,480,0, 334,640,480:waittimer 4507 blt 0,0,640,480,0, 336,640,480:waittimer 4534 blt 0,0,640,480,0, 338,640,480:waittimer 4561 blt 0,0,640,480,0, 340,640,480:waittimer 4588 blt 0,0,640,480,0, 342,640,480:waittimer 4615 blt 0,0,640,480,0, 344,640,480:waittimer 4642 blt 0,0,640,480,0, 346,640,480:waittimer 4669 blt 0,0,640,480,0, 348,640,480:waittimer 4696 blt 0,0,640,480,0, 350,640,480:waittimer 4723 blt 0,0,640,480,0, 352,640,480:waittimer 4750 blt 0,0,640,480,0, 354,640,480:waittimer 4777 blt 0,0,640,480,0, 356,640,480:waittimer 4804 blt 0,0,640,480,0, 358,640,480:waittimer 4831 blt 0,0,640,480,0, 360,640,480:waittimer 4858 blt 0,0,640,480,0, 362,640,480:waittimer 4885 blt 0,0,640,480,0, 364,640,480:waittimer 4912 blt 0,0,640,480,0, 366,640,480:waittimer 4939 blt 0,0,640,480,0, 368,640,480:waittimer 4966 blt 0,0,640,480,0, 370,640,480:waittimer 4993 blt 0,0,640,480,0, 372,640,480:waittimer 5020 blt 0,0,640,480,0, 374,640,480:waittimer 5047 blt 0,0,640,480,0, 376,640,480:waittimer 5074 blt 0,0,640,480,0, 378,640,480:waittimer 5101 blt 0,0,640,480,0, 380,640,480:waittimer 5128 blt 0,0,640,480,0, 382,640,480:waittimer 5155 blt 0,0,640,480,0, 384,640,480:waittimer 5182 blt 0,0,640,480,0, 386,640,480:waittimer 5209 blt 0,0,640,480,0, 388,640,480:waittimer 5236 blt 0,0,640,480,0, 390,640,480:waittimer 5263 blt 0,0,640,480,0, 392,640,480:waittimer 5290 blt 0,0,640,480,0, 394,640,480:waittimer 5317 blt 0,0,640,480,0, 396,640,480:waittimer 5344 blt 0,0,640,480,0, 398,640,480:waittimer 5371 blt 0,0,640,480,0, 400,640,480:waittimer 5398 blt 0,0,640,480,0, 402,640,480:waittimer 5425 blt 0,0,640,480,0, 404,640,480:waittimer 5452 blt 0,0,640,480,0, 406,640,480:waittimer 5479 blt 0,0,640,480,0, 408,640,480:waittimer 5506 blt 0,0,640,480,0, 410,640,480:waittimer 5533 blt 0,0,640,480,0, 412,640,480:waittimer 5560 blt 0,0,640,480,0, 414,640,480:waittimer 5587 blt 0,0,640,480,0, 416,640,480:waittimer 5614 blt 0,0,640,480,0, 418,640,480:waittimer 5641 blt 0,0,640,480,0, 420,640,480:waittimer 5668 blt 0,0,640,480,0, 422,640,480:waittimer 5695 blt 0,0,640,480,0, 424,640,480:waittimer 5722 blt 0,0,640,480,0, 426,640,480:waittimer 5749 blt 0,0,640,480,0, 428,640,480:waittimer 5776 blt 0,0,640,480,0, 430,640,480:waittimer 5803 blt 0,0,640,480,0, 432,640,480:waittimer 5830 blt 0,0,640,480,0, 434,640,480:waittimer 5857 blt 0,0,640,480,0, 436,640,480:waittimer 5884 blt 0,0,640,480,0, 438,640,480:waittimer 5911 blt 0,0,640,480,0, 440,640,480:waittimer 5938 blt 0,0,640,480,0, 442,640,480:waittimer 5965 blt 0,0,640,480,0, 444,640,480:waittimer 5992 blt 0,0,640,480,0, 446,640,480:waittimer 6019 blt 0,0,640,480,0, 448,640,480:waittimer 6046 blt 0,0,640,480,0, 450,640,480:waittimer 6073 blt 0,0,640,480,0, 452,640,480:waittimer 6100 blt 0,0,640,480,0, 454,640,480:waittimer 6127 blt 0,0,640,480,0, 456,640,480:waittimer 6154 blt 0,0,640,480,0, 458,640,480:waittimer 6181 blt 0,0,640,480,0, 460,640,480:waittimer 6208 blt 0,0,640,480,0, 462,640,480:waittimer 6235 blt 0,0,640,480,0, 464,640,480:waittimer 6262 blt 0,0,640,480,0, 466,640,480:waittimer 6289 blt 0,0,640,480,0, 468,640,480:waittimer 6316 blt 0,0,640,480,0, 470,640,480:waittimer 6343 blt 0,0,640,480,0, 472,640,480:waittimer 6370 blt 0,0,640,480,0, 474,640,480:waittimer 6397 blt 0,0,640,480,0, 476,640,480:waittimer 6424 blt 0,0,640,480,0, 478,640,480:waittimer 6451 blt 0,0,640,480,0, 480,640,480:waittimer 6478 blt 0,0,640,480,0, 482,640,480:waittimer 6505 blt 0,0,640,480,0, 484,640,480:waittimer 6532 blt 0,0,640,480,0, 486,640,480:waittimer 6559 blt 0,0,640,480,0, 488,640,480:waittimer 6586 blt 0,0,640,480,0, 490,640,480:waittimer 6613 blt 0,0,640,480,0, 492,640,480:waittimer 6640 blt 0,0,640,480,0, 494,640,480:waittimer 6667 blt 0,0,640,480,0, 496,640,480:waittimer 6694 blt 0,0,640,480,0, 498,640,480:waittimer 6721 blt 0,0,640,480,0, 500,640,480:waittimer 6748 blt 0,0,640,480,0, 502,640,480:waittimer 6775 blt 0,0,640,480,0, 504,640,480:waittimer 6802 blt 0,0,640,480,0, 506,640,480:waittimer 6829 blt 0,0,640,480,0, 508,640,480:waittimer 6856 blt 0,0,640,480,0, 510,640,480:waittimer 6883 blt 0,0,640,480,0, 512,640,480:waittimer 6910 blt 0,0,640,480,0, 514,640,480:waittimer 6937 blt 0,0,640,480,0, 516,640,480:waittimer 6964 blt 0,0,640,480,0, 518,640,480:waittimer 6991 blt 0,0,640,480,0, 520,640,480:waittimer 7018 blt 0,0,640,480,0, 522,640,480:waittimer 7045 blt 0,0,640,480,0, 524,640,480:waittimer 7072 blt 0,0,640,480,0, 526,640,480:waittimer 7099 blt 0,0,640,480,0, 528,640,480:waittimer 7126 blt 0,0,640,480,0, 530,640,480:waittimer 7153 blt 0,0,640,480,0, 532,640,480:waittimer 7180 blt 0,0,640,480,0, 534,640,480:waittimer 7207 blt 0,0,640,480,0, 536,640,480:waittimer 7234 blt 0,0,640,480,0, 538,640,480:waittimer 7261 blt 0,0,640,480,0, 540,640,480:waittimer 7288 blt 0,0,640,480,0, 542,640,480:waittimer 7315 blt 0,0,640,480,0, 544,640,480:waittimer 7342 blt 0,0,640,480,0, 546,640,480:waittimer 7369 blt 0,0,640,480,0, 548,640,480:waittimer 7396 blt 0,0,640,480,0, 550,640,480:waittimer 7423 blt 0,0,640,480,0, 552,640,480:waittimer 7450 blt 0,0,640,480,0, 554,640,480:waittimer 7477 blt 0,0,640,480,0, 556,640,480:waittimer 7504 blt 0,0,640,480,0, 558,640,480:waittimer 7531 blt 0,0,640,480,0, 560,640,480:waittimer 7558 blt 0,0,640,480,0, 562,640,480:waittimer 7585 blt 0,0,640,480,0, 564,640,480:waittimer 7612 blt 0,0,640,480,0, 566,640,480:waittimer 7639 blt 0,0,640,480,0, 568,640,480:waittimer 7666 blt 0,0,640,480,0, 570,640,480:waittimer 7693 blt 0,0,640,480,0, 572,640,480:waittimer 7720 blt 0,0,640,480,0, 574,640,480:waittimer 7747 blt 0,0,640,480,0, 576,640,480:waittimer 7774 blt 0,0,640,480,0, 578,640,480:waittimer 7801 blt 0,0,640,480,0, 580,640,480:waittimer 7828 blt 0,0,640,480,0, 582,640,480:waittimer 7855 blt 0,0,640,480,0, 584,640,480:waittimer 7882 blt 0,0,640,480,0, 586,640,480:waittimer 7909 blt 0,0,640,480,0, 588,640,480:waittimer 7936 blt 0,0,640,480,0, 590,640,480:waittimer 7963 blt 0,0,640,480,0, 592,640,480:waittimer 7990 blt 0,0,640,480,0, 594,640,480:waittimer 8017 blt 0,0,640,480,0, 596,640,480:waittimer 8044 blt 0,0,640,480,0, 598,640,480:waittimer 8071 blt 0,0,640,480,0, 600,640,480:waittimer 8098 blt 0,0,640,480,0, 602,640,480:waittimer 8125 blt 0,0,640,480,0, 604,640,480:waittimer 8152 blt 0,0,640,480,0, 606,640,480:waittimer 8179 blt 0,0,640,480,0, 608,640,480:waittimer 8206 blt 0,0,640,480,0, 610,640,480:waittimer 8233 blt 0,0,640,480,0, 612,640,480:waittimer 8260 blt 0,0,640,480,0, 614,640,480:waittimer 8287 blt 0,0,640,480,0, 616,640,480:waittimer 8314 blt 0,0,640,480,0, 618,640,480:waittimer 8341 blt 0,0,640,480,0, 620,640,480:waittimer 8368 blt 0,0,640,480,0, 622,640,480:waittimer 8395 blt 0,0,640,480,0, 624,640,480:waittimer 8422 blt 0,0,640,480,0, 626,640,480:waittimer 8448 blt 0,0,640,480,0, 628,640,480:waittimer 8475 blt 0,0,640,480,0, 630,640,480:waittimer 8502 blt 0,0,640,480,0, 632,640,480:waittimer 8529 blt 0,0,640,480,0, 634,640,480:waittimer 8556 blt 0,0,640,480,0, 636,640,480:waittimer 8583 blt 0,0,640,480,0, 638,640,480:waittimer 8610 blt 0,0,640,480,0, 640,640,480:waittimer 8637 blt 0,0,640,480,0, 642,640,480:waittimer 8664 blt 0,0,640,480,0, 644,640,480:waittimer 8691 blt 0,0,640,480,0, 646,640,480:waittimer 8718 blt 0,0,640,480,0, 648,640,480:waittimer 8745 blt 0,0,640,480,0, 650,640,480:waittimer 8772 blt 0,0,640,480,0, 652,640,480:waittimer 8799 blt 0,0,640,480,0, 654,640,480:waittimer 8826 blt 0,0,640,480,0, 656,640,480:waittimer 8853 blt 0,0,640,480,0, 658,640,480:waittimer 8880 blt 0,0,640,480,0, 660,640,480:waittimer 8907 blt 0,0,640,480,0, 662,640,480:waittimer 8934 blt 0,0,640,480,0, 664,640,480:waittimer 8961 blt 0,0,640,480,0, 666,640,480:waittimer 8988 blt 0,0,640,480,0, 668,640,480:waittimer 9015 blt 0,0,640,480,0, 670,640,480:waittimer 9042 blt 0,0,640,480,0, 672,640,480:waittimer 9069 blt 0,0,640,480,0, 674,640,480:waittimer 9096 blt 0,0,640,480,0, 676,640,480:waittimer 9123 blt 0,0,640,480,0, 678,640,480:waittimer 9150 blt 0,0,640,480,0, 680,640,480:waittimer 9177 blt 0,0,640,480,0, 682,640,480:waittimer 9204 blt 0,0,640,480,0, 684,640,480:waittimer 9231 blt 0,0,640,480,0, 686,640,480:waittimer 9258 blt 0,0,640,480,0, 688,640,480:waittimer 9285 blt 0,0,640,480,0, 690,640,480:waittimer 9312 blt 0,0,640,480,0, 692,640,480:waittimer 9339 blt 0,0,640,480,0, 694,640,480:waittimer 9366 blt 0,0,640,480,0, 696,640,480:waittimer 9393 blt 0,0,640,480,0, 698,640,480:waittimer 9420 blt 0,0,640,480,0, 700,640,480:waittimer 9447 blt 0,0,640,480,0, 702,640,480:waittimer 9474 blt 0,0,640,480,0, 704,640,480:waittimer 9501 blt 0,0,640,480,0, 706,640,480:waittimer 9528 blt 0,0,640,480,0, 708,640,480:waittimer 9555 blt 0,0,640,480,0, 710,640,480:waittimer 9582 blt 0,0,640,480,0, 712,640,480:waittimer 9609 blt 0,0,640,480,0, 714,640,480:waittimer 9636 blt 0,0,640,480,0, 716,640,480:waittimer 9663 blt 0,0,640,480,0, 718,640,480:waittimer 9690 blt 0,0,640,480,0, 720,640,480:waittimer 9717 blt 0,0,640,480,0, 722,640,480:waittimer 9744 blt 0,0,640,480,0, 724,640,480:waittimer 9771 blt 0,0,640,480,0, 726,640,480:waittimer 9798 blt 0,0,640,480,0, 728,640,480:waittimer 9825 blt 0,0,640,480,0, 730,640,480:waittimer 9852 blt 0,0,640,480,0, 732,640,480:waittimer 9879 blt 0,0,640,480,0, 734,640,480:waittimer 9906 blt 0,0,640,480,0, 736,640,480:waittimer 9933 blt 0,0,640,480,0, 738,640,480:waittimer 9960 blt 0,0,640,480,0, 740,640,480:waittimer 9987 blt 0,0,640,480,0, 742,640,480:waittimer 10014 blt 0,0,640,480,0, 744,640,480:waittimer 10041 blt 0,0,640,480,0, 746,640,480:waittimer 10068 blt 0,0,640,480,0, 748,640,480:waittimer 10095 blt 0,0,640,480,0, 750,640,480:waittimer 10122 blt 0,0,640,480,0, 752,640,480:waittimer 10149 blt 0,0,640,480,0, 754,640,480:waittimer 10176 blt 0,0,640,480,0, 756,640,480:waittimer 10203 blt 0,0,640,480,0, 758,640,480:waittimer 10230 blt 0,0,640,480,0, 760,640,480:waittimer 10257 blt 0,0,640,480,0, 762,640,480:waittimer 10284 blt 0,0,640,480,0, 764,640,480:waittimer 10311 blt 0,0,640,480,0, 766,640,480:waittimer 10338 blt 0,0,640,480,0, 768,640,480:waittimer 10365 blt 0,0,640,480,0, 770,640,480:waittimer 10392 blt 0,0,640,480,0, 772,640,480:waittimer 10419 blt 0,0,640,480,0, 774,640,480:waittimer 10446 blt 0,0,640,480,0, 776,640,480:waittimer 10473 blt 0,0,640,480,0, 778,640,480:waittimer 10500 blt 0,0,640,480,0, 780,640,480:waittimer 10527 blt 0,0,640,480,0, 782,640,480:waittimer 10554 blt 0,0,640,480,0, 784,640,480:waittimer 10581 blt 0,0,640,480,0, 786,640,480:waittimer 10608 blt 0,0,640,480,0, 788,640,480:waittimer 10635 blt 0,0,640,480,0, 790,640,480:waittimer 10662 blt 0,0,640,480,0, 792,640,480:waittimer 10689 blt 0,0,640,480,0, 794,640,480:waittimer 10716 blt 0,0,640,480,0, 796,640,480:waittimer 10743 blt 0,0,640,480,0, 798,640,480:waittimer 10770 blt 0,0,640,480,0, 800,640,480:waittimer 10797 blt 0,0,640,480,0, 802,640,480:waittimer 10824 blt 0,0,640,480,0, 804,640,480:waittimer 10851 blt 0,0,640,480,0, 806,640,480:waittimer 10878 blt 0,0,640,480,0, 808,640,480:waittimer 10905 blt 0,0,640,480,0, 810,640,480:waittimer 10932 blt 0,0,640,480,0, 812,640,480:waittimer 10959 blt 0,0,640,480,0, 814,640,480:waittimer 10986 blt 0,0,640,480,0, 816,640,480:waittimer 11013 blt 0,0,640,480,0, 818,640,480:waittimer 11040 blt 0,0,640,480,0, 820,640,480:waittimer 11067 blt 0,0,640,480,0, 822,640,480:waittimer 11094 blt 0,0,640,480,0, 824,640,480:waittimer 11121 blt 0,0,640,480,0, 826,640,480:waittimer 11148 blt 0,0,640,480,0, 828,640,480:waittimer 11175 blt 0,0,640,480,0, 830,640,480:waittimer 11202 blt 0,0,640,480,0, 832,640,480:waittimer 11229 blt 0,0,640,480,0, 834,640,480:waittimer 11256 blt 0,0,640,480,0, 836,640,480:waittimer 11283 blt 0,0,640,480,0, 838,640,480:waittimer 11310 blt 0,0,640,480,0, 840,640,480:waittimer 11337 blt 0,0,640,480,0, 842,640,480:waittimer 11364 blt 0,0,640,480,0, 844,640,480:waittimer 11391 blt 0,0,640,480,0, 846,640,480:waittimer 11418 blt 0,0,640,480,0, 848,640,480:waittimer 11445 blt 0,0,640,480,0, 850,640,480:waittimer 11472 blt 0,0,640,480,0, 852,640,480:waittimer 11499 blt 0,0,640,480,0, 854,640,480:waittimer 11526 blt 0,0,640,480,0, 856,640,480:waittimer 11553 blt 0,0,640,480,0, 858,640,480:waittimer 11580 blt 0,0,640,480,0, 860,640,480:waittimer 11607 blt 0,0,640,480,0, 862,640,480:waittimer 11634 blt 0,0,640,480,0, 864,640,480:waittimer 11661 blt 0,0,640,480,0, 866,640,480:waittimer 11688 blt 0,0,640,480,0, 868,640,480:waittimer 11715 blt 0,0,640,480,0, 870,640,480:waittimer 11742 blt 0,0,640,480,0, 872,640,480:waittimer 11769 blt 0,0,640,480,0, 874,640,480:waittimer 11796 blt 0,0,640,480,0, 876,640,480:waittimer 11823 blt 0,0,640,480,0, 878,640,480:waittimer 11850 blt 0,0,640,480,0, 880,640,480:waittimer 11877 blt 0,0,640,480,0, 882,640,480:waittimer 11904 blt 0,0,640,480,0, 884,640,480:waittimer 11931 blt 0,0,640,480,0, 886,640,480:waittimer 11958 blt 0,0,640,480,0, 888,640,480:waittimer 11985 blt 0,0,640,480,0, 890,640,480:waittimer 12012 blt 0,0,640,480,0, 892,640,480:waittimer 12039 blt 0,0,640,480,0, 894,640,480:waittimer 12066 blt 0,0,640,480,0, 896,640,480:waittimer 12093 blt 0,0,640,480,0, 898,640,480:waittimer 12120 blt 0,0,640,480,0, 900,640,480:waittimer 12147 blt 0,0,640,480,0, 902,640,480:waittimer 12174 blt 0,0,640,480,0, 904,640,480:waittimer 12201 blt 0,0,640,480,0, 906,640,480:waittimer 12228 blt 0,0,640,480,0, 908,640,480:waittimer 12255 blt 0,0,640,480,0, 910,640,480:waittimer 12282 blt 0,0,640,480,0, 912,640,480:waittimer 12309 blt 0,0,640,480,0, 914,640,480:waittimer 12336 blt 0,0,640,480,0, 916,640,480:waittimer 12363 blt 0,0,640,480,0, 918,640,480:waittimer 12390 blt 0,0,640,480,0, 920,640,480:waittimer 12417 blt 0,0,640,480,0, 922,640,480:waittimer 12444 blt 0,0,640,480,0, 924,640,480:waittimer 12471 blt 0,0,640,480,0, 926,640,480:waittimer 12498 blt 0,0,640,480,0, 928,640,480:waittimer 12525 blt 0,0,640,480,0, 930,640,480:waittimer 12552 blt 0,0,640,480,0, 932,640,480:waittimer 12579 blt 0,0,640,480,0, 934,640,480:waittimer 12606 blt 0,0,640,480,0, 936,640,480:waittimer 12633 blt 0,0,640,480,0, 938,640,480:waittimer 12659 blt 0,0,640,480,0, 940,640,480:waittimer 12686 blt 0,0,640,480,0, 942,640,480:waittimer 12713 blt 0,0,640,480,0, 944,640,480:waittimer 12740 blt 0,0,640,480,0, 946,640,480:waittimer 12767 blt 0,0,640,480,0, 948,640,480:waittimer 12794 blt 0,0,640,480,0, 950,640,480:waittimer 12821 blt 0,0,640,480,0, 952,640,480:waittimer 12848 blt 0,0,640,480,0, 954,640,480:waittimer 12875 blt 0,0,640,480,0, 956,640,480:waittimer 12902 blt 0,0,640,480,0, 958,640,480:waittimer 12929 blt 0,0,640,480,0, 960,640,480:waittimer 12956 blt 0,0,640,480,0, 962,640,480:waittimer 12983 blt 0,0,640,480,0, 964,640,480:waittimer 13010 blt 0,0,640,480,0, 966,640,480:waittimer 13037 blt 0,0,640,480,0, 968,640,480:waittimer 13064 blt 0,0,640,480,0, 970,640,480:waittimer 13091 blt 0,0,640,480,0, 972,640,480:waittimer 13118 blt 0,0,640,480,0, 974,640,480:waittimer 13145 blt 0,0,640,480,0, 976,640,480:waittimer 13172 blt 0,0,640,480,0, 978,640,480:waittimer 13199 blt 0,0,640,480,0, 980,640,480:waittimer 13226 blt 0,0,640,480,0, 982,640,480:waittimer 13253 blt 0,0,640,480,0, 984,640,480:waittimer 13280 blt 0,0,640,480,0, 986,640,480:waittimer 13307 blt 0,0,640,480,0, 988,640,480:waittimer 13334 blt 0,0,640,480,0, 990,640,480:waittimer 13361 blt 0,0,640,480,0, 992,640,480:waittimer 13388 blt 0,0,640,480,0, 994,640,480:waittimer 13415 blt 0,0,640,480,0, 996,640,480:waittimer 13442 blt 0,0,640,480,0, 998,640,480:waittimer 13469 blt 0,0,640,480,0, 1000,640,480:waittimer 13496 blt 0,0,640,480,0, 1002,640,480:waittimer 13523 blt 0,0,640,480,0, 1004,640,480:waittimer 13550 blt 0,0,640,480,0, 1006,640,480:waittimer 13577 blt 0,0,640,480,0, 1008,640,480:waittimer 13604 blt 0,0,640,480,0, 1010,640,480:waittimer 13631 blt 0,0,640,480,0, 1012,640,480:waittimer 13658 blt 0,0,640,480,0, 1014,640,480:waittimer 13685 blt 0,0,640,480,0, 1016,640,480:waittimer 13712 blt 0,0,640,480,0, 1018,640,480:waittimer 13739 blt 0,0,640,480,0, 1020,640,480:waittimer 13766 blt 0,0,640,480,0, 1022,640,480:waittimer 13793 blt 0,0,640,480,0, 1024,640,480:waittimer 13820 blt 0,0,640,480,0, 1026,640,480:waittimer 13847 blt 0,0,640,480,0, 1028,640,480:waittimer 13874 blt 0,0,640,480,0, 1030,640,480:waittimer 13901 blt 0,0,640,480,0, 1032,640,480:waittimer 13928 blt 0,0,640,480,0, 1034,640,480:waittimer 13955 blt 0,0,640,480,0, 1036,640,480:waittimer 13982 blt 0,0,640,480,0, 1038,640,480:waittimer 14009 blt 0,0,640,480,0, 1040,640,480:waittimer 14036 blt 0,0,640,480,0, 1042,640,480:waittimer 14063 blt 0,0,640,480,0, 1044,640,480:waittimer 14090 blt 0,0,640,480,0, 1046,640,480:waittimer 14117 blt 0,0,640,480,0, 1048,640,480:waittimer 14144 blt 0,0,640,480,0, 1050,640,480:waittimer 14171 blt 0,0,640,480,0, 1052,640,480:waittimer 14198 blt 0,0,640,480,0, 1054,640,480:waittimer 14225 blt 0,0,640,480,0, 1056,640,480:waittimer 14252 blt 0,0,640,480,0, 1058,640,480:waittimer 14279 blt 0,0,640,480,0, 1060,640,480:waittimer 14306 blt 0,0,640,480,0, 1062,640,480:waittimer 14333 blt 0,0,640,480,0, 1064,640,480:waittimer 14360 blt 0,0,640,480,0, 1066,640,480:waittimer 14387 blt 0,0,640,480,0, 1068,640,480:waittimer 14414 blt 0,0,640,480,0, 1070,640,480:waittimer 14441 blt 0,0,640,480,0, 1072,640,480:waittimer 14468 blt 0,0,640,480,0, 1074,640,480:waittimer 14495 blt 0,0,640,480,0, 1076,640,480:waittimer 14522 blt 0,0,640,480,0, 1078,640,480:waittimer 14549 blt 0,0,640,480,0, 1080,640,480:waittimer 14576 blt 0,0,640,480,0, 1082,640,480:waittimer 14603 blt 0,0,640,480,0, 1084,640,480:waittimer 14630 blt 0,0,640,480,0, 1086,640,480:waittimer 14657 blt 0,0,640,480,0, 1088,640,480:waittimer 14684 blt 0,0,640,480,0, 1090,640,480:waittimer 14711 blt 0,0,640,480,0, 1092,640,480:waittimer 14738 blt 0,0,640,480,0, 1094,640,480:waittimer 14765 blt 0,0,640,480,0, 1096,640,480:waittimer 14792 blt 0,0,640,480,0, 1098,640,480:waittimer 14819 blt 0,0,640,480,0, 1100,640,480:waittimer 14846 blt 0,0,640,480,0, 1102,640,480:waittimer 14873 blt 0,0,640,480,0, 1104,640,480:waittimer 14900 blt 0,0,640,480,0, 1106,640,480:waittimer 14927 blt 0,0,640,480,0, 1108,640,480:waittimer 14954 blt 0,0,640,480,0, 1110,640,480:waittimer 14981 blt 0,0,640,480,0, 1112,640,480:waittimer 15008 blt 0,0,640,480,0, 1114,640,480:waittimer 15035 blt 0,0,640,480,0, 1116,640,480:waittimer 15062 blt 0,0,640,480,0, 1118,640,480:waittimer 15089 blt 0,0,640,480,0, 1120,640,480:waittimer 15116 blt 0,0,640,480,0, 1122,640,480:waittimer 15143 blt 0,0,640,480,0, 1124,640,480:waittimer 15170 blt 0,0,640,480,0, 1126,640,480:waittimer 15197 blt 0,0,640,480,0, 1128,640,480:waittimer 15224 blt 0,0,640,480,0, 1130,640,480:waittimer 15251 blt 0,0,640,480,0, 1132,640,480:waittimer 15278 blt 0,0,640,480,0, 1134,640,480:waittimer 15305 blt 0,0,640,480,0, 1136,640,480:waittimer 15332 blt 0,0,640,480,0, 1138,640,480:waittimer 15359 blt 0,0,640,480,0, 1140,640,480:waittimer 15386 blt 0,0,640,480,0, 1142,640,480:waittimer 15413 blt 0,0,640,480,0, 1144,640,480:waittimer 15440 blt 0,0,640,480,0, 1146,640,480:waittimer 15467 blt 0,0,640,480,0, 1148,640,480:waittimer 15494 blt 0,0,640,480,0, 1150,640,480:waittimer 15521 blt 0,0,640,480,0, 1152,640,480:waittimer 15548 blt 0,0,640,480,0, 1154,640,480:waittimer 15575 blt 0,0,640,480,0, 1156,640,480:waittimer 15602 blt 0,0,640,480,0, 1158,640,480:waittimer 15629 blt 0,0,640,480,0, 1160,640,480:waittimer 15656 blt 0,0,640,480,0, 1162,640,480:waittimer 15683 blt 0,0,640,480,0, 1164,640,480:waittimer 15710 blt 0,0,640,480,0, 1166,640,480:waittimer 15737 blt 0,0,640,480,0, 1168,640,480:waittimer 15764 blt 0,0,640,480,0, 1170,640,480:waittimer 15791 blt 0,0,640,480,0, 1172,640,480:waittimer 15818 blt 0,0,640,480,0, 1174,640,480:waittimer 15845 blt 0,0,640,480,0, 1176,640,480:waittimer 15872 blt 0,0,640,480,0, 1178,640,480:waittimer 15899 blt 0,0,640,480,0, 1180,640,480:waittimer 15926 blt 0,0,640,480,0, 1182,640,480:waittimer 15953 blt 0,0,640,480,0, 1184,640,480:waittimer 15980 blt 0,0,640,480,0, 1186,640,480:waittimer 16007 blt 0,0,640,480,0, 1188,640,480:waittimer 16034 blt 0,0,640,480,0, 1190,640,480:waittimer 16061 blt 0,0,640,480,0, 1192,640,480:waittimer 16088 blt 0,0,640,480,0, 1194,640,480:waittimer 16115 blt 0,0,640,480,0, 1196,640,480:waittimer 16142 blt 0,0,640,480,0, 1198,640,480:waittimer 16169 blt 0,0,640,480,0, 1200,640,480:waittimer 16196 blt 0,0,640,480,0, 1202,640,480:waittimer 16223 blt 0,0,640,480,0, 1204,640,480:waittimer 16250 blt 0,0,640,480,0, 1206,640,480:waittimer 16277 blt 0,0,640,480,0, 1208,640,480:waittimer 16304 blt 0,0,640,480,0, 1210,640,480:waittimer 16331 blt 0,0,640,480,0, 1212,640,480:waittimer 16358 blt 0,0,640,480,0, 1214,640,480:waittimer 16385 blt 0,0,640,480,0, 1216,640,480:waittimer 16412 blt 0,0,640,480,0, 1218,640,480:waittimer 16439 blt 0,0,640,480,0, 1220,640,480:waittimer 16466 blt 0,0,640,480,0, 1222,640,480:waittimer 16493 blt 0,0,640,480,0, 1224,640,480:waittimer 16520 blt 0,0,640,480,0, 1226,640,480:waittimer 16547 blt 0,0,640,480,0, 1228,640,480:waittimer 16574 blt 0,0,640,480,0, 1230,640,480:waittimer 16601 blt 0,0,640,480,0, 1232,640,480:waittimer 16628 blt 0,0,640,480,0, 1234,640,480:waittimer 16655 blt 0,0,640,480,0, 1236,640,480:waittimer 16682 blt 0,0,640,480,0, 1238,640,480:waittimer 16709 blt 0,0,640,480,0, 1240,640,480:waittimer 16736 blt 0,0,640,480,0, 1242,640,480:waittimer 16763 blt 0,0,640,480,0, 1244,640,480:waittimer 16790 blt 0,0,640,480,0, 1246,640,480:waittimer 16817 blt 0,0,640,480,0, 1248,640,480:waittimer 16844 blt 0,0,640,480,0, 1250,640,480:waittimer 16871 blt 0,0,640,480,0, 1252,640,480:waittimer 16897 blt 0,0,640,480,0, 1254,640,480:waittimer 16924 blt 0,0,640,480,0, 1256,640,480:waittimer 16951 blt 0,0,640,480,0, 1258,640,480:waittimer 16978 blt 0,0,640,480,0, 1260,640,480:waittimer 17005 blt 0,0,640,480,0, 1262,640,480:waittimer 17032 blt 0,0,640,480,0, 1264,640,480:waittimer 17059 blt 0,0,640,480,0, 1266,640,480:waittimer 17086 blt 0,0,640,480,0, 1268,640,480:waittimer 17113 blt 0,0,640,480,0, 1270,640,480:waittimer 17140 blt 0,0,640,480,0, 1272,640,480:waittimer 17167 blt 0,0,640,480,0, 1274,640,480:waittimer 17194 blt 0,0,640,480,0, 1276,640,480:waittimer 17221 blt 0,0,640,480,0, 1278,640,480:waittimer 17248 blt 0,0,640,480,0, 1280,640,480:waittimer 17275 blt 0,0,640,480,0, 1282,640,480:waittimer 17302 blt 0,0,640,480,0, 1284,640,480:waittimer 17329 blt 0,0,640,480,0, 1286,640,480:waittimer 17356 blt 0,0,640,480,0, 1288,640,480:waittimer 17383 blt 0,0,640,480,0, 1290,640,480:waittimer 17410 blt 0,0,640,480,0, 1292,640,480:waittimer 17437 blt 0,0,640,480,0, 1294,640,480:waittimer 17464 blt 0,0,640,480,0, 1296,640,480:waittimer 17491 blt 0,0,640,480,0, 1298,640,480:waittimer 17518 blt 0,0,640,480,0, 1300,640,480:waittimer 17545 blt 0,0,640,480,0, 1302,640,480:waittimer 17572 blt 0,0,640,480,0, 1304,640,480:waittimer 17599 blt 0,0,640,480,0, 1306,640,480:waittimer 17626 blt 0,0,640,480,0, 1308,640,480:waittimer 17653 blt 0,0,640,480,0, 1310,640,480:waittimer 17680 blt 0,0,640,480,0, 1312,640,480:waittimer 17707 blt 0,0,640,480,0, 1314,640,480:waittimer 17734 blt 0,0,640,480,0, 1316,640,480:waittimer 17761 blt 0,0,640,480,0, 1318,640,480:waittimer 17788 blt 0,0,640,480,0, 1320,640,480:waittimer 17815 blt 0,0,640,480,0, 1322,640,480:waittimer 17842 blt 0,0,640,480,0, 1324,640,480:waittimer 17869 blt 0,0,640,480,0, 1326,640,480:waittimer 17896 blt 0,0,640,480,0, 1328,640,480:waittimer 17923 blt 0,0,640,480,0, 1330,640,480:waittimer 17950 blt 0,0,640,480,0, 1332,640,480:waittimer 17977 blt 0,0,640,480,0, 1334,640,480:waittimer 18004 blt 0,0,640,480,0, 1336,640,480:waittimer 18031 blt 0,0,640,480,0, 1338,640,480:waittimer 18058 blt 0,0,640,480,0, 1340,640,480:waittimer 18085 blt 0,0,640,480,0, 1342,640,480:waittimer 18112 blt 0,0,640,480,0, 1344,640,480:waittimer 18139 blt 0,0,640,480,0, 1346,640,480:waittimer 18166 blt 0,0,640,480,0, 1348,640,480:waittimer 18193 blt 0,0,640,480,0, 1350,640,480:waittimer 18220 blt 0,0,640,480,0, 1352,640,480:waittimer 18247 blt 0,0,640,480,0, 1354,640,480:waittimer 18274 blt 0,0,640,480,0, 1356,640,480:waittimer 18301 blt 0,0,640,480,0, 1358,640,480:waittimer 18328 blt 0,0,640,480,0, 1360,640,480:waittimer 18355 blt 0,0,640,480,0, 1362,640,480:waittimer 18382 blt 0,0,640,480,0, 1364,640,480:waittimer 18409 blt 0,0,640,480,0, 1366,640,480:waittimer 18436 blt 0,0,640,480,0, 1368,640,480:waittimer 18463 blt 0,0,640,480,0, 1370,640,480:waittimer 18490 blt 0,0,640,480,0, 1372,640,480:waittimer 18517 blt 0,0,640,480,0, 1374,640,480:waittimer 18544 blt 0,0,640,480,0, 1376,640,480:waittimer 18571 blt 0,0,640,480,0, 1378,640,480:waittimer 18598 blt 0,0,640,480,0, 1380,640,480:waittimer 18625 blt 0,0,640,480,0, 1382,640,480:waittimer 18652 blt 0,0,640,480,0, 1384,640,480:waittimer 18679 blt 0,0,640,480,0, 1386,640,480:waittimer 18706 blt 0,0,640,480,0, 1388,640,480:waittimer 18733 blt 0,0,640,480,0, 1390,640,480:waittimer 18760 blt 0,0,640,480,0, 1392,640,480:waittimer 18787 blt 0,0,640,480,0, 1394,640,480:waittimer 18814 blt 0,0,640,480,0, 1396,640,480:waittimer 18841 blt 0,0,640,480,0, 1398,640,480:waittimer 18868 blt 0,0,640,480,0, 1400,640,480:waittimer 18895 blt 0,0,640,480,0, 1402,640,480:waittimer 18922 blt 0,0,640,480,0, 1404,640,480:waittimer 18949 blt 0,0,640,480,0, 1406,640,480:waittimer 18976 blt 0,0,640,480,0, 1408,640,480:waittimer 19003 blt 0,0,640,480,0, 1410,640,480:waittimer 19030 blt 0,0,640,480,0, 1412,640,480:waittimer 19057 blt 0,0,640,480,0, 1414,640,480:waittimer 19084 blt 0,0,640,480,0, 1416,640,480:waittimer 19111 blt 0,0,640,480,0, 1418,640,480:waittimer 19138 blt 0,0,640,480,0, 1420,640,480:waittimer 19165 blt 0,0,640,480,0, 1422,640,480:waittimer 19192 blt 0,0,640,480,0, 1424,640,480:waittimer 19219 blt 0,0,640,480,0, 1426,640,480:waittimer 19246 blt 0,0,640,480,0, 1428,640,480:waittimer 19273 blt 0,0,640,480,0, 1430,640,480:waittimer 19300 blt 0,0,640,480,0, 1432,640,480:waittimer 19327 blt 0,0,640,480,0, 1434,640,480:waittimer 19354 blt 0,0,640,480,0, 1436,640,480:waittimer 19381 blt 0,0,640,480,0, 1438,640,480:waittimer 19408 blt 0,0,640,480,0, 1440,640,480:waittimer 19435 blt 0,0,640,480,0, 1442,640,480:waittimer 19462 blt 0,0,640,480,0, 1444,640,480:waittimer 19489 blt 0,0,640,480,0, 1446,640,480:waittimer 19516 blt 0,0,640,480,0, 1448,640,480:waittimer 19543 blt 0,0,640,480,0, 1450,640,480:waittimer 19570 blt 0,0,640,480,0, 1452,640,480:waittimer 19597 blt 0,0,640,480,0, 1454,640,480:waittimer 19624 blt 0,0,640,480,0, 1456,640,480:waittimer 19651 blt 0,0,640,480,0, 1458,640,480:waittimer 19678 blt 0,0,640,480,0, 1460,640,480:waittimer 19705 blt 0,0,640,480,0, 1462,640,480:waittimer 19732 blt 0,0,640,480,0, 1464,640,480:waittimer 19759 blt 0,0,640,480,0, 1466,640,480:waittimer 19786 blt 0,0,640,480,0, 1468,640,480:waittimer 19813 blt 0,0,640,480,0, 1470,640,480:waittimer 19840 blt 0,0,640,480,0, 1472,640,480:waittimer 19867 blt 0,0,640,480,0, 1474,640,480:waittimer 19894 blt 0,0,640,480,0, 1476,640,480:waittimer 19921 blt 0,0,640,480,0, 1478,640,480:waittimer 19948 blt 0,0,640,480,0, 1480,640,480:waittimer 19975 blt 0,0,640,480,0, 1482,640,480:waittimer 20002 blt 0,0,640,480,0, 1484,640,480:waittimer 20029 blt 0,0,640,480,0, 1486,640,480:waittimer 20056 blt 0,0,640,480,0, 1488,640,480:waittimer 20083 blt 0,0,640,480,0, 1490,640,480:waittimer 20110 blt 0,0,640,480,0, 1492,640,480:waittimer 20137 blt 0,0,640,480,0, 1494,640,480:waittimer 20164 blt 0,0,640,480,0, 1496,640,480:waittimer 20191 blt 0,0,640,480,0, 1498,640,480:waittimer 20218 blt 0,0,640,480,0, 1500,640,480:waittimer 20245 blt 0,0,640,480,0, 1502,640,480:waittimer 20272 blt 0,0,640,480,0, 1504,640,480:waittimer 20299 blt 0,0,640,480,0, 1506,640,480:waittimer 20326 blt 0,0,640,480,0, 1508,640,480:waittimer 20353 blt 0,0,640,480,0, 1510,640,480:waittimer 20380 blt 0,0,640,480,0, 1512,640,480:waittimer 20407 blt 0,0,640,480,0, 1514,640,480:waittimer 20434 blt 0,0,640,480,0, 1516,640,480:waittimer 20461 blt 0,0,640,480,0, 1518,640,480:waittimer 20488 blt 0,0,640,480,0, 1520,640,480:waittimer 20515 blt 0,0,640,480,0, 1522,640,480:waittimer 20542 blt 0,0,640,480,0, 1524,640,480:waittimer 20569 blt 0,0,640,480,0, 1526,640,480:waittimer 20596 blt 0,0,640,480,0, 1528,640,480:waittimer 20623 blt 0,0,640,480,0, 1530,640,480:waittimer 20650 blt 0,0,640,480,0, 1532,640,480:waittimer 20677 blt 0,0,640,480,0, 1534,640,480:waittimer 20704 blt 0,0,640,480,0, 1536,640,480:waittimer 20731 blt 0,0,640,480,0, 1538,640,480:waittimer 20758 blt 0,0,640,480,0, 1540,640,480:waittimer 20785 blt 0,0,640,480,0, 1542,640,480:waittimer 20812 blt 0,0,640,480,0, 1544,640,480:waittimer 20839 blt 0,0,640,480,0, 1546,640,480:waittimer 20866 blt 0,0,640,480,0, 1548,640,480:waittimer 20893 blt 0,0,640,480,0, 1550,640,480:waittimer 20920 blt 0,0,640,480,0, 1552,640,480:waittimer 20947 blt 0,0,640,480,0, 1554,640,480:waittimer 20974 blt 0,0,640,480,0, 1556,640,480:waittimer 21001 blt 0,0,640,480,0, 1558,640,480:waittimer 21028 blt 0,0,640,480,0, 1560,640,480:waittimer 21055 blt 0,0,640,480,0, 1562,640,480:waittimer 21082 blt 0,0,640,480,0, 1564,640,480:waittimer 21108 blt 0,0,640,480,0, 1566,640,480:waittimer 21135 blt 0,0,640,480,0, 1568,640,480:waittimer 21162 blt 0,0,640,480,0, 1570,640,480:waittimer 21189 blt 0,0,640,480,0, 1572,640,480:waittimer 21216 blt 0,0,640,480,0, 1574,640,480:waittimer 21243 blt 0,0,640,480,0, 1576,640,480:waittimer 21270 blt 0,0,640,480,0, 1578,640,480:waittimer 21297 blt 0,0,640,480,0, 1580,640,480:waittimer 21324 blt 0,0,640,480,0, 1582,640,480:waittimer 21351 blt 0,0,640,480,0, 1584,640,480:waittimer 21378 blt 0,0,640,480,0, 1586,640,480:waittimer 21405 blt 0,0,640,480,0, 1588,640,480:waittimer 21432 blt 0,0,640,480,0, 1590,640,480:waittimer 21459 blt 0,0,640,480,0, 1592,640,480:waittimer 21486 blt 0,0,640,480,0, 1594,640,480:waittimer 21513 blt 0,0,640,480,0, 1596,640,480:waittimer 21540 blt 0,0,640,480,0, 1598,640,480:waittimer 21567 blt 0,0,640,480,0, 1600,640,480:waittimer 21594 blt 0,0,640,480,0, 1602,640,480:waittimer 21621 blt 0,0,640,480,0, 1604,640,480:waittimer 21648 blt 0,0,640,480,0, 1606,640,480:waittimer 21675 blt 0,0,640,480,0, 1608,640,480:waittimer 21702 blt 0,0,640,480,0, 1610,640,480:waittimer 21729 blt 0,0,640,480,0, 1612,640,480:waittimer 21756 blt 0,0,640,480,0, 1614,640,480:waittimer 21783 blt 0,0,640,480,0, 1616,640,480:waittimer 21810 blt 0,0,640,480,0, 1618,640,480:waittimer 21837 blt 0,0,640,480,0, 1620,640,480:waittimer 21864 blt 0,0,640,480,0, 1622,640,480:waittimer 21891 blt 0,0,640,480,0, 1624,640,480:waittimer 21918 blt 0,0,640,480,0, 1626,640,480:waittimer 21945 blt 0,0,640,480,0, 1628,640,480:waittimer 21972 blt 0,0,640,480,0, 1630,640,480:waittimer 21999 blt 0,0,640,480,0, 1632,640,480:waittimer 22026 blt 0,0,640,480,0, 1634,640,480:waittimer 22053 blt 0,0,640,480,0, 1636,640,480:waittimer 22080 blt 0,0,640,480,0, 1638,640,480:waittimer 22107 blt 0,0,640,480,0, 1640,640,480:waittimer 22134 blt 0,0,640,480,0, 1642,640,480:waittimer 22161 blt 0,0,640,480,0, 1644,640,480:waittimer 22188 blt 0,0,640,480,0, 1646,640,480:waittimer 22215 blt 0,0,640,480,0, 1648,640,480:waittimer 22242 blt 0,0,640,480,0, 1650,640,480:waittimer 22269 blt 0,0,640,480,0, 1652,640,480:waittimer 22296 blt 0,0,640,480,0, 1654,640,480:waittimer 22323 blt 0,0,640,480,0, 1656,640,480:waittimer 22350 blt 0,0,640,480,0, 1658,640,480:waittimer 22377 blt 0,0,640,480,0, 1660,640,480:waittimer 22404 blt 0,0,640,480,0, 1662,640,480:waittimer 22431 blt 0,0,640,480,0, 1664,640,480:waittimer 22458 blt 0,0,640,480,0, 1666,640,480:waittimer 22485 blt 0,0,640,480,0, 1668,640,480:waittimer 22512 blt 0,0,640,480,0, 1670,640,480:waittimer 22539 blt 0,0,640,480,0, 1672,640,480:waittimer 22566 blt 0,0,640,480,0, 1674,640,480:waittimer 22593 blt 0,0,640,480,0, 1676,640,480:waittimer 22620 blt 0,0,640,480,0, 1678,640,480:waittimer 22647 blt 0,0,640,480,0, 1680,640,480:waittimer 22674 blt 0,0,640,480,0, 1682,640,480:waittimer 22701 blt 0,0,640,480,0, 1684,640,480:waittimer 22728 blt 0,0,640,480,0, 1686,640,480:waittimer 22755 blt 0,0,640,480,0, 1688,640,480:waittimer 22782 blt 0,0,640,480,0, 1690,640,480:waittimer 22809 blt 0,0,640,480,0, 1692,640,480:waittimer 22836 blt 0,0,640,480,0, 1694,640,480:waittimer 22863 blt 0,0,640,480,0, 1696,640,480:waittimer 22890 blt 0,0,640,480,0, 1698,640,480:waittimer 22917 blt 0,0,640,480,0, 1700,640,480:waittimer 22944 blt 0,0,640,480,0, 1702,640,480:waittimer 22971 blt 0,0,640,480,0, 1704,640,480:waittimer 22998 blt 0,0,640,480,0, 1706,640,480:waittimer 23025 blt 0,0,640,480,0, 1708,640,480:waittimer 23052 blt 0,0,640,480,0, 1710,640,480:waittimer 23079 blt 0,0,640,480,0, 1712,640,480:waittimer 23106 blt 0,0,640,480,0, 1714,640,480:waittimer 23133 blt 0,0,640,480,0, 1716,640,480:waittimer 23160 blt 0,0,640,480,0, 1718,640,480:waittimer 23187 blt 0,0,640,480,0, 1720,640,480:waittimer 23214 blt 0,0,640,480,0, 1722,640,480:waittimer 23241 blt 0,0,640,480,0, 1724,640,480:waittimer 23268 blt 0,0,640,480,0, 1726,640,480:waittimer 23295 blt 0,0,640,480,0, 1728,640,480:waittimer 23322 blt 0,0,640,480,0, 1730,640,480:waittimer 23349 blt 0,0,640,480,0, 1732,640,480:waittimer 23376 blt 0,0,640,480,0, 1734,640,480:waittimer 23403 blt 0,0,640,480,0, 1736,640,480:waittimer 23430 blt 0,0,640,480,0, 1738,640,480:waittimer 23457 blt 0,0,640,480,0, 1740,640,480:waittimer 23484 blt 0,0,640,480,0, 1742,640,480:waittimer 23511 blt 0,0,640,480,0, 1744,640,480:waittimer 23538 blt 0,0,640,480,0, 1746,640,480:waittimer 23565 blt 0,0,640,480,0, 1748,640,480:waittimer 23592 blt 0,0,640,480,0, 1750,640,480:waittimer 23619 blt 0,0,640,480,0, 1752,640,480:waittimer 23646 blt 0,0,640,480,0, 1754,640,480:waittimer 23673 blt 0,0,640,480,0, 1756,640,480:waittimer 23700 blt 0,0,640,480,0, 1758,640,480:waittimer 23727 blt 0,0,640,480,0, 1760,640,480:waittimer 23754 blt 0,0,640,480,0, 1762,640,480:waittimer 23781 blt 0,0,640,480,0, 1764,640,480:waittimer 23808 blt 0,0,640,480,0, 1766,640,480:waittimer 23835 blt 0,0,640,480,0, 1768,640,480:waittimer 23862 blt 0,0,640,480,0, 1770,640,480:waittimer 23889 blt 0,0,640,480,0, 1772,640,480:waittimer 23916 blt 0,0,640,480,0, 1774,640,480:waittimer 23943 blt 0,0,640,480,0, 1776,640,480:waittimer 23970 blt 0,0,640,480,0, 1778,640,480:waittimer 23997 blt 0,0,640,480,0, 1780,640,480:waittimer 24024 blt 0,0,640,480,0, 1782,640,480:waittimer 24051 blt 0,0,640,480,0, 1784,640,480:waittimer 24078 blt 0,0,640,480,0, 1786,640,480:waittimer 24105 blt 0,0,640,480,0, 1788,640,480:waittimer 24132 blt 0,0,640,480,0, 1790,640,480:waittimer 24159 blt 0,0,640,480,0, 1792,640,480:waittimer 24186 blt 0,0,640,480,0, 1794,640,480:waittimer 24213 blt 0,0,640,480,0, 1796,640,480:waittimer 24240 blt 0,0,640,480,0, 1798,640,480:waittimer 24267 blt 0,0,640,480,0, 1800,640,480:waittimer 24294 blt 0,0,640,480,0, 1802,640,480:waittimer 24321 blt 0,0,640,480,0, 1804,640,480:waittimer 24348 blt 0,0,640,480,0, 1806,640,480:waittimer 24375 blt 0,0,640,480,0, 1808,640,480:waittimer 24402 blt 0,0,640,480,0, 1810,640,480:waittimer 24429 blt 0,0,640,480,0, 1812,640,480:waittimer 24456 blt 0,0,640,480,0, 1814,640,480:waittimer 24483 blt 0,0,640,480,0, 1816,640,480:waittimer 24510 blt 0,0,640,480,0, 1818,640,480:waittimer 24537 blt 0,0,640,480,0, 1820,640,480:waittimer 24564 blt 0,0,640,480,0, 1822,640,480:waittimer 24591 blt 0,0,640,480,0, 1824,640,480:waittimer 24618 blt 0,0,640,480,0, 1826,640,480:waittimer 24645 blt 0,0,640,480,0, 1828,640,480:waittimer 24672 blt 0,0,640,480,0, 1830,640,480:waittimer 24699 blt 0,0,640,480,0, 1832,640,480:waittimer 24726 blt 0,0,640,480,0, 1834,640,480:waittimer 24753 blt 0,0,640,480,0, 1836,640,480:waittimer 24780 blt 0,0,640,480,0, 1838,640,480:waittimer 24807 blt 0,0,640,480,0, 1840,640,480:waittimer 24834 blt 0,0,640,480,0, 1842,640,480:waittimer 24861 blt 0,0,640,480,0, 1844,640,480:waittimer 24888 blt 0,0,640,480,0, 1846,640,480:waittimer 24915 blt 0,0,640,480,0, 1848,640,480:waittimer 24942 blt 0,0,640,480,0, 1850,640,480:waittimer 24969 blt 0,0,640,480,0, 1852,640,480:waittimer 24996 blt 0,0,640,480,0, 1854,640,480:waittimer 25023 blt 0,0,640,480,0, 1856,640,480:waittimer 25050 blt 0,0,640,480,0, 1858,640,480:waittimer 25077 blt 0,0,640,480,0, 1860,640,480:waittimer 25104 blt 0,0,640,480,0, 1862,640,480:waittimer 25131 blt 0,0,640,480,0, 1864,640,480:waittimer 25158 blt 0,0,640,480,0, 1866,640,480:waittimer 25185 blt 0,0,640,480,0, 1868,640,480:waittimer 25212 blt 0,0,640,480,0, 1870,640,480:waittimer 25239 blt 0,0,640,480,0, 1872,640,480:waittimer 25266 blt 0,0,640,480,0, 1874,640,480:waittimer 25293 blt 0,0,640,480,0, 1876,640,480:waittimer 25319 blt 0,0,640,480,0, 1878,640,480:waittimer 25346 blt 0,0,640,480,0, 1880,640,480:waittimer 25373 blt 0,0,640,480,0, 1882,640,480:waittimer 25400 blt 0,0,640,480,0, 1884,640,480:waittimer 25427 blt 0,0,640,480,0, 1886,640,480:waittimer 25454 blt 0,0,640,480,0, 1888,640,480:waittimer 25481 blt 0,0,640,480,0, 1890,640,480:waittimer 25508 blt 0,0,640,480,0, 1892,640,480:waittimer 25535 blt 0,0,640,480,0, 1894,640,480:waittimer 25562 blt 0,0,640,480,0, 1896,640,480:waittimer 25589 blt 0,0,640,480,0, 1898,640,480:waittimer 25616 blt 0,0,640,480,0, 1900,640,480:waittimer 25643 blt 0,0,640,480,0, 1902,640,480:waittimer 25670 blt 0,0,640,480,0, 1904,640,480:waittimer 25697 blt 0,0,640,480,0, 1906,640,480:waittimer 25724 blt 0,0,640,480,0, 1908,640,480:waittimer 25751 blt 0,0,640,480,0, 1910,640,480:waittimer 25778 blt 0,0,640,480,0, 1912,640,480:waittimer 25805 blt 0,0,640,480,0, 1914,640,480:waittimer 25832 blt 0,0,640,480,0, 1916,640,480:waittimer 25859 blt 0,0,640,480,0, 1918,640,480:waittimer 25886 blt 0,0,640,480,0, 1920,640,480:waittimer 25913 blt 0,0,640,480,0, 1922,640,480:waittimer 25940 blt 0,0,640,480,0, 1924,640,480:waittimer 25967 blt 0,0,640,480,0, 1926,640,480:waittimer 25994 blt 0,0,640,480,0, 1928,640,480:waittimer 26021 blt 0,0,640,480,0, 1930,640,480:waittimer 26048 blt 0,0,640,480,0, 1932,640,480:waittimer 26075 blt 0,0,640,480,0, 1934,640,480:waittimer 26102 blt 0,0,640,480,0, 1936,640,480:waittimer 26129 blt 0,0,640,480,0, 1938,640,480:waittimer 26156 blt 0,0,640,480,0, 1940,640,480:waittimer 26183 blt 0,0,640,480,0, 1942,640,480:waittimer 26210 blt 0,0,640,480,0, 1944,640,480:waittimer 26237 blt 0,0,640,480,0, 1946,640,480:waittimer 26264 blt 0,0,640,480,0, 1948,640,480:waittimer 26291 blt 0,0,640,480,0, 1950,640,480:waittimer 26318 blt 0,0,640,480,0, 1952,640,480:waittimer 26345 blt 0,0,640,480,0, 1954,640,480:waittimer 26372 blt 0,0,640,480,0, 1956,640,480:waittimer 26399 blt 0,0,640,480,0, 1958,640,480:waittimer 26426 blt 0,0,640,480,0, 1960,640,480:waittimer 26453 blt 0,0,640,480,0, 1962,640,480:waittimer 26480 blt 0,0,640,480,0, 1964,640,480:waittimer 26507 blt 0,0,640,480,0, 1966,640,480:waittimer 26534 blt 0,0,640,480,0, 1968,640,480:waittimer 26561 blt 0,0,640,480,0, 1970,640,480:waittimer 26588 blt 0,0,640,480,0, 1972,640,480:waittimer 26615 blt 0,0,640,480,0, 1974,640,480:waittimer 26642 blt 0,0,640,480,0, 1976,640,480:waittimer 26669 blt 0,0,640,480,0, 1978,640,480:waittimer 26696 blt 0,0,640,480,0, 1980,640,480:waittimer 26723 blt 0,0,640,480,0, 1982,640,480:waittimer 26750 blt 0,0,640,480,0, 1984,640,480:waittimer 26777 blt 0,0,640,480,0, 1986,640,480:waittimer 26804 blt 0,0,640,480,0, 1988,640,480:waittimer 26831 blt 0,0,640,480,0, 1990,640,480:waittimer 26858 blt 0,0,640,480,0, 1992,640,480:waittimer 26885 blt 0,0,640,480,0, 1994,640,480:waittimer 26912 blt 0,0,640,480,0, 1996,640,480:waittimer 26939 blt 0,0,640,480,0, 1998,640,480:waittimer 26966 blt 0,0,640,480,0, 2000,640,480:waittimer 26993 blt 0,0,640,480,0, 2002,640,480:waittimer 27020 blt 0,0,640,480,0, 2004,640,480:waittimer 27047 blt 0,0,640,480,0, 2006,640,480:waittimer 27074 blt 0,0,640,480,0, 2008,640,480:waittimer 27101 blt 0,0,640,480,0, 2010,640,480:waittimer 27128 blt 0,0,640,480,0, 2012,640,480:waittimer 27155 blt 0,0,640,480,0, 2014,640,480:waittimer 27182 blt 0,0,640,480,0, 2016,640,480:waittimer 27209 blt 0,0,640,480,0, 2018,640,480:waittimer 27236 blt 0,0,640,480,0, 2020,640,480:waittimer 27263 blt 0,0,640,480,0, 2022,640,480:waittimer 27290 blt 0,0,640,480,0, 2024,640,480:waittimer 27317 blt 0,0,640,480,0, 2026,640,480:waittimer 27344 blt 0,0,640,480,0, 2028,640,480:waittimer 27371 blt 0,0,640,480,0, 2030,640,480:waittimer 27398 blt 0,0,640,480,0, 2032,640,480:waittimer 27425 blt 0,0,640,480,0, 2034,640,480:waittimer 27452 blt 0,0,640,480,0, 2036,640,480:waittimer 27479 blt 0,0,640,480,0, 2038,640,480:waittimer 27506 blt 0,0,640,480,0, 2040,640,480:waittimer 27533 blt 0,0,640,480,0, 2042,640,480:waittimer 27560 blt 0,0,640,480,0, 2044,640,480:waittimer 27587 blt 0,0,640,480,0, 2046,640,480:waittimer 27614 blt 0,0,640,480,0, 2048,640,480:waittimer 27641 blt 0,0,640,480,0, 2050,640,480:waittimer 27668 blt 0,0,640,480,0, 2052,640,480:waittimer 27695 blt 0,0,640,480,0, 2054,640,480:waittimer 27722 blt 0,0,640,480,0, 2056,640,480:waittimer 27749 blt 0,0,640,480,0, 2058,640,480:waittimer 27776 blt 0,0,640,480,0, 2060,640,480:waittimer 27803 blt 0,0,640,480,0, 2062,640,480:waittimer 27830 blt 0,0,640,480,0, 2064,640,480:waittimer 27857 blt 0,0,640,480,0, 2066,640,480:waittimer 27884 blt 0,0,640,480,0, 2068,640,480:waittimer 27911 blt 0,0,640,480,0, 2070,640,480:waittimer 27938 blt 0,0,640,480,0, 2072,640,480:waittimer 27965 blt 0,0,640,480,0, 2074,640,480:waittimer 27992 blt 0,0,640,480,0, 2076,640,480:waittimer 28019 blt 0,0,640,480,0, 2078,640,480:waittimer 28046 blt 0,0,640,480,0, 2080,640,480:waittimer 28073 blt 0,0,640,480,0, 2082,640,480:waittimer 28100 blt 0,0,640,480,0, 2084,640,480:waittimer 28127 blt 0,0,640,480,0, 2086,640,480:waittimer 28154 blt 0,0,640,480,0, 2088,640,480:waittimer 28181 blt 0,0,640,480,0, 2090,640,480:waittimer 28208 blt 0,0,640,480,0, 2092,640,480:waittimer 28235 blt 0,0,640,480,0, 2094,640,480:waittimer 28262 blt 0,0,640,480,0, 2096,640,480:waittimer 28289 blt 0,0,640,480,0, 2098,640,480:waittimer 28316 blt 0,0,640,480,0, 2100,640,480:waittimer 28343 blt 0,0,640,480,0, 2102,640,480:waittimer 28370 blt 0,0,640,480,0, 2104,640,480:waittimer 28397 blt 0,0,640,480,0, 2106,640,480:waittimer 28424 blt 0,0,640,480,0, 2108,640,480:waittimer 28451 blt 0,0,640,480,0, 2110,640,480:waittimer 28478 blt 0,0,640,480,0, 2112,640,480:waittimer 28505 blt 0,0,640,480,0, 2114,640,480:waittimer 28532 blt 0,0,640,480,0, 2116,640,480:waittimer 28559 blt 0,0,640,480,0, 2118,640,480:waittimer 28586 blt 0,0,640,480,0, 2120,640,480:waittimer 28613 blt 0,0,640,480,0, 2122,640,480:waittimer 28640 blt 0,0,640,480,0, 2124,640,480:waittimer 28667 blt 0,0,640,480,0, 2126,640,480:waittimer 28694 blt 0,0,640,480,0, 2128,640,480:waittimer 28721 blt 0,0,640,480,0, 2130,640,480:waittimer 28748 blt 0,0,640,480,0, 2132,640,480:waittimer 28775 blt 0,0,640,480,0, 2134,640,480:waittimer 28802 blt 0,0,640,480,0, 2136,640,480:waittimer 28829 blt 0,0,640,480,0, 2138,640,480:waittimer 28856 blt 0,0,640,480,0, 2140,640,480:waittimer 28883 blt 0,0,640,480,0, 2142,640,480:waittimer 28910 blt 0,0,640,480,0, 2144,640,480:waittimer 28937 blt 0,0,640,480,0, 2146,640,480:waittimer 28964 blt 0,0,640,480,0, 2148,640,480:waittimer 28991 blt 0,0,640,480,0, 2150,640,480:waittimer 29018 blt 0,0,640,480,0, 2152,640,480:waittimer 29045 blt 0,0,640,480,0, 2154,640,480:waittimer 29072 blt 0,0,640,480,0, 2156,640,480:waittimer 29099 blt 0,0,640,480,0, 2158,640,480:waittimer 29126 blt 0,0,640,480,0, 2160,640,480:waittimer 29153 blt 0,0,640,480,0, 2162,640,480:waittimer 29180 blt 0,0,640,480,0, 2164,640,480:waittimer 29207 blt 0,0,640,480,0, 2166,640,480:waittimer 29234 blt 0,0,640,480,0, 2168,640,480:waittimer 29261 blt 0,0,640,480,0, 2170,640,480:waittimer 29288 blt 0,0,640,480,0, 2172,640,480:waittimer 29315 blt 0,0,640,480,0, 2174,640,480:waittimer 29342 blt 0,0,640,480,0, 2176,640,480:waittimer 29369 blt 0,0,640,480,0, 2178,640,480:waittimer 29396 blt 0,0,640,480,0, 2180,640,480:waittimer 29423 blt 0,0,640,480,0, 2182,640,480:waittimer 29450 blt 0,0,640,480,0, 2184,640,480:waittimer 29477 blt 0,0,640,480,0, 2186,640,480:waittimer 29504 blt 0,0,640,480,0, 2188,640,480:waittimer 29531 blt 0,0,640,480,0, 2190,640,480:waittimer 29557 blt 0,0,640,480,0, 2192,640,480:waittimer 29584 blt 0,0,640,480,0, 2194,640,480:waittimer 29611 blt 0,0,640,480,0, 2196,640,480:waittimer 29638 blt 0,0,640,480,0, 2198,640,480:waittimer 29665 blt 0,0,640,480,0, 2200,640,480:waittimer 29692 blt 0,0,640,480,0, 2202,640,480:waittimer 29719 blt 0,0,640,480,0, 2204,640,480:waittimer 29746 blt 0,0,640,480,0, 2206,640,480:waittimer 29773 blt 0,0,640,480,0, 2208,640,480:waittimer 29800 blt 0,0,640,480,0, 2210,640,480:waittimer 29827 blt 0,0,640,480,0, 2212,640,480:waittimer 29854 blt 0,0,640,480,0, 2214,640,480:waittimer 29881 blt 0,0,640,480,0, 2216,640,480:waittimer 29908 blt 0,0,640,480,0, 2218,640,480:waittimer 29935 blt 0,0,640,480,0, 2220,640,480:waittimer 29962 blt 0,0,640,480,0, 2222,640,480:waittimer 29989 blt 0,0,640,480,0, 2224,640,480:waittimer 30016 blt 0,0,640,480,0, 2226,640,480:waittimer 30043 blt 0,0,640,480,0, 2228,640,480:waittimer 30070 blt 0,0,640,480,0, 2230,640,480:waittimer 30097 blt 0,0,640,480,0, 2232,640,480:waittimer 30124 blt 0,0,640,480,0, 2234,640,480:waittimer 30151 blt 0,0,640,480,0, 2236,640,480:waittimer 30178 blt 0,0,640,480,0, 2238,640,480:waittimer 30205 blt 0,0,640,480,0, 2240,640,480:waittimer 30232 blt 0,0,640,480,0, 2242,640,480:waittimer 30259 blt 0,0,640,480,0, 2244,640,480:waittimer 30286 blt 0,0,640,480,0, 2246,640,480:waittimer 30313 blt 0,0,640,480,0, 2248,640,480:waittimer 30340 blt 0,0,640,480,0, 2250,640,480:waittimer 30367 blt 0,0,640,480,0, 2252,640,480:waittimer 30394 blt 0,0,640,480,0, 2254,640,480:waittimer 30421 blt 0,0,640,480,0, 2256,640,480:waittimer 30448 blt 0,0,640,480,0, 2258,640,480:waittimer 30475 blt 0,0,640,480,0, 2260,640,480:waittimer 30502 blt 0,0,640,480,0, 2262,640,480:waittimer 30529 blt 0,0,640,480,0, 2264,640,480:waittimer 30556 blt 0,0,640,480,0, 2266,640,480:waittimer 30583 blt 0,0,640,480,0, 2268,640,480:waittimer 30610 blt 0,0,640,480,0, 2270,640,480:waittimer 30637 blt 0,0,640,480,0, 2272,640,480:waittimer 30664 blt 0,0,640,480,0, 2274,640,480:waittimer 30691 blt 0,0,640,480,0, 2276,640,480:waittimer 30718 blt 0,0,640,480,0, 2278,640,480:waittimer 30745 blt 0,0,640,480,0, 2280,640,480:waittimer 30772 blt 0,0,640,480,0, 2282,640,480:waittimer 30799 blt 0,0,640,480,0, 2284,640,480:waittimer 30826 blt 0,0,640,480,0, 2286,640,480:waittimer 30853 blt 0,0,640,480,0, 2288,640,480:waittimer 30880 blt 0,0,640,480,0, 2290,640,480:waittimer 30907 blt 0,0,640,480,0, 2292,640,480:waittimer 30934 blt 0,0,640,480,0, 2294,640,480:waittimer 30961 blt 0,0,640,480,0, 2296,640,480:waittimer 30988 blt 0,0,640,480,0, 2298,640,480:waittimer 31015 blt 0,0,640,480,0, 2300,640,480:waittimer 31042 blt 0,0,640,480,0, 2302,640,480:waittimer 31069 blt 0,0,640,480,0, 2304,640,480:waittimer 31096 blt 0,0,640,480,0, 2306,640,480:waittimer 31123 blt 0,0,640,480,0, 2308,640,480:waittimer 31150 blt 0,0,640,480,0, 2310,640,480:waittimer 31177 blt 0,0,640,480,0, 2312,640,480:waittimer 31204 blt 0,0,640,480,0, 2314,640,480:waittimer 31231 blt 0,0,640,480,0, 2316,640,480:waittimer 31258 blt 0,0,640,480,0, 2318,640,480:waittimer 31285 blt 0,0,640,480,0, 2320,640,480:waittimer 31312 blt 0,0,640,480,0, 2322,640,480:waittimer 31339 blt 0,0,640,480,0, 2324,640,480:waittimer 31366 blt 0,0,640,480,0, 2326,640,480:waittimer 31393 blt 0,0,640,480,0, 2328,640,480:waittimer 31420 blt 0,0,640,480,0, 2330,640,480:waittimer 31447 blt 0,0,640,480,0, 2332,640,480:waittimer 31474 blt 0,0,640,480,0, 2334,640,480:waittimer 31501 blt 0,0,640,480,0, 2336,640,480:waittimer 31528 blt 0,0,640,480,0, 2338,640,480:waittimer 31555 blt 0,0,640,480,0, 2340,640,480:waittimer 31582 blt 0,0,640,480,0, 2342,640,480:waittimer 31609 blt 0,0,640,480,0, 2344,640,480:waittimer 31636 blt 0,0,640,480,0, 2346,640,480:waittimer 31663 blt 0,0,640,480,0, 2348,640,480:waittimer 31690 blt 0,0,640,480,0, 2350,640,480:waittimer 31717 blt 0,0,640,480,0, 2352,640,480:waittimer 31744 blt 0,0,640,480,0, 2354,640,480:waittimer 31771 blt 0,0,640,480,0, 2356,640,480:waittimer 31798 blt 0,0,640,480,0, 2358,640,480:waittimer 31825 blt 0,0,640,480,0, 2360,640,480:waittimer 31852 blt 0,0,640,480,0, 2362,640,480:waittimer 31879 blt 0,0,640,480,0, 2364,640,480:waittimer 31906 blt 0,0,640,480,0, 2366,640,480:waittimer 31933 blt 0,0,640,480,0, 2368,640,480:waittimer 31960 blt 0,0,640,480,0, 2370,640,480:waittimer 31987 blt 0,0,640,480,0, 2372,640,480:waittimer 32014 blt 0,0,640,480,0, 2374,640,480:waittimer 32041 blt 0,0,640,480,0, 2376,640,480:waittimer 32068 blt 0,0,640,480,0, 2378,640,480:waittimer 32095 blt 0,0,640,480,0, 2380,640,480:waittimer 32122 blt 0,0,640,480,0, 2382,640,480:waittimer 32149 blt 0,0,640,480,0, 2384,640,480:waittimer 32176 blt 0,0,640,480,0, 2386,640,480:waittimer 32203 blt 0,0,640,480,0, 2388,640,480:waittimer 32230 blt 0,0,640,480,0, 2390,640,480:waittimer 32257 blt 0,0,640,480,0, 2392,640,480:waittimer 32284 blt 0,0,640,480,0, 2394,640,480:waittimer 32311 blt 0,0,640,480,0, 2396,640,480:waittimer 32338 blt 0,0,640,480,0, 2398,640,480:waittimer 32365 blt 0,0,640,480,0, 2400,640,480:waittimer 32392 blt 0,0,640,480,0, 2402,640,480:waittimer 32419 blt 0,0,640,480,0, 2404,640,480:waittimer 32446 blt 0,0,640,480,0, 2406,640,480:waittimer 32473 blt 0,0,640,480,0, 2408,640,480:waittimer 32500 blt 0,0,640,480,0, 2410,640,480:waittimer 32527 blt 0,0,640,480,0, 2412,640,480:waittimer 32554 blt 0,0,640,480,0, 2414,640,480:waittimer 32581 blt 0,0,640,480,0, 2416,640,480:waittimer 32608 blt 0,0,640,480,0, 2418,640,480:waittimer 32635 blt 0,0,640,480,0, 2420,640,480:waittimer 32662 blt 0,0,640,480,0, 2422,640,480:waittimer 32689 blt 0,0,640,480,0, 2424,640,480:waittimer 32716 blt 0,0,640,480,0, 2426,640,480:waittimer 32743 blt 0,0,640,480,0, 2428,640,480:waittimer 32770 blt 0,0,640,480,0, 2430,640,480:waittimer 32797 blt 0,0,640,480,0, 2432,640,480:waittimer 32824 blt 0,0,640,480,0, 2434,640,480:waittimer 32851 blt 0,0,640,480,0, 2436,640,480:waittimer 32878 blt 0,0,640,480,0, 2438,640,480:waittimer 32905 blt 0,0,640,480,0, 2440,640,480:waittimer 32932 blt 0,0,640,480,0, 2442,640,480:waittimer 32959 blt 0,0,640,480,0, 2444,640,480:waittimer 32986 blt 0,0,640,480,0, 2446,640,480:waittimer 33013 blt 0,0,640,480,0, 2448,640,480:waittimer 33040 blt 0,0,640,480,0, 2450,640,480:waittimer 33067 blt 0,0,640,480,0, 2452,640,480:waittimer 33094 blt 0,0,640,480,0, 2454,640,480:waittimer 33121 blt 0,0,640,480,0, 2456,640,480:waittimer 33148 blt 0,0,640,480,0, 2458,640,480:waittimer 33175 blt 0,0,640,480,0, 2460,640,480:waittimer 33202 blt 0,0,640,480,0, 2462,640,480:waittimer 33229 blt 0,0,640,480,0, 2464,640,480:waittimer 33256 blt 0,0,640,480,0, 2466,640,480:waittimer 33283 blt 0,0,640,480,0, 2468,640,480:waittimer 33310 blt 0,0,640,480,0, 2470,640,480:waittimer 33337 blt 0,0,640,480,0, 2472,640,480:waittimer 33364 blt 0,0,640,480,0, 2474,640,480:waittimer 33391 blt 0,0,640,480,0, 2476,640,480:waittimer 33418 blt 0,0,640,480,0, 2478,640,480:waittimer 33445 blt 0,0,640,480,0, 2480,640,480:waittimer 33472 blt 0,0,640,480,0, 2482,640,480:waittimer 33499 blt 0,0,640,480,0, 2484,640,480:waittimer 33526 blt 0,0,640,480,0, 2486,640,480:waittimer 33553 blt 0,0,640,480,0, 2488,640,480:waittimer 33580 blt 0,0,640,480,0, 2490,640,480:waittimer 33607 blt 0,0,640,480,0, 2492,640,480:waittimer 33634 blt 0,0,640,480,0, 2494,640,480:waittimer 33661 blt 0,0,640,480,0, 2496,640,480:waittimer 33688 blt 0,0,640,480,0, 2498,640,480:waittimer 33715 blt 0,0,640,480,0, 2500,640,480:waittimer 33742 blt 0,0,640,480,0, 2502,640,480:waittimer 33768 blt 0,0,640,480,0, 2504,640,480:waittimer 33795 blt 0,0,640,480,0, 2506,640,480:waittimer 33822 blt 0,0,640,480,0, 2508,640,480:waittimer 33849 blt 0,0,640,480,0, 2510,640,480:waittimer 33876 blt 0,0,640,480,0, 2512,640,480:waittimer 33903 blt 0,0,640,480,0, 2514,640,480:waittimer 33930 blt 0,0,640,480,0, 2516,640,480:waittimer 33957 blt 0,0,640,480,0, 2518,640,480:waittimer 33984 blt 0,0,640,480,0, 2520,640,480:waittimer 34011 blt 0,0,640,480,0, 2522,640,480:waittimer 34038 blt 0,0,640,480,0, 2524,640,480:waittimer 34065 blt 0,0,640,480,0, 2526,640,480:waittimer 34092 blt 0,0,640,480,0, 2528,640,480:waittimer 34119 blt 0,0,640,480,0, 2530,640,480:waittimer 34146 blt 0,0,640,480,0, 2532,640,480:waittimer 34173 blt 0,0,640,480,0, 2534,640,480:waittimer 34200 blt 0,0,640,480,0, 2536,640,480:waittimer 34227 blt 0,0,640,480,0, 2538,640,480:waittimer 34254 blt 0,0,640,480,0, 2540,640,480:waittimer 34281 blt 0,0,640,480,0, 2542,640,480:waittimer 34308 blt 0,0,640,480,0, 2544,640,480:waittimer 34335 blt 0,0,640,480,0, 2546,640,480:waittimer 34362 blt 0,0,640,480,0, 2548,640,480:waittimer 34389 blt 0,0,640,480,0, 2550,640,480:waittimer 34416 blt 0,0,640,480,0, 2552,640,480:waittimer 34443 blt 0,0,640,480,0, 2554,640,480:waittimer 34470 blt 0,0,640,480,0, 2556,640,480:waittimer 34497 blt 0,0,640,480,0, 2558,640,480:waittimer 34524 blt 0,0,640,480,0, 2560,640,480:waittimer 34551 blt 0,0,640,480,0, 2562,640,480:waittimer 34578 blt 0,0,640,480,0, 2564,640,480:waittimer 34605 blt 0,0,640,480,0, 2566,640,480:waittimer 34632 blt 0,0,640,480,0, 2568,640,480:waittimer 34659 blt 0,0,640,480,0, 2570,640,480:waittimer 34686 blt 0,0,640,480,0, 2572,640,480:waittimer 34713 blt 0,0,640,480,0, 2574,640,480:waittimer 34740 blt 0,0,640,480,0, 2576,640,480:waittimer 34767 blt 0,0,640,480,0, 2578,640,480:waittimer 34794 blt 0,0,640,480,0, 2580,640,480:waittimer 34821 blt 0,0,640,480,0, 2582,640,480:waittimer 34848 blt 0,0,640,480,0, 2584,640,480:waittimer 34875 blt 0,0,640,480,0, 2586,640,480:waittimer 34902 blt 0,0,640,480,0, 2588,640,480:waittimer 34929 blt 0,0,640,480,0, 2590,640,480:waittimer 34956 blt 0,0,640,480,0, 2592,640,480:waittimer 34983 blt 0,0,640,480,0, 2594,640,480:waittimer 35010 blt 0,0,640,480,0, 2596,640,480:waittimer 35037 blt 0,0,640,480,0, 2598,640,480:waittimer 35064 blt 0,0,640,480,0, 2600,640,480:waittimer 35091 blt 0,0,640,480,0, 2602,640,480:waittimer 35118 blt 0,0,640,480,0, 2604,640,480:waittimer 35145 blt 0,0,640,480,0, 2606,640,480:waittimer 35172 blt 0,0,640,480,0, 2608,640,480:waittimer 35199 blt 0,0,640,480,0, 2610,640,480:waittimer 35226 blt 0,0,640,480,0, 2612,640,480:waittimer 35253 blt 0,0,640,480,0, 2614,640,480:waittimer 35280 blt 0,0,640,480,0, 2616,640,480:waittimer 35307 blt 0,0,640,480,0, 2618,640,480:waittimer 35334 blt 0,0,640,480,0, 2620,640,480:waittimer 35361 blt 0,0,640,480,0, 2622,640,480:waittimer 35388 blt 0,0,640,480,0, 2624,640,480:waittimer 35415 blt 0,0,640,480,0, 2626,640,480:waittimer 35442 blt 0,0,640,480,0, 2628,640,480:waittimer 35469 blt 0,0,640,480,0, 2630,640,480:waittimer 35496 blt 0,0,640,480,0, 2632,640,480:waittimer 35523 blt 0,0,640,480,0, 2634,640,480:waittimer 35550 blt 0,0,640,480,0, 2636,640,480:waittimer 35577 blt 0,0,640,480,0, 2638,640,480:waittimer 35604 blt 0,0,640,480,0, 2640,640,480:waittimer 35631 blt 0,0,640,480,0, 2642,640,480:waittimer 35658 blt 0,0,640,480,0, 2644,640,480:waittimer 35685 blt 0,0,640,480,0, 2646,640,480:waittimer 35712 blt 0,0,640,480,0, 2648,640,480:waittimer 35739 blt 0,0,640,480,0, 2650,640,480:waittimer 35766 blt 0,0,640,480,0, 2652,640,480:waittimer 35793 blt 0,0,640,480,0, 2654,640,480:waittimer 35820 blt 0,0,640,480,0, 2656,640,480:waittimer 35847 blt 0,0,640,480,0, 2658,640,480:waittimer 35874 blt 0,0,640,480,0, 2660,640,480:waittimer 35901 blt 0,0,640,480,0, 2662,640,480:waittimer 35928 blt 0,0,640,480,0, 2664,640,480:waittimer 35955 blt 0,0,640,480,0, 2666,640,480:waittimer 35982 blt 0,0,640,480,0, 2668,640,480:waittimer 36009 blt 0,0,640,480,0, 2670,640,480:waittimer 36036 blt 0,0,640,480,0, 2672,640,480:waittimer 36063 blt 0,0,640,480,0, 2674,640,480:waittimer 36090 blt 0,0,640,480,0, 2676,640,480:waittimer 36117 blt 0,0,640,480,0, 2678,640,480:waittimer 36144 blt 0,0,640,480,0, 2680,640,480:waittimer 36171 blt 0,0,640,480,0, 2682,640,480:waittimer 36198 blt 0,0,640,480,0, 2684,640,480:waittimer 36225 blt 0,0,640,480,0, 2686,640,480:waittimer 36252 blt 0,0,640,480,0, 2688,640,480:waittimer 36279 blt 0,0,640,480,0, 2690,640,480:waittimer 36306 blt 0,0,640,480,0, 2692,640,480:waittimer 36333 blt 0,0,640,480,0, 2694,640,480:waittimer 36360 blt 0,0,640,480,0, 2696,640,480:waittimer 36387 blt 0,0,640,480,0, 2698,640,480:waittimer 36414 blt 0,0,640,480,0, 2700,640,480:waittimer 36441 blt 0,0,640,480,0, 2702,640,480:waittimer 36468 blt 0,0,640,480,0, 2704,640,480:waittimer 36495 blt 0,0,640,480,0, 2706,640,480:waittimer 36522 blt 0,0,640,480,0, 2708,640,480:waittimer 36549 blt 0,0,640,480,0, 2710,640,480:waittimer 36576 blt 0,0,640,480,0, 2712,640,480:waittimer 36603 blt 0,0,640,480,0, 2714,640,480:waittimer 36630 blt 0,0,640,480,0, 2716,640,480:waittimer 36657 blt 0,0,640,480,0, 2718,640,480:waittimer 36684 blt 0,0,640,480,0, 2720,640,480:waittimer 36711 blt 0,0,640,480,0, 2722,640,480:waittimer 36738 blt 0,0,640,480,0, 2724,640,480:waittimer 36765 blt 0,0,640,480,0, 2726,640,480:waittimer 36792 blt 0,0,640,480,0, 2728,640,480:waittimer 36819 blt 0,0,640,480,0, 2730,640,480:waittimer 36846 blt 0,0,640,480,0, 2732,640,480:waittimer 36873 blt 0,0,640,480,0, 2734,640,480:waittimer 36900 blt 0,0,640,480,0, 2736,640,480:waittimer 36927 blt 0,0,640,480,0, 2738,640,480:waittimer 36954 blt 0,0,640,480,0, 2740,640,480:waittimer 36981 blt 0,0,640,480,0, 2742,640,480:waittimer 37008 blt 0,0,640,480,0, 2744,640,480:waittimer 37035 blt 0,0,640,480,0, 2746,640,480:waittimer 37062 blt 0,0,640,480,0, 2748,640,480:waittimer 37089 blt 0,0,640,480,0, 2750,640,480:waittimer 37116 blt 0,0,640,480,0, 2752,640,480:waittimer 37143 blt 0,0,640,480,0, 2754,640,480:waittimer 37170 blt 0,0,640,480,0, 2756,640,480:waittimer 37197 blt 0,0,640,480,0, 2758,640,480:waittimer 37224 blt 0,0,640,480,0, 2760,640,480:waittimer 37251 blt 0,0,640,480,0, 2762,640,480:waittimer 37278 blt 0,0,640,480,0, 2764,640,480:waittimer 37305 blt 0,0,640,480,0, 2766,640,480:waittimer 37332 blt 0,0,640,480,0, 2768,640,480:waittimer 37359 blt 0,0,640,480,0, 2770,640,480:waittimer 37386 blt 0,0,640,480,0, 2772,640,480:waittimer 37413 blt 0,0,640,480,0, 2774,640,480:waittimer 37440 blt 0,0,640,480,0, 2776,640,480:waittimer 37467 blt 0,0,640,480,0, 2778,640,480:waittimer 37494 blt 0,0,640,480,0, 2780,640,480:waittimer 37521 blt 0,0,640,480,0, 2782,640,480:waittimer 37548 blt 0,0,640,480,0, 2784,640,480:waittimer 37575 blt 0,0,640,480,0, 2786,640,480:waittimer 37602 blt 0,0,640,480,0, 2788,640,480:waittimer 37629 blt 0,0,640,480,0, 2790,640,480:waittimer 37656 blt 0,0,640,480,0, 2792,640,480:waittimer 37683 blt 0,0,640,480,0, 2794,640,480:waittimer 37710 blt 0,0,640,480,0, 2796,640,480:waittimer 37737 blt 0,0,640,480,0, 2798,640,480:waittimer 37764 blt 0,0,640,480,0, 2800,640,480:waittimer 37791 blt 0,0,640,480,0, 2802,640,480:waittimer 37818 blt 0,0,640,480,0, 2804,640,480:waittimer 37845 blt 0,0,640,480,0, 2806,640,480:waittimer 37872 blt 0,0,640,480,0, 2808,640,480:waittimer 37899 blt 0,0,640,480,0, 2810,640,480:waittimer 37926 blt 0,0,640,480,0, 2812,640,480:waittimer 37953 blt 0,0,640,480,0, 2814,640,480:waittimer 37979 blt 0,0,640,480,0, 2816,640,480:waittimer 38006 blt 0,0,640,480,0, 2818,640,480:waittimer 38033 blt 0,0,640,480,0, 2820,640,480:waittimer 38060 blt 0,0,640,480,0, 2822,640,480:waittimer 38087 blt 0,0,640,480,0, 2824,640,480:waittimer 38114 blt 0,0,640,480,0, 2826,640,480:waittimer 38141 blt 0,0,640,480,0, 2828,640,480:waittimer 38168 blt 0,0,640,480,0, 2830,640,480:waittimer 38195 blt 0,0,640,480,0, 2832,640,480:waittimer 38222 blt 0,0,640,480,0, 2834,640,480:waittimer 38249 blt 0,0,640,480,0, 2836,640,480:waittimer 38276 blt 0,0,640,480,0, 2838,640,480:waittimer 38303 blt 0,0,640,480,0, 2840,640,480:waittimer 38330 blt 0,0,640,480,0, 2842,640,480:waittimer 38357 blt 0,0,640,480,0, 2844,640,480:waittimer 38384 blt 0,0,640,480,0, 2846,640,480:waittimer 38411 blt 0,0,640,480,0, 2848,640,480:waittimer 38438 blt 0,0,640,480,0, 2850,640,480:waittimer 38465 blt 0,0,640,480,0, 2852,640,480:waittimer 38492 blt 0,0,640,480,0, 2854,640,480:waittimer 38519 blt 0,0,640,480,0, 2856,640,480:waittimer 38546 blt 0,0,640,480,0, 2858,640,480:waittimer 38573 blt 0,0,640,480,0, 2860,640,480:waittimer 38600 blt 0,0,640,480,0, 2862,640,480:waittimer 38627 blt 0,0,640,480,0, 2864,640,480:waittimer 38654 blt 0,0,640,480,0, 2866,640,480:waittimer 38681 blt 0,0,640,480,0, 2868,640,480:waittimer 38708 blt 0,0,640,480,0, 2870,640,480:waittimer 38735 blt 0,0,640,480,0, 2872,640,480:waittimer 38762 blt 0,0,640,480,0, 2874,640,480:waittimer 38789 blt 0,0,640,480,0, 2876,640,480:waittimer 38816 blt 0,0,640,480,0, 2878,640,480:waittimer 38843 blt 0,0,640,480,0, 2880,640,480:waittimer 38870 blt 0,0,640,480,0, 2882,640,480:waittimer 38897 blt 0,0,640,480,0, 2884,640,480:waittimer 38924 blt 0,0,640,480,0, 2886,640,480:waittimer 38951 blt 0,0,640,480,0, 2888,640,480:waittimer 38978 blt 0,0,640,480,0, 2890,640,480:waittimer 39005 blt 0,0,640,480,0, 2892,640,480:waittimer 39032 blt 0,0,640,480,0, 2894,640,480:waittimer 39059 blt 0,0,640,480,0, 2896,640,480:waittimer 39086 blt 0,0,640,480,0, 2898,640,480:waittimer 39113 blt 0,0,640,480,0, 2900,640,480:waittimer 39140 blt 0,0,640,480,0, 2902,640,480:waittimer 39167 blt 0,0,640,480,0, 2904,640,480:waittimer 39194 blt 0,0,640,480,0, 2906,640,480:waittimer 39221 blt 0,0,640,480,0, 2908,640,480:waittimer 39248 blt 0,0,640,480,0, 2910,640,480:waittimer 39275 blt 0,0,640,480,0, 2912,640,480:waittimer 39302 blt 0,0,640,480,0, 2914,640,480:waittimer 39329 blt 0,0,640,480,0, 2916,640,480:waittimer 39356 blt 0,0,640,480,0, 2918,640,480:waittimer 39383 blt 0,0,640,480,0, 2920,640,480:waittimer 39410 blt 0,0,640,480,0, 2922,640,480:waittimer 39437 blt 0,0,640,480,0, 2924,640,480:waittimer 39464 blt 0,0,640,480,0, 2926,640,480:waittimer 39491 blt 0,0,640,480,0, 2928,640,480:waittimer 39518 blt 0,0,640,480,0, 2930,640,480:waittimer 39545 blt 0,0,640,480,0, 2932,640,480:waittimer 39572 blt 0,0,640,480,0, 2934,640,480:waittimer 39599 blt 0,0,640,480,0, 2936,640,480:waittimer 39626 blt 0,0,640,480,0, 2938,640,480:waittimer 39653 blt 0,0,640,480,0, 2940,640,480:waittimer 39680 blt 0,0,640,480,0, 2942,640,480:waittimer 39707 blt 0,0,640,480,0, 2944,640,480:waittimer 39734 blt 0,0,640,480,0, 2946,640,480:waittimer 39761 blt 0,0,640,480,0, 2948,640,480:waittimer 39788 blt 0,0,640,480,0, 2950,640,480:waittimer 39815 blt 0,0,640,480,0, 2952,640,480:waittimer 39842 blt 0,0,640,480,0, 2954,640,480:waittimer 39869 blt 0,0,640,480,0, 2956,640,480:waittimer 39896 blt 0,0,640,480,0, 2958,640,480:waittimer 39923 blt 0,0,640,480,0, 2960,640,480:waittimer 39950 blt 0,0,640,480,0, 2962,640,480:waittimer 39977 blt 0,0,640,480,0, 2964,640,480:waittimer 40004 blt 0,0,640,480,0, 2966,640,480:waittimer 40031 blt 0,0,640,480,0, 2968,640,480:waittimer 40058 blt 0,0,640,480,0, 2970,640,480:waittimer 40085 blt 0,0,640,480,0, 2972,640,480:waittimer 40112 blt 0,0,640,480,0, 2974,640,480:waittimer 40139 blt 0,0,640,480,0, 2976,640,480:waittimer 40166 blt 0,0,640,480,0, 2978,640,480:waittimer 40193 blt 0,0,640,480,0, 2980,640,480:waittimer 40220 blt 0,0,640,480,0, 2982,640,480:waittimer 40247 blt 0,0,640,480,0, 2984,640,480:waittimer 40274 blt 0,0,640,480,0, 2986,640,480:waittimer 40301 blt 0,0,640,480,0, 2988,640,480:waittimer 40328 blt 0,0,640,480,0, 2990,640,480:waittimer 40355 blt 0,0,640,480,0, 2992,640,480:waittimer 40382 blt 0,0,640,480,0, 2994,640,480:waittimer 40409 blt 0,0,640,480,0, 2996,640,480:waittimer 40436 blt 0,0,640,480,0, 2998,640,480:waittimer 40463 blt 0,0,640,480,0, 3000,640,480:waittimer 40490 blt 0,0,640,480,0, 3002,640,480:waittimer 40517 blt 0,0,640,480,0, 3004,640,480:waittimer 40544 blt 0,0,640,480,0, 3006,640,480:waittimer 40571 blt 0,0,640,480,0, 3008,640,480:waittimer 40598 blt 0,0,640,480,0, 3010,640,480:waittimer 40625 blt 0,0,640,480,0, 3012,640,480:waittimer 40652 blt 0,0,640,480,0, 3014,640,480:waittimer 40679 blt 0,0,640,480,0, 3016,640,480:waittimer 40706 blt 0,0,640,480,0, 3018,640,480:waittimer 40733 blt 0,0,640,480,0, 3020,640,480:waittimer 40760 blt 0,0,640,480,0, 3022,640,480:waittimer 40787 blt 0,0,640,480,0, 3024,640,480:waittimer 40814 blt 0,0,640,480,0, 3026,640,480:waittimer 40841 blt 0,0,640,480,0, 3028,640,480:waittimer 40868 blt 0,0,640,480,0, 3030,640,480:waittimer 40895 blt 0,0,640,480,0, 3032,640,480:waittimer 40922 blt 0,0,640,480,0, 3034,640,480:waittimer 40949 blt 0,0,640,480,0, 3036,640,480:waittimer 40976 blt 0,0,640,480,0, 3038,640,480:waittimer 41003 blt 0,0,640,480,0, 3040,640,480:waittimer 41030 blt 0,0,640,480,0, 3042,640,480:waittimer 41057 blt 0,0,640,480,0, 3044,640,480:waittimer 41084 blt 0,0,640,480,0, 3046,640,480:waittimer 41111 blt 0,0,640,480,0, 3048,640,480:waittimer 41138 blt 0,0,640,480,0, 3050,640,480:waittimer 41165 blt 0,0,640,480,0, 3052,640,480:waittimer 41192 blt 0,0,640,480,0, 3054,640,480:waittimer 41219 blt 0,0,640,480,0, 3056,640,480:waittimer 41246 blt 0,0,640,480,0, 3058,640,480:waittimer 41273 blt 0,0,640,480,0, 3060,640,480:waittimer 41300 blt 0,0,640,480,0, 3062,640,480:waittimer 41327 blt 0,0,640,480,0, 3064,640,480:waittimer 41354 blt 0,0,640,480,0, 3066,640,480:waittimer 41381 blt 0,0,640,480,0, 3068,640,480:waittimer 41408 blt 0,0,640,480,0, 3070,640,480:waittimer 41435 blt 0,0,640,480,0, 3072,640,480:waittimer 41462 blt 0,0,640,480,0, 3074,640,480:waittimer 41489 blt 0,0,640,480,0, 3076,640,480:waittimer 41516 blt 0,0,640,480,0, 3078,640,480:waittimer 41543 blt 0,0,640,480,0, 3080,640,480:waittimer 41570 blt 0,0,640,480,0, 3082,640,480:waittimer 41597 blt 0,0,640,480,0, 3084,640,480:waittimer 41624 blt 0,0,640,480,0, 3086,640,480:waittimer 41651 blt 0,0,640,480,0, 3088,640,480:waittimer 41678 blt 0,0,640,480,0, 3090,640,480:waittimer 41705 blt 0,0,640,480,0, 3092,640,480:waittimer 41732 blt 0,0,640,480,0, 3094,640,480:waittimer 41759 blt 0,0,640,480,0, 3096,640,480:waittimer 41786 blt 0,0,640,480,0, 3098,640,480:waittimer 41813 blt 0,0,640,480,0, 3100,640,480:waittimer 41840 blt 0,0,640,480,0, 3102,640,480:waittimer 41867 blt 0,0,640,480,0, 3104,640,480:waittimer 41894 blt 0,0,640,480,0, 3106,640,480:waittimer 41921 blt 0,0,640,480,0, 3108,640,480:waittimer 41948 blt 0,0,640,480,0, 3110,640,480:waittimer 41975 blt 0,0,640,480,0, 3112,640,480:waittimer 42002 blt 0,0,640,480,0, 3114,640,480:waittimer 42029 blt 0,0,640,480,0, 3116,640,480:waittimer 42056 blt 0,0,640,480,0, 3118,640,480:waittimer 42083 blt 0,0,640,480,0, 3120,640,480:waittimer 42110 blt 0,0,640,480,0, 3122,640,480:waittimer 42137 blt 0,0,640,480,0, 3124,640,480:waittimer 42164 blt 0,0,640,480,0, 3126,640,480:waittimer 42191 blt 0,0,640,480,0, 3128,640,480:waittimer 42217 blt 0,0,640,480,0, 3130,640,480:waittimer 42244 blt 0,0,640,480,0, 3132,640,480:waittimer 42271 blt 0,0,640,480,0, 3134,640,480:waittimer 42298 blt 0,0,640,480,0, 3136,640,480:waittimer 42325 blt 0,0,640,480,0, 3138,640,480:waittimer 42352 blt 0,0,640,480,0, 3140,640,480:waittimer 42379 blt 0,0,640,480,0, 3142,640,480:waittimer 42406 blt 0,0,640,480,0, 3144,640,480:waittimer 42433 blt 0,0,640,480,0, 3146,640,480:waittimer 42460 blt 0,0,640,480,0, 3148,640,480:waittimer 42487 blt 0,0,640,480,0, 3150,640,480:waittimer 42514 blt 0,0,640,480,0, 3152,640,480:waittimer 42541 blt 0,0,640,480,0, 3154,640,480:waittimer 42568 blt 0,0,640,480,0, 3156,640,480:waittimer 42595 blt 0,0,640,480,0, 3158,640,480:waittimer 42622 blt 0,0,640,480,0, 3160,640,480:waittimer 42649 blt 0,0,640,480,0, 3162,640,480:waittimer 42676 blt 0,0,640,480,0, 3164,640,480:waittimer 42703 blt 0,0,640,480,0, 3166,640,480:waittimer 42730 blt 0,0,640,480,0, 3168,640,480:waittimer 42757 blt 0,0,640,480,0, 3170,640,480:waittimer 42784 blt 0,0,640,480,0, 3172,640,480:waittimer 42811 blt 0,0,640,480,0, 3174,640,480:waittimer 42838 blt 0,0,640,480,0, 3176,640,480:waittimer 42865 blt 0,0,640,480,0, 3178,640,480:waittimer 42892 blt 0,0,640,480,0, 3180,640,480:waittimer 42919 blt 0,0,640,480,0, 3182,640,480:waittimer 42946 blt 0,0,640,480,0, 3184,640,480:waittimer 42973 blt 0,0,640,480,0, 3186,640,480:waittimer 43000 blt 0,0,640,480,0, 3188,640,480:waittimer 43027 blt 0,0,640,480,0, 3190,640,480:waittimer 43054 blt 0,0,640,480,0, 3192,640,480:waittimer 43081 blt 0,0,640,480,0, 3194,640,480:waittimer 43108 blt 0,0,640,480,0, 3196,640,480:waittimer 43135 blt 0,0,640,480,0, 3198,640,480:waittimer 43162 blt 0,0,640,480,0, 3200,640,480:waittimer 43189 blt 0,0,640,480,0, 3202,640,480:waittimer 43216 blt 0,0,640,480,0, 3204,640,480:waittimer 43243 blt 0,0,640,480,0, 3206,640,480:waittimer 43270 blt 0,0,640,480,0, 3208,640,480:waittimer 43297 blt 0,0,640,480,0, 3210,640,480:waittimer 43324 blt 0,0,640,480,0, 3212,640,480:waittimer 43351 blt 0,0,640,480,0, 3214,640,480:waittimer 43378 blt 0,0,640,480,0, 3216,640,480:waittimer 43405 blt 0,0,640,480,0, 3218,640,480:waittimer 43432 blt 0,0,640,480,0, 3220,640,480:waittimer 43459 blt 0,0,640,480,0, 3222,640,480:waittimer 43486 blt 0,0,640,480,0, 3224,640,480:waittimer 43513 blt 0,0,640,480,0, 3226,640,480:waittimer 43540 blt 0,0,640,480,0, 3228,640,480:waittimer 43567 blt 0,0,640,480,0, 3230,640,480:waittimer 43594 blt 0,0,640,480,0, 3232,640,480:waittimer 43621 blt 0,0,640,480,0, 3234,640,480:waittimer 43648 blt 0,0,640,480,0, 3236,640,480:waittimer 43675 blt 0,0,640,480,0, 3238,640,480:waittimer 43702 blt 0,0,640,480,0, 3240,640,480:waittimer 43729 blt 0,0,640,480,0, 3242,640,480:waittimer 43756 blt 0,0,640,480,0, 3244,640,480:waittimer 43783 blt 0,0,640,480,0, 3246,640,480:waittimer 43810 blt 0,0,640,480,0, 3248,640,480:waittimer 43837 blt 0,0,640,480,0, 3250,640,480:waittimer 43864 blt 0,0,640,480,0, 3252,640,480:waittimer 43891 blt 0,0,640,480,0, 3254,640,480:waittimer 43918 blt 0,0,640,480,0, 3256,640,480:waittimer 43945 blt 0,0,640,480,0, 3258,640,480:waittimer 43972 blt 0,0,640,480,0, 3260,640,480:waittimer 43999 blt 0,0,640,480,0, 3262,640,480:waittimer 44026 blt 0,0,640,480,0, 3264,640,480:waittimer 44053 blt 0,0,640,480,0, 3266,640,480:waittimer 44080 blt 0,0,640,480,0, 3268,640,480:waittimer 44107 blt 0,0,640,480,0, 3270,640,480:waittimer 44134 blt 0,0,640,480,0, 3272,640,480:waittimer 44161 blt 0,0,640,480,0, 3274,640,480:waittimer 44188 blt 0,0,640,480,0, 3276,640,480:waittimer 44215 blt 0,0,640,480,0, 3278,640,480:waittimer 44242 blt 0,0,640,480,0, 3280,640,480:waittimer 44269 blt 0,0,640,480,0, 3282,640,480:waittimer 44296 blt 0,0,640,480,0, 3284,640,480:waittimer 44323 blt 0,0,640,480,0, 3286,640,480:waittimer 44350 blt 0,0,640,480,0, 3288,640,480:waittimer 44377 blt 0,0,640,480,0, 3290,640,480:waittimer 44404 blt 0,0,640,480,0, 3292,640,480:waittimer 44431 blt 0,0,640,480,0, 3294,640,480:waittimer 44458 blt 0,0,640,480,0, 3296,640,480:waittimer 44485 blt 0,0,640,480,0, 3298,640,480:waittimer 44512 blt 0,0,640,480,0, 3300,640,480:waittimer 44539 blt 0,0,640,480,0, 3302,640,480:waittimer 44566 blt 0,0,640,480,0, 3304,640,480:waittimer 44593 blt 0,0,640,480,0, 3306,640,480:waittimer 44620 blt 0,0,640,480,0, 3308,640,480:waittimer 44647 blt 0,0,640,480,0, 3310,640,480:waittimer 44674 blt 0,0,640,480,0, 3312,640,480:waittimer 44701 blt 0,0,640,480,0, 3314,640,480:waittimer 44728 blt 0,0,640,480,0, 3316,640,480:waittimer 44755 blt 0,0,640,480,0, 3318,640,480:waittimer 44782 blt 0,0,640,480,0, 3320,640,480:waittimer 44809 blt 0,0,640,480,0, 3322,640,480:waittimer 44836 blt 0,0,640,480,0, 3324,640,480:waittimer 44863 blt 0,0,640,480,0, 3326,640,480:waittimer 44890 blt 0,0,640,480,0, 3328,640,480:waittimer 44917 blt 0,0,640,480,0, 3330,640,480:waittimer 44944 blt 0,0,640,480,0, 3332,640,480:waittimer 44971 blt 0,0,640,480,0, 3334,640,480:waittimer 44998 blt 0,0,640,480,0, 3336,640,480:waittimer 45025 blt 0,0,640,480,0, 3338,640,480:waittimer 45052 blt 0,0,640,480,0, 3340,640,480:waittimer 45079 blt 0,0,640,480,0, 3342,640,480:waittimer 45106 blt 0,0,640,480,0, 3344,640,480:waittimer 45133 blt 0,0,640,480,0, 3346,640,480:waittimer 45160 blt 0,0,640,480,0, 3348,640,480:waittimer 45187 blt 0,0,640,480,0, 3350,640,480:waittimer 45214 blt 0,0,640,480,0, 3352,640,480:waittimer 45241 blt 0,0,640,480,0, 3354,640,480:waittimer 45268 blt 0,0,640,480,0, 3356,640,480:waittimer 45295 blt 0,0,640,480,0, 3358,640,480:waittimer 45322 blt 0,0,640,480,0, 3360,640,480:waittimer 45349 blt 0,0,640,480,0, 3362,640,480:waittimer 45376 blt 0,0,640,480,0, 3364,640,480:waittimer 45403 blt 0,0,640,480,0, 3366,640,480:waittimer 45430 blt 0,0,640,480,0, 3368,640,480:waittimer 45457 blt 0,0,640,480,0, 3370,640,480:waittimer 45484 blt 0,0,640,480,0, 3372,640,480:waittimer 45511 blt 0,0,640,480,0, 3374,640,480:waittimer 45538 blt 0,0,640,480,0, 3376,640,480:waittimer 45565 blt 0,0,640,480,0, 3378,640,480:waittimer 45592 blt 0,0,640,480,0, 3380,640,480:waittimer 45619 blt 0,0,640,480,0, 3382,640,480:waittimer 45646 blt 0,0,640,480,0, 3384,640,480:waittimer 45673 blt 0,0,640,480,0, 3386,640,480:waittimer 45700 blt 0,0,640,480,0, 3388,640,480:waittimer 45727 blt 0,0,640,480,0, 3390,640,480:waittimer 45754 blt 0,0,640,480,0, 3392,640,480:waittimer 45781 blt 0,0,640,480,0, 3394,640,480:waittimer 45808 blt 0,0,640,480,0, 3396,640,480:waittimer 45835 blt 0,0,640,480,0, 3398,640,480:waittimer 45862 blt 0,0,640,480,0, 3400,640,480:waittimer 45889 blt 0,0,640,480,0, 3402,640,480:waittimer 45916 blt 0,0,640,480,0, 3404,640,480:waittimer 45943 blt 0,0,640,480,0, 3406,640,480:waittimer 45970 blt 0,0,640,480,0, 3408,640,480:waittimer 45997 blt 0,0,640,480,0, 3410,640,480:waittimer 46024 blt 0,0,640,480,0, 3412,640,480:waittimer 46051 blt 0,0,640,480,0, 3414,640,480:waittimer 46078 blt 0,0,640,480,0, 3416,640,480:waittimer 46105 blt 0,0,640,480,0, 3418,640,480:waittimer 46132 blt 0,0,640,480,0, 3420,640,480:waittimer 46159 blt 0,0,640,480,0, 3422,640,480:waittimer 46186 blt 0,0,640,480,0, 3424,640,480:waittimer 46213 blt 0,0,640,480,0, 3426,640,480:waittimer 46240 blt 0,0,640,480,0, 3428,640,480:waittimer 46267 blt 0,0,640,480,0, 3430,640,480:waittimer 46294 blt 0,0,640,480,0, 3432,640,480:waittimer 46321 blt 0,0,640,480,0, 3434,640,480:waittimer 46348 blt 0,0,640,480,0, 3436,640,480:waittimer 46375 blt 0,0,640,480,0, 3438,640,480:waittimer 46402 blt 0,0,640,480,0, 3440,640,480:waittimer 46428 blt 0,0,640,480,0, 3442,640,480:waittimer 46455 blt 0,0,640,480,0, 3444,640,480:waittimer 46482 blt 0,0,640,480,0, 3446,640,480:waittimer 46509 blt 0,0,640,480,0, 3448,640,480:waittimer 46536 blt 0,0,640,480,0, 3450,640,480:waittimer 46563 blt 0,0,640,480,0, 3452,640,480:waittimer 46590 blt 0,0,640,480,0, 3454,640,480:waittimer 46617 blt 0,0,640,480,0, 3456,640,480:waittimer 46644 blt 0,0,640,480,0, 3458,640,480:waittimer 46671 blt 0,0,640,480,0, 3460,640,480:waittimer 46698 blt 0,0,640,480,0, 3462,640,480:waittimer 46725 blt 0,0,640,480,0, 3464,640,480:waittimer 46752 blt 0,0,640,480,0, 3466,640,480:waittimer 46779 blt 0,0,640,480,0, 3468,640,480:waittimer 46806 blt 0,0,640,480,0, 3470,640,480:waittimer 46833 blt 0,0,640,480,0, 3472,640,480:waittimer 46860 blt 0,0,640,480,0, 3474,640,480:waittimer 46887 blt 0,0,640,480,0, 3476,640,480:waittimer 46914 blt 0,0,640,480,0, 3478,640,480:waittimer 46941 blt 0,0,640,480,0, 3480,640,480:waittimer 46968 blt 0,0,640,480,0, 3482,640,480:waittimer 46995 blt 0,0,640,480,0, 3484,640,480:waittimer 47022 blt 0,0,640,480,0, 3486,640,480:waittimer 47049 blt 0,0,640,480,0, 3488,640,480:waittimer 47076 blt 0,0,640,480,0, 3490,640,480:waittimer 47103 blt 0,0,640,480,0, 3492,640,480:waittimer 47130 blt 0,0,640,480,0, 3494,640,480:waittimer 47157 blt 0,0,640,480,0, 3496,640,480:waittimer 47184 blt 0,0,640,480,0, 3498,640,480:waittimer 47211 blt 0,0,640,480,0, 3500,640,480:waittimer 47238 blt 0,0,640,480,0, 3502,640,480:waittimer 47265 blt 0,0,640,480,0, 3504,640,480:waittimer 47292 blt 0,0,640,480,0, 3506,640,480:waittimer 47319 blt 0,0,640,480,0, 3508,640,480:waittimer 47346 blt 0,0,640,480,0, 3510,640,480:waittimer 47373 blt 0,0,640,480,0, 3512,640,480:waittimer 47400 blt 0,0,640,480,0, 3514,640,480:waittimer 47427 blt 0,0,640,480,0, 3516,640,480:waittimer 47454 blt 0,0,640,480,0, 3518,640,480:waittimer 47481 blt 0,0,640,480,0, 3520,640,480:waittimer 47508 blt 0,0,640,480,0, 3522,640,480:waittimer 47535 blt 0,0,640,480,0, 3524,640,480:waittimer 47562 blt 0,0,640,480,0, 3526,640,480:waittimer 47589 blt 0,0,640,480,0, 3528,640,480:waittimer 47616 blt 0,0,640,480,0, 3530,640,480:waittimer 47643 blt 0,0,640,480,0, 3532,640,480:waittimer 47670 blt 0,0,640,480,0, 3534,640,480:waittimer 47697 blt 0,0,640,480,0, 3536,640,480:waittimer 47724 blt 0,0,640,480,0, 3538,640,480:waittimer 47751 blt 0,0,640,480,0, 3540,640,480:waittimer 47778 blt 0,0,640,480,0, 3542,640,480:waittimer 47805 blt 0,0,640,480,0, 3544,640,480:waittimer 47832 blt 0,0,640,480,0, 3546,640,480:waittimer 47859 blt 0,0,640,480,0, 3548,640,480:waittimer 47886 blt 0,0,640,480,0, 3550,640,480:waittimer 47913 blt 0,0,640,480,0, 3552,640,480:waittimer 47940 blt 0,0,640,480,0, 3554,640,480:waittimer 47967 blt 0,0,640,480,0, 3556,640,480:waittimer 47994 blt 0,0,640,480,0, 3558,640,480:waittimer 48021 blt 0,0,640,480,0, 3560,640,480:waittimer 48048 blt 0,0,640,480,0, 3562,640,480:waittimer 48075 blt 0,0,640,480,0, 3564,640,480:waittimer 48102 blt 0,0,640,480,0, 3566,640,480:waittimer 48129 blt 0,0,640,480,0, 3568,640,480:waittimer 48156 blt 0,0,640,480,0, 3570,640,480:waittimer 48183 blt 0,0,640,480,0, 3572,640,480:waittimer 48210 blt 0,0,640,480,0, 3574,640,480:waittimer 48237 blt 0,0,640,480,0, 3576,640,480:waittimer 48264 blt 0,0,640,480,0, 3578,640,480:waittimer 48291 blt 0,0,640,480,0, 3580,640,480:waittimer 48318 blt 0,0,640,480,0, 3582,640,480:waittimer 48345 blt 0,0,640,480,0, 3584,640,480:waittimer 48372 blt 0,0,640,480,0, 3586,640,480:waittimer 48399 blt 0,0,640,480,0, 3588,640,480:waittimer 48426 blt 0,0,640,480,0, 3590,640,480:waittimer 48453 blt 0,0,640,480,0, 3592,640,480:waittimer 48480 blt 0,0,640,480,0, 3594,640,480:waittimer 48507 blt 0,0,640,480,0, 3596,640,480:waittimer 48534 blt 0,0,640,480,0, 3598,640,480:waittimer 48561 blt 0,0,640,480,0, 3600,640,480:waittimer 48588 blt 0,0,640,480,0, 3602,640,480:waittimer 48615 blt 0,0,640,480,0, 3604,640,480:waittimer 48642 blt 0,0,640,480,0, 3606,640,480:waittimer 48669 blt 0,0,640,480,0, 3608,640,480:waittimer 48696 blt 0,0,640,480,0, 3610,640,480:waittimer 48723 blt 0,0,640,480,0, 3612,640,480:waittimer 48750 blt 0,0,640,480,0, 3614,640,480:waittimer 48777 blt 0,0,640,480,0, 3616,640,480:waittimer 48804 blt 0,0,640,480,0, 3618,640,480:waittimer 48831 blt 0,0,640,480,0, 3620,640,480:waittimer 48858 blt 0,0,640,480,0, 3622,640,480:waittimer 48885 blt 0,0,640,480,0, 3624,640,480:waittimer 48912 blt 0,0,640,480,0, 3626,640,480:waittimer 48939 blt 0,0,640,480,0, 3628,640,480:waittimer 48966 blt 0,0,640,480,0, 3630,640,480:waittimer 48993 blt 0,0,640,480,0, 3632,640,480:waittimer 49020 blt 0,0,640,480,0, 3634,640,480:waittimer 49047 blt 0,0,640,480,0, 3636,640,480:waittimer 49074 blt 0,0,640,480,0, 3638,640,480:waittimer 49101 blt 0,0,640,480,0, 3640,640,480:waittimer 49128 blt 0,0,640,480,0, 3642,640,480:waittimer 49155 blt 0,0,640,480,0, 3644,640,480:waittimer 49182 blt 0,0,640,480,0, 3646,640,480:waittimer 49209 blt 0,0,640,480,0, 3648,640,480:waittimer 49236 blt 0,0,640,480,0, 3650,640,480:waittimer 49263 blt 0,0,640,480,0, 3652,640,480:waittimer 49290 blt 0,0,640,480,0, 3654,640,480:waittimer 49317 blt 0,0,640,480,0, 3656,640,480:waittimer 49344 blt 0,0,640,480,0, 3658,640,480:waittimer 49371 blt 0,0,640,480,0, 3660,640,480:waittimer 49398 blt 0,0,640,480,0, 3662,640,480:waittimer 49425 blt 0,0,640,480,0, 3664,640,480:waittimer 49452 blt 0,0,640,480,0, 3666,640,480:waittimer 49479 blt 0,0,640,480,0, 3668,640,480:waittimer 49506 blt 0,0,640,480,0, 3670,640,480:waittimer 49533 blt 0,0,640,480,0, 3672,640,480:waittimer 49560 blt 0,0,640,480,0, 3674,640,480:waittimer 49587 blt 0,0,640,480,0, 3676,640,480:waittimer 49614 blt 0,0,640,480,0, 3678,640,480:waittimer 49641 blt 0,0,640,480,0, 3680,640,480:waittimer 49668 blt 0,0,640,480,0, 3682,640,480:waittimer 49695 blt 0,0,640,480,0, 3684,640,480:waittimer 49722 blt 0,0,640,480,0, 3686,640,480:waittimer 49749 blt 0,0,640,480,0, 3688,640,480:waittimer 49776 blt 0,0,640,480,0, 3690,640,480:waittimer 49803 blt 0,0,640,480,0, 3692,640,480:waittimer 49830 blt 0,0,640,480,0, 3694,640,480:waittimer 49857 blt 0,0,640,480,0, 3696,640,480:waittimer 49884 blt 0,0,640,480,0, 3698,640,480:waittimer 49911 blt 0,0,640,480,0, 3700,640,480:waittimer 49938 blt 0,0,640,480,0, 3702,640,480:waittimer 49965 blt 0,0,640,480,0, 3704,640,480:waittimer 49992 blt 0,0,640,480,0, 3706,640,480:waittimer 50019 blt 0,0,640,480,0, 3708,640,480:waittimer 50046 blt 0,0,640,480,0, 3710,640,480:waittimer 50073 blt 0,0,640,480,0, 3712,640,480:waittimer 50100 blt 0,0,640,480,0, 3714,640,480:waittimer 50127 blt 0,0,640,480,0, 3716,640,480:waittimer 50154 blt 0,0,640,480,0, 3718,640,480:waittimer 50181 blt 0,0,640,480,0, 3720,640,480:waittimer 50208 blt 0,0,640,480,0, 3722,640,480:waittimer 50235 blt 0,0,640,480,0, 3724,640,480:waittimer 50262 blt 0,0,640,480,0, 3726,640,480:waittimer 50289 blt 0,0,640,480,0, 3728,640,480:waittimer 50316 blt 0,0,640,480,0, 3730,640,480:waittimer 50343 blt 0,0,640,480,0, 3732,640,480:waittimer 50370 blt 0,0,640,480,0, 3734,640,480:waittimer 50397 blt 0,0,640,480,0, 3736,640,480:waittimer 50424 blt 0,0,640,480,0, 3738,640,480:waittimer 50451 blt 0,0,640,480,0, 3740,640,480:waittimer 50478 blt 0,0,640,480,0, 3742,640,480:waittimer 50505 blt 0,0,640,480,0, 3744,640,480:waittimer 50532 blt 0,0,640,480,0, 3746,640,480:waittimer 50559 blt 0,0,640,480,0, 3748,640,480:waittimer 50586 blt 0,0,640,480,0, 3750,640,480:waittimer 50613 blt 0,0,640,480,0, 3752,640,480:waittimer 50639 blt 0,0,640,480,0, 3754,640,480:waittimer 50666 blt 0,0,640,480,0, 3756,640,480:waittimer 50693 blt 0,0,640,480,0, 3758,640,480:waittimer 50720 blt 0,0,640,480,0, 3760,640,480:waittimer 50747 blt 0,0,640,480,0, 3762,640,480:waittimer 50774 blt 0,0,640,480,0, 3764,640,480:waittimer 50801 blt 0,0,640,480,0, 3766,640,480:waittimer 50828 blt 0,0,640,480,0, 3768,640,480:waittimer 50855 blt 0,0,640,480,0, 3770,640,480:waittimer 50882 blt 0,0,640,480,0, 3772,640,480:waittimer 50909 blt 0,0,640,480,0, 3774,640,480:waittimer 50936 blt 0,0,640,480,0, 3776,640,480:waittimer 50963 blt 0,0,640,480,0, 3778,640,480:waittimer 50990 blt 0,0,640,480,0, 3780,640,480:waittimer 51017 blt 0,0,640,480,0, 3782,640,480:waittimer 51044 blt 0,0,640,480,0, 3784,640,480:waittimer 51071 blt 0,0,640,480,0, 3786,640,480:waittimer 51098 blt 0,0,640,480,0, 3788,640,480:waittimer 51125 blt 0,0,640,480,0, 3790,640,480:waittimer 51152 blt 0,0,640,480,0, 3792,640,480:waittimer 51179 blt 0,0,640,480,0, 3794,640,480:waittimer 51206 blt 0,0,640,480,0, 3796,640,480:waittimer 51233 blt 0,0,640,480,0, 3798,640,480:waittimer 51260 blt 0,0,640,480,0, 3800,640,480:waittimer 51287 blt 0,0,640,480,0, 3802,640,480:waittimer 51314 blt 0,0,640,480,0, 3804,640,480:waittimer 51341 blt 0,0,640,480,0, 3806,640,480:waittimer 51368 blt 0,0,640,480,0, 3808,640,480:waittimer 51395 blt 0,0,640,480,0, 3810,640,480:waittimer 51422 blt 0,0,640,480,0, 3812,640,480:waittimer 51449 blt 0,0,640,480,0, 3814,640,480:waittimer 51476 blt 0,0,640,480,0, 3816,640,480:waittimer 51503 blt 0,0,640,480,0, 3818,640,480:waittimer 51530 blt 0,0,640,480,0, 3820,640,480:waittimer 51557 blt 0,0,640,480,0, 3822,640,480:waittimer 51584 blt 0,0,640,480,0, 3824,640,480:waittimer 51611 blt 0,0,640,480,0, 3826,640,480:waittimer 51638 blt 0,0,640,480,0, 3828,640,480:waittimer 51665 blt 0,0,640,480,0, 3830,640,480:waittimer 51692 blt 0,0,640,480,0, 3832,640,480:waittimer 51719 blt 0,0,640,480,0, 3834,640,480:waittimer 51746 blt 0,0,640,480,0, 3836,640,480:waittimer 51773 blt 0,0,640,480,0, 3838,640,480:waittimer 51800 blt 0,0,640,480,0, 3840,640,480:waittimer 51827 blt 0,0,640,480,0, 3842,640,480:waittimer 51854 blt 0,0,640,480,0, 3844,640,480:waittimer 51881 blt 0,0,640,480,0, 3846,640,480:waittimer 51908 blt 0,0,640,480,0, 3848,640,480:waittimer 51935 blt 0,0,640,480,0, 3850,640,480:waittimer 51962 blt 0,0,640,480,0, 3852,640,480:waittimer 51989 blt 0,0,640,480,0, 3854,640,480:waittimer 52016 blt 0,0,640,480,0, 3856,640,480:waittimer 52043 blt 0,0,640,480,0, 3858,640,480:waittimer 52070 blt 0,0,640,480,0, 3860,640,480:waittimer 52097 blt 0,0,640,480,0, 3862,640,480:waittimer 52124 blt 0,0,640,480,0, 3864,640,480:waittimer 52151 blt 0,0,640,480,0, 3866,640,480:waittimer 52178 blt 0,0,640,480,0, 3868,640,480:waittimer 52205 blt 0,0,640,480,0, 3870,640,480:waittimer 52232 blt 0,0,640,480,0, 3872,640,480:waittimer 52259 blt 0,0,640,480,0, 3874,640,480:waittimer 52286 blt 0,0,640,480,0, 3876,640,480:waittimer 52313 blt 0,0,640,480,0, 3878,640,480:waittimer 52340 blt 0,0,640,480,0, 3880,640,480:waittimer 52367 blt 0,0,640,480,0, 3882,640,480:waittimer 52394 blt 0,0,640,480,0, 3884,640,480:waittimer 52421 blt 0,0,640,480,0, 3886,640,480:waittimer 52448 blt 0,0,640,480,0, 3888,640,480:waittimer 52475 blt 0,0,640,480,0, 3890,640,480:waittimer 52502 blt 0,0,640,480,0, 3892,640,480:waittimer 52529 blt 0,0,640,480,0, 3894,640,480:waittimer 52556 blt 0,0,640,480,0, 3896,640,480:waittimer 52583 blt 0,0,640,480,0, 3898,640,480:waittimer 52610 blt 0,0,640,480,0, 3900,640,480:waittimer 52637 blt 0,0,640,480,0, 3902,640,480:waittimer 52664 blt 0,0,640,480,0, 3904,640,480:waittimer 52691 blt 0,0,640,480,0, 3906,640,480:waittimer 52718 blt 0,0,640,480,0, 3908,640,480:waittimer 52745 blt 0,0,640,480,0, 3910,640,480:waittimer 52772 blt 0,0,640,480,0, 3912,640,480:waittimer 52799 blt 0,0,640,480,0, 3914,640,480:waittimer 52826 blt 0,0,640,480,0, 3916,640,480:waittimer 52853 blt 0,0,640,480,0, 3918,640,480:waittimer 52880 blt 0,0,640,480,0, 3920,640,480:waittimer 52907 blt 0,0,640,480,0, 3922,640,480:waittimer 52934 blt 0,0,640,480,0, 3924,640,480:waittimer 52961 blt 0,0,640,480,0, 3926,640,480:waittimer 52988 blt 0,0,640,480,0, 3928,640,480:waittimer 53015 blt 0,0,640,480,0, 3930,640,480:waittimer 53042 blt 0,0,640,480,0, 3932,640,480:waittimer 53069 blt 0,0,640,480,0, 3934,640,480:waittimer 53096 blt 0,0,640,480,0, 3936,640,480:waittimer 53123 blt 0,0,640,480,0, 3938,640,480:waittimer 53150 blt 0,0,640,480,0, 3940,640,480:waittimer 53177 blt 0,0,640,480,0, 3942,640,480:waittimer 53204 blt 0,0,640,480,0, 3944,640,480:waittimer 53231 blt 0,0,640,480,0, 3946,640,480:waittimer 53258 blt 0,0,640,480,0, 3948,640,480:waittimer 53285 blt 0,0,640,480,0, 3950,640,480:waittimer 53312 blt 0,0,640,480,0, 3952,640,480:waittimer 53339 blt 0,0,640,480,0, 3954,640,480:waittimer 53366 blt 0,0,640,480,0, 3956,640,480:waittimer 53393 blt 0,0,640,480,0, 3958,640,480:waittimer 53420 blt 0,0,640,480,0, 3960,640,480:waittimer 53447 blt 0,0,640,480,0, 3962,640,480:waittimer 53474 blt 0,0,640,480,0, 3964,640,480:waittimer 53501 blt 0,0,640,480,0, 3966,640,480:waittimer 53528 blt 0,0,640,480,0, 3968,640,480:waittimer 53555 blt 0,0,640,480,0, 3970,640,480:waittimer 53582 blt 0,0,640,480,0, 3972,640,480:waittimer 53609 blt 0,0,640,480,0, 3974,640,480:waittimer 53636 blt 0,0,640,480,0, 3976,640,480:waittimer 53663 blt 0,0,640,480,0, 3978,640,480:waittimer 53690 blt 0,0,640,480,0, 3980,640,480:waittimer 53717 blt 0,0,640,480,0, 3982,640,480:waittimer 53744 blt 0,0,640,480,0, 3984,640,480:waittimer 53771 blt 0,0,640,480,0, 3986,640,480:waittimer 53798 blt 0,0,640,480,0, 3988,640,480:waittimer 53825 blt 0,0,640,480,0, 3990,640,480:waittimer 53852 blt 0,0,640,480,0, 3992,640,480:waittimer 53879 blt 0,0,640,480,0, 3994,640,480:waittimer 53906 blt 0,0,640,480,0, 3996,640,480:waittimer 53933 blt 0,0,640,480,0, 3998,640,480:waittimer 53960 blt 0,0,640,480,0, 4000,640,480:waittimer 53987 blt 0,0,640,480,0, 4002,640,480:waittimer 54014 blt 0,0,640,480,0, 4004,640,480:waittimer 54041 blt 0,0,640,480,0, 4006,640,480:waittimer 54068 blt 0,0,640,480,0, 4008,640,480:waittimer 54095 blt 0,0,640,480,0, 4010,640,480:waittimer 54122 blt 0,0,640,480,0, 4012,640,480:waittimer 54149 blt 0,0,640,480,0, 4014,640,480:waittimer 54176 blt 0,0,640,480,0, 4016,640,480:waittimer 54203 blt 0,0,640,480,0, 4018,640,480:waittimer 54230 blt 0,0,640,480,0, 4020,640,480:waittimer 54257 blt 0,0,640,480,0, 4022,640,480:waittimer 54284 blt 0,0,640,480,0, 4024,640,480:waittimer 54311 blt 0,0,640,480,0, 4026,640,480:waittimer 54338 blt 0,0,640,480,0, 4028,640,480:waittimer 54365 blt 0,0,640,480,0, 4030,640,480:waittimer 54392 blt 0,0,640,480,0, 4032,640,480:waittimer 54419 blt 0,0,640,480,0, 4034,640,480:waittimer 54446 blt 0,0,640,480,0, 4036,640,480:waittimer 54473 blt 0,0,640,480,0, 4038,640,480:waittimer 54500 blt 0,0,640,480,0, 4040,640,480:waittimer 54527 blt 0,0,640,480,0, 4042,640,480:waittimer 54554 blt 0,0,640,480,0, 4044,640,480:waittimer 54581 blt 0,0,640,480,0, 4046,640,480:waittimer 54608 blt 0,0,640,480,0, 4048,640,480:waittimer 54635 blt 0,0,640,480,0, 4050,640,480:waittimer 54662 blt 0,0,640,480,0, 4052,640,480:waittimer 54689 blt 0,0,640,480,0, 4054,640,480:waittimer 54716 blt 0,0,640,480,0, 4056,640,480:waittimer 54743 blt 0,0,640,480,0, 4058,640,480:waittimer 54770 blt 0,0,640,480,0, 4060,640,480:waittimer 54797 blt 0,0,640,480,0, 4062,640,480:waittimer 54824 blt 0,0,640,480,0, 4064,640,480:waittimer 54851 blt 0,0,640,480,0, 4066,640,480:waittimer 54877 blt 0,0,640,480,0, 4068,640,480:waittimer 54904 blt 0,0,640,480,0, 4070,640,480:waittimer 54931 blt 0,0,640,480,0, 4072,640,480:waittimer 54958 blt 0,0,640,480,0, 4074,640,480:waittimer 54985 blt 0,0,640,480,0, 4076,640,480:waittimer 55012 blt 0,0,640,480,0, 4078,640,480:waittimer 55039 blt 0,0,640,480,0, 4080,640,480:waittimer 55066 blt 0,0,640,480,0, 4082,640,480:waittimer 55093 blt 0,0,640,480,0, 4084,640,480:waittimer 55120 blt 0,0,640,480,0, 4086,640,480:waittimer 55147 blt 0,0,640,480,0, 4088,640,480:waittimer 55174 blt 0,0,640,480,0, 4090,640,480:waittimer 55201 blt 0,0,640,480,0, 4092,640,480:waittimer 55228 blt 0,0,640,480,0, 4094,640,480:waittimer 55255 blt 0,0,640,480,0, 4096,640,480:waittimer 55282 blt 0,0,640,480,0, 4098,640,480:waittimer 55309 blt 0,0,640,480,0, 4100,640,480:waittimer 55336 blt 0,0,640,480,0, 4102,640,480:waittimer 55363 blt 0,0,640,480,0, 4104,640,480:waittimer 55390 blt 0,0,640,480,0, 4106,640,480:waittimer 55417 blt 0,0,640,480,0, 4108,640,480:waittimer 55444 blt 0,0,640,480,0, 4110,640,480:waittimer 55471 blt 0,0,640,480,0, 4112,640,480:waittimer 55498 blt 0,0,640,480,0, 4114,640,480:waittimer 55525 blt 0,0,640,480,0, 4116,640,480:waittimer 55552 blt 0,0,640,480,0, 4118,640,480:waittimer 55579 blt 0,0,640,480,0, 4120,640,480:waittimer 55606 blt 0,0,640,480,0, 4122,640,480:waittimer 55633 blt 0,0,640,480,0, 4124,640,480:waittimer 55660 blt 0,0,640,480,0, 4126,640,480:waittimer 55687 blt 0,0,640,480,0, 4128,640,480:waittimer 55714 blt 0,0,640,480,0, 4130,640,480:waittimer 55741 blt 0,0,640,480,0, 4132,640,480:waittimer 55768 blt 0,0,640,480,0, 4134,640,480:waittimer 55795 blt 0,0,640,480,0, 4136,640,480:waittimer 55822 blt 0,0,640,480,0, 4138,640,480:waittimer 55849 blt 0,0,640,480,0, 4140,640,480:waittimer 55876 blt 0,0,640,480,0, 4142,640,480:waittimer 55903 blt 0,0,640,480,0, 4144,640,480:waittimer 55930 blt 0,0,640,480,0, 4146,640,480:waittimer 55957 blt 0,0,640,480,0, 4148,640,480:waittimer 55984 blt 0,0,640,480,0, 4150,640,480:waittimer 56011 blt 0,0,640,480,0, 4152,640,480:waittimer 56038 blt 0,0,640,480,0, 4154,640,480:waittimer 56065 blt 0,0,640,480,0, 4156,640,480:waittimer 56092 blt 0,0,640,480,0, 4158,640,480:waittimer 56119 blt 0,0,640,480,0, 4160,640,480:waittimer 56146 blt 0,0,640,480,0, 4162,640,480:waittimer 56173 blt 0,0,640,480,0, 4164,640,480:waittimer 56200 blt 0,0,640,480,0, 4166,640,480:waittimer 56227 blt 0,0,640,480,0, 4168,640,480:waittimer 56254 blt 0,0,640,480,0, 4170,640,480:waittimer 56281 blt 0,0,640,480,0, 4172,640,480:waittimer 56308 blt 0,0,640,480,0, 4174,640,480:waittimer 56335 blt 0,0,640,480,0, 4176,640,480:waittimer 56362 blt 0,0,640,480,0, 4178,640,480:waittimer 56389 blt 0,0,640,480,0, 4180,640,480:waittimer 56416 blt 0,0,640,480,0, 4182,640,480:waittimer 56443 blt 0,0,640,480,0, 4184,640,480:waittimer 56470 blt 0,0,640,480,0, 4186,640,480:waittimer 56497 blt 0,0,640,480,0, 4188,640,480:waittimer 56524 blt 0,0,640,480,0, 4190,640,480:waittimer 56551 blt 0,0,640,480,0, 4192,640,480:waittimer 56578 blt 0,0,640,480,0, 4194,640,480:waittimer 56605 blt 0,0,640,480,0, 4196,640,480:waittimer 56632 blt 0,0,640,480,0, 4198,640,480:waittimer 56659 blt 0,0,640,480,0, 4200,640,480:waittimer 56686 blt 0,0,640,480,0, 4202,640,480:waittimer 56713 blt 0,0,640,480,0, 4204,640,480:waittimer 56740 blt 0,0,640,480,0, 4206,640,480:waittimer 56767 blt 0,0,640,480,0, 4208,640,480:waittimer 56794 blt 0,0,640,480,0, 4210,640,480:waittimer 56821 blt 0,0,640,480,0, 4212,640,480:waittimer 56848 blt 0,0,640,480,0, 4214,640,480:waittimer 56875 blt 0,0,640,480,0, 4216,640,480:waittimer 56902 blt 0,0,640,480,0, 4218,640,480:waittimer 56929 blt 0,0,640,480,0, 4220,640,480:waittimer 56956 blt 0,0,640,480,0, 4222,640,480:waittimer 56983 blt 0,0,640,480,0, 4224,640,480:waittimer 57010 blt 0,0,640,480,0, 4226,640,480:waittimer 57037 blt 0,0,640,480,0, 4228,640,480:waittimer 57064 blt 0,0,640,480,0, 4230,640,480:waittimer 57091 blt 0,0,640,480,0, 4232,640,480:waittimer 57118 blt 0,0,640,480,0, 4234,640,480:waittimer 57145 blt 0,0,640,480,0, 4236,640,480:waittimer 57172 blt 0,0,640,480,0, 4238,640,480:waittimer 57199 blt 0,0,640,480,0, 4240,640,480:waittimer 57226 blt 0,0,640,480,0, 4242,640,480:waittimer 57253 blt 0,0,640,480,0, 4244,640,480:waittimer 57280 blt 0,0,640,480,0, 4246,640,480:waittimer 57307 blt 0,0,640,480,0, 4248,640,480:waittimer 57334 blt 0,0,640,480,0, 4250,640,480:waittimer 57361 blt 0,0,640,480,0, 4252,640,480:waittimer 57388 blt 0,0,640,480,0, 4254,640,480:waittimer 57415 blt 0,0,640,480,0, 4256,640,480:waittimer 57442 blt 0,0,640,480,0, 4258,640,480:waittimer 57469 blt 0,0,640,480,0, 4260,640,480:waittimer 57496 blt 0,0,640,480,0, 4262,640,480:waittimer 57523 blt 0,0,640,480,0, 4264,640,480:waittimer 57550 blt 0,0,640,480,0, 4266,640,480:waittimer 57577 blt 0,0,640,480,0, 4268,640,480:waittimer 57604 blt 0,0,640,480,0, 4270,640,480:waittimer 57631 blt 0,0,640,480,0, 4272,640,480:waittimer 57658 blt 0,0,640,480,0, 4274,640,480:waittimer 57685 blt 0,0,640,480,0, 4276,640,480:waittimer 57712 blt 0,0,640,480,0, 4278,640,480:waittimer 57739 blt 0,0,640,480,0, 4280,640,480:waittimer 57766 blt 0,0,640,480,0, 4282,640,480:waittimer 57793 blt 0,0,640,480,0, 4284,640,480:waittimer 57820 blt 0,0,640,480,0, 4286,640,480:waittimer 57847 blt 0,0,640,480,0, 4288,640,480:waittimer 57874 blt 0,0,640,480,0, 4290,640,480:waittimer 57901 blt 0,0,640,480,0, 4292,640,480:waittimer 57928 blt 0,0,640,480,0, 4294,640,480:waittimer 57955 blt 0,0,640,480,0, 4296,640,480:waittimer 57982 blt 0,0,640,480,0, 4298,640,480:waittimer 58009 blt 0,0,640,480,0, 4300,640,480:waittimer 58036 blt 0,0,640,480,0, 4302,640,480:waittimer 58063 blt 0,0,640,480,0, 4304,640,480:waittimer 58090 blt 0,0,640,480,0, 4306,640,480:waittimer 58117 blt 0,0,640,480,0, 4308,640,480:waittimer 58144 blt 0,0,640,480,0, 4310,640,480:waittimer 58171 blt 0,0,640,480,0, 4312,640,480:waittimer 58198 blt 0,0,640,480,0, 4314,640,480:waittimer 58225 blt 0,0,640,480,0, 4316,640,480:waittimer 58252 blt 0,0,640,480,0, 4318,640,480:waittimer 58279 blt 0,0,640,480,0, 4320,640,480:waittimer 58306 blt 0,0,640,480,0, 4322,640,480:waittimer 58333 blt 0,0,640,480,0, 4324,640,480:waittimer 58360 blt 0,0,640,480,0, 4326,640,480:waittimer 58387 blt 0,0,640,480,0, 4328,640,480:waittimer 58414 blt 0,0,640,480,0, 4330,640,480:waittimer 58441 blt 0,0,640,480,0, 4332,640,480:waittimer 58468 blt 0,0,640,480,0, 4334,640,480:waittimer 58495 blt 0,0,640,480,0, 4336,640,480:waittimer 58522 blt 0,0,640,480,0, 4338,640,480:waittimer 58549 blt 0,0,640,480,0, 4340,640,480:waittimer 58576 blt 0,0,640,480,0, 4342,640,480:waittimer 58603 blt 0,0,640,480,0, 4344,640,480:waittimer 58630 blt 0,0,640,480,0, 4346,640,480:waittimer 58657 blt 0,0,640,480,0, 4348,640,480:waittimer 58684 blt 0,0,640,480,0, 4350,640,480:waittimer 58711 blt 0,0,640,480,0, 4352,640,480:waittimer 58738 blt 0,0,640,480,0, 4354,640,480:waittimer 58765 blt 0,0,640,480,0, 4356,640,480:waittimer 58792 blt 0,0,640,480,0, 4358,640,480:waittimer 58819 blt 0,0,640,480,0, 4360,640,480:waittimer 58846 blt 0,0,640,480,0, 4362,640,480:waittimer 58873 blt 0,0,640,480,0, 4364,640,480:waittimer 58900 blt 0,0,640,480,0, 4366,640,480:waittimer 58927 blt 0,0,640,480,0, 4368,640,480:waittimer 58954 blt 0,0,640,480,0, 4370,640,480:waittimer 58981 blt 0,0,640,480,0, 4372,640,480:waittimer 59008 blt 0,0,640,480,0, 4374,640,480:waittimer 59035 blt 0,0,640,480,0, 4376,640,480:waittimer 59062 blt 0,0,640,480,0, 4378,640,480:waittimer 59088 blt 0,0,640,480,0, 4380,640,480:waittimer 59115 blt 0,0,640,480,0, 4382,640,480:waittimer 59142 blt 0,0,640,480,0, 4384,640,480:waittimer 59169 blt 0,0,640,480,0, 4386,640,480:waittimer 59196 blt 0,0,640,480,0, 4388,640,480:waittimer 59223 blt 0,0,640,480,0, 4390,640,480:waittimer 59250 blt 0,0,640,480,0, 4392,640,480:waittimer 59277 blt 0,0,640,480,0, 4394,640,480:waittimer 59304 blt 0,0,640,480,0, 4396,640,480:waittimer 59331 blt 0,0,640,480,0, 4398,640,480:waittimer 59358 blt 0,0,640,480,0, 4400,640,480:waittimer 59385 blt 0,0,640,480,0, 4402,640,480:waittimer 59412 blt 0,0,640,480,0, 4404,640,480:waittimer 59439 blt 0,0,640,480,0, 4406,640,480:waittimer 59466 blt 0,0,640,480,0, 4408,640,480:waittimer 59493 blt 0,0,640,480,0, 4410,640,480:waittimer 59520 blt 0,0,640,480,0, 4412,640,480:waittimer 59547 blt 0,0,640,480,0, 4414,640,480:waittimer 59574 blt 0,0,640,480,0, 4416,640,480:waittimer 59601 blt 0,0,640,480,0, 4418,640,480:waittimer 59628 blt 0,0,640,480,0, 4420,640,480:waittimer 59655 blt 0,0,640,480,0, 4422,640,480:waittimer 59682 blt 0,0,640,480,0, 4424,640,480:waittimer 59709 blt 0,0,640,480,0, 4426,640,480:waittimer 59736 blt 0,0,640,480,0, 4428,640,480:waittimer 59763 blt 0,0,640,480,0, 4430,640,480:waittimer 59790 blt 0,0,640,480,0, 4432,640,480:waittimer 59817 blt 0,0,640,480,0, 4434,640,480:waittimer 59844 blt 0,0,640,480,0, 4436,640,480:waittimer 59871 blt 0,0,640,480,0, 4438,640,480:waittimer 59898 blt 0,0,640,480,0, 4440,640,480:waittimer 59925 blt 0,0,640,480,0, 4442,640,480:waittimer 59952 blt 0,0,640,480,0, 4444,640,480:waittimer 59979 blt 0,0,640,480,0, 4446,640,480:waittimer 60006 blt 0,0,640,480,0, 4448,640,480:waittimer 60033 blt 0,0,640,480,0, 4450,640,480:waittimer 60060 blt 0,0,640,480,0, 4452,640,480:waittimer 60087 blt 0,0,640,480,0, 4454,640,480:waittimer 60114 blt 0,0,640,480,0, 4456,640,480:waittimer 60141 blt 0,0,640,480,0, 4458,640,480:waittimer 60168 blt 0,0,640,480,0, 4460,640,480:waittimer 60195 blt 0,0,640,480,0, 4462,640,480:waittimer 60222 blt 0,0,640,480,0, 4464,640,480:waittimer 60249 blt 0,0,640,480,0, 4466,640,480:waittimer 60276 blt 0,0,640,480,0, 4468,640,480:waittimer 60303 blt 0,0,640,480,0, 4470,640,480:waittimer 60330 blt 0,0,640,480,0, 4472,640,480:waittimer 60357 blt 0,0,640,480,0, 4474,640,480:waittimer 60384 blt 0,0,640,480,0, 4476,640,480:waittimer 60411 blt 0,0,640,480,0, 4478,640,480:waittimer 60438 blt 0,0,640,480,0, 4480,640,480:waittimer 60465 blt 0,0,640,480,0, 4482,640,480:waittimer 60492 blt 0,0,640,480,0, 4484,640,480:waittimer 60519 blt 0,0,640,480,0, 4486,640,480:waittimer 60546 blt 0,0,640,480,0, 4488,640,480:waittimer 60573 blt 0,0,640,480,0, 4490,640,480:waittimer 60600 blt 0,0,640,480,0, 4492,640,480:waittimer 60627 blt 0,0,640,480,0, 4494,640,480:waittimer 60654 blt 0,0,640,480,0, 4496,640,480:waittimer 60681 blt 0,0,640,480,0, 4498,640,480:waittimer 60708 blt 0,0,640,480,0, 4500,640,480:waittimer 60735 blt 0,0,640,480,0, 4502,640,480:waittimer 60762 blt 0,0,640,480,0, 4504,640,480:waittimer 60789 blt 0,0,640,480,0, 4506,640,480:waittimer 60816 blt 0,0,640,480,0, 4508,640,480:waittimer 60843 blt 0,0,640,480,0, 4510,640,480:waittimer 60870 blt 0,0,640,480,0, 4512,640,480:waittimer 60897 blt 0,0,640,480,0, 4514,640,480:waittimer 60924 blt 0,0,640,480,0, 4516,640,480:waittimer 60951 blt 0,0,640,480,0, 4518,640,480:waittimer 60978 blt 0,0,640,480,0, 4520,640,480:waittimer 61005 blt 0,0,640,480,0, 4522,640,480:waittimer 61032 blt 0,0,640,480,0, 4524,640,480:waittimer 61059 blt 0,0,640,480,0, 4526,640,480:waittimer 61086 blt 0,0,640,480,0, 4528,640,480:waittimer 61113 blt 0,0,640,480,0, 4530,640,480:waittimer 61140 blt 0,0,640,480,0, 4532,640,480:waittimer 61167 blt 0,0,640,480,0, 4534,640,480:waittimer 61194 blt 0,0,640,480,0, 4536,640,480:waittimer 61221 blt 0,0,640,480,0, 4538,640,480:waittimer 61248 blt 0,0,640,480,0, 4540,640,480:waittimer 61275 blt 0,0,640,480,0, 4542,640,480:waittimer 61302 blt 0,0,640,480,0, 4544,640,480:waittimer 61329 blt 0,0,640,480,0, 4546,640,480:waittimer 61356 blt 0,0,640,480,0, 4548,640,480:waittimer 61383 blt 0,0,640,480,0, 4550,640,480:waittimer 61410 blt 0,0,640,480,0, 4552,640,480:waittimer 61437 blt 0,0,640,480,0, 4554,640,480:waittimer 61464 blt 0,0,640,480,0, 4556,640,480:waittimer 61491 blt 0,0,640,480,0, 4558,640,480:waittimer 61518 blt 0,0,640,480,0, 4560,640,480:waittimer 61545 blt 0,0,640,480,0, 4562,640,480:waittimer 61572 blt 0,0,640,480,0, 4564,640,480:waittimer 61599 blt 0,0,640,480,0, 4566,640,480:waittimer 61626 blt 0,0,640,480,0, 4568,640,480:waittimer 61653 blt 0,0,640,480,0, 4570,640,480:waittimer 61680 blt 0,0,640,480,0, 4572,640,480:waittimer 61707 blt 0,0,640,480,0, 4574,640,480:waittimer 61734 blt 0,0,640,480,0, 4576,640,480:waittimer 61761 blt 0,0,640,480,0, 4578,640,480:waittimer 61788 blt 0,0,640,480,0, 4580,640,480:waittimer 61815 blt 0,0,640,480,0, 4582,640,480:waittimer 61842 blt 0,0,640,480,0, 4584,640,480:waittimer 61869 blt 0,0,640,480,0, 4586,640,480:waittimer 61896 blt 0,0,640,480,0, 4588,640,480:waittimer 61923 blt 0,0,640,480,0, 4590,640,480:waittimer 61950 blt 0,0,640,480,0, 4592,640,480:waittimer 61977 blt 0,0,640,480,0, 4594,640,480:waittimer 62004 blt 0,0,640,480,0, 4596,640,480:waittimer 62031 blt 0,0,640,480,0, 4598,640,480:waittimer 62058 blt 0,0,640,480,0, 4600,640,480:waittimer 62085 blt 0,0,640,480,0, 4602,640,480:waittimer 62112 blt 0,0,640,480,0, 4604,640,480:waittimer 62139 blt 0,0,640,480,0, 4606,640,480:waittimer 62166 blt 0,0,640,480,0, 4608,640,480:waittimer 62193 blt 0,0,640,480,0, 4610,640,480:waittimer 62220 blt 0,0,640,480,0, 4612,640,480:waittimer 62247 blt 0,0,640,480,0, 4614,640,480:waittimer 62274 blt 0,0,640,480,0, 4616,640,480:waittimer 62301 blt 0,0,640,480,0, 4618,640,480:waittimer 62328 blt 0,0,640,480,0, 4620,640,480:waittimer 62355 blt 0,0,640,480,0, 4622,640,480:waittimer 62382 blt 0,0,640,480,0, 4624,640,480:waittimer 62409 blt 0,0,640,480,0, 4626,640,480:waittimer 62436 blt 0,0,640,480,0, 4628,640,480:waittimer 62463 blt 0,0,640,480,0, 4630,640,480:waittimer 62490 blt 0,0,640,480,0, 4632,640,480:waittimer 62517 blt 0,0,640,480,0, 4634,640,480:waittimer 62544 blt 0,0,640,480,0, 4636,640,480:waittimer 62571 blt 0,0,640,480,0, 4638,640,480:waittimer 62598 blt 0,0,640,480,0, 4640,640,480:waittimer 62625 blt 0,0,640,480,0, 4642,640,480:waittimer 62652 blt 0,0,640,480,0, 4644,640,480:waittimer 62679 blt 0,0,640,480,0, 4646,640,480:waittimer 62706 blt 0,0,640,480,0, 4648,640,480:waittimer 62733 blt 0,0,640,480,0, 4650,640,480:waittimer 62760 blt 0,0,640,480,0, 4652,640,480:waittimer 62787 blt 0,0,640,480,0, 4654,640,480:waittimer 62814 blt 0,0,640,480,0, 4656,640,480:waittimer 62841 blt 0,0,640,480,0, 4658,640,480:waittimer 62868 blt 0,0,640,480,0, 4660,640,480:waittimer 62895 blt 0,0,640,480,0, 4662,640,480:waittimer 62922 blt 0,0,640,480,0, 4664,640,480:waittimer 62949 blt 0,0,640,480,0, 4666,640,480:waittimer 62976 blt 0,0,640,480,0, 4668,640,480:waittimer 63003 blt 0,0,640,480,0, 4670,640,480:waittimer 63030 blt 0,0,640,480,0, 4672,640,480:waittimer 63057 blt 0,0,640,480,0, 4674,640,480:waittimer 63084 blt 0,0,640,480,0, 4676,640,480:waittimer 63111 blt 0,0,640,480,0, 4678,640,480:waittimer 63138 blt 0,0,640,480,0, 4680,640,480:waittimer 63165 blt 0,0,640,480,0, 4682,640,480:waittimer 63192 blt 0,0,640,480,0, 4684,640,480:waittimer 63219 blt 0,0,640,480,0, 4686,640,480:waittimer 63246 blt 0,0,640,480,0, 4688,640,480:waittimer 63273 blt 0,0,640,480,0, 4690,640,480:waittimer 63299 blt 0,0,640,480,0, 4692,640,480:waittimer 63326 blt 0,0,640,480,0, 4694,640,480:waittimer 63353 blt 0,0,640,480,0, 4696,640,480:waittimer 63380 blt 0,0,640,480,0, 4698,640,480:waittimer 63407 blt 0,0,640,480,0, 4700,640,480:waittimer 63434 blt 0,0,640,480,0, 4702,640,480:waittimer 63461 blt 0,0,640,480,0, 4704,640,480:waittimer 63488 blt 0,0,640,480,0, 4706,640,480:waittimer 63515 blt 0,0,640,480,0, 4708,640,480:waittimer 63542 blt 0,0,640,480,0, 4710,640,480:waittimer 63569 blt 0,0,640,480,0, 4712,640,480:waittimer 63596 blt 0,0,640,480,0, 4714,640,480:waittimer 63623 blt 0,0,640,480,0, 4716,640,480:waittimer 63650 blt 0,0,640,480,0, 4718,640,480:waittimer 63677 blt 0,0,640,480,0, 4720,640,480:waittimer 63704 blt 0,0,640,480,0, 4722,640,480:waittimer 63731 blt 0,0,640,480,0, 4724,640,480:waittimer 63758 blt 0,0,640,480,0, 4726,640,480:waittimer 63785 blt 0,0,640,480,0, 4728,640,480:waittimer 63812 blt 0,0,640,480,0, 4730,640,480:waittimer 63839 blt 0,0,640,480,0, 4732,640,480:waittimer 63866 blt 0,0,640,480,0, 4734,640,480:waittimer 63893 blt 0,0,640,480,0, 4736,640,480:waittimer 63920 blt 0,0,640,480,0, 4738,640,480:waittimer 63947 blt 0,0,640,480,0, 4740,640,480:waittimer 63974 blt 0,0,640,480,0, 4742,640,480:waittimer 64001 blt 0,0,640,480,0, 4744,640,480:waittimer 64028 blt 0,0,640,480,0, 4746,640,480:waittimer 64055 blt 0,0,640,480,0, 4748,640,480:waittimer 64082 blt 0,0,640,480,0, 4750,640,480:waittimer 64109 blt 0,0,640,480,0, 4752,640,480:waittimer 64136 blt 0,0,640,480,0, 4754,640,480:waittimer 64163 blt 0,0,640,480,0, 4756,640,480:waittimer 64190 blt 0,0,640,480,0, 4758,640,480:waittimer 64217 blt 0,0,640,480,0, 4760,640,480:waittimer 64244 blt 0,0,640,480,0, 4762,640,480:waittimer 64271 blt 0,0,640,480,0, 4764,640,480:waittimer 64298 blt 0,0,640,480,0, 4766,640,480:waittimer 64325 blt 0,0,640,480,0, 4768,640,480:waittimer 64352 blt 0,0,640,480,0, 4770,640,480:waittimer 64379 blt 0,0,640,480,0, 4772,640,480:waittimer 64406 blt 0,0,640,480,0, 4774,640,480:waittimer 64433 blt 0,0,640,480,0, 4776,640,480:waittimer 64460 blt 0,0,640,480,0, 4778,640,480:waittimer 64487 blt 0,0,640,480,0, 4780,640,480:waittimer 64514 blt 0,0,640,480,0, 4782,640,480:waittimer 64541 blt 0,0,640,480,0, 4784,640,480:waittimer 64568 blt 0,0,640,480,0, 4786,640,480:waittimer 64595 blt 0,0,640,480,0, 4788,640,480:waittimer 64622 blt 0,0,640,480,0, 4790,640,480:waittimer 64649 blt 0,0,640,480,0, 4792,640,480:waittimer 64676 blt 0,0,640,480,0, 4794,640,480:waittimer 64703 blt 0,0,640,480,0, 4796,640,480:waittimer 64730 blt 0,0,640,480,0, 4798,640,480:waittimer 64757 blt 0,0,640,480,0, 4800,640,480:waittimer 64784 blt 0,0,640,480,0, 4802,640,480:waittimer 64811 blt 0,0,640,480,0, 4804,640,480:waittimer 64838 blt 0,0,640,480,0, 4806,640,480:waittimer 64865 blt 0,0,640,480,0, 4808,640,480:waittimer 64892 blt 0,0,640,480,0, 4810,640,480:waittimer 64919 blt 0,0,640,480,0, 4812,640,480:waittimer 64946 blt 0,0,640,480,0, 4814,640,480:waittimer 64973 blt 0,0,640,480,0, 4816,640,480:waittimer 65000 blt 0,0,640,480,0, 4818,640,480:waittimer 65027 blt 0,0,640,480,0, 4820,640,480:waittimer 65054 blt 0,0,640,480,0, 4822,640,480:waittimer 65081 blt 0,0,640,480,0, 4824,640,480:waittimer 65108 blt 0,0,640,480,0, 4826,640,480:waittimer 65135 blt 0,0,640,480,0, 4828,640,480:waittimer 65162 blt 0,0,640,480,0, 4830,640,480:waittimer 65189 blt 0,0,640,480,0, 4832,640,480:waittimer 65216 blt 0,0,640,480,0, 4834,640,480:waittimer 65243 blt 0,0,640,480,0, 4836,640,480:waittimer 65270 blt 0,0,640,480,0, 4838,640,480:waittimer 65297 blt 0,0,640,480,0, 4840,640,480:waittimer 65324 blt 0,0,640,480,0, 4842,640,480:waittimer 65351 blt 0,0,640,480,0, 4844,640,480:waittimer 65378 blt 0,0,640,480,0, 4846,640,480:waittimer 65405 blt 0,0,640,480,0, 4848,640,480:waittimer 65432 blt 0,0,640,480,0, 4850,640,480:waittimer 65459 blt 0,0,640,480,0, 4852,640,480:waittimer 65486 blt 0,0,640,480,0, 4854,640,480:waittimer 65513 blt 0,0,640,480,0, 4856,640,480:waittimer 65540 blt 0,0,640,480,0, 4858,640,480:waittimer 65567 blt 0,0,640,480,0, 4860,640,480:waittimer 65594 blt 0,0,640,480,0, 4862,640,480:waittimer 65621 blt 0,0,640,480,0, 4864,640,480:waittimer 65648 blt 0,0,640,480,0, 4866,640,480:waittimer 65675 blt 0,0,640,480,0, 4868,640,480:waittimer 65702 blt 0,0,640,480,0, 4870,640,480:waittimer 65729 blt 0,0,640,480,0, 4872,640,480:waittimer 65756 blt 0,0,640,480,0, 4874,640,480:waittimer 65783 blt 0,0,640,480,0, 4876,640,480:waittimer 65810 blt 0,0,640,480,0, 4878,640,480:waittimer 65837 blt 0,0,640,480,0, 4880,640,480:waittimer 65864 blt 0,0,640,480,0, 4882,640,480:waittimer 65891 blt 0,0,640,480,0, 4884,640,480:waittimer 65918 blt 0,0,640,480,0, 4886,640,480:waittimer 65945 blt 0,0,640,480,0, 4888,640,480:waittimer 65972 blt 0,0,640,480,0, 4890,640,480:waittimer 65999 blt 0,0,640,480,0, 4892,640,480:waittimer 66026 blt 0,0,640,480,0, 4894,640,480:waittimer 66053 blt 0,0,640,480,0, 4896,640,480:waittimer 66080 blt 0,0,640,480,0, 4898,640,480:waittimer 66107 blt 0,0,640,480,0, 4900,640,480:waittimer 66134 blt 0,0,640,480,0, 4902,640,480:waittimer 66161 blt 0,0,640,480,0, 4904,640,480:waittimer 66188 blt 0,0,640,480,0, 4906,640,480:waittimer 66215 blt 0,0,640,480,0, 4908,640,480:waittimer 66242 blt 0,0,640,480,0, 4910,640,480:waittimer 66269 blt 0,0,640,480,0, 4912,640,480:waittimer 66296 blt 0,0,640,480,0, 4914,640,480:waittimer 66323 blt 0,0,640,480,0, 4916,640,480:waittimer 66350 blt 0,0,640,480,0, 4918,640,480:waittimer 66377 blt 0,0,640,480,0, 4920,640,480:waittimer 66404 blt 0,0,640,480,0, 4922,640,480:waittimer 66431 blt 0,0,640,480,0, 4924,640,480:waittimer 66458 blt 0,0,640,480,0, 4926,640,480:waittimer 66485 blt 0,0,640,480,0, 4928,640,480:waittimer 66512 blt 0,0,640,480,0, 4930,640,480:waittimer 66539 blt 0,0,640,480,0, 4932,640,480:waittimer 66566 blt 0,0,640,480,0, 4934,640,480:waittimer 66593 blt 0,0,640,480,0, 4936,640,480:waittimer 66620 blt 0,0,640,480,0, 4938,640,480:waittimer 66647 blt 0,0,640,480,0, 4940,640,480:waittimer 66674 blt 0,0,640,480,0, 4942,640,480:waittimer 66701 blt 0,0,640,480,0, 4944,640,480:waittimer 66728 blt 0,0,640,480,0, 4946,640,480:waittimer 66755 blt 0,0,640,480,0, 4948,640,480:waittimer 66782 blt 0,0,640,480,0, 4950,640,480:waittimer 66809 blt 0,0,640,480,0, 4952,640,480:waittimer 66836 blt 0,0,640,480,0, 4954,640,480:waittimer 66863 blt 0,0,640,480,0, 4956,640,480:waittimer 66890 blt 0,0,640,480,0, 4958,640,480:waittimer 66917 blt 0,0,640,480,0, 4960,640,480:waittimer 66944 blt 0,0,640,480,0, 4962,640,480:waittimer 66971 blt 0,0,640,480,0, 4964,640,480:waittimer 66998 blt 0,0,640,480,0, 4966,640,480:waittimer 67025 blt 0,0,640,480,0, 4968,640,480:waittimer 67052 blt 0,0,640,480,0, 4970,640,480:waittimer 67079 blt 0,0,640,480,0, 4972,640,480:waittimer 67106 blt 0,0,640,480,0, 4974,640,480:waittimer 67133 blt 0,0,640,480,0, 4976,640,480:waittimer 67160 blt 0,0,640,480,0, 4978,640,480:waittimer 67187 blt 0,0,640,480,0, 4980,640,480:waittimer 67214 blt 0,0,640,480,0, 4982,640,480:waittimer 67241 blt 0,0,640,480,0, 4984,640,480:waittimer 67268 blt 0,0,640,480,0, 4986,640,480:waittimer 67295 blt 0,0,640,480,0, 4988,640,480:waittimer 67322 blt 0,0,640,480,0, 4990,640,480:waittimer 67349 blt 0,0,640,480,0, 4992,640,480:waittimer 67376 blt 0,0,640,480,0, 4994,640,480:waittimer 67403 blt 0,0,640,480,0, 4996,640,480:waittimer 67430 blt 0,0,640,480,0, 4998,640,480:waittimer 67457 blt 0,0,640,480,0, 5000,640,480:waittimer 67484 blt 0,0,640,480,0, 5002,640,480:waittimer 67511 blt 0,0,640,480,0, 5004,640,480:waittimer 67537 blt 0,0,640,480,0, 5006,640,480:waittimer 67564 blt 0,0,640,480,0, 5008,640,480:waittimer 67591 blt 0,0,640,480,0, 5010,640,480:waittimer 67618 blt 0,0,640,480,0, 5012,640,480:waittimer 67645 blt 0,0,640,480,0, 5014,640,480:waittimer 67672 blt 0,0,640,480,0, 5016,640,480:waittimer 67699 blt 0,0,640,480,0, 5018,640,480:waittimer 67726 blt 0,0,640,480,0, 5020,640,480:waittimer 67753 blt 0,0,640,480,0, 5022,640,480:waittimer 67780 blt 0,0,640,480,0, 5024,640,480:waittimer 67807 blt 0,0,640,480,0, 5026,640,480:waittimer 67834 blt 0,0,640,480,0, 5028,640,480:waittimer 67861 blt 0,0,640,480,0, 5030,640,480:waittimer 67888 blt 0,0,640,480,0, 5032,640,480:waittimer 67915 blt 0,0,640,480,0, 5034,640,480:waittimer 67942 blt 0,0,640,480,0, 5036,640,480:waittimer 67969 blt 0,0,640,480,0, 5038,640,480:waittimer 67996 blt 0,0,640,480,0, 5040,640,480:waittimer 68023 blt 0,0,640,480,0, 5042,640,480:waittimer 68050 blt 0,0,640,480,0, 5044,640,480:waittimer 68077 blt 0,0,640,480,0, 5046,640,480:waittimer 68104 blt 0,0,640,480,0, 5048,640,480:waittimer 68131 blt 0,0,640,480,0, 5050,640,480:waittimer 68158 blt 0,0,640,480,0, 5052,640,480:waittimer 68185 blt 0,0,640,480,0, 5054,640,480:waittimer 68212 blt 0,0,640,480,0, 5056,640,480:waittimer 68239 blt 0,0,640,480,0, 5058,640,480:waittimer 68266 blt 0,0,640,480,0, 5060,640,480:waittimer 68293 blt 0,0,640,480,0, 5062,640,480:waittimer 68320 blt 0,0,640,480,0, 5064,640,480:waittimer 68347 blt 0,0,640,480,0, 5066,640,480:waittimer 68374 blt 0,0,640,480,0, 5068,640,480:waittimer 68401 blt 0,0,640,480,0, 5070,640,480:waittimer 68428 blt 0,0,640,480,0, 5072,640,480:waittimer 68455 blt 0,0,640,480,0, 5074,640,480:waittimer 68482 blt 0,0,640,480,0, 5076,640,480:waittimer 68509 blt 0,0,640,480,0, 5078,640,480:waittimer 68536 blt 0,0,640,480,0, 5080,640,480:waittimer 68563 blt 0,0,640,480,0, 5082,640,480:waittimer 68590 blt 0,0,640,480,0, 5084,640,480:waittimer 68617 blt 0,0,640,480,0, 5086,640,480:waittimer 68644 blt 0,0,640,480,0, 5088,640,480:waittimer 68671 blt 0,0,640,480,0, 5090,640,480:waittimer 68698 blt 0,0,640,480,0, 5092,640,480:waittimer 68725 blt 0,0,640,480,0, 5094,640,480:waittimer 68752 blt 0,0,640,480,0, 5096,640,480:waittimer 68779 blt 0,0,640,480,0, 5098,640,480:waittimer 68806 blt 0,0,640,480,0, 5100,640,480:waittimer 68833 blt 0,0,640,480,0, 5102,640,480:waittimer 68860 blt 0,0,640,480,0, 5104,640,480:waittimer 68887 blt 0,0,640,480,0, 5106,640,480:waittimer 68914 blt 0,0,640,480,0, 5108,640,480:waittimer 68941 blt 0,0,640,480,0, 5110,640,480:waittimer 68968 blt 0,0,640,480,0, 5112,640,480:waittimer 68995 blt 0,0,640,480,0, 5114,640,480:waittimer 69022 blt 0,0,640,480,0, 5116,640,480:waittimer 69049 blt 0,0,640,480,0, 5118,640,480:waittimer 69076 blt 0,0,640,480,0, 5120,640,480:waittimer 69103 blt 0,0,640,480,0, 5122,640,480:waittimer 69130 blt 0,0,640,480,0, 5124,640,480:waittimer 69157 blt 0,0,640,480,0, 5126,640,480:waittimer 69184 blt 0,0,640,480,0, 5128,640,480:waittimer 69211 blt 0,0,640,480,0, 5130,640,480:waittimer 69238 blt 0,0,640,480,0, 5132,640,480:waittimer 69265 blt 0,0,640,480,0, 5134,640,480:waittimer 69292 blt 0,0,640,480,0, 5136,640,480:waittimer 69319 blt 0,0,640,480,0, 5138,640,480:waittimer 69346 blt 0,0,640,480,0, 5140,640,480:waittimer 69373 blt 0,0,640,480,0, 5142,640,480:waittimer 69400 blt 0,0,640,480,0, 5144,640,480:waittimer 69427 blt 0,0,640,480,0, 5146,640,480:waittimer 69454 blt 0,0,640,480,0, 5148,640,480:waittimer 69481 blt 0,0,640,480,0, 5150,640,480:waittimer 69508 blt 0,0,640,480,0, 5152,640,480:waittimer 69535 blt 0,0,640,480,0, 5154,640,480:waittimer 69562 blt 0,0,640,480,0, 5156,640,480:waittimer 69589 blt 0,0,640,480,0, 5158,640,480:waittimer 69616 blt 0,0,640,480,0, 5160,640,480:waittimer 69643 blt 0,0,640,480,0, 5162,640,480:waittimer 69670 blt 0,0,640,480,0, 5164,640,480:waittimer 69697 blt 0,0,640,480,0, 5166,640,480:waittimer 69724 blt 0,0,640,480,0, 5168,640,480:waittimer 69751 blt 0,0,640,480,0, 5170,640,480:waittimer 69778 blt 0,0,640,480,0, 5172,640,480:waittimer 69805 blt 0,0,640,480,0, 5174,640,480:waittimer 69832 blt 0,0,640,480,0, 5176,640,480:waittimer 69859 blt 0,0,640,480,0, 5178,640,480:waittimer 69886 blt 0,0,640,480,0, 5180,640,480:waittimer 69913 blt 0,0,640,480,0, 5182,640,480:waittimer 69940 blt 0,0,640,480,0, 5184,640,480:waittimer 69967 blt 0,0,640,480,0, 5186,640,480:waittimer 69994 blt 0,0,640,480,0, 5188,640,480:waittimer 70021 blt 0,0,640,480,0, 5190,640,480:waittimer 70048 blt 0,0,640,480,0, 5192,640,480:waittimer 70075 blt 0,0,640,480,0, 5194,640,480:waittimer 70102 blt 0,0,640,480,0, 5196,640,480:waittimer 70129 blt 0,0,640,480,0, 5198,640,480:waittimer 70156 blt 0,0,640,480,0, 5200,640,480:waittimer 70183 blt 0,0,640,480,0, 5202,640,480:waittimer 70210 blt 0,0,640,480,0, 5204,640,480:waittimer 70237 blt 0,0,640,480,0, 5206,640,480:waittimer 70264 blt 0,0,640,480,0, 5208,640,480:waittimer 70291 blt 0,0,640,480,0, 5210,640,480:waittimer 70318 blt 0,0,640,480,0, 5212,640,480:waittimer 70345 blt 0,0,640,480,0, 5214,640,480:waittimer 70372 blt 0,0,640,480,0, 5216,640,480:waittimer 70399 blt 0,0,640,480,0, 5218,640,480:waittimer 70426 blt 0,0,640,480,0, 5220,640,480:waittimer 70453 blt 0,0,640,480,0, 5222,640,480:waittimer 70480 blt 0,0,640,480,0, 5224,640,480:waittimer 70507 blt 0,0,640,480,0, 5226,640,480:waittimer 70534 blt 0,0,640,480,0, 5228,640,480:waittimer 70561 blt 0,0,640,480,0, 5230,640,480:waittimer 70588 blt 0,0,640,480,0, 5232,640,480:waittimer 70615 blt 0,0,640,480,0, 5234,640,480:waittimer 70642 blt 0,0,640,480,0, 5236,640,480:waittimer 70669 blt 0,0,640,480,0, 5238,640,480:waittimer 70696 blt 0,0,640,480,0, 5240,640,480:waittimer 70723 blt 0,0,640,480,0, 5242,640,480:waittimer 70750 blt 0,0,640,480,0, 5244,640,480:waittimer 70777 blt 0,0,640,480,0, 5246,640,480:waittimer 70804 blt 0,0,640,480,0, 5248,640,480:waittimer 70831 blt 0,0,640,480,0, 5250,640,480:waittimer 70858 blt 0,0,640,480,0, 5252,640,480:waittimer 70885 blt 0,0,640,480,0, 5254,640,480:waittimer 70912 blt 0,0,640,480,0, 5256,640,480:waittimer 70939 blt 0,0,640,480,0, 5258,640,480:waittimer 70966 blt 0,0,640,480,0, 5260,640,480:waittimer 70993 blt 0,0,640,480,0, 5262,640,480:waittimer 71020 blt 0,0,640,480,0, 5264,640,480:waittimer 71047 blt 0,0,640,480,0, 5266,640,480:waittimer 71074 blt 0,0,640,480,0, 5268,640,480:waittimer 71101 blt 0,0,640,480,0, 5270,640,480:waittimer 71128 blt 0,0,640,480,0, 5272,640,480:waittimer 71155 blt 0,0,640,480,0, 5274,640,480:waittimer 71182 blt 0,0,640,480,0, 5276,640,480:waittimer 71209 blt 0,0,640,480,0, 5278,640,480:waittimer 71236 blt 0,0,640,480,0, 5280,640,480:waittimer 71263 blt 0,0,640,480,0, 5282,640,480:waittimer 71290 blt 0,0,640,480,0, 5284,640,480:waittimer 71317 blt 0,0,640,480,0, 5286,640,480:waittimer 71344 blt 0,0,640,480,0, 5288,640,480:waittimer 71371 blt 0,0,640,480,0, 5290,640,480:waittimer 71398 blt 0,0,640,480,0, 5292,640,480:waittimer 71425 blt 0,0,640,480,0, 5294,640,480:waittimer 71452 blt 0,0,640,480,0, 5296,640,480:waittimer 71479 blt 0,0,640,480,0, 5298,640,480:waittimer 71506 blt 0,0,640,480,0, 5300,640,480:waittimer 71533 blt 0,0,640,480,0, 5302,640,480:waittimer 71560 blt 0,0,640,480,0, 5304,640,480:waittimer 71587 blt 0,0,640,480,0, 5306,640,480:waittimer 71614 blt 0,0,640,480,0, 5308,640,480:waittimer 71641 blt 0,0,640,480,0, 5310,640,480:waittimer 71668 blt 0,0,640,480,0, 5312,640,480:waittimer 71695 blt 0,0,640,480,0, 5314,640,480:waittimer 71722 blt 0,0,640,480,0, 5316,640,480:waittimer 71748 blt 0,0,640,480,0, 5318,640,480:waittimer 71775 blt 0,0,640,480,0, 5320,640,480:waittimer 71802 blt 0,0,640,480,0, 5322,640,480:waittimer 71829 blt 0,0,640,480,0, 5324,640,480:waittimer 71856 blt 0,0,640,480,0, 5326,640,480:waittimer 71883 blt 0,0,640,480,0, 5328,640,480:waittimer 71910 blt 0,0,640,480,0, 5330,640,480:waittimer 71937 blt 0,0,640,480,0, 5332,640,480:waittimer 71964 blt 0,0,640,480,0, 5334,640,480:waittimer 71991 blt 0,0,640,480,0, 5336,640,480:waittimer 72018 blt 0,0,640,480,0, 5338,640,480:waittimer 72045 blt 0,0,640,480,0, 5340,640,480:waittimer 72072 blt 0,0,640,480,0, 5342,640,480:waittimer 72099 blt 0,0,640,480,0, 5344,640,480:waittimer 72126 blt 0,0,640,480,0, 5346,640,480:waittimer 72153 blt 0,0,640,480,0, 5348,640,480:waittimer 72180 blt 0,0,640,480,0, 5350,640,480:waittimer 72207 blt 0,0,640,480,0, 5352,640,480:waittimer 72234 blt 0,0,640,480,0, 5354,640,480:waittimer 72261 blt 0,0,640,480,0, 5356,640,480:waittimer 72288 blt 0,0,640,480,0, 5358,640,480:waittimer 72315 blt 0,0,640,480,0, 5360,640,480:waittimer 72342 blt 0,0,640,480,0, 5362,640,480:waittimer 72369 blt 0,0,640,480,0, 5364,640,480:waittimer 72396 blt 0,0,640,480,0, 5366,640,480:waittimer 72423 blt 0,0,640,480,0, 5368,640,480:waittimer 72450 blt 0,0,640,480,0, 5370,640,480:waittimer 72477 blt 0,0,640,480,0, 5372,640,480:waittimer 72504 blt 0,0,640,480,0, 5374,640,480:waittimer 72531 blt 0,0,640,480,0, 5376,640,480:waittimer 72558 blt 0,0,640,480,0, 5378,640,480:waittimer 72585 blt 0,0,640,480,0, 5380,640,480:waittimer 72612 blt 0,0,640,480,0, 5382,640,480:waittimer 72639 blt 0,0,640,480,0, 5384,640,480:waittimer 72666 blt 0,0,640,480,0, 5386,640,480:waittimer 72693 blt 0,0,640,480,0, 5388,640,480:waittimer 72720 blt 0,0,640,480,0, 5390,640,480:waittimer 72747 blt 0,0,640,480,0, 5392,640,480:waittimer 72774 blt 0,0,640,480,0, 5394,640,480:waittimer 72801 blt 0,0,640,480,0, 5396,640,480:waittimer 72828 blt 0,0,640,480,0, 5398,640,480:waittimer 72855 blt 0,0,640,480,0, 5400,640,480:waittimer 72882 blt 0,0,640,480,0, 5402,640,480:waittimer 72909 blt 0,0,640,480,0, 5404,640,480:waittimer 72936 blt 0,0,640,480,0, 5406,640,480:waittimer 72963 blt 0,0,640,480,0, 5408,640,480:waittimer 72990 blt 0,0,640,480,0, 5410,640,480:waittimer 73017 blt 0,0,640,480,0, 5412,640,480:waittimer 73044 blt 0,0,640,480,0, 5414,640,480:waittimer 73071 blt 0,0,640,480,0, 5416,640,480:waittimer 73098 blt 0,0,640,480,0, 5418,640,480:waittimer 73125 blt 0,0,640,480,0, 5420,640,480:waittimer 73152 blt 0,0,640,480,0, 5422,640,480:waittimer 73179 blt 0,0,640,480,0, 5424,640,480:waittimer 73206 blt 0,0,640,480,0, 5426,640,480:waittimer 73233 blt 0,0,640,480,0, 5428,640,480:waittimer 73260 blt 0,0,640,480,0, 5430,640,480:waittimer 73287 blt 0,0,640,480,0, 5432,640,480:waittimer 73314 blt 0,0,640,480,0, 5434,640,480:waittimer 73341 blt 0,0,640,480,0, 5436,640,480:waittimer 73368 blt 0,0,640,480,0, 5438,640,480:waittimer 73395 blt 0,0,640,480,0, 5440,640,480:waittimer 73422 blt 0,0,640,480,0, 5442,640,480:waittimer 73449 blt 0,0,640,480,0, 5444,640,480:waittimer 73476 blt 0,0,640,480,0, 5446,640,480:waittimer 73503 blt 0,0,640,480,0, 5448,640,480:waittimer 73530 blt 0,0,640,480,0, 5450,640,480:waittimer 73557 blt 0,0,640,480,0, 5452,640,480:waittimer 73584 blt 0,0,640,480,0, 5454,640,480:waittimer 73611 blt 0,0,640,480,0, 5456,640,480:waittimer 73638 blt 0,0,640,480,0, 5458,640,480:waittimer 73665 blt 0,0,640,480,0, 5460,640,480:waittimer 73692 blt 0,0,640,480,0, 5462,640,480:waittimer 73719 blt 0,0,640,480,0, 5464,640,480:waittimer 73746 blt 0,0,640,480,0, 5466,640,480:waittimer 73773 blt 0,0,640,480,0, 5468,640,480:waittimer 73800 blt 0,0,640,480,0, 5470,640,480:waittimer 73827 blt 0,0,640,480,0, 5472,640,480:waittimer 73854 blt 0,0,640,480,0, 5474,640,480:waittimer 73881 blt 0,0,640,480,0, 5476,640,480:waittimer 73908 blt 0,0,640,480,0, 5478,640,480:waittimer 73935 blt 0,0,640,480,0, 5480,640,480:waittimer 73962 blt 0,0,640,480,0, 5482,640,480:waittimer 73989 blt 0,0,640,480,0, 5484,640,480:waittimer 74016 blt 0,0,640,480,0, 5486,640,480:waittimer 74043 blt 0,0,640,480,0, 5488,640,480:waittimer 74070 blt 0,0,640,480,0, 5490,640,480:waittimer 74097 blt 0,0,640,480,0, 5492,640,480:waittimer 74124 blt 0,0,640,480,0, 5494,640,480:waittimer 74151 blt 0,0,640,480,0, 5496,640,480:waittimer 74178 blt 0,0,640,480,0, 5498,640,480:waittimer 74205 blt 0,0,640,480,0, 5500,640,480:waittimer 74232 blt 0,0,640,480,0, 5502,640,480:waittimer 74259 blt 0,0,640,480,0, 5504,640,480:waittimer 74286 blt 0,0,640,480,0, 5506,640,480:waittimer 74313 blt 0,0,640,480,0, 5508,640,480:waittimer 74340 blt 0,0,640,480,0, 5510,640,480:waittimer 74367 blt 0,0,640,480,0, 5512,640,480:waittimer 74394 blt 0,0,640,480,0, 5514,640,480:waittimer 74421 blt 0,0,640,480,0, 5516,640,480:waittimer 74448 blt 0,0,640,480,0, 5518,640,480:waittimer 74475 blt 0,0,640,480,0, 5520,640,480:waittimer 74502 blt 0,0,640,480,0, 5522,640,480:waittimer 74529 blt 0,0,640,480,0, 5524,640,480:waittimer 74556 blt 0,0,640,480,0, 5526,640,480:waittimer 74583 blt 0,0,640,480,0, 5528,640,480:waittimer 74610 blt 0,0,640,480,0, 5530,640,480:waittimer 74637 blt 0,0,640,480,0, 5532,640,480:waittimer 74664 blt 0,0,640,480,0, 5534,640,480:waittimer 74691 blt 0,0,640,480,0, 5536,640,480:waittimer 74718 blt 0,0,640,480,0, 5538,640,480:waittimer 74745 blt 0,0,640,480,0, 5540,640,480:waittimer 74772 blt 0,0,640,480,0, 5542,640,480:waittimer 74799 blt 0,0,640,480,0, 5544,640,480:waittimer 74826 blt 0,0,640,480,0, 5546,640,480:waittimer 74853 blt 0,0,640,480,0, 5548,640,480:waittimer 74880 blt 0,0,640,480,0, 5550,640,480:waittimer 74907 blt 0,0,640,480,0, 5552,640,480:waittimer 74934 blt 0,0,640,480,0, 5554,640,480:waittimer 74961 blt 0,0,640,480,0, 5556,640,480:waittimer 74988 blt 0,0,640,480,0, 5558,640,480:waittimer 75015 blt 0,0,640,480,0, 5560,640,480:waittimer 75042 blt 0,0,640,480,0, 5562,640,480:waittimer 75069 blt 0,0,640,480,0, 5564,640,480:waittimer 75096 blt 0,0,640,480,0, 5566,640,480:waittimer 75123 blt 0,0,640,480,0, 5568,640,480:waittimer 75150 blt 0,0,640,480,0, 5570,640,480:waittimer 75177 blt 0,0,640,480,0, 5572,640,480:waittimer 75204 blt 0,0,640,480,0, 5574,640,480:waittimer 75231 blt 0,0,640,480,0, 5576,640,480:waittimer 75258 blt 0,0,640,480,0, 5578,640,480:waittimer 75285 blt 0,0,640,480,0, 5580,640,480:waittimer 75312 blt 0,0,640,480,0, 5582,640,480:waittimer 75339 blt 0,0,640,480,0, 5584,640,480:waittimer 75366 blt 0,0,640,480,0, 5586,640,480:waittimer 75393 blt 0,0,640,480,0, 5588,640,480:waittimer 75420 blt 0,0,640,480,0, 5590,640,480:waittimer 75447 blt 0,0,640,480,0, 5592,640,480:waittimer 75474 blt 0,0,640,480,0, 5594,640,480:waittimer 75501 blt 0,0,640,480,0, 5596,640,480:waittimer 75528 blt 0,0,640,480,0, 5598,640,480:waittimer 75555 blt 0,0,640,480,0, 5600,640,480:waittimer 75582 blt 0,0,640,480,0, 5602,640,480:waittimer 75609 blt 0,0,640,480,0, 5604,640,480:waittimer 75636 blt 0,0,640,480,0, 5606,640,480:waittimer 75663 blt 0,0,640,480,0, 5608,640,480:waittimer 75690 blt 0,0,640,480,0, 5610,640,480:waittimer 75717 blt 0,0,640,480,0, 5612,640,480:waittimer 75744 blt 0,0,640,480,0, 5614,640,480:waittimer 75771 blt 0,0,640,480,0, 5616,640,480:waittimer 75798 blt 0,0,640,480,0, 5618,640,480:waittimer 75825 blt 0,0,640,480,0, 5620,640,480:waittimer 75852 blt 0,0,640,480,0, 5622,640,480:waittimer 75879 blt 0,0,640,480,0, 5624,640,480:waittimer 75906 blt 0,0,640,480,0, 5626,640,480:waittimer 75933 blt 0,0,640,480,0, 5628,640,480:waittimer 75959 blt 0,0,640,480,0, 5630,640,480:waittimer 75986 blt 0,0,640,480,0, 5632,640,480:waittimer 76013 blt 0,0,640,480,0, 5634,640,480:waittimer 76040 blt 0,0,640,480,0, 5636,640,480:waittimer 76067 blt 0,0,640,480,0, 5638,640,480:waittimer 76094 blt 0,0,640,480,0, 5640,640,480:waittimer 76121 blt 0,0,640,480,0, 5642,640,480:waittimer 76148 blt 0,0,640,480,0, 5644,640,480:waittimer 76175 blt 0,0,640,480,0, 5646,640,480:waittimer 76202 blt 0,0,640,480,0, 5648,640,480:waittimer 76229 blt 0,0,640,480,0, 5650,640,480:waittimer 76256 blt 0,0,640,480,0, 5652,640,480:waittimer 76283 blt 0,0,640,480,0, 5654,640,480:waittimer 76310 blt 0,0,640,480,0, 5656,640,480:waittimer 76337 blt 0,0,640,480,0, 5658,640,480:waittimer 76364 blt 0,0,640,480,0, 5660,640,480:waittimer 76391 blt 0,0,640,480,0, 5662,640,480:waittimer 76418 blt 0,0,640,480,0, 5664,640,480:waittimer 76445 blt 0,0,640,480,0, 5666,640,480:waittimer 76472 blt 0,0,640,480,0, 5668,640,480:waittimer 76499 blt 0,0,640,480,0, 5670,640,480:waittimer 76526 blt 0,0,640,480,0, 5672,640,480:waittimer 76553 blt 0,0,640,480,0, 5674,640,480:waittimer 76580 blt 0,0,640,480,0, 5676,640,480:waittimer 76607 blt 0,0,640,480,0, 5678,640,480:waittimer 76634 blt 0,0,640,480,0, 5680,640,480:waittimer 76661 blt 0,0,640,480,0, 5682,640,480:waittimer 76688 blt 0,0,640,480,0, 5684,640,480:waittimer 76715 blt 0,0,640,480,0, 5686,640,480:waittimer 76742 blt 0,0,640,480,0, 5688,640,480:waittimer 76769 blt 0,0,640,480,0, 5690,640,480:waittimer 76796 blt 0,0,640,480,0, 5692,640,480:waittimer 76823 blt 0,0,640,480,0, 5694,640,480:waittimer 76850 blt 0,0,640,480,0, 5696,640,480:waittimer 76877 blt 0,0,640,480,0, 5698,640,480:waittimer 76904 blt 0,0,640,480,0, 5700,640,480:waittimer 76931 blt 0,0,640,480,0, 5702,640,480:waittimer 76958 blt 0,0,640,480,0, 5704,640,480:waittimer 76985 blt 0,0,640,480,0, 5706,640,480:waittimer 77012 blt 0,0,640,480,0, 5708,640,480:waittimer 77039 blt 0,0,640,480,0, 5710,640,480:waittimer 77066 blt 0,0,640,480,0, 5712,640,480:waittimer 77093 blt 0,0,640,480,0, 5714,640,480:waittimer 77120 blt 0,0,640,480,0, 5716,640,480:waittimer 77147 blt 0,0,640,480,0, 5718,640,480:waittimer 77174 blt 0,0,640,480,0, 5720,640,480:waittimer 77201 blt 0,0,640,480,0, 5722,640,480:waittimer 77228 blt 0,0,640,480,0, 5724,640,480:waittimer 77255 blt 0,0,640,480,0, 5726,640,480:waittimer 77282 blt 0,0,640,480,0, 5728,640,480:waittimer 77309 blt 0,0,640,480,0, 5730,640,480:waittimer 77336 blt 0,0,640,480,0, 5732,640,480:waittimer 77363 blt 0,0,640,480,0, 5734,640,480:waittimer 77390 blt 0,0,640,480,0, 5736,640,480:waittimer 77417 blt 0,0,640,480,0, 5738,640,480:waittimer 77444 blt 0,0,640,480,0, 5740,640,480:waittimer 77471 blt 0,0,640,480,0, 5742,640,480:waittimer 77498 blt 0,0,640,480,0, 5744,640,480:waittimer 77525 blt 0,0,640,480,0, 5746,640,480:waittimer 77552 blt 0,0,640,480,0, 5748,640,480:waittimer 77579 blt 0,0,640,480,0, 5750,640,480:waittimer 77606 blt 0,0,640,480,0, 5752,640,480:waittimer 77633 blt 0,0,640,480,0, 5754,640,480:waittimer 77660 blt 0,0,640,480,0, 5756,640,480:waittimer 77687 blt 0,0,640,480,0, 5758,640,480:waittimer 77714 blt 0,0,640,480,0, 5760,640,480:waittimer 77741 blt 0,0,640,480,0, 5762,640,480:waittimer 77768 blt 0,0,640,480,0, 5764,640,480:waittimer 77795 blt 0,0,640,480,0, 5766,640,480:waittimer 77822 blt 0,0,640,480,0, 5768,640,480:waittimer 77849 blt 0,0,640,480,0, 5770,640,480:waittimer 77876 blt 0,0,640,480,0, 5772,640,480:waittimer 77903 blt 0,0,640,480,0, 5774,640,480:waittimer 77930 blt 0,0,640,480,0, 5776,640,480:waittimer 77957 blt 0,0,640,480,0, 5778,640,480:waittimer 77984 blt 0,0,640,480,0, 5780,640,480:waittimer 78011 blt 0,0,640,480,0, 5782,640,480:waittimer 78038 blt 0,0,640,480,0, 5784,640,480:waittimer 78065 blt 0,0,640,480,0, 5786,640,480:waittimer 78092 blt 0,0,640,480,0, 5788,640,480:waittimer 78119 blt 0,0,640,480,0, 5790,640,480:waittimer 78146 blt 0,0,640,480,0, 5792,640,480:waittimer 78173 blt 0,0,640,480,0, 5794,640,480:waittimer 78200 blt 0,0,640,480,0, 5796,640,480:waittimer 78227 blt 0,0,640,480,0, 5798,640,480:waittimer 78254 blt 0,0,640,480,0, 5800,640,480:waittimer 78281 blt 0,0,640,480,0, 5802,640,480:waittimer 78308 blt 0,0,640,480,0, 5804,640,480:waittimer 78335 blt 0,0,640,480,0, 5806,640,480:waittimer 78362 blt 0,0,640,480,0, 5808,640,480:waittimer 78389 blt 0,0,640,480,0, 5810,640,480:waittimer 78416 blt 0,0,640,480,0, 5812,640,480:waittimer 78443 blt 0,0,640,480,0, 5814,640,480:waittimer 78470 blt 0,0,640,480,0, 5816,640,480:waittimer 78497 blt 0,0,640,480,0, 5818,640,480:waittimer 78524 blt 0,0,640,480,0, 5820,640,480:waittimer 78551 blt 0,0,640,480,0, 5822,640,480:waittimer 78578 blt 0,0,640,480,0, 5824,640,480:waittimer 78605 blt 0,0,640,480,0, 5826,640,480:waittimer 78632 blt 0,0,640,480,0, 5828,640,480:waittimer 78659 blt 0,0,640,480,0, 5830,640,480:waittimer 78686 blt 0,0,640,480,0, 5832,640,480:waittimer 78713 blt 0,0,640,480,0, 5834,640,480:waittimer 78740 blt 0,0,640,480,0, 5836,640,480:waittimer 78767 blt 0,0,640,480,0, 5838,640,480:waittimer 78794 blt 0,0,640,480,0, 5840,640,480:waittimer 78821 blt 0,0,640,480,0, 5842,640,480:waittimer 78848 blt 0,0,640,480,0, 5844,640,480:waittimer 78875 blt 0,0,640,480,0, 5846,640,480:waittimer 78902 blt 0,0,640,480,0, 5848,640,480:waittimer 78929 blt 0,0,640,480,0, 5850,640,480:waittimer 78956 blt 0,0,640,480,0, 5852,640,480:waittimer 78983 blt 0,0,640,480,0, 5854,640,480:waittimer 79010 blt 0,0,640,480,0, 5856,640,480:waittimer 79037 blt 0,0,640,480,0, 5858,640,480:waittimer 79064 blt 0,0,640,480,0, 5860,640,480:waittimer 79091 blt 0,0,640,480,0, 5862,640,480:waittimer 79118 blt 0,0,640,480,0, 5864,640,480:waittimer 79145 blt 0,0,640,480,0, 5866,640,480:waittimer 79172 blt 0,0,640,480,0, 5868,640,480:waittimer 79199 blt 0,0,640,480,0, 5870,640,480:waittimer 79226 blt 0,0,640,480,0, 5872,640,480:waittimer 79253 blt 0,0,640,480,0, 5874,640,480:waittimer 79280 blt 0,0,640,480,0, 5876,640,480:waittimer 79307 blt 0,0,640,480,0, 5878,640,480:waittimer 79334 blt 0,0,640,480,0, 5880,640,480:waittimer 79361 blt 0,0,640,480,0, 5882,640,480:waittimer 79388 blt 0,0,640,480,0, 5884,640,480:waittimer 79415 blt 0,0,640,480,0, 5886,640,480:waittimer 79442 blt 0,0,640,480,0, 5888,640,480:waittimer 79469 blt 0,0,640,480,0, 5890,640,480:waittimer 79496 blt 0,0,640,480,0, 5892,640,480:waittimer 79523 blt 0,0,640,480,0, 5894,640,480:waittimer 79550 blt 0,0,640,480,0, 5896,640,480:waittimer 79577 blt 0,0,640,480,0, 5898,640,480:waittimer 79604 blt 0,0,640,480,0, 5900,640,480:waittimer 79631 blt 0,0,640,480,0, 5902,640,480:waittimer 79658 blt 0,0,640,480,0, 5904,640,480:waittimer 79685 blt 0,0,640,480,0, 5906,640,480:waittimer 79712 blt 0,0,640,480,0, 5908,640,480:waittimer 79739 blt 0,0,640,480,0, 5910,640,480:waittimer 79766 blt 0,0,640,480,0, 5912,640,480:waittimer 79793 blt 0,0,640,480,0, 5914,640,480:waittimer 79820 blt 0,0,640,480,0, 5916,640,480:waittimer 79847 blt 0,0,640,480,0, 5918,640,480:waittimer 79874 blt 0,0,640,480,0, 5920,640,480:waittimer 79901 blt 0,0,640,480,0, 5922,640,480:waittimer 79928 blt 0,0,640,480,0, 5924,640,480:waittimer 79955 blt 0,0,640,480,0, 5926,640,480:waittimer 79982 blt 0,0,640,480,0, 5928,640,480:waittimer 80009 blt 0,0,640,480,0, 5930,640,480:waittimer 80036 blt 0,0,640,480,0, 5932,640,480:waittimer 80063 blt 0,0,640,480,0, 5934,640,480:waittimer 80090 blt 0,0,640,480,0, 5936,640,480:waittimer 80117 blt 0,0,640,480,0, 5938,640,480:waittimer 80144 blt 0,0,640,480,0, 5940,640,480:waittimer 80171 blt 0,0,640,480,0, 5942,640,480:waittimer 80197 blt 0,0,640,480,0, 5944,640,480:waittimer 80224 blt 0,0,640,480,0, 5946,640,480:waittimer 80251 blt 0,0,640,480,0, 5948,640,480:waittimer 80278 blt 0,0,640,480,0, 5950,640,480:waittimer 80305 blt 0,0,640,480,0, 5952,640,480:waittimer 80332 blt 0,0,640,480,0, 5954,640,480:waittimer 80359 blt 0,0,640,480,0, 5956,640,480:waittimer 80386 blt 0,0,640,480,0, 5958,640,480:waittimer 80413 blt 0,0,640,480,0, 5960,640,480:waittimer 80440 blt 0,0,640,480,0, 5962,640,480:waittimer 80467 blt 0,0,640,480,0, 5964,640,480:waittimer 80494 blt 0,0,640,480,0, 5966,640,480:waittimer 80521 blt 0,0,640,480,0, 5968,640,480:waittimer 80548 blt 0,0,640,480,0, 5970,640,480:waittimer 80575 blt 0,0,640,480,0, 5972,640,480:waittimer 80602 blt 0,0,640,480,0, 5974,640,480:waittimer 80629 blt 0,0,640,480,0, 5976,640,480:waittimer 80656 blt 0,0,640,480,0, 5978,640,480:waittimer 80683 blt 0,0,640,480,0, 5980,640,480:waittimer 80710 blt 0,0,640,480,0, 5982,640,480:waittimer 80737 blt 0,0,640,480,0, 5984,640,480:waittimer 80764 blt 0,0,640,480,0, 5986,640,480:waittimer 80791 blt 0,0,640,480,0, 5988,640,480:waittimer 80818 blt 0,0,640,480,0, 5990,640,480:waittimer 80845 blt 0,0,640,480,0, 5992,640,480:waittimer 80872 blt 0,0,640,480,0, 5994,640,480:waittimer 80899 blt 0,0,640,480,0, 5996,640,480:waittimer 80926 blt 0,0,640,480,0, 5998,640,480:waittimer 80953 blt 0,0,640,480,0, 6000,640,480:waittimer 80980 blt 0,0,640,480,0, 6002,640,480:waittimer 81007 blt 0,0,640,480,0, 6004,640,480:waittimer 81034 blt 0,0,640,480,0, 6006,640,480:waittimer 81061 blt 0,0,640,480,0, 6008,640,480:waittimer 81088 blt 0,0,640,480,0, 6010,640,480:waittimer 81115 blt 0,0,640,480,0, 6012,640,480:waittimer 81142 blt 0,0,640,480,0, 6014,640,480:waittimer 81169 blt 0,0,640,480,0, 6016,640,480:waittimer 81196 blt 0,0,640,480,0, 6018,640,480:waittimer 81223 blt 0,0,640,480,0, 6020,640,480:waittimer 81250 blt 0,0,640,480,0, 6022,640,480:waittimer 81277 blt 0,0,640,480,0, 6024,640,480:waittimer 81304 blt 0,0,640,480,0, 6026,640,480:waittimer 81331 blt 0,0,640,480,0, 6028,640,480:waittimer 81358 blt 0,0,640,480,0, 6030,640,480:waittimer 81385 blt 0,0,640,480,0, 6032,640,480:waittimer 81412 blt 0,0,640,480,0, 6034,640,480:waittimer 81439 blt 0,0,640,480,0, 6036,640,480:waittimer 81466 blt 0,0,640,480,0, 6038,640,480:waittimer 81493 blt 0,0,640,480,0, 6040,640,480:waittimer 81520 blt 0,0,640,480,0, 6042,640,480:waittimer 81547 blt 0,0,640,480,0, 6044,640,480:waittimer 81574 blt 0,0,640,480,0, 6046,640,480:waittimer 81601 blt 0,0,640,480,0, 6048,640,480:waittimer 81628 blt 0,0,640,480,0, 6050,640,480:waittimer 81655 blt 0,0,640,480,0, 6052,640,480:waittimer 81682 blt 0,0,640,480,0, 6054,640,480:waittimer 81709 blt 0,0,640,480,0, 6056,640,480:waittimer 81736 blt 0,0,640,480,0, 6058,640,480:waittimer 81763 blt 0,0,640,480,0, 6060,640,480:waittimer 81790 blt 0,0,640,480,0, 6062,640,480:waittimer 81817 blt 0,0,640,480,0, 6064,640,480:waittimer 81844 blt 0,0,640,480,0, 6066,640,480:waittimer 81871 blt 0,0,640,480,0, 6068,640,480:waittimer 81898 blt 0,0,640,480,0, 6070,640,480:waittimer 81925 blt 0,0,640,480,0, 6072,640,480:waittimer 81952 blt 0,0,640,480,0, 6074,640,480:waittimer 81979 blt 0,0,640,480,0, 6076,640,480:waittimer 82006 blt 0,0,640,480,0, 6078,640,480:waittimer 82033 blt 0,0,640,480,0, 6080,640,480:waittimer 82060 blt 0,0,640,480,0, 6082,640,480:waittimer 82087 blt 0,0,640,480,0, 6084,640,480:waittimer 82114 blt 0,0,640,480,0, 6086,640,480:waittimer 82141 blt 0,0,640,480,0, 6088,640,480:waittimer 82168 blt 0,0,640,480,0, 6090,640,480:waittimer 82195 blt 0,0,640,480,0, 6092,640,480:waittimer 82222 blt 0,0,640,480,0, 6094,640,480:waittimer 82249 blt 0,0,640,480,0, 6096,640,480:waittimer 82276 blt 0,0,640,480,0, 6098,640,480:waittimer 82303 blt 0,0,640,480,0, 6100,640,480:waittimer 82330 blt 0,0,640,480,0, 6102,640,480:waittimer 82357 blt 0,0,640,480,0, 6104,640,480:waittimer 82384 blt 0,0,640,480,0, 6106,640,480:waittimer 82411 blt 0,0,640,480,0, 6108,640,480:waittimer 82438 blt 0,0,640,480,0, 6110,640,480:waittimer 82465 blt 0,0,640,480,0, 6112,640,480:waittimer 82492 blt 0,0,640,480,0, 6114,640,480:waittimer 82519 blt 0,0,640,480,0, 6116,640,480:waittimer 82546 blt 0,0,640,480,0, 6118,640,480:waittimer 82573 blt 0,0,640,480,0, 6120,640,480:waittimer 82600 blt 0,0,640,480,0, 6122,640,480:waittimer 82627 blt 0,0,640,480,0, 6124,640,480:waittimer 82654 blt 0,0,640,480,0, 6126,640,480:waittimer 82681 blt 0,0,640,480,0, 6128,640,480:waittimer 82708 blt 0,0,640,480,0, 6130,640,480:waittimer 82735 blt 0,0,640,480,0, 6132,640,480:waittimer 82762 blt 0,0,640,480,0, 6134,640,480:waittimer 82789 blt 0,0,640,480,0, 6136,640,480:waittimer 82816 blt 0,0,640,480,0, 6138,640,480:waittimer 82843 blt 0,0,640,480,0, 6140,640,480:waittimer 82870 blt 0,0,640,480,0, 6142,640,480:waittimer 82897 blt 0,0,640,480,0, 6144,640,480:waittimer 82924 blt 0,0,640,480,0, 6146,640,480:waittimer 82951 blt 0,0,640,480,0, 6148,640,480:waittimer 82978 blt 0,0,640,480,0, 6150,640,480:waittimer 83005 blt 0,0,640,480,0, 6152,640,480:waittimer 83032 blt 0,0,640,480,0, 6154,640,480:waittimer 83059 blt 0,0,640,480,0, 6156,640,480:waittimer 83086 blt 0,0,640,480,0, 6158,640,480:waittimer 83113 blt 0,0,640,480,0, 6160,640,480:waittimer 83140 blt 0,0,640,480,0, 6162,640,480:waittimer 83167 blt 0,0,640,480,0, 6164,640,480:waittimer 83194 blt 0,0,640,480,0, 6166,640,480:waittimer 83221 blt 0,0,640,480,0, 6168,640,480:waittimer 83248 blt 0,0,640,480,0, 6170,640,480:waittimer 83275 blt 0,0,640,480,0, 6172,640,480:waittimer 83302 blt 0,0,640,480,0, 6174,640,480:waittimer 83329 blt 0,0,640,480,0, 6176,640,480:waittimer 83356 blt 0,0,640,480,0, 6178,640,480:waittimer 83383 blt 0,0,640,480,0, 6180,640,480:waittimer 83410 blt 0,0,640,480,0, 6182,640,480:waittimer 83437 blt 0,0,640,480,0, 6184,640,480:waittimer 83464 blt 0,0,640,480,0, 6186,640,480:waittimer 83491 blt 0,0,640,480,0, 6188,640,480:waittimer 83518 blt 0,0,640,480,0, 6190,640,480:waittimer 83545 blt 0,0,640,480,0, 6192,640,480:waittimer 83572 blt 0,0,640,480,0, 6194,640,480:waittimer 83599 blt 0,0,640,480,0, 6196,640,480:waittimer 83626 blt 0,0,640,480,0, 6198,640,480:waittimer 83653 blt 0,0,640,480,0, 6200,640,480:waittimer 83680 blt 0,0,640,480,0, 6202,640,480:waittimer 83707 blt 0,0,640,480,0, 6204,640,480:waittimer 83734 blt 0,0,640,480,0, 6206,640,480:waittimer 83761 blt 0,0,640,480,0, 6208,640,480:waittimer 83788 blt 0,0,640,480,0, 6210,640,480:waittimer 83815 blt 0,0,640,480,0, 6212,640,480:waittimer 83842 blt 0,0,640,480,0, 6214,640,480:waittimer 83869 blt 0,0,640,480,0, 6216,640,480:waittimer 83896 blt 0,0,640,480,0, 6218,640,480:waittimer 83923 blt 0,0,640,480,0, 6220,640,480:waittimer 83950 blt 0,0,640,480,0, 6222,640,480:waittimer 83977 blt 0,0,640,480,0, 6224,640,480:waittimer 84004 blt 0,0,640,480,0, 6226,640,480:waittimer 84031 blt 0,0,640,480,0, 6228,640,480:waittimer 84058 blt 0,0,640,480,0, 6230,640,480:waittimer 84085 blt 0,0,640,480,0, 6232,640,480:waittimer 84112 blt 0,0,640,480,0, 6234,640,480:waittimer 84139 blt 0,0,640,480,0, 6236,640,480:waittimer 84166 blt 0,0,640,480,0, 6238,640,480:waittimer 84193 blt 0,0,640,480,0, 6240,640,480:waittimer 84220 blt 0,0,640,480,0, 6242,640,480:waittimer 84247 blt 0,0,640,480,0, 6244,640,480:waittimer 84274 blt 0,0,640,480,0, 6246,640,480:waittimer 84301 blt 0,0,640,480,0, 6248,640,480:waittimer 84328 blt 0,0,640,480,0, 6250,640,480:waittimer 84355 blt 0,0,640,480,0, 6252,640,480:waittimer 84382 blt 0,0,640,480,0, 6254,640,480:waittimer 84408 blt 0,0,640,480,0, 6256,640,480:waittimer 84435 blt 0,0,640,480,0, 6258,640,480:waittimer 84462 blt 0,0,640,480,0, 6260,640,480:waittimer 84489 blt 0,0,640,480,0, 6262,640,480:waittimer 84516 blt 0,0,640,480,0, 6264,640,480:waittimer 84543 blt 0,0,640,480,0, 6266,640,480:waittimer 84570 blt 0,0,640,480,0, 6268,640,480:waittimer 84597 blt 0,0,640,480,0, 6270,640,480:waittimer 84624 blt 0,0,640,480,0, 6272,640,480:waittimer 84651 blt 0,0,640,480,0, 6274,640,480:waittimer 84678 blt 0,0,640,480,0, 6276,640,480:waittimer 84705 blt 0,0,640,480,0, 6278,640,480:waittimer 84732 blt 0,0,640,480,0, 6280,640,480:waittimer 84759 blt 0,0,640,480,0, 6282,640,480:waittimer 84786 blt 0,0,640,480,0, 6284,640,480:waittimer 84813 blt 0,0,640,480,0, 6286,640,480:waittimer 84840 blt 0,0,640,480,0, 6288,640,480:waittimer 84867 blt 0,0,640,480,0, 6290,640,480:waittimer 84894 blt 0,0,640,480,0, 6292,640,480:waittimer 84921 blt 0,0,640,480,0, 6294,640,480:waittimer 84948 blt 0,0,640,480,0, 6296,640,480:waittimer 84975 blt 0,0,640,480,0, 6298,640,480:waittimer 85002 blt 0,0,640,480,0, 6300,640,480:waittimer 85029 blt 0,0,640,480,0, 6302,640,480:waittimer 85056 blt 0,0,640,480,0, 6304,640,480:waittimer 85083 blt 0,0,640,480,0, 6306,640,480:waittimer 85110 blt 0,0,640,480,0, 6308,640,480:waittimer 85137 blt 0,0,640,480,0, 6310,640,480:waittimer 85164 blt 0,0,640,480,0, 6312,640,480:waittimer 85191 blt 0,0,640,480,0, 6314,640,480:waittimer 85218 blt 0,0,640,480,0, 6316,640,480:waittimer 85245 blt 0,0,640,480,0, 6318,640,480:waittimer 85272 blt 0,0,640,480,0, 6320,640,480:waittimer 85299 blt 0,0,640,480,0, 6322,640,480:waittimer 85326 blt 0,0,640,480,0, 6324,640,480:waittimer 85353 blt 0,0,640,480,0, 6326,640,480:waittimer 85380 blt 0,0,640,480,0, 6328,640,480:waittimer 85407 blt 0,0,640,480,0, 6330,640,480:waittimer 85434 blt 0,0,640,480,0, 6332,640,480:waittimer 85461 blt 0,0,640,480,0, 6334,640,480:waittimer 85488 blt 0,0,640,480,0, 6336,640,480:waittimer 85515 blt 0,0,640,480,0, 6338,640,480:waittimer 85542 blt 0,0,640,480,0, 6340,640,480:waittimer 85569 blt 0,0,640,480,0, 6342,640,480:waittimer 85596 blt 0,0,640,480,0, 6344,640,480:waittimer 85623 blt 0,0,640,480,0, 6346,640,480:waittimer 85650 blt 0,0,640,480,0, 6348,640,480:waittimer 85677 blt 0,0,640,480,0, 6350,640,480:waittimer 85704 blt 0,0,640,480,0, 6352,640,480:waittimer 85731 blt 0,0,640,480,0, 6354,640,480:waittimer 85758 blt 0,0,640,480,0, 6356,640,480:waittimer 85785 blt 0,0,640,480,0, 6358,640,480:waittimer 85812 blt 0,0,640,480,0, 6360,640,480:waittimer 85839 blt 0,0,640,480,0, 6362,640,480:waittimer 85866 blt 0,0,640,480,0, 6364,640,480:waittimer 85893 blt 0,0,640,480,0, 6366,640,480:waittimer 85920 blt 0,0,640,480,0, 6368,640,480:waittimer 85947 blt 0,0,640,480,0, 6370,640,480:waittimer 85974 blt 0,0,640,480,0, 6372,640,480:waittimer 86001 blt 0,0,640,480,0, 6374,640,480:waittimer 86028 blt 0,0,640,480,0, 6376,640,480:waittimer 86055 blt 0,0,640,480,0, 6378,640,480:waittimer 86082 blt 0,0,640,480,0, 6380,640,480:waittimer 86109 blt 0,0,640,480,0, 6382,640,480:waittimer 86136 blt 0,0,640,480,0, 6384,640,480:waittimer 86163 blt 0,0,640,480,0, 6386,640,480:waittimer 86190 blt 0,0,640,480,0, 6388,640,480:waittimer 86217 blt 0,0,640,480,0, 6390,640,480:waittimer 86244 blt 0,0,640,480,0, 6392,640,480:waittimer 86271 blt 0,0,640,480,0, 6394,640,480:waittimer 86298 blt 0,0,640,480,0, 6396,640,480:waittimer 86325 blt 0,0,640,480,0, 6398,640,480:waittimer 86352 blt 0,0,640,480,0, 6400,640,480:waittimer 86379 blt 0,0,640,480,0, 6402,640,480:waittimer 86406 blt 0,0,640,480,0, 6404,640,480:waittimer 86433 blt 0,0,640,480,0, 6406,640,480:waittimer 86460 blt 0,0,640,480,0, 6408,640,480:waittimer 86487 blt 0,0,640,480,0, 6410,640,480:waittimer 86514 blt 0,0,640,480,0, 6412,640,480:waittimer 86541 blt 0,0,640,480,0, 6414,640,480:waittimer 86568 blt 0,0,640,480,0, 6416,640,480:waittimer 86595 blt 0,0,640,480,0, 6418,640,480:waittimer 86622 blt 0,0,640,480,0, 6420,640,480:waittimer 86649 blt 0,0,640,480,0, 6422,640,480:waittimer 86676 blt 0,0,640,480,0, 6424,640,480:waittimer 86703 blt 0,0,640,480,0, 6426,640,480:waittimer 86730 blt 0,0,640,480,0, 6428,640,480:waittimer 86757 blt 0,0,640,480,0, 6430,640,480:waittimer 86784 blt 0,0,640,480,0, 6432,640,480:waittimer 86811 blt 0,0,640,480,0, 6434,640,480:waittimer 86838 blt 0,0,640,480,0, 6436,640,480:waittimer 86865 blt 0,0,640,480,0, 6438,640,480:waittimer 86892 blt 0,0,640,480,0, 6440,640,480:waittimer 86919 blt 0,0,640,480,0, 6442,640,480:waittimer 86946 blt 0,0,640,480,0, 6444,640,480:waittimer 86973 blt 0,0,640,480,0, 6446,640,480:waittimer 87000 blt 0,0,640,480,0, 6448,640,480:waittimer 87027 blt 0,0,640,480,0, 6450,640,480:waittimer 87054 blt 0,0,640,480,0, 6452,640,480:waittimer 87081 blt 0,0,640,480,0, 6454,640,480:waittimer 87108 blt 0,0,640,480,0, 6456,640,480:waittimer 87135 blt 0,0,640,480,0, 6458,640,480:waittimer 87162 blt 0,0,640,480,0, 6460,640,480:waittimer 87189 blt 0,0,640,480,0, 6462,640,480:waittimer 87216 blt 0,0,640,480,0, 6464,640,480:waittimer 87243 blt 0,0,640,480,0, 6466,640,480:waittimer 87270 blt 0,0,640,480,0, 6468,640,480:waittimer 87297 blt 0,0,640,480,0, 6470,640,480:waittimer 87324 blt 0,0,640,480,0, 6472,640,480:waittimer 87351 blt 0,0,640,480,0, 6474,640,480:waittimer 87378 blt 0,0,640,480,0, 6476,640,480:waittimer 87405 blt 0,0,640,480,0, 6478,640,480:waittimer 87432 blt 0,0,640,480,0, 6480,640,480:waittimer 87459 blt 0,0,640,480,0, 6482,640,480:waittimer 87486 blt 0,0,640,480,0, 6484,640,480:waittimer 87513 blt 0,0,640,480,0, 6486,640,480:waittimer 87540 blt 0,0,640,480,0, 6488,640,480:waittimer 87567 blt 0,0,640,480,0, 6490,640,480:waittimer 87594 blt 0,0,640,480,0, 6492,640,480:waittimer 87621 blt 0,0,640,480,0, 6494,640,480:waittimer 87648 blt 0,0,640,480,0, 6496,640,480:waittimer 87675 blt 0,0,640,480,0, 6498,640,480:waittimer 87702 blt 0,0,640,480,0, 6500,640,480:waittimer 87729 blt 0,0,640,480,0, 6502,640,480:waittimer 87756 blt 0,0,640,480,0, 6504,640,480:waittimer 87783 blt 0,0,640,480,0, 6506,640,480:waittimer 87810 blt 0,0,640,480,0, 6508,640,480:waittimer 87837 blt 0,0,640,480,0, 6510,640,480:waittimer 87864 blt 0,0,640,480,0, 6512,640,480:waittimer 87891 blt 0,0,640,480,0, 6514,640,480:waittimer 87918 blt 0,0,640,480,0, 6516,640,480:waittimer 87945 blt 0,0,640,480,0, 6518,640,480:waittimer 87972 blt 0,0,640,480,0, 6520,640,480:waittimer 87999 blt 0,0,640,480,0, 6522,640,480:waittimer 88026 blt 0,0,640,480,0, 6524,640,480:waittimer 88053 blt 0,0,640,480,0, 6526,640,480:waittimer 88080 blt 0,0,640,480,0, 6528,640,480:waittimer 88107 blt 0,0,640,480,0, 6530,640,480:waittimer 88134 blt 0,0,640,480,0, 6532,640,480:waittimer 88161 blt 0,0,640,480,0, 6534,640,480:waittimer 88188 blt 0,0,640,480,0, 6536,640,480:waittimer 88215 blt 0,0,640,480,0, 6538,640,480:waittimer 88242 blt 0,0,640,480,0, 6540,640,480:waittimer 88269 blt 0,0,640,480,0, 6542,640,480:waittimer 88296 blt 0,0,640,480,0, 6544,640,480:waittimer 88323 blt 0,0,640,480,0, 6546,640,480:waittimer 88350 blt 0,0,640,480,0, 6548,640,480:waittimer 88377 blt 0,0,640,480,0, 6550,640,480:waittimer 88404 blt 0,0,640,480,0, 6552,640,480:waittimer 88431 blt 0,0,640,480,0, 6554,640,480:waittimer 88458 blt 0,0,640,480,0, 6556,640,480:waittimer 88485 blt 0,0,640,480,0, 6558,640,480:waittimer 88512 blt 0,0,640,480,0, 6560,640,480:waittimer 88539 blt 0,0,640,480,0, 6562,640,480:waittimer 88566 blt 0,0,640,480,0, 6564,640,480:waittimer 88593 blt 0,0,640,480,0, 6566,640,480:waittimer 88619 blt 0,0,640,480,0, 6568,640,480:waittimer 88646 blt 0,0,640,480,0, 6570,640,480:waittimer 88673 blt 0,0,640,480,0, 6572,640,480:waittimer 88700 blt 0,0,640,480,0, 6574,640,480:waittimer 88727 blt 0,0,640,480,0, 6576,640,480:waittimer 88754 blt 0,0,640,480,0, 6578,640,480:waittimer 88781 blt 0,0,640,480,0, 6580,640,480:waittimer 88808 blt 0,0,640,480,0, 6582,640,480:waittimer 88835 blt 0,0,640,480,0, 6584,640,480:waittimer 88862 blt 0,0,640,480,0, 6586,640,480:waittimer 88889 blt 0,0,640,480,0, 6588,640,480:waittimer 88916 blt 0,0,640,480,0, 6590,640,480:waittimer 88943 blt 0,0,640,480,0, 6592,640,480:waittimer 88970 blt 0,0,640,480,0, 6594,640,480:waittimer 88997 blt 0,0,640,480,0, 6596,640,480:waittimer 89024 blt 0,0,640,480,0, 6598,640,480:waittimer 89051 blt 0,0,640,480,0, 6600,640,480:waittimer 89078 blt 0,0,640,480,0, 6602,640,480:waittimer 89105 blt 0,0,640,480,0, 6604,640,480:waittimer 89132 blt 0,0,640,480,0, 6606,640,480:waittimer 89159 blt 0,0,640,480,0, 6608,640,480:waittimer 89186 blt 0,0,640,480,0, 6610,640,480:waittimer 89213 blt 0,0,640,480,0, 6612,640,480:waittimer 89240 blt 0,0,640,480,0, 6614,640,480:waittimer 89267 blt 0,0,640,480,0, 6616,640,480:waittimer 89294 blt 0,0,640,480,0, 6618,640,480:waittimer 89321 blt 0,0,640,480,0, 6620,640,480:waittimer 89348 blt 0,0,640,480,0, 6622,640,480:waittimer 89375 blt 0,0,640,480,0, 6624,640,480:waittimer 89402 blt 0,0,640,480,0, 6626,640,480:waittimer 89429 blt 0,0,640,480,0, 6628,640,480:waittimer 89456 blt 0,0,640,480,0, 6630,640,480:waittimer 89483 blt 0,0,640,480,0, 6632,640,480:waittimer 89510 blt 0,0,640,480,0, 6634,640,480:waittimer 89537 blt 0,0,640,480,0, 6636,640,480:waittimer 89564 blt 0,0,640,480,0, 6638,640,480:waittimer 89591 blt 0,0,640,480,0, 6640,640,480:waittimer 89618 blt 0,0,640,480,0, 6642,640,480:waittimer 89645 blt 0,0,640,480,0, 6644,640,480:waittimer 89672 blt 0,0,640,480,0, 6646,640,480:waittimer 89699 blt 0,0,640,480,0, 6648,640,480:waittimer 89726 blt 0,0,640,480,0, 6650,640,480:waittimer 89753 blt 0,0,640,480,0, 6652,640,480:waittimer 89780 blt 0,0,640,480,0, 6654,640,480:waittimer 89807 blt 0,0,640,480,0, 6656,640,480:waittimer 89834 blt 0,0,640,480,0, 6658,640,480:waittimer 89861 blt 0,0,640,480,0, 6660,640,480:waittimer 89888 blt 0,0,640,480,0, 6662,640,480:waittimer 89915 blt 0,0,640,480,0, 6664,640,480:waittimer 89942 blt 0,0,640,480,0, 6666,640,480:waittimer 89969 blt 0,0,640,480,0, 6668,640,480:waittimer 89996 blt 0,0,640,480,0, 6670,640,480:waittimer 90023 blt 0,0,640,480,0, 6672,640,480:waittimer 90050 blt 0,0,640,480,0, 6674,640,480:waittimer 90077 blt 0,0,640,480,0, 6676,640,480:waittimer 90104 blt 0,0,640,480,0, 6678,640,480:waittimer 90131 blt 0,0,640,480,0, 6680,640,480:waittimer 90158 blt 0,0,640,480,0, 6682,640,480:waittimer 90185 blt 0,0,640,480,0, 6684,640,480:waittimer 90212 blt 0,0,640,480,0, 6686,640,480:waittimer 90239 blt 0,0,640,480,0, 6688,640,480:waittimer 90266 blt 0,0,640,480,0, 6690,640,480:waittimer 90293 blt 0,0,640,480,0, 6692,640,480:waittimer 90320 blt 0,0,640,480,0, 6694,640,480:waittimer 90347 blt 0,0,640,480,0, 6696,640,480:waittimer 90374 blt 0,0,640,480,0, 6698,640,480:waittimer 90401 blt 0,0,640,480,0, 6700,640,480:waittimer 90428 blt 0,0,640,480,0, 6702,640,480:waittimer 90455 blt 0,0,640,480,0, 6704,640,480:waittimer 90482 blt 0,0,640,480,0, 6706,640,480:waittimer 90509 blt 0,0,640,480,0, 6708,640,480:waittimer 90536 blt 0,0,640,480,0, 6710,640,480:waittimer 90563 blt 0,0,640,480,0, 6712,640,480:waittimer 90590 blt 0,0,640,480,0, 6714,640,480:waittimer 90617 blt 0,0,640,480,0, 6716,640,480:waittimer 90644 blt 0,0,640,480,0, 6718,640,480:waittimer 90671 blt 0,0,640,480,0, 6720,640,480:waittimer 90698 blt 0,0,640,480,0, 6722,640,480:waittimer 90725 blt 0,0,640,480,0, 6724,640,480:waittimer 90752 blt 0,0,640,480,0, 6726,640,480:waittimer 90779 blt 0,0,640,480,0, 6728,640,480:waittimer 90806 blt 0,0,640,480,0, 6730,640,480:waittimer 90833 blt 0,0,640,480,0, 6732,640,480:waittimer 90860 blt 0,0,640,480,0, 6734,640,480:waittimer 90887 blt 0,0,640,480,0, 6736,640,480:waittimer 90914 blt 0,0,640,480,0, 6738,640,480:waittimer 90941 blt 0,0,640,480,0, 6740,640,480:waittimer 90968 blt 0,0,640,480,0, 6742,640,480:waittimer 90995 blt 0,0,640,480,0, 6744,640,480:waittimer 91022 blt 0,0,640,480,0, 6746,640,480:waittimer 91049 blt 0,0,640,480,0, 6748,640,480:waittimer 91076 blt 0,0,640,480,0, 6750,640,480:waittimer 91103 blt 0,0,640,480,0, 6752,640,480:waittimer 91130 blt 0,0,640,480,0, 6754,640,480:waittimer 91157 blt 0,0,640,480,0, 6756,640,480:waittimer 91184 blt 0,0,640,480,0, 6758,640,480:waittimer 91211 blt 0,0,640,480,0, 6760,640,480:waittimer 91238 blt 0,0,640,480,0, 6762,640,480:waittimer 91265 blt 0,0,640,480,0, 6764,640,480:waittimer 91292 blt 0,0,640,480,0, 6766,640,480:waittimer 91319 blt 0,0,640,480,0, 6768,640,480:waittimer 91346 blt 0,0,640,480,0, 6770,640,480:waittimer 91373 blt 0,0,640,480,0, 6772,640,480:waittimer 91400 blt 0,0,640,480,0, 6774,640,480:waittimer 91427 blt 0,0,640,480,0, 6776,640,480:waittimer 91454 blt 0,0,640,480,0, 6778,640,480:waittimer 91481 blt 0,0,640,480,0, 6780,640,480:waittimer 91508 blt 0,0,640,480,0, 6782,640,480:waittimer 91535 blt 0,0,640,480,0, 6784,640,480:waittimer 91562 blt 0,0,640,480,0, 6786,640,480:waittimer 91589 blt 0,0,640,480,0, 6788,640,480:waittimer 91616 blt 0,0,640,480,0, 6790,640,480:waittimer 91643 blt 0,0,640,480,0, 6792,640,480:waittimer 91670 blt 0,0,640,480,0, 6794,640,480:waittimer 91697 blt 0,0,640,480,0, 6796,640,480:waittimer 91724 blt 0,0,640,480,0, 6798,640,480:waittimer 91751 blt 0,0,640,480,0, 6800,640,480:waittimer 91778 blt 0,0,640,480,0, 6802,640,480:waittimer 91805 blt 0,0,640,480,0, 6804,640,480:waittimer 91832 blt 0,0,640,480,0, 6806,640,480:waittimer 91859 blt 0,0,640,480,0, 6808,640,480:waittimer 91886 blt 0,0,640,480,0, 6810,640,480:waittimer 91913 blt 0,0,640,480,0, 6812,640,480:waittimer 91940 blt 0,0,640,480,0, 6814,640,480:waittimer 91967 blt 0,0,640,480,0, 6816,640,480:waittimer 91994 blt 0,0,640,480,0, 6818,640,480:waittimer 92021 blt 0,0,640,480,0, 6820,640,480:waittimer 92048 blt 0,0,640,480,0, 6822,640,480:waittimer 92075 blt 0,0,640,480,0, 6824,640,480:waittimer 92102 blt 0,0,640,480,0, 6826,640,480:waittimer 92129 blt 0,0,640,480,0, 6828,640,480:waittimer 92156 blt 0,0,640,480,0, 6830,640,480:waittimer 92183 blt 0,0,640,480,0, 6832,640,480:waittimer 92210 blt 0,0,640,480,0, 6834,640,480:waittimer 92237 blt 0,0,640,480,0, 6836,640,480:waittimer 92264 blt 0,0,640,480,0, 6838,640,480:waittimer 92291 blt 0,0,640,480,0, 6840,640,480:waittimer 92318 blt 0,0,640,480,0, 6842,640,480:waittimer 92345 blt 0,0,640,480,0, 6844,640,480:waittimer 92372 blt 0,0,640,480,0, 6846,640,480:waittimer 92399 blt 0,0,640,480,0, 6848,640,480:waittimer 92426 blt 0,0,640,480,0, 6850,640,480:waittimer 92453 blt 0,0,640,480,0, 6852,640,480:waittimer 92480 blt 0,0,640,480,0, 6854,640,480:waittimer 92507 blt 0,0,640,480,0, 6856,640,480:waittimer 92534 blt 0,0,640,480,0, 6858,640,480:waittimer 92561 blt 0,0,640,480,0, 6860,640,480:waittimer 92588 blt 0,0,640,480,0, 6862,640,480:waittimer 92615 blt 0,0,640,480,0, 6864,640,480:waittimer 92642 blt 0,0,640,480,0, 6866,640,480:waittimer 92669 blt 0,0,640,480,0, 6868,640,480:waittimer 92696 blt 0,0,640,480,0, 6870,640,480:waittimer 92723 blt 0,0,640,480,0, 6872,640,480:waittimer 92750 blt 0,0,640,480,0, 6874,640,480:waittimer 92777 blt 0,0,640,480,0, 6876,640,480:waittimer 92804 blt 0,0,640,480,0, 6878,640,480:waittimer 92830 blt 0,0,640,480,0, 6880,640,480:waittimer 92857 blt 0,0,640,480,0, 6882,640,480:waittimer 92884 blt 0,0,640,480,0, 6884,640,480:waittimer 92911 blt 0,0,640,480,0, 6886,640,480:waittimer 92938 blt 0,0,640,480,0, 6888,640,480:waittimer 92965 blt 0,0,640,480,0, 6890,640,480:waittimer 92992 blt 0,0,640,480,0, 6892,640,480:waittimer 93019 blt 0,0,640,480,0, 6894,640,480:waittimer 93046 blt 0,0,640,480,0, 6896,640,480:waittimer 93073 blt 0,0,640,480,0, 6898,640,480:waittimer 93100 blt 0,0,640,480,0, 6900,640,480:waittimer 93127 blt 0,0,640,480,0, 6902,640,480:waittimer 93154 blt 0,0,640,480,0, 6904,640,480:waittimer 93181 blt 0,0,640,480,0, 6906,640,480:waittimer 93208 blt 0,0,640,480,0, 6908,640,480:waittimer 93235 blt 0,0,640,480,0, 6910,640,480:waittimer 93262 blt 0,0,640,480,0, 6912,640,480:waittimer 93289 blt 0,0,640,480,0, 6914,640,480:waittimer 93316 blt 0,0,640,480,0, 6916,640,480:waittimer 93343 blt 0,0,640,480,0, 6918,640,480:waittimer 93370 blt 0,0,640,480,0, 6920,640,480:waittimer 93397 blt 0,0,640,480,0, 6922,640,480:waittimer 93424 blt 0,0,640,480,0, 6924,640,480:waittimer 93451 blt 0,0,640,480,0, 6926,640,480:waittimer 93478 blt 0,0,640,480,0, 6928,640,480:waittimer 93505 blt 0,0,640,480,0, 6930,640,480:waittimer 93532 blt 0,0,640,480,0, 6932,640,480:waittimer 93559 blt 0,0,640,480,0, 6934,640,480:waittimer 93586 blt 0,0,640,480,0, 6936,640,480:waittimer 93613 blt 0,0,640,480,0, 6938,640,480:waittimer 93640 blt 0,0,640,480,0, 6940,640,480:waittimer 93667 blt 0,0,640,480,0, 6942,640,480:waittimer 93694 blt 0,0,640,480,0, 6944,640,480:waittimer 93721 blt 0,0,640,480,0, 6946,640,480:waittimer 93748 blt 0,0,640,480,0, 6948,640,480:waittimer 93775 blt 0,0,640,480,0, 6950,640,480:waittimer 93802 blt 0,0,640,480,0, 6952,640,480:waittimer 93829 blt 0,0,640,480,0, 6954,640,480:waittimer 93856 blt 0,0,640,480,0, 6956,640,480:waittimer 93883 blt 0,0,640,480,0, 6958,640,480:waittimer 93910 blt 0,0,640,480,0, 6960,640,480:waittimer 93937 blt 0,0,640,480,0, 6962,640,480:waittimer 93964 blt 0,0,640,480,0, 6964,640,480:waittimer 93991 blt 0,0,640,480,0, 6966,640,480:waittimer 94018 blt 0,0,640,480,0, 6968,640,480:waittimer 94045 blt 0,0,640,480,0, 6970,640,480:waittimer 94072 blt 0,0,640,480,0, 6972,640,480:waittimer 94099 blt 0,0,640,480,0, 6974,640,480:waittimer 94126 blt 0,0,640,480,0, 6976,640,480:waittimer 94153 blt 0,0,640,480,0, 6978,640,480:waittimer 94180 blt 0,0,640,480,0, 6980,640,480:waittimer 94207 blt 0,0,640,480,0, 6982,640,480:waittimer 94234 blt 0,0,640,480,0, 6984,640,480:waittimer 94261 blt 0,0,640,480,0, 6986,640,480:waittimer 94288 blt 0,0,640,480,0, 6988,640,480:waittimer 94315 blt 0,0,640,480,0, 6990,640,480:waittimer 94342 blt 0,0,640,480,0, 6992,640,480:waittimer 94369 blt 0,0,640,480,0, 6994,640,480:waittimer 94396 blt 0,0,640,480,0, 6996,640,480:waittimer 94423 blt 0,0,640,480,0, 6998,640,480:waittimer 94450 blt 0,0,640,480,0, 7000,640,480:waittimer 94477 blt 0,0,640,480,0, 7002,640,480:waittimer 94504 blt 0,0,640,480,0, 7004,640,480:waittimer 94531 blt 0,0,640,480,0, 7006,640,480:waittimer 94558 blt 0,0,640,480,0, 7008,640,480:waittimer 94585 blt 0,0,640,480,0, 7010,640,480:waittimer 94612 blt 0,0,640,480,0, 7012,640,480:waittimer 94639 blt 0,0,640,480,0, 7014,640,480:waittimer 94666 blt 0,0,640,480,0, 7016,640,480:waittimer 94693 blt 0,0,640,480,0, 7018,640,480:waittimer 94720 blt 0,0,640,480,0, 7020,640,480:waittimer 94747 blt 0,0,640,480,0, 7022,640,480:waittimer 94774 blt 0,0,640,480,0, 7024,640,480:waittimer 94801 blt 0,0,640,480,0, 7026,640,480:waittimer 94828 blt 0,0,640,480,0, 7028,640,480:waittimer 94855 blt 0,0,640,480,0, 7030,640,480:waittimer 94882 blt 0,0,640,480,0, 7032,640,480:waittimer 94909 blt 0,0,640,480,0, 7034,640,480:waittimer 94936 blt 0,0,640,480,0, 7036,640,480:waittimer 94963 blt 0,0,640,480,0, 7038,640,480:waittimer 94990 blt 0,0,640,480,0, 7040,640,480:waittimer 95017 blt 0,0,640,480,0, 7042,640,480:waittimer 95044 blt 0,0,640,480,0, 7044,640,480:waittimer 95071 blt 0,0,640,480,0, 7046,640,480:waittimer 95098 blt 0,0,640,480,0, 7048,640,480:waittimer 95125 blt 0,0,640,480,0, 7050,640,480:waittimer 95152 blt 0,0,640,480,0, 7052,640,480:waittimer 95179 blt 0,0,640,480,0, 7054,640,480:waittimer 95206 blt 0,0,640,480,0, 7056,640,480:waittimer 95233 blt 0,0,640,480,0, 7058,640,480:waittimer 95260 blt 0,0,640,480,0, 7060,640,480:waittimer 95287 blt 0,0,640,480,0, 7062,640,480:waittimer 95314 blt 0,0,640,480,0, 7064,640,480:waittimer 95341 blt 0,0,640,480,0, 7066,640,480:waittimer 95368 blt 0,0,640,480,0, 7068,640,480:waittimer 95395 blt 0,0,640,480,0, 7070,640,480:waittimer 95422 blt 0,0,640,480,0, 7072,640,480:waittimer 95449 blt 0,0,640,480,0, 7074,640,480:waittimer 95476 blt 0,0,640,480,0, 7076,640,480:waittimer 95503 blt 0,0,640,480,0, 7078,640,480:waittimer 95530 blt 0,0,640,480,0, 7080,640,480:waittimer 95557 blt 0,0,640,480,0, 7082,640,480:waittimer 95584 blt 0,0,640,480,0, 7084,640,480:waittimer 95611 blt 0,0,640,480,0, 7086,640,480:waittimer 95638 blt 0,0,640,480,0, 7088,640,480:waittimer 95665 blt 0,0,640,480,0, 7090,640,480:waittimer 95692 blt 0,0,640,480,0, 7092,640,480:waittimer 95719 blt 0,0,640,480,0, 7094,640,480:waittimer 95746 blt 0,0,640,480,0, 7096,640,480:waittimer 95773 blt 0,0,640,480,0, 7098,640,480:waittimer 95800 blt 0,0,640,480,0, 7100,640,480:waittimer 95827 blt 0,0,640,480,0, 7102,640,480:waittimer 95854 blt 0,0,640,480,0, 7104,640,480:waittimer 95881 blt 0,0,640,480,0, 7106,640,480:waittimer 95908 blt 0,0,640,480,0, 7108,640,480:waittimer 95935 blt 0,0,640,480,0, 7110,640,480:waittimer 95962 blt 0,0,640,480,0, 7112,640,480:waittimer 95989 blt 0,0,640,480,0, 7114,640,480:waittimer 96016 blt 0,0,640,480,0, 7116,640,480:waittimer 96043 blt 0,0,640,480,0, 7118,640,480:waittimer 96070 blt 0,0,640,480,0, 7120,640,480:waittimer 96097 blt 0,0,640,480,0, 7122,640,480:waittimer 96124 blt 0,0,640,480,0, 7124,640,480:waittimer 96151 blt 0,0,640,480,0, 7126,640,480:waittimer 96178 blt 0,0,640,480,0, 7128,640,480:waittimer 96205 blt 0,0,640,480,0, 7130,640,480:waittimer 96232 blt 0,0,640,480,0, 7132,640,480:waittimer 96259 blt 0,0,640,480,0, 7134,640,480:waittimer 96286 blt 0,0,640,480,0, 7136,640,480:waittimer 96313 blt 0,0,640,480,0, 7138,640,480:waittimer 96340 blt 0,0,640,480,0, 7140,640,480:waittimer 96367 blt 0,0,640,480,0, 7142,640,480:waittimer 96394 blt 0,0,640,480,0, 7144,640,480:waittimer 96421 blt 0,0,640,480,0, 7146,640,480:waittimer 96448 blt 0,0,640,480,0, 7148,640,480:waittimer 96475 blt 0,0,640,480,0, 7150,640,480:waittimer 96502 blt 0,0,640,480,0, 7152,640,480:waittimer 96529 blt 0,0,640,480,0, 7154,640,480:waittimer 96556 blt 0,0,640,480,0, 7156,640,480:waittimer 96583 blt 0,0,640,480,0, 7158,640,480:waittimer 96610 blt 0,0,640,480,0, 7160,640,480:waittimer 96637 blt 0,0,640,480,0, 7162,640,480:waittimer 96664 blt 0,0,640,480,0, 7164,640,480:waittimer 96691 blt 0,0,640,480,0, 7166,640,480:waittimer 96718 blt 0,0,640,480,0, 7168,640,480:waittimer 96745 blt 0,0,640,480,0, 7170,640,480:waittimer 96772 blt 0,0,640,480,0, 7172,640,480:waittimer 96799 blt 0,0,640,480,0, 7174,640,480:waittimer 96826 blt 0,0,640,480,0, 7176,640,480:waittimer 96853 blt 0,0,640,480,0, 7178,640,480:waittimer 96880 blt 0,0,640,480,0, 7180,640,480:waittimer 96907 blt 0,0,640,480,0, 7182,640,480:waittimer 96934 blt 0,0,640,480,0, 7184,640,480:waittimer 96961 blt 0,0,640,480,0, 7186,640,480:waittimer 96988 blt 0,0,640,480,0, 7188,640,480:waittimer 97015 blt 0,0,640,480,0, 7190,640,480:waittimer 97042 blt 0,0,640,480,0, 7192,640,480:waittimer 97068 blt 0,0,640,480,0, 7194,640,480:waittimer 97095 blt 0,0,640,480,0, 7196,640,480:waittimer 97122 blt 0,0,640,480,0, 7198,640,480:waittimer 97149 blt 0,0,640,480,0, 7200,640,480:waittimer 97176 blt 0,0,640,480,0, 7202,640,480:waittimer 97203 blt 0,0,640,480,0, 7204,640,480:waittimer 97230 blt 0,0,640,480,0, 7206,640,480:waittimer 97257 blt 0,0,640,480,0, 7208,640,480:waittimer 97284 blt 0,0,640,480,0, 7210,640,480:waittimer 97311 blt 0,0,640,480,0, 7212,640,480:waittimer 97338 blt 0,0,640,480,0, 7214,640,480:waittimer 97365 blt 0,0,640,480,0, 7216,640,480:waittimer 97392 blt 0,0,640,480,0, 7218,640,480:waittimer 97419 blt 0,0,640,480,0, 7220,640,480:waittimer 97446 blt 0,0,640,480,0, 7222,640,480:waittimer 97473 blt 0,0,640,480,0, 7224,640,480:waittimer 97500 blt 0,0,640,480,0, 7226,640,480:waittimer 97527 blt 0,0,640,480,0, 7228,640,480:waittimer 97554 blt 0,0,640,480,0, 7230,640,480:waittimer 97581 blt 0,0,640,480,0, 7232,640,480:waittimer 97608 blt 0,0,640,480,0, 7234,640,480:waittimer 97635 blt 0,0,640,480,0, 7236,640,480:waittimer 97662 blt 0,0,640,480,0, 7238,640,480:waittimer 97689 blt 0,0,640,480,0, 7240,640,480:waittimer 97716 blt 0,0,640,480,0, 7242,640,480:waittimer 97743 blt 0,0,640,480,0, 7244,640,480:waittimer 97770 blt 0,0,640,480,0, 7246,640,480:waittimer 97797 blt 0,0,640,480,0, 7248,640,480:waittimer 97824 blt 0,0,640,480,0, 7250,640,480:waittimer 97851 blt 0,0,640,480,0, 7252,640,480:waittimer 97878 blt 0,0,640,480,0, 7254,640,480:waittimer 97905 blt 0,0,640,480,0, 7256,640,480:waittimer 97932 blt 0,0,640,480,0, 7258,640,480:waittimer 97959 blt 0,0,640,480,0, 7260,640,480:waittimer 97986 blt 0,0,640,480,0, 7262,640,480:waittimer 98013 blt 0,0,640,480,0, 7264,640,480:waittimer 98040 blt 0,0,640,480,0, 7266,640,480:waittimer 98067 blt 0,0,640,480,0, 7268,640,480:waittimer 98094 blt 0,0,640,480,0, 7270,640,480:waittimer 98121 blt 0,0,640,480,0, 7272,640,480:waittimer 98148 blt 0,0,640,480,0, 7274,640,480:waittimer 98175 blt 0,0,640,480,0, 7276,640,480:waittimer 98202 blt 0,0,640,480,0, 7278,640,480:waittimer 98229 blt 0,0,640,480,0, 7280,640,480:waittimer 98256 blt 0,0,640,480,0, 7282,640,480:waittimer 98283 blt 0,0,640,480,0, 7284,640,480:waittimer 98310 blt 0,0,640,480,0, 7286,640,480:waittimer 98337 blt 0,0,640,480,0, 7288,640,480:waittimer 98364 blt 0,0,640,480,0, 7290,640,480:waittimer 98391 blt 0,0,640,480,0, 7292,640,480:waittimer 98418 blt 0,0,640,480,0, 7294,640,480:waittimer 98445 blt 0,0,640,480,0, 7296,640,480:waittimer 98472 blt 0,0,640,480,0, 7298,640,480:waittimer 98499 blt 0,0,640,480,0, 7300,640,480:waittimer 98526 blt 0,0,640,480,0, 7302,640,480:waittimer 98553 blt 0,0,640,480,0, 7304,640,480:waittimer 98580 blt 0,0,640,480,0, 7306,640,480:waittimer 98607 blt 0,0,640,480,0, 7308,640,480:waittimer 98634 blt 0,0,640,480,0, 7310,640,480:waittimer 98661 blt 0,0,640,480,0, 7312,640,480:waittimer 98688 blt 0,0,640,480,0, 7314,640,480:waittimer 98715 blt 0,0,640,480,0, 7316,640,480:waittimer 98742 blt 0,0,640,480,0, 7318,640,480:waittimer 98769 blt 0,0,640,480,0, 7320,640,480:waittimer 98796 blt 0,0,640,480,0, 7322,640,480:waittimer 98823 blt 0,0,640,480,0, 7324,640,480:waittimer 98850 blt 0,0,640,480,0, 7326,640,480:waittimer 98877 blt 0,0,640,480,0, 7328,640,480:waittimer 98904 blt 0,0,640,480,0, 7330,640,480:waittimer 98931 blt 0,0,640,480,0, 7332,640,480:waittimer 98958 blt 0,0,640,480,0, 7334,640,480:waittimer 98985 blt 0,0,640,480,0, 7336,640,480:waittimer 99012 blt 0,0,640,480,0, 7338,640,480:waittimer 99039 blt 0,0,640,480,0, 7340,640,480:waittimer 99066 blt 0,0,640,480,0, 7342,640,480:waittimer 99093 blt 0,0,640,480,0, 7344,640,480:waittimer 99120 blt 0,0,640,480,0, 7346,640,480:waittimer 99147 blt 0,0,640,480,0, 7348,640,480:waittimer 99174 blt 0,0,640,480,0, 7350,640,480:waittimer 99201 blt 0,0,640,480,0, 7352,640,480:waittimer 99228 blt 0,0,640,480,0, 7354,640,480:waittimer 99255 blt 0,0,640,480,0, 7356,640,480:waittimer 99282 blt 0,0,640,480,0, 7358,640,480:waittimer 99309 blt 0,0,640,480,0, 7360,640,480:waittimer 99336 blt 0,0,640,480,0, 7362,640,480:waittimer 99363 blt 0,0,640,480,0, 7364,640,480:waittimer 99390 blt 0,0,640,480,0, 7366,640,480:waittimer 99417 blt 0,0,640,480,0, 7368,640,480:waittimer 99444 blt 0,0,640,480,0, 7370,640,480:waittimer 99471 blt 0,0,640,480,0, 7372,640,480:waittimer 99498 blt 0,0,640,480,0, 7374,640,480:waittimer 99525 blt 0,0,640,480,0, 7376,640,480:waittimer 99552 blt 0,0,640,480,0, 7378,640,480:waittimer 99579 blt 0,0,640,480,0, 7380,640,480:waittimer 99606 blt 0,0,640,480,0, 7382,640,480:waittimer 99633 blt 0,0,640,480,0, 7384,640,480:waittimer 99660 blt 0,0,640,480,0, 7386,640,480:waittimer 99687 blt 0,0,640,480,0, 7388,640,480:waittimer 99714 blt 0,0,640,480,0, 7390,640,480:waittimer 99741 blt 0,0,640,480,0, 7392,640,480:waittimer 99768 blt 0,0,640,480,0, 7394,640,480:waittimer 99795 blt 0,0,640,480,0, 7396,640,480:waittimer 99822 blt 0,0,640,480,0, 7398,640,480:waittimer 99849 blt 0,0,640,480,0, 7400,640,480:waittimer 99876 blt 0,0,640,480,0, 7402,640,480:waittimer 99903 blt 0,0,640,480,0, 7404,640,480:waittimer 99930 blt 0,0,640,480,0, 7406,640,480:waittimer 99957 blt 0,0,640,480,0, 7408,640,480:waittimer 99984 blt 0,0,640,480,0, 7410,640,480:waittimer 100011 blt 0,0,640,480,0, 7412,640,480:waittimer 100038 blt 0,0,640,480,0, 7414,640,480:waittimer 100065 blt 0,0,640,480,0, 7416,640,480:waittimer 100092 blt 0,0,640,480,0, 7418,640,480:waittimer 100119 blt 0,0,640,480,0, 7420,640,480:waittimer 100146 blt 0,0,640,480,0, 7422,640,480:waittimer 100173 blt 0,0,640,480,0, 7424,640,480:waittimer 100200 blt 0,0,640,480,0, 7426,640,480:waittimer 100227 blt 0,0,640,480,0, 7428,640,480:waittimer 100254 blt 0,0,640,480,0, 7430,640,480:waittimer 100281 blt 0,0,640,480,0, 7432,640,480:waittimer 100308 blt 0,0,640,480,0, 7434,640,480:waittimer 100335 blt 0,0,640,480,0, 7436,640,480:waittimer 100362 blt 0,0,640,480,0, 7438,640,480:waittimer 100389 blt 0,0,640,480,0, 7440,640,480:waittimer 100416 blt 0,0,640,480,0, 7442,640,480:waittimer 100443 blt 0,0,640,480,0, 7444,640,480:waittimer 100470 blt 0,0,640,480,0, 7446,640,480:waittimer 100497 blt 0,0,640,480,0, 7448,640,480:waittimer 100524 blt 0,0,640,480,0, 7450,640,480:waittimer 100551 blt 0,0,640,480,0, 7452,640,480:waittimer 100578 blt 0,0,640,480,0, 7454,640,480:waittimer 100605 blt 0,0,640,480,0, 7456,640,480:waittimer 100632 blt 0,0,640,480,0, 7458,640,480:waittimer 100659 blt 0,0,640,480,0, 7460,640,480:waittimer 100686 blt 0,0,640,480,0, 7462,640,480:waittimer 100713 blt 0,0,640,480,0, 7464,640,480:waittimer 100740 blt 0,0,640,480,0, 7466,640,480:waittimer 100767 blt 0,0,640,480,0, 7468,640,480:waittimer 100794 blt 0,0,640,480,0, 7470,640,480:waittimer 100821 blt 0,0,640,480,0, 7472,640,480:waittimer 100848 blt 0,0,640,480,0, 7474,640,480:waittimer 100875 blt 0,0,640,480,0, 7476,640,480:waittimer 100902 blt 0,0,640,480,0, 7478,640,480:waittimer 100929 blt 0,0,640,480,0, 7480,640,480:waittimer 100956 blt 0,0,640,480,0, 7482,640,480:waittimer 100983 blt 0,0,640,480,0, 7484,640,480:waittimer 101010 blt 0,0,640,480,0, 7486,640,480:waittimer 101037 blt 0,0,640,480,0, 7488,640,480:waittimer 101064 blt 0,0,640,480,0, 7490,640,480:waittimer 101091 blt 0,0,640,480,0, 7492,640,480:waittimer 101118 blt 0,0,640,480,0, 7494,640,480:waittimer 101145 blt 0,0,640,480,0, 7496,640,480:waittimer 101172 blt 0,0,640,480,0, 7498,640,480:waittimer 101199 blt 0,0,640,480,0, 7500,640,480:waittimer 101226 blt 0,0,640,480,0, 7502,640,480:waittimer 101253 blt 0,0,640,480,0, 7504,640,480:waittimer 101279 blt 0,0,640,480,0, 7506,640,480:waittimer 101306 blt 0,0,640,480,0, 7508,640,480:waittimer 101333 blt 0,0,640,480,0, 7510,640,480:waittimer 101360 blt 0,0,640,480,0, 7512,640,480:waittimer 101387 blt 0,0,640,480,0, 7514,640,480:waittimer 101414 blt 0,0,640,480,0, 7516,640,480:waittimer 101441 blt 0,0,640,480,0, 7518,640,480:waittimer 101468 blt 0,0,640,480,0, 7520,640,480:waittimer 101495 blt 0,0,640,480,0, 7522,640,480:waittimer 101522 blt 0,0,640,480,0, 7524,640,480:waittimer 101549 blt 0,0,640,480,0, 7526,640,480:waittimer 101576 blt 0,0,640,480,0, 7528,640,480:waittimer 101603 blt 0,0,640,480,0, 7530,640,480:waittimer 101630 blt 0,0,640,480,0, 7532,640,480:waittimer 101657 blt 0,0,640,480,0, 7534,640,480:waittimer 101684 blt 0,0,640,480,0, 7536,640,480:waittimer 101711 blt 0,0,640,480,0, 7538,640,480:waittimer 101738 blt 0,0,640,480,0, 7540,640,480:waittimer 101765 blt 0,0,640,480,0, 7542,640,480:waittimer 101792 blt 0,0,640,480,0, 7544,640,480:waittimer 101819 blt 0,0,640,480,0, 7546,640,480:waittimer 101846 blt 0,0,640,480,0, 7548,640,480:waittimer 101873 blt 0,0,640,480,0, 7550,640,480:waittimer 101900 blt 0,0,640,480,0, 7552,640,480:waittimer 101927 blt 0,0,640,480,0, 7554,640,480:waittimer 101954 blt 0,0,640,480,0, 7556,640,480:waittimer 101981 blt 0,0,640,480,0, 7558,640,480:waittimer 102008 blt 0,0,640,480,0, 7560,640,480:waittimer 102035 blt 0,0,640,480,0, 7562,640,480:waittimer 102062 blt 0,0,640,480,0, 7564,640,480:waittimer 102089 blt 0,0,640,480,0, 7566,640,480:waittimer 102116 blt 0,0,640,480,0, 7568,640,480:waittimer 102143 blt 0,0,640,480,0, 7570,640,480:waittimer 102170 blt 0,0,640,480,0, 7572,640,480:waittimer 102197 blt 0,0,640,480,0, 7574,640,480:waittimer 102224 blt 0,0,640,480,0, 7576,640,480:waittimer 102251 blt 0,0,640,480,0, 7578,640,480:waittimer 102278 blt 0,0,640,480,0, 7580,640,480:waittimer 102305 blt 0,0,640,480,0, 7582,640,480:waittimer 102332 blt 0,0,640,480,0, 7584,640,480:waittimer 102359 blt 0,0,640,480,0, 7586,640,480:waittimer 102386 blt 0,0,640,480,0, 7588,640,480:waittimer 102413 blt 0,0,640,480,0, 7590,640,480:waittimer 102440 blt 0,0,640,480,0, 7592,640,480:waittimer 102467 blt 0,0,640,480,0, 7594,640,480:waittimer 102494 blt 0,0,640,480,0, 7596,640,480:waittimer 102521 blt 0,0,640,480,0, 7598,640,480:waittimer 102548 blt 0,0,640,480,0, 7600,640,480:waittimer 102575 blt 0,0,640,480,0, 7602,640,480:waittimer 102602 blt 0,0,640,480,0, 7604,640,480:waittimer 102629 blt 0,0,640,480,0, 7606,640,480:waittimer 102656 blt 0,0,640,480,0, 7608,640,480:waittimer 102683 blt 0,0,640,480,0, 7610,640,480:waittimer 102710 blt 0,0,640,480,0, 7612,640,480:waittimer 102737 blt 0,0,640,480,0, 7614,640,480:waittimer 102764 blt 0,0,640,480,0, 7616,640,480:waittimer 102791 blt 0,0,640,480,0, 7618,640,480:waittimer 102818 blt 0,0,640,480,0, 7620,640,480:waittimer 102845 blt 0,0,640,480,0, 7622,640,480:waittimer 102872 blt 0,0,640,480,0, 7624,640,480:waittimer 102899 blt 0,0,640,480,0, 7626,640,480:waittimer 102926 blt 0,0,640,480,0, 7628,640,480:waittimer 102953 blt 0,0,640,480,0, 7630,640,480:waittimer 102980 blt 0,0,640,480,0, 7632,640,480:waittimer 103007 blt 0,0,640,480,0, 7634,640,480:waittimer 103034 blt 0,0,640,480,0, 7636,640,480:waittimer 103061 blt 0,0,640,480,0, 7638,640,480:waittimer 103088 blt 0,0,640,480,0, 7640,640,480:waittimer 103115 blt 0,0,640,480,0, 7642,640,480:waittimer 103142 blt 0,0,640,480,0, 7644,640,480:waittimer 103169 blt 0,0,640,480,0, 7646,640,480:waittimer 103196 blt 0,0,640,480,0, 7648,640,480:waittimer 103223 blt 0,0,640,480,0, 7650,640,480:waittimer 103250 blt 0,0,640,480,0, 7652,640,480:waittimer 103277 blt 0,0,640,480,0, 7654,640,480:waittimer 103304 blt 0,0,640,480,0, 7656,640,480:waittimer 103331 blt 0,0,640,480,0, 7658,640,480:waittimer 103358 blt 0,0,640,480,0, 7660,640,480:waittimer 103385 blt 0,0,640,480,0, 7662,640,480:waittimer 103412 blt 0,0,640,480,0, 7664,640,480:waittimer 103439 blt 0,0,640,480,0, 7666,640,480:waittimer 103466 blt 0,0,640,480,0, 7668,640,480:waittimer 103493 blt 0,0,640,480,0, 7670,640,480:waittimer 103520 blt 0,0,640,480,0, 7672,640,480:waittimer 103547 blt 0,0,640,480,0, 7674,640,480:waittimer 103574 blt 0,0,640,480,0, 7676,640,480:waittimer 103601 blt 0,0,640,480,0, 7678,640,480:waittimer 103628 blt 0,0,640,480,0, 7680,640,480:waittimer 103655 blt 0,0,640,480,0, 7682,640,480:waittimer 103682 blt 0,0,640,480,0, 7684,640,480:waittimer 103709 blt 0,0,640,480,0, 7686,640,480:waittimer 103736 blt 0,0,640,480,0, 7688,640,480:waittimer 103763 blt 0,0,640,480,0, 7690,640,480:waittimer 103790 blt 0,0,640,480,0, 7692,640,480:waittimer 103817 blt 0,0,640,480,0, 7694,640,480:waittimer 103844 blt 0,0,640,480,0, 7696,640,480:waittimer 103871 blt 0,0,640,480,0, 7698,640,480:waittimer 103898 blt 0,0,640,480,0, 7700,640,480:waittimer 103925 blt 0,0,640,480,0, 7702,640,480:waittimer 103952 blt 0,0,640,480,0, 7704,640,480:waittimer 103979 blt 0,0,640,480,0, 7706,640,480:waittimer 104006 blt 0,0,640,480,0, 7708,640,480:waittimer 104033 blt 0,0,640,480,0, 7710,640,480:waittimer 104060 blt 0,0,640,480,0, 7712,640,480:waittimer 104087 blt 0,0,640,480,0, 7714,640,480:waittimer 104114 blt 0,0,640,480,0, 7716,640,480:waittimer 104141 blt 0,0,640,480,0, 7718,640,480:waittimer 104168 blt 0,0,640,480,0, 7720,640,480:waittimer 104195 blt 0,0,640,480,0, 7722,640,480:waittimer 104222 blt 0,0,640,480,0, 7724,640,480:waittimer 104249 blt 0,0,640,480,0, 7726,640,480:waittimer 104276 blt 0,0,640,480,0, 7728,640,480:waittimer 104303 blt 0,0,640,480,0, 7730,640,480:waittimer 104330 blt 0,0,640,480,0, 7732,640,480:waittimer 104357 blt 0,0,640,480,0, 7734,640,480:waittimer 104384 blt 0,0,640,480,0, 7736,640,480:waittimer 104411 blt 0,0,640,480,0, 7738,640,480:waittimer 104438 blt 0,0,640,480,0, 7740,640,480:waittimer 104465 blt 0,0,640,480,0, 7742,640,480:waittimer 104492 blt 0,0,640,480,0, 7744,640,480:waittimer 104519 blt 0,0,640,480,0, 7746,640,480:waittimer 104546 blt 0,0,640,480,0, 7748,640,480:waittimer 104573 blt 0,0,640,480,0, 7750,640,480:waittimer 104600 blt 0,0,640,480,0, 7752,640,480:waittimer 104627 blt 0,0,640,480,0, 7754,640,480:waittimer 104654 blt 0,0,640,480,0, 7756,640,480:waittimer 104681 blt 0,0,640,480,0, 7758,640,480:waittimer 104708 blt 0,0,640,480,0, 7760,640,480:waittimer 104735 blt 0,0,640,480,0, 7762,640,480:waittimer 104762 blt 0,0,640,480,0, 7764,640,480:waittimer 104789 blt 0,0,640,480,0, 7766,640,480:waittimer 104816 blt 0,0,640,480,0, 7768,640,480:waittimer 104843 blt 0,0,640,480,0, 7770,640,480:waittimer 104870 blt 0,0,640,480,0, 7772,640,480:waittimer 104897 blt 0,0,640,480,0, 7774,640,480:waittimer 104924 blt 0,0,640,480,0, 7776,640,480:waittimer 104951 blt 0,0,640,480,0, 7778,640,480:waittimer 104978 blt 0,0,640,480,0, 7780,640,480:waittimer 105005 blt 0,0,640,480,0, 7782,640,480:waittimer 105032 blt 0,0,640,480,0, 7784,640,480:waittimer 105059 blt 0,0,640,480,0, 7786,640,480:waittimer 105086 blt 0,0,640,480,0, 7788,640,480:waittimer 105113 blt 0,0,640,480,0, 7790,640,480:waittimer 105140 blt 0,0,640,480,0, 7792,640,480:waittimer 105167 blt 0,0,640,480,0, 7794,640,480:waittimer 105194 blt 0,0,640,480,0, 7796,640,480:waittimer 105221 blt 0,0,640,480,0, 7798,640,480:waittimer 105248 blt 0,0,640,480,0, 7800,640,480:waittimer 105275 blt 0,0,640,480,0, 7802,640,480:waittimer 105302 blt 0,0,640,480,0, 7804,640,480:waittimer 105329 blt 0,0,640,480,0, 7806,640,480:waittimer 105356 blt 0,0,640,480,0, 7808,640,480:waittimer 105383 blt 0,0,640,480,0, 7810,640,480:waittimer 105410 blt 0,0,640,480,0, 7812,640,480:waittimer 105437 blt 0,0,640,480,0, 7814,640,480:waittimer 105464 blt 0,0,640,480,0, 7816,640,480:waittimer 105490 blt 0,0,640,480,0, 7818,640,480:waittimer 105517 blt 0,0,640,480,0, 7820,640,480:waittimer 105544 blt 0,0,640,480,0, 7822,640,480:waittimer 105571 blt 0,0,640,480,0, 7824,640,480:waittimer 105598 blt 0,0,640,480,0, 7826,640,480:waittimer 105625 blt 0,0,640,480,0, 7828,640,480:waittimer 105652 blt 0,0,640,480,0, 7830,640,480:waittimer 105679 blt 0,0,640,480,0, 7832,640,480:waittimer 105706 blt 0,0,640,480,0, 7834,640,480:waittimer 105733 blt 0,0,640,480,0, 7836,640,480:waittimer 105760 blt 0,0,640,480,0, 7838,640,480:waittimer 105787 blt 0,0,640,480,0, 7840,640,480:waittimer 105814 blt 0,0,640,480,0, 7842,640,480:waittimer 105841 blt 0,0,640,480,0, 7844,640,480:waittimer 105868 blt 0,0,640,480,0, 7846,640,480:waittimer 105895 blt 0,0,640,480,0, 7848,640,480:waittimer 105922 blt 0,0,640,480,0, 7850,640,480:waittimer 105949 blt 0,0,640,480,0, 7852,640,480:waittimer 105976 blt 0,0,640,480,0, 7854,640,480:waittimer 106003 blt 0,0,640,480,0, 7856,640,480:waittimer 106030 blt 0,0,640,480,0, 7858,640,480:waittimer 106057 blt 0,0,640,480,0, 7860,640,480:waittimer 106084 blt 0,0,640,480,0, 7862,640,480:waittimer 106111 blt 0,0,640,480,0, 7864,640,480:waittimer 106138 blt 0,0,640,480,0, 7866,640,480:waittimer 106165 blt 0,0,640,480,0, 7868,640,480:waittimer 106192 blt 0,0,640,480,0, 7870,640,480:waittimer 106219 blt 0,0,640,480,0, 7872,640,480:waittimer 106246 blt 0,0,640,480,0, 7874,640,480:waittimer 106273 blt 0,0,640,480,0, 7876,640,480:waittimer 106300 blt 0,0,640,480,0, 7878,640,480:waittimer 106327 blt 0,0,640,480,0, 7880,640,480:waittimer 106354 blt 0,0,640,480,0, 7882,640,480:waittimer 106381 blt 0,0,640,480,0, 7884,640,480:waittimer 106408 blt 0,0,640,480,0, 7886,640,480:waittimer 106435 blt 0,0,640,480,0, 7888,640,480:waittimer 106462 blt 0,0,640,480,0, 7890,640,480:waittimer 106489 blt 0,0,640,480,0, 7892,640,480:waittimer 106516 blt 0,0,640,480,0, 7894,640,480:waittimer 106543 blt 0,0,640,480,0, 7896,640,480:waittimer 106570 blt 0,0,640,480,0, 7898,640,480:waittimer 106597 blt 0,0,640,480,0, 7900,640,480:waittimer 106624 blt 0,0,640,480,0, 7902,640,480:waittimer 106651 blt 0,0,640,480,0, 7904,640,480:waittimer 106678 blt 0,0,640,480,0, 7906,640,480:waittimer 106705 blt 0,0,640,480,0, 7908,640,480:waittimer 106732 blt 0,0,640,480,0, 7910,640,480:waittimer 106759 blt 0,0,640,480,0, 7912,640,480:waittimer 106786 blt 0,0,640,480,0, 7914,640,480:waittimer 106813 blt 0,0,640,480,0, 7916,640,480:waittimer 106840 blt 0,0,640,480,0, 7918,640,480:waittimer 106867 blt 0,0,640,480,0, 7920,640,480:waittimer 106894 blt 0,0,640,480,0, 7922,640,480:waittimer 106921 blt 0,0,640,480,0, 7924,640,480:waittimer 106948 blt 0,0,640,480,0, 7926,640,480:waittimer 106975 blt 0,0,640,480,0, 7928,640,480:waittimer 107002 blt 0,0,640,480,0, 7930,640,480:waittimer 107029 blt 0,0,640,480,0, 7932,640,480:waittimer 107056 blt 0,0,640,480,0, 7934,640,480:waittimer 107083 blt 0,0,640,480,0, 7936,640,480:waittimer 107110 blt 0,0,640,480,0, 7938,640,480:waittimer 107137 blt 0,0,640,480,0, 7940,640,480:waittimer 107164 blt 0,0,640,480,0, 7942,640,480:waittimer 107191 blt 0,0,640,480,0, 7944,640,480:waittimer 107218 blt 0,0,640,480,0, 7946,640,480:waittimer 107245 blt 0,0,640,480,0, 7948,640,480:waittimer 107272 blt 0,0,640,480,0, 7950,640,480:waittimer 107299 blt 0,0,640,480,0, 7952,640,480:waittimer 107326 blt 0,0,640,480,0, 7954,640,480:waittimer 107353 blt 0,0,640,480,0, 7956,640,480:waittimer 107380 blt 0,0,640,480,0, 7958,640,480:waittimer 107407 blt 0,0,640,480,0, 7960,640,480:waittimer 107434 blt 0,0,640,480,0, 7962,640,480:waittimer 107461 blt 0,0,640,480,0, 7964,640,480:waittimer 107488 blt 0,0,640,480,0, 7966,640,480:waittimer 107515 blt 0,0,640,480,0, 7968,640,480:waittimer 107542 blt 0,0,640,480,0, 7970,640,480:waittimer 107569 blt 0,0,640,480,0, 7972,640,480:waittimer 107596 blt 0,0,640,480,0, 7974,640,480:waittimer 107623 blt 0,0,640,480,0, 7976,640,480:waittimer 107650 blt 0,0,640,480,0, 7978,640,480:waittimer 107677 blt 0,0,640,480,0, 7980,640,480:waittimer 107704 blt 0,0,640,480,0, 7982,640,480:waittimer 107731 blt 0,0,640,480,0, 7984,640,480:waittimer 107758 blt 0,0,640,480,0, 7986,640,480:waittimer 107785 blt 0,0,640,480,0, 7988,640,480:waittimer 107812 blt 0,0,640,480,0, 7990,640,480:waittimer 107839 blt 0,0,640,480,0, 7992,640,480:waittimer 107866 blt 0,0,640,480,0, 7994,640,480:waittimer 107893 blt 0,0,640,480,0, 7996,640,480:waittimer 107920 blt 0,0,640,480,0, 7998,640,480:waittimer 107947 blt 0,0,640,480,0, 8000,640,480:waittimer 107974 blt 0,0,640,480,0, 8002,640,480:waittimer 108001 blt 0,0,640,480,0, 8004,640,480:waittimer 108028 blt 0,0,640,480,0, 8006,640,480:waittimer 108055 blt 0,0,640,480,0, 8008,640,480:waittimer 108082 blt 0,0,640,480,0, 8010,640,480:waittimer 108109 blt 0,0,640,480,0, 8012,640,480:waittimer 108136 blt 0,0,640,480,0, 8014,640,480:waittimer 108163 blt 0,0,640,480,0, 8016,640,480:waittimer 108190 blt 0,0,640,480,0, 8018,640,480:waittimer 108217 blt 0,0,640,480,0, 8020,640,480:waittimer 108244 blt 0,0,640,480,0, 8022,640,480:waittimer 108271 blt 0,0,640,480,0, 8024,640,480:waittimer 108298 blt 0,0,640,480,0, 8026,640,480:waittimer 108325 blt 0,0,640,480,0, 8028,640,480:waittimer 108352 blt 0,0,640,480,0, 8030,640,480:waittimer 108379 blt 0,0,640,480,0, 8032,640,480:waittimer 108406 blt 0,0,640,480,0, 8034,640,480:waittimer 108433 blt 0,0,640,480,0, 8036,640,480:waittimer 108460 blt 0,0,640,480,0, 8038,640,480:waittimer 108487 blt 0,0,640,480,0, 8040,640,480:waittimer 108514 blt 0,0,640,480,0, 8042,640,480:waittimer 108541 blt 0,0,640,480,0, 8044,640,480:waittimer 108568 blt 0,0,640,480,0, 8046,640,480:waittimer 108595 blt 0,0,640,480,0, 8048,640,480:waittimer 108622 blt 0,0,640,480,0, 8050,640,480:waittimer 108649 blt 0,0,640,480,0, 8052,640,480:waittimer 108676 blt 0,0,640,480,0, 8054,640,480:waittimer 108703 blt 0,0,640,480,0, 8056,640,480:waittimer 108730 blt 0,0,640,480,0, 8058,640,480:waittimer 108757 blt 0,0,640,480,0, 8060,640,480:waittimer 108784 blt 0,0,640,480,0, 8062,640,480:waittimer 108811 blt 0,0,640,480,0, 8064,640,480:waittimer 108838 blt 0,0,640,480,0, 8066,640,480:waittimer 108865 blt 0,0,640,480,0, 8068,640,480:waittimer 108892 blt 0,0,640,480,0, 8070,640,480:waittimer 108919 blt 0,0,640,480,0, 8072,640,480:waittimer 108946 blt 0,0,640,480,0, 8074,640,480:waittimer 108973 blt 0,0,640,480,0, 8076,640,480:waittimer 109000 blt 0,0,640,480,0, 8078,640,480:waittimer 109027 blt 0,0,640,480,0, 8080,640,480:waittimer 109054 blt 0,0,640,480,0, 8082,640,480:waittimer 109081 blt 0,0,640,480,0, 8084,640,480:waittimer 109108 blt 0,0,640,480,0, 8086,640,480:waittimer 109135 blt 0,0,640,480,0, 8088,640,480:waittimer 109162 blt 0,0,640,480,0, 8090,640,480:waittimer 109189 blt 0,0,640,480,0, 8092,640,480:waittimer 109216 blt 0,0,640,480,0, 8094,640,480:waittimer 109243 blt 0,0,640,480,0, 8096,640,480:waittimer 109270 blt 0,0,640,480,0, 8098,640,480:waittimer 109297 blt 0,0,640,480,0, 8100,640,480:waittimer 109324 blt 0,0,640,480,0, 8102,640,480:waittimer 109351 blt 0,0,640,480,0, 8104,640,480:waittimer 109378 blt 0,0,640,480,0, 8106,640,480:waittimer 109405 blt 0,0,640,480,0, 8108,640,480:waittimer 109432 blt 0,0,640,480,0, 8110,640,480:waittimer 109459 blt 0,0,640,480,0, 8112,640,480:waittimer 109486 blt 0,0,640,480,0, 8114,640,480:waittimer 109513 blt 0,0,640,480,0, 8116,640,480:waittimer 109540 blt 0,0,640,480,0, 8118,640,480:waittimer 109567 blt 0,0,640,480,0, 8120,640,480:waittimer 109594 blt 0,0,640,480,0, 8122,640,480:waittimer 109621 blt 0,0,640,480,0, 8124,640,480:waittimer 109648 blt 0,0,640,480,0, 8126,640,480:waittimer 109675 blt 0,0,640,480,0, 8128,640,480:waittimer 109702 blt 0,0,640,480,0, 8130,640,480:waittimer 109728 blt 0,0,640,480,0, 8132,640,480:waittimer 109755 blt 0,0,640,480,0, 8134,640,480:waittimer 109782 blt 0,0,640,480,0, 8136,640,480:waittimer 109809 blt 0,0,640,480,0, 8138,640,480:waittimer 109836 blt 0,0,640,480,0, 8140,640,480:waittimer 109863 blt 0,0,640,480,0, 8142,640,480:waittimer 109890 blt 0,0,640,480,0, 8144,640,480:waittimer 109917 blt 0,0,640,480,0, 8146,640,480:waittimer 109944 blt 0,0,640,480,0, 8148,640,480:waittimer 109971 blt 0,0,640,480,0, 8150,640,480:waittimer 109998 blt 0,0,640,480,0, 8152,640,480:waittimer 110025 blt 0,0,640,480,0, 8154,640,480:waittimer 110052 blt 0,0,640,480,0, 8156,640,480:waittimer 110079 blt 0,0,640,480,0, 8158,640,480:waittimer 110106 blt 0,0,640,480,0, 8160,640,480:waittimer 110133 blt 0,0,640,480,0, 8162,640,480:waittimer 110160 blt 0,0,640,480,0, 8164,640,480:waittimer 110187 blt 0,0,640,480,0, 8166,640,480:waittimer 110214 blt 0,0,640,480,0, 8168,640,480:waittimer 110241 blt 0,0,640,480,0, 8170,640,480:waittimer 110268 blt 0,0,640,480,0, 8172,640,480:waittimer 110295 blt 0,0,640,480,0, 8174,640,480:waittimer 110322 blt 0,0,640,480,0, 8176,640,480:waittimer 110349 blt 0,0,640,480,0, 8178,640,480:waittimer 110376 blt 0,0,640,480,0, 8180,640,480:waittimer 110403 blt 0,0,640,480,0, 8182,640,480:waittimer 110430 blt 0,0,640,480,0, 8184,640,480:waittimer 110457 blt 0,0,640,480,0, 8186,640,480:waittimer 110484 blt 0,0,640,480,0, 8188,640,480:waittimer 110511 blt 0,0,640,480,0, 8190,640,480:waittimer 110538 blt 0,0,640,480,0, 8192,640,480:waittimer 110565 blt 0,0,640,480,0, 8194,640,480:waittimer 110592 blt 0,0,640,480,0, 8196,640,480:waittimer 110619 blt 0,0,640,480,0, 8198,640,480:waittimer 110646 blt 0,0,640,480,0, 8200,640,480:waittimer 110673 blt 0,0,640,480,0, 8202,640,480:waittimer 110700 blt 0,0,640,480,0, 8204,640,480:waittimer 110727 blt 0,0,640,480,0, 8206,640,480:waittimer 110754 blt 0,0,640,480,0, 8208,640,480:waittimer 110781 blt 0,0,640,480,0, 8210,640,480:waittimer 110808 blt 0,0,640,480,0, 8212,640,480:waittimer 110835 blt 0,0,640,480,0, 8214,640,480:waittimer 110862 blt 0,0,640,480,0, 8216,640,480:waittimer 110889 blt 0,0,640,480,0, 8218,640,480:waittimer 110916 blt 0,0,640,480,0, 8220,640,480:waittimer 110943 blt 0,0,640,480,0, 8222,640,480:waittimer 110970 blt 0,0,640,480,0, 8224,640,480:waittimer 110997 blt 0,0,640,480,0, 8226,640,480:waittimer 111024 blt 0,0,640,480,0, 8228,640,480:waittimer 111051 blt 0,0,640,480,0, 8230,640,480:waittimer 111078 blt 0,0,640,480,0, 8232,640,480:waittimer 111105 blt 0,0,640,480,0, 8234,640,480:waittimer 111132 blt 0,0,640,480,0, 8236,640,480:waittimer 111159 blt 0,0,640,480,0, 8238,640,480:waittimer 111186 blt 0,0,640,480,0, 8240,640,480:waittimer 111213 blt 0,0,640,480,0, 8242,640,480:waittimer 111240 blt 0,0,640,480,0, 8244,640,480:waittimer 111267 blt 0,0,640,480,0, 8246,640,480:waittimer 111294 blt 0,0,640,480,0, 8248,640,480:waittimer 111321 blt 0,0,640,480,0, 8250,640,480:waittimer 111348 blt 0,0,640,480,0, 8252,640,480:waittimer 111375 blt 0,0,640,480,0, 8254,640,480:waittimer 111402 blt 0,0,640,480,0, 8256,640,480:waittimer 111429 blt 0,0,640,480,0, 8258,640,480:waittimer 111456 blt 0,0,640,480,0, 8260,640,480:waittimer 111483 blt 0,0,640,480,0, 8262,640,480:waittimer 111510 blt 0,0,640,480,0, 8264,640,480:waittimer 111537 blt 0,0,640,480,0, 8266,640,480:waittimer 111564 blt 0,0,640,480,0, 8268,640,480:waittimer 111591 blt 0,0,640,480,0, 8270,640,480:waittimer 111618 blt 0,0,640,480,0, 8272,640,480:waittimer 111645 blt 0,0,640,480,0, 8274,640,480:waittimer 111672 blt 0,0,640,480,0, 8276,640,480:waittimer 111699 blt 0,0,640,480,0, 8278,640,480:waittimer 111726 blt 0,0,640,480,0, 8280,640,480:waittimer 111753 blt 0,0,640,480,0, 8282,640,480:waittimer 111780 blt 0,0,640,480,0, 8284,640,480:waittimer 111807 blt 0,0,640,480,0, 8286,640,480:waittimer 111834 blt 0,0,640,480,0, 8288,640,480:waittimer 111861 blt 0,0,640,480,0, 8290,640,480:waittimer 111888 blt 0,0,640,480,0, 8292,640,480:waittimer 111915 blt 0,0,640,480,0, 8294,640,480:waittimer 111942 blt 0,0,640,480,0, 8296,640,480:waittimer 111969 blt 0,0,640,480,0, 8298,640,480:waittimer 111996 blt 0,0,640,480,0, 8300,640,480:waittimer 112023 blt 0,0,640,480,0, 8302,640,480:waittimer 112050 blt 0,0,640,480,0, 8304,640,480:waittimer 112077 blt 0,0,640,480,0, 8306,640,480:waittimer 112104 blt 0,0,640,480,0, 8308,640,480:waittimer 112131 blt 0,0,640,480,0, 8310,640,480:waittimer 112158 blt 0,0,640,480,0, 8312,640,480:waittimer 112185 blt 0,0,640,480,0, 8314,640,480:waittimer 112212 blt 0,0,640,480,0, 8316,640,480:waittimer 112239 blt 0,0,640,480,0, 8318,640,480:waittimer 112266 blt 0,0,640,480,0, 8320,640,480:waittimer 112293 blt 0,0,640,480,0, 8322,640,480:waittimer 112320 blt 0,0,640,480,0, 8324,640,480:waittimer 112347 blt 0,0,640,480,0, 8326,640,480:waittimer 112374 blt 0,0,640,480,0, 8328,640,480:waittimer 112401 blt 0,0,640,480,0, 8330,640,480:waittimer 112428 blt 0,0,640,480,0, 8332,640,480:waittimer 112455 blt 0,0,640,480,0, 8334,640,480:waittimer 112482 blt 0,0,640,480,0, 8336,640,480:waittimer 112509 blt 0,0,640,480,0, 8338,640,480:waittimer 112536 blt 0,0,640,480,0, 8340,640,480:waittimer 112563 blt 0,0,640,480,0, 8342,640,480:waittimer 112590 blt 0,0,640,480,0, 8344,640,480:waittimer 112617 blt 0,0,640,480,0, 8346,640,480:waittimer 112644 blt 0,0,640,480,0, 8348,640,480:waittimer 112671 blt 0,0,640,480,0, 8350,640,480:waittimer 112698 blt 0,0,640,480,0, 8352,640,480:waittimer 112725 blt 0,0,640,480,0, 8354,640,480:waittimer 112752 blt 0,0,640,480,0, 8356,640,480:waittimer 112779 blt 0,0,640,480,0, 8358,640,480:waittimer 112806 blt 0,0,640,480,0, 8360,640,480:waittimer 112833 blt 0,0,640,480,0, 8362,640,480:waittimer 112860 blt 0,0,640,480,0, 8364,640,480:waittimer 112887 blt 0,0,640,480,0, 8366,640,480:waittimer 112914 blt 0,0,640,480,0, 8368,640,480:waittimer 112941 blt 0,0,640,480,0, 8370,640,480:waittimer 112968 blt 0,0,640,480,0, 8372,640,480:waittimer 112995 blt 0,0,640,480,0, 8374,640,480:waittimer 113022 blt 0,0,640,480,0, 8376,640,480:waittimer 113049 blt 0,0,640,480,0, 8378,640,480:waittimer 113076 blt 0,0,640,480,0, 8380,640,480:waittimer 113103 blt 0,0,640,480,0, 8382,640,480:waittimer 113130 blt 0,0,640,480,0, 8384,640,480:waittimer 113157 blt 0,0,640,480,0, 8386,640,480:waittimer 113184 blt 0,0,640,480,0, 8388,640,480:waittimer 113211 blt 0,0,640,480,0, 8390,640,480:waittimer 113238 blt 0,0,640,480,0, 8392,640,480:waittimer 113265 blt 0,0,640,480,0, 8394,640,480:waittimer 113292 blt 0,0,640,480,0, 8396,640,480:waittimer 113319 blt 0,0,640,480,0, 8398,640,480:waittimer 113346 blt 0,0,640,480,0, 8400,640,480:waittimer 113373 blt 0,0,640,480,0, 8402,640,480:waittimer 113400 blt 0,0,640,480,0, 8404,640,480:waittimer 113427 blt 0,0,640,480,0, 8406,640,480:waittimer 113454 blt 0,0,640,480,0, 8408,640,480:waittimer 113481 blt 0,0,640,480,0, 8410,640,480:waittimer 113508 blt 0,0,640,480,0, 8412,640,480:waittimer 113535 blt 0,0,640,480,0, 8414,640,480:waittimer 113562 blt 0,0,640,480,0, 8416,640,480:waittimer 113589 blt 0,0,640,480,0, 8418,640,480:waittimer 113616 blt 0,0,640,480,0, 8420,640,480:waittimer 113643 blt 0,0,640,480,0, 8422,640,480:waittimer 113670 blt 0,0,640,480,0, 8424,640,480:waittimer 113697 blt 0,0,640,480,0, 8426,640,480:waittimer 113724 blt 0,0,640,480,0, 8428,640,480:waittimer 113751 blt 0,0,640,480,0, 8430,640,480:waittimer 113778 blt 0,0,640,480,0, 8432,640,480:waittimer 113805 blt 0,0,640,480,0, 8434,640,480:waittimer 113832 blt 0,0,640,480,0, 8436,640,480:waittimer 113859 blt 0,0,640,480,0, 8438,640,480:waittimer 113886 blt 0,0,640,480,0, 8440,640,480:waittimer 113913 blt 0,0,640,480,0, 8442,640,480:waittimer 113939 blt 0,0,640,480,0, 8444,640,480:waittimer 113966 blt 0,0,640,480,0, 8446,640,480:waittimer 113993 blt 0,0,640,480,0, 8448,640,480:waittimer 114020 blt 0,0,640,480,0, 8450,640,480:waittimer 114047 blt 0,0,640,480,0, 8452,640,480:waittimer 114074 blt 0,0,640,480,0, 8454,640,480:waittimer 114101 blt 0,0,640,480,0, 8456,640,480:waittimer 114128 blt 0,0,640,480,0, 8458,640,480:waittimer 114155 blt 0,0,640,480,0, 8460,640,480:waittimer 114182 blt 0,0,640,480,0, 8462,640,480:waittimer 114209 blt 0,0,640,480,0, 8464,640,480:waittimer 114236 blt 0,0,640,480,0, 8466,640,480:waittimer 114263 blt 0,0,640,480,0, 8468,640,480:waittimer 114290 blt 0,0,640,480,0, 8470,640,480:waittimer 114317 blt 0,0,640,480,0, 8472,640,480:waittimer 114344 blt 0,0,640,480,0, 8474,640,480:waittimer 114371 blt 0,0,640,480,0, 8476,640,480:waittimer 114398 blt 0,0,640,480,0, 8478,640,480:waittimer 114425 blt 0,0,640,480,0, 8480,640,480:waittimer 114452 blt 0,0,640,480,0, 8482,640,480:waittimer 114479 blt 0,0,640,480,0, 8484,640,480:waittimer 114506 blt 0,0,640,480,0, 8486,640,480:waittimer 114533 blt 0,0,640,480,0, 8488,640,480:waittimer 114560 blt 0,0,640,480,0, 8490,640,480:waittimer 114587 blt 0,0,640,480,0, 8492,640,480:waittimer 114614 blt 0,0,640,480,0, 8494,640,480:waittimer 114641 blt 0,0,640,480,0, 8496,640,480:waittimer 114668 blt 0,0,640,480,0, 8498,640,480:waittimer 114695 blt 0,0,640,480,0, 8500,640,480:waittimer 114722 blt 0,0,640,480,0, 8502,640,480:waittimer 114749 blt 0,0,640,480,0, 8504,640,480:waittimer 114776 blt 0,0,640,480,0, 8506,640,480:waittimer 114803 blt 0,0,640,480,0, 8508,640,480:waittimer 114830 blt 0,0,640,480,0, 8510,640,480:waittimer 114857 blt 0,0,640,480,0, 8512,640,480:waittimer 114884 blt 0,0,640,480,0, 8514,640,480:waittimer 114911 blt 0,0,640,480,0, 8516,640,480:waittimer 114938 blt 0,0,640,480,0, 8518,640,480:waittimer 114965 blt 0,0,640,480,0, 8520,640,480:waittimer 114992 blt 0,0,640,480,0, 8522,640,480:waittimer 115019 blt 0,0,640,480,0, 8524,640,480:waittimer 115046 blt 0,0,640,480,0, 8526,640,480:waittimer 115073 blt 0,0,640,480,0, 8528,640,480:waittimer 115100 blt 0,0,640,480,0, 8530,640,480:waittimer 115127 blt 0,0,640,480,0, 8532,640,480:waittimer 115154 blt 0,0,640,480,0, 8534,640,480:waittimer 115181 blt 0,0,640,480,0, 8536,640,480:waittimer 115208 blt 0,0,640,480,0, 8538,640,480:waittimer 115235 blt 0,0,640,480,0, 8540,640,480:waittimer 115262 blt 0,0,640,480,0, 8542,640,480:waittimer 115289 blt 0,0,640,480,0, 8544,640,480:waittimer 115316 blt 0,0,640,480,0, 8546,640,480:waittimer 115343 blt 0,0,640,480,0, 8548,640,480:waittimer 115370 blt 0,0,640,480,0, 8550,640,480:waittimer 115397 blt 0,0,640,480,0, 8552,640,480:waittimer 115424 blt 0,0,640,480,0, 8554,640,480:waittimer 115451 blt 0,0,640,480,0, 8556,640,480:waittimer 115478 blt 0,0,640,480,0, 8558,640,480:waittimer 115505 blt 0,0,640,480,0, 8560,640,480:waittimer 115532 blt 0,0,640,480,0, 8562,640,480:waittimer 115559 blt 0,0,640,480,0, 8564,640,480:waittimer 115586 blt 0,0,640,480,0, 8566,640,480:waittimer 115613 blt 0,0,640,480,0, 8568,640,480:waittimer 115640 blt 0,0,640,480,0, 8570,640,480:waittimer 115667 blt 0,0,640,480,0, 8572,640,480:waittimer 115694 blt 0,0,640,480,0, 8574,640,480:waittimer 115721 blt 0,0,640,480,0, 8576,640,480:waittimer 115748 blt 0,0,640,480,0, 8578,640,480:waittimer 115775 blt 0,0,640,480,0, 8580,640,480:waittimer 115802 blt 0,0,640,480,0, 8582,640,480:waittimer 115829 blt 0,0,640,480,0, 8584,640,480:waittimer 115856 blt 0,0,640,480,0, 8586,640,480:waittimer 115883 blt 0,0,640,480,0, 8588,640,480:waittimer 115910 blt 0,0,640,480,0, 8590,640,480:waittimer 115937 blt 0,0,640,480,0, 8592,640,480:waittimer 115964 blt 0,0,640,480,0, 8594,640,480:waittimer 115991 blt 0,0,640,480,0, 8596,640,480:waittimer 116018 blt 0,0,640,480,0, 8598,640,480:waittimer 116045 blt 0,0,640,480,0, 8600,640,480:waittimer 116072 blt 0,0,640,480,0, 8602,640,480:waittimer 116099 blt 0,0,640,480,0, 8604,640,480:waittimer 116126 blt 0,0,640,480,0, 8606,640,480:waittimer 116153 blt 0,0,640,480,0, 8608,640,480:waittimer 116180 blt 0,0,640,480,0, 8610,640,480:waittimer 116207 blt 0,0,640,480,0, 8612,640,480:waittimer 116234 blt 0,0,640,480,0, 8614,640,480:waittimer 116261 blt 0,0,640,480,0, 8616,640,480:waittimer 116288 blt 0,0,640,480,0, 8618,640,480:waittimer 116315 blt 0,0,640,480,0, 8620,640,480:waittimer 116342 blt 0,0,640,480,0, 8622,640,480:waittimer 116369 blt 0,0,640,480,0, 8624,640,480:waittimer 116396 blt 0,0,640,480,0, 8626,640,480:waittimer 116423 blt 0,0,640,480,0, 8628,640,480:waittimer 116450 blt 0,0,640,480,0, 8630,640,480:waittimer 116477 blt 0,0,640,480,0, 8632,640,480:waittimer 116504 blt 0,0,640,480,0, 8634,640,480:waittimer 116531 blt 0,0,640,480,0, 8636,640,480:waittimer 116558 blt 0,0,640,480,0, 8638,640,480:waittimer 116585 blt 0,0,640,480,0, 8640,640,480:waittimer 116612 blt 0,0,640,480,0, 8642,640,480:waittimer 116639 blt 0,0,640,480,0, 8644,640,480:waittimer 116666 blt 0,0,640,480,0, 8646,640,480:waittimer 116693 blt 0,0,640,480,0, 8648,640,480:waittimer 116720 blt 0,0,640,480,0, 8650,640,480:waittimer 116747 blt 0,0,640,480,0, 8652,640,480:waittimer 116774 blt 0,0,640,480,0, 8654,640,480:waittimer 116801 blt 0,0,640,480,0, 8656,640,480:waittimer 116828 blt 0,0,640,480,0, 8658,640,480:waittimer 116855 blt 0,0,640,480,0, 8660,640,480:waittimer 116882 blt 0,0,640,480,0, 8662,640,480:waittimer 116909 blt 0,0,640,480,0, 8664,640,480:waittimer 116936 blt 0,0,640,480,0, 8666,640,480:waittimer 116963 blt 0,0,640,480,0, 8668,640,480:waittimer 116990 blt 0,0,640,480,0, 8670,640,480:waittimer 117017 blt 0,0,640,480,0, 8672,640,480:waittimer 117044 blt 0,0,640,480,0, 8674,640,480:waittimer 117071 blt 0,0,640,480,0, 8676,640,480:waittimer 117098 blt 0,0,640,480,0, 8678,640,480:waittimer 117125 blt 0,0,640,480,0, 8680,640,480:waittimer 117152 blt 0,0,640,480,0, 8682,640,480:waittimer 117179 blt 0,0,640,480,0, 8684,640,480:waittimer 117206 blt 0,0,640,480,0, 8686,640,480:waittimer 117233 blt 0,0,640,480,0, 8688,640,480:waittimer 117260 blt 0,0,640,480,0, 8690,640,480:waittimer 117287 blt 0,0,640,480,0, 8692,640,480:waittimer 117314 blt 0,0,640,480,0, 8694,640,480:waittimer 117341 blt 0,0,640,480,0, 8696,640,480:waittimer 117368 blt 0,0,640,480,0, 8698,640,480:waittimer 117395 blt 0,0,640,480,0, 8700,640,480:waittimer 117422 blt 0,0,640,480,0, 8702,640,480:waittimer 117449 blt 0,0,640,480,0, 8704,640,480:waittimer 117476 blt 0,0,640,480,0, 8706,640,480:waittimer 117503 blt 0,0,640,480,0, 8708,640,480:waittimer 117530 blt 0,0,640,480,0, 8710,640,480:waittimer 117557 blt 0,0,640,480,0, 8712,640,480:waittimer 117584 blt 0,0,640,480,0, 8714,640,480:waittimer 117611 blt 0,0,640,480,0, 8716,640,480:waittimer 117638 blt 0,0,640,480,0, 8718,640,480:waittimer 117665 blt 0,0,640,480,0, 8720,640,480:waittimer 117692 blt 0,0,640,480,0, 8722,640,480:waittimer 117719 blt 0,0,640,480,0, 8724,640,480:waittimer 117746 blt 0,0,640,480,0, 8726,640,480:waittimer 117773 blt 0,0,640,480,0, 8728,640,480:waittimer 117800 blt 0,0,640,480,0, 8730,640,480:waittimer 117827 blt 0,0,640,480,0, 8732,640,480:waittimer 117854 blt 0,0,640,480,0, 8734,640,480:waittimer 117881 blt 0,0,640,480,0, 8736,640,480:waittimer 117908 blt 0,0,640,480,0, 8738,640,480:waittimer 117935 blt 0,0,640,480,0, 8740,640,480:waittimer 117962 blt 0,0,640,480,0, 8742,640,480:waittimer 117989 blt 0,0,640,480,0, 8744,640,480:waittimer 118016 blt 0,0,640,480,0, 8746,640,480:waittimer 118043 blt 0,0,640,480,0, 8748,640,480:waittimer 118070 blt 0,0,640,480,0, 8750,640,480:waittimer 118097 blt 0,0,640,480,0, 8752,640,480:waittimer 118124 blt 0,0,640,480,0, 8754,640,480:waittimer 118150 blt 0,0,640,480,0, 8756,640,480:waittimer 118177 blt 0,0,640,480,0, 8758,640,480:waittimer 118204 blt 0,0,640,480,0, 8760,640,480:waittimer 118231 blt 0,0,640,480,0, 8762,640,480:waittimer 118258 blt 0,0,640,480,0, 8764,640,480:waittimer 118285 blt 0,0,640,480,0, 8766,640,480:waittimer 118312 blt 0,0,640,480,0, 8768,640,480:waittimer 118339 blt 0,0,640,480,0, 8770,640,480:waittimer 118366 blt 0,0,640,480,0, 8772,640,480:waittimer 118393 blt 0,0,640,480,0, 8774,640,480:waittimer 118420 blt 0,0,640,480,0, 8776,640,480:waittimer 118447 blt 0,0,640,480,0, 8778,640,480:waittimer 118474 blt 0,0,640,480,0, 8780,640,480:waittimer 118501 blt 0,0,640,480,0, 8782,640,480:waittimer 118528 blt 0,0,640,480,0, 8784,640,480:waittimer 118555 blt 0,0,640,480,0, 8786,640,480:waittimer 118582 blt 0,0,640,480,0, 8788,640,480:waittimer 118609 blt 0,0,640,480,0, 8790,640,480:waittimer 118636 blt 0,0,640,480,0, 8792,640,480:waittimer 118663 blt 0,0,640,480,0, 8794,640,480:waittimer 118690 blt 0,0,640,480,0, 8796,640,480:waittimer 118717 blt 0,0,640,480,0, 8798,640,480:waittimer 118744 blt 0,0,640,480,0, 8800,640,480:waittimer 118771 blt 0,0,640,480,0, 8802,640,480:waittimer 118798 blt 0,0,640,480,0, 8804,640,480:waittimer 118825 blt 0,0,640,480,0, 8806,640,480:waittimer 118852 blt 0,0,640,480,0, 8808,640,480:waittimer 118879 blt 0,0,640,480,0, 8810,640,480:waittimer 118906 blt 0,0,640,480,0, 8812,640,480:waittimer 118933 blt 0,0,640,480,0, 8814,640,480:waittimer 118960 blt 0,0,640,480,0, 8816,640,480:waittimer 118987 blt 0,0,640,480,0, 8818,640,480:waittimer 119014 blt 0,0,640,480,0, 8820,640,480:waittimer 119041 blt 0,0,640,480,0, 8822,640,480:waittimer 119068 blt 0,0,640,480,0, 8824,640,480:waittimer 119095 blt 0,0,640,480,0, 8826,640,480:waittimer 119122 blt 0,0,640,480,0, 8828,640,480:waittimer 119149 blt 0,0,640,480,0, 8830,640,480:waittimer 119176 blt 0,0,640,480,0, 8832,640,480:waittimer 119203 blt 0,0,640,480,0, 8834,640,480:waittimer 119230 blt 0,0,640,480,0, 8836,640,480:waittimer 119257 blt 0,0,640,480,0, 8838,640,480:waittimer 119284 blt 0,0,640,480,0, 8840,640,480:waittimer 119311 blt 0,0,640,480,0, 8842,640,480:waittimer 119338 blt 0,0,640,480,0, 8844,640,480:waittimer 119365 blt 0,0,640,480,0, 8846,640,480:waittimer 119392 blt 0,0,640,480,0, 8848,640,480:waittimer 119419 blt 0,0,640,480,0, 8850,640,480:waittimer 119446 blt 0,0,640,480,0, 8852,640,480:waittimer 119473 blt 0,0,640,480,0, 8854,640,480:waittimer 119500 blt 0,0,640,480,0, 8856,640,480:waittimer 119527 blt 0,0,640,480,0, 8858,640,480:waittimer 119554 blt 0,0,640,480,0, 8860,640,480:waittimer 119581 blt 0,0,640,480,0, 8862,640,480:waittimer 119608 blt 0,0,640,480,0, 8864,640,480:waittimer 119635 blt 0,0,640,480,0, 8866,640,480:waittimer 119662 blt 0,0,640,480,0, 8868,640,480:waittimer 119689 blt 0,0,640,480,0, 8870,640,480:waittimer 119716 blt 0,0,640,480,0, 8872,640,480:waittimer 119743 blt 0,0,640,480,0, 8874,640,480:waittimer 119770 blt 0,0,640,480,0, 8876,640,480:waittimer 119797 blt 0,0,640,480,0, 8878,640,480:waittimer 119824 blt 0,0,640,480,0, 8880,640,480:waittimer 119851 blt 0,0,640,480,0, 8882,640,480:waittimer 119878 blt 0,0,640,480,0, 8884,640,480:waittimer 119905 blt 0,0,640,480,0, 8886,640,480:waittimer 119932 blt 0,0,640,480,0, 8888,640,480:waittimer 119959 blt 0,0,640,480,0, 8890,640,480:waittimer 119986 blt 0,0,640,480,0, 8892,640,480:waittimer 120013 blt 0,0,640,480,0, 8894,640,480:waittimer 120040 blt 0,0,640,480,0, 8896,640,480:waittimer 120067 blt 0,0,640,480,0, 8898,640,480:waittimer 120094 blt 0,0,640,480,0, 8900,640,480:waittimer 120121 blt 0,0,640,480,0, 8902,640,480:waittimer 120148 blt 0,0,640,480,0, 8904,640,480:waittimer 120175 blt 0,0,640,480,0, 8906,640,480:waittimer 120202 blt 0,0,640,480,0, 8908,640,480:waittimer 120229 blt 0,0,640,480,0, 8910,640,480:waittimer 120256 blt 0,0,640,480,0, 8912,640,480:waittimer 120283 blt 0,0,640,480,0, 8914,640,480:waittimer 120310 blt 0,0,640,480,0, 8916,640,480:waittimer 120337 blt 0,0,640,480,0, 8918,640,480:waittimer 120364 blt 0,0,640,480,0, 8920,640,480:waittimer 120391 blt 0,0,640,480,0, 8922,640,480:waittimer 120418 blt 0,0,640,480,0, 8924,640,480:waittimer 120445 blt 0,0,640,480,0, 8926,640,480:waittimer 120472 blt 0,0,640,480,0, 8928,640,480:waittimer 120499 blt 0,0,640,480,0, 8930,640,480:waittimer 120526 blt 0,0,640,480,0, 8932,640,480:waittimer 120553 blt 0,0,640,480,0, 8934,640,480:waittimer 120580 blt 0,0,640,480,0, 8936,640,480:waittimer 120607 blt 0,0,640,480,0, 8938,640,480:waittimer 120634 blt 0,0,640,480,0, 8940,640,480:waittimer 120661 blt 0,0,640,480,0, 8942,640,480:waittimer 120688 blt 0,0,640,480,0, 8944,640,480:waittimer 120715 blt 0,0,640,480,0, 8946,640,480:waittimer 120742 blt 0,0,640,480,0, 8948,640,480:waittimer 120769 blt 0,0,640,480,0, 8950,640,480:waittimer 120796 blt 0,0,640,480,0, 8952,640,480:waittimer 120823 blt 0,0,640,480,0, 8954,640,480:waittimer 120850 blt 0,0,640,480,0, 8956,640,480:waittimer 120877 blt 0,0,640,480,0, 8958,640,480:waittimer 120904 blt 0,0,640,480,0, 8960,640,480:waittimer 120931 blt 0,0,640,480,0, 8962,640,480:waittimer 120958 blt 0,0,640,480,0, 8964,640,480:waittimer 120985 blt 0,0,640,480,0, 8966,640,480:waittimer 121012 blt 0,0,640,480,0, 8968,640,480:waittimer 121039 blt 0,0,640,480,0, 8970,640,480:waittimer 121066 blt 0,0,640,480,0, 8972,640,480:waittimer 121093 blt 0,0,640,480,0, 8974,640,480:waittimer 121120 blt 0,0,640,480,0, 8976,640,480:waittimer 121147 blt 0,0,640,480,0, 8978,640,480:waittimer 121174 blt 0,0,640,480,0, 8980,640,480:waittimer 121201 blt 0,0,640,480,0, 8982,640,480:waittimer 121228 blt 0,0,640,480,0, 8984,640,480:waittimer 121255 blt 0,0,640,480,0, 8986,640,480:waittimer 121282 blt 0,0,640,480,0, 8988,640,480:waittimer 121309 blt 0,0,640,480,0, 8990,640,480:waittimer 121336 blt 0,0,640,480,0, 8992,640,480:waittimer 121363 blt 0,0,640,480,0, 8994,640,480:waittimer 121390 blt 0,0,640,480,0, 8996,640,480:waittimer 121417 blt 0,0,640,480,0, 8998,640,480:waittimer 121444 blt 0,0,640,480,0, 9000,640,480:waittimer 121471 blt 0,0,640,480,0, 9002,640,480:waittimer 121498 blt 0,0,640,480,0, 9004,640,480:waittimer 121525 blt 0,0,640,480,0, 9006,640,480:waittimer 121552 blt 0,0,640,480,0, 9008,640,480:waittimer 121579 blt 0,0,640,480,0, 9010,640,480:waittimer 121606 blt 0,0,640,480,0, 9012,640,480:waittimer 121633 blt 0,0,640,480,0, 9014,640,480:waittimer 121660 blt 0,0,640,480,0, 9016,640,480:waittimer 121687 blt 0,0,640,480,0, 9018,640,480:waittimer 121714 blt 0,0,640,480,0, 9020,640,480:waittimer 121741 blt 0,0,640,480,0, 9022,640,480:waittimer 121768 blt 0,0,640,480,0, 9024,640,480:waittimer 121795 blt 0,0,640,480,0, 9026,640,480:waittimer 121822 blt 0,0,640,480,0, 9028,640,480:waittimer 121849 blt 0,0,640,480,0, 9030,640,480:waittimer 121876 blt 0,0,640,480,0, 9032,640,480:waittimer 121903 blt 0,0,640,480,0, 9034,640,480:waittimer 121930 blt 0,0,640,480,0, 9036,640,480:waittimer 121957 blt 0,0,640,480,0, 9038,640,480:waittimer 121984 blt 0,0,640,480,0, 9040,640,480:waittimer 122011 blt 0,0,640,480,0, 9042,640,480:waittimer 122038 blt 0,0,640,480,0, 9044,640,480:waittimer 122065 blt 0,0,640,480,0, 9046,640,480:waittimer 122092 blt 0,0,640,480,0, 9048,640,480:waittimer 122119 blt 0,0,640,480,0, 9050,640,480:waittimer 122146 blt 0,0,640,480,0, 9052,640,480:waittimer 122173 blt 0,0,640,480,0, 9054,640,480:waittimer 122200 blt 0,0,640,480,0, 9056,640,480:waittimer 122227 blt 0,0,640,480,0, 9058,640,480:waittimer 122254 blt 0,0,640,480,0, 9060,640,480:waittimer 122281 blt 0,0,640,480,0, 9062,640,480:waittimer 122308 blt 0,0,640,480,0, 9064,640,480:waittimer 122335 blt 0,0,640,480,0, 9066,640,480:waittimer 122362 blt 0,0,640,480,0, 9068,640,480:waittimer 122388 blt 0,0,640,480,0, 9070,640,480:waittimer 122415 blt 0,0,640,480,0, 9072,640,480:waittimer 122442 blt 0,0,640,480,0, 9074,640,480:waittimer 122469 blt 0,0,640,480,0, 9076,640,480:waittimer 122496 blt 0,0,640,480,0, 9078,640,480:waittimer 122523 blt 0,0,640,480,0, 9080,640,480:waittimer 122550 blt 0,0,640,480,0, 9082,640,480:waittimer 122577 blt 0,0,640,480,0, 9084,640,480:waittimer 122604 blt 0,0,640,480,0, 9086,640,480:waittimer 122631 blt 0,0,640,480,0, 9088,640,480:waittimer 122658 blt 0,0,640,480,0, 9090,640,480:waittimer 122685 blt 0,0,640,480,0, 9092,640,480:waittimer 122712 blt 0,0,640,480,0, 9094,640,480:waittimer 122739 blt 0,0,640,480,0, 9096,640,480:waittimer 122766 blt 0,0,640,480,0, 9098,640,480:waittimer 122793 blt 0,0,640,480,0, 9100,640,480:waittimer 122820 blt 0,0,640,480,0, 9102,640,480:waittimer 122847 blt 0,0,640,480,0, 9104,640,480:waittimer 122874 blt 0,0,640,480,0, 9106,640,480:waittimer 122901 blt 0,0,640,480,0, 9108,640,480:waittimer 122928 blt 0,0,640,480,0, 9110,640,480:waittimer 122955 blt 0,0,640,480,0, 9112,640,480:waittimer 122982 blt 0,0,640,480,0, 9114,640,480:waittimer 123009 blt 0,0,640,480,0, 9116,640,480:waittimer 123036 blt 0,0,640,480,0, 9118,640,480:waittimer 123063 blt 0,0,640,480,0, 9120,640,480:waittimer 123090 blt 0,0,640,480,0, 9122,640,480:waittimer 123117 blt 0,0,640,480,0, 9124,640,480:waittimer 123144 blt 0,0,640,480,0, 9126,640,480:waittimer 123171 blt 0,0,640,480,0, 9128,640,480:waittimer 123198 blt 0,0,640,480,0, 9130,640,480:waittimer 123225 blt 0,0,640,480,0, 9132,640,480:waittimer 123252 blt 0,0,640,480,0, 9134,640,480:waittimer 123279 blt 0,0,640,480,0, 9136,640,480:waittimer 123306 blt 0,0,640,480,0, 9138,640,480:waittimer 123333 blt 0,0,640,480,0, 9140,640,480:waittimer 123360 blt 0,0,640,480,0, 9142,640,480:waittimer 123387 blt 0,0,640,480,0, 9144,640,480:waittimer 123414 blt 0,0,640,480,0, 9146,640,480:waittimer 123441 blt 0,0,640,480,0, 9148,640,480:waittimer 123468 blt 0,0,640,480,0, 9150,640,480:waittimer 123495 blt 0,0,640,480,0, 9152,640,480:waittimer 123522 blt 0,0,640,480,0, 9154,640,480:waittimer 123549 blt 0,0,640,480,0, 9156,640,480:waittimer 123576 blt 0,0,640,480,0, 9158,640,480:waittimer 123603 blt 0,0,640,480,0, 9160,640,480:waittimer 123630 blt 0,0,640,480,0, 9162,640,480:waittimer 123657 blt 0,0,640,480,0, 9164,640,480:waittimer 123684 blt 0,0,640,480,0, 9166,640,480:waittimer 123711 blt 0,0,640,480,0, 9168,640,480:waittimer 123738 blt 0,0,640,480,0, 9170,640,480:waittimer 123765 blt 0,0,640,480,0, 9172,640,480:waittimer 123792 blt 0,0,640,480,0, 9174,640,480:waittimer 123819 blt 0,0,640,480,0, 9176,640,480:waittimer 123846 blt 0,0,640,480,0, 9178,640,480:waittimer 123873 blt 0,0,640,480,0, 9180,640,480:waittimer 123900 blt 0,0,640,480,0, 9182,640,480:waittimer 123927 blt 0,0,640,480,0, 9184,640,480:waittimer 123954 blt 0,0,640,480,0, 9186,640,480:waittimer 123981 blt 0,0,640,480,0, 9188,640,480:waittimer 124008 blt 0,0,640,480,0, 9190,640,480:waittimer 124035 blt 0,0,640,480,0, 9192,640,480:waittimer 124062 blt 0,0,640,480,0, 9194,640,480:waittimer 124089 blt 0,0,640,480,0, 9196,640,480:waittimer 124116 blt 0,0,640,480,0, 9198,640,480:waittimer 124143 blt 0,0,640,480,0, 9200,640,480:waittimer 124170 blt 0,0,640,480,0, 9202,640,480:waittimer 124197 blt 0,0,640,480,0, 9204,640,480:waittimer 124224 blt 0,0,640,480,0, 9206,640,480:waittimer 124251 blt 0,0,640,480,0, 9208,640,480:waittimer 124278 blt 0,0,640,480,0, 9210,640,480:waittimer 124305 blt 0,0,640,480,0, 9212,640,480:waittimer 124332 blt 0,0,640,480,0, 9214,640,480:waittimer 124359 blt 0,0,640,480,0, 9216,640,480:waittimer 124386 blt 0,0,640,480,0, 9218,640,480:waittimer 124413 blt 0,0,640,480,0, 9220,640,480:waittimer 124440 blt 0,0,640,480,0, 9222,640,480:waittimer 124467 blt 0,0,640,480,0, 9224,640,480:waittimer 124494 blt 0,0,640,480,0, 9226,640,480:waittimer 124521 blt 0,0,640,480,0, 9228,640,480:waittimer 124548 blt 0,0,640,480,0, 9230,640,480:waittimer 124575 blt 0,0,640,480,0, 9232,640,480:waittimer 124602 blt 0,0,640,480,0, 9234,640,480:waittimer 124629 blt 0,0,640,480,0, 9236,640,480:waittimer 124656 blt 0,0,640,480,0, 9238,640,480:waittimer 124683 blt 0,0,640,480,0, 9240,640,480:waittimer 124710 blt 0,0,640,480,0, 9242,640,480:waittimer 124737 blt 0,0,640,480,0, 9244,640,480:waittimer 124764 blt 0,0,640,480,0, 9246,640,480:waittimer 124791 blt 0,0,640,480,0, 9248,640,480:waittimer 124818 blt 0,0,640,480,0, 9250,640,480:waittimer 124845 blt 0,0,640,480,0, 9252,640,480:waittimer 124872 blt 0,0,640,480,0, 9254,640,480:waittimer 124899 blt 0,0,640,480,0, 9256,640,480:waittimer 124926 blt 0,0,640,480,0, 9258,640,480:waittimer 124953 blt 0,0,640,480,0, 9260,640,480:waittimer 124980 blt 0,0,640,480,0, 9262,640,480:waittimer 125007 blt 0,0,640,480,0, 9264,640,480:waittimer 125034 blt 0,0,640,480,0, 9266,640,480:waittimer 125061 blt 0,0,640,480,0, 9268,640,480:waittimer 125088 blt 0,0,640,480,0, 9270,640,480:waittimer 125115 blt 0,0,640,480,0, 9272,640,480:waittimer 125142 blt 0,0,640,480,0, 9274,640,480:waittimer 125169 blt 0,0,640,480,0, 9276,640,480:waittimer 125196 blt 0,0,640,480,0, 9278,640,480:waittimer 125223 blt 0,0,640,480,0, 9280,640,480:waittimer 125250 blt 0,0,640,480,0, 9282,640,480:waittimer 125277 blt 0,0,640,480,0, 9284,640,480:waittimer 125304 blt 0,0,640,480,0, 9286,640,480:waittimer 125331 blt 0,0,640,480,0, 9288,640,480:waittimer 125358 blt 0,0,640,480,0, 9290,640,480:waittimer 125385 blt 0,0,640,480,0, 9292,640,480:waittimer 125412 blt 0,0,640,480,0, 9294,640,480:waittimer 125439 blt 0,0,640,480,0, 9296,640,480:waittimer 125466 blt 0,0,640,480,0, 9298,640,480:waittimer 125493 blt 0,0,640,480,0, 9300,640,480:waittimer 125520 blt 0,0,640,480,0, 9302,640,480:waittimer 125547 blt 0,0,640,480,0, 9304,640,480:waittimer 125574 blt 0,0,640,480,0, 9306,640,480:waittimer 125601 blt 0,0,640,480,0, 9308,640,480:waittimer 125628 blt 0,0,640,480,0, 9310,640,480:waittimer 125655 blt 0,0,640,480,0, 9312,640,480:waittimer 125682 blt 0,0,640,480,0, 9314,640,480:waittimer 125709 blt 0,0,640,480,0, 9316,640,480:waittimer 125736 blt 0,0,640,480,0, 9318,640,480:waittimer 125763 blt 0,0,640,480,0, 9320,640,480:waittimer 125790 blt 0,0,640,480,0, 9322,640,480:waittimer 125817 blt 0,0,640,480,0, 9324,640,480:waittimer 125844 blt 0,0,640,480,0, 9326,640,480:waittimer 125871 blt 0,0,640,480,0, 9328,640,480:waittimer 125898 blt 0,0,640,480,0, 9330,640,480:waittimer 125925 blt 0,0,640,480,0, 9332,640,480:waittimer 125952 blt 0,0,640,480,0, 9334,640,480:waittimer 125979 blt 0,0,640,480,0, 9336,640,480:waittimer 126006 blt 0,0,640,480,0, 9338,640,480:waittimer 126033 blt 0,0,640,480,0, 9340,640,480:waittimer 126060 blt 0,0,640,480,0, 9342,640,480:waittimer 126087 blt 0,0,640,480,0, 9344,640,480:waittimer 126114 blt 0,0,640,480,0, 9346,640,480:waittimer 126141 blt 0,0,640,480,0, 9348,640,480:waittimer 126168 blt 0,0,640,480,0, 9350,640,480:waittimer 126195 blt 0,0,640,480,0, 9352,640,480:waittimer 126222 blt 0,0,640,480,0, 9354,640,480:waittimer 126249 blt 0,0,640,480,0, 9356,640,480:waittimer 126276 blt 0,0,640,480,0, 9358,640,480:waittimer 126303 blt 0,0,640,480,0, 9360,640,480:waittimer 126330 blt 0,0,640,480,0, 9362,640,480:waittimer 126357 blt 0,0,640,480,0, 9364,640,480:waittimer 126384 blt 0,0,640,480,0, 9366,640,480:waittimer 126411 blt 0,0,640,480,0, 9368,640,480:waittimer 126438 blt 0,0,640,480,0, 9370,640,480:waittimer 126465 blt 0,0,640,480,0, 9372,640,480:waittimer 126492 blt 0,0,640,480,0, 9374,640,480:waittimer 126519 blt 0,0,640,480,0, 9376,640,480:waittimer 126546 blt 0,0,640,480,0, 9378,640,480:waittimer 126573 blt 0,0,640,480,0, 9380,640,480:waittimer 126599 return *teatime_ep5b_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 13 blt 0,0,640,480,0, 4,640,480:waittimer 26 blt 0,0,640,480,0, 6,640,480:waittimer 39 blt 0,0,640,480,0, 8,640,480:waittimer 52 blt 0,0,640,480,0, 10,640,480:waittimer 65 blt 0,0,640,480,0, 12,640,480:waittimer 78 blt 0,0,640,480,0, 14,640,480:waittimer 91 blt 0,0,640,480,0, 16,640,480:waittimer 104 blt 0,0,640,480,0, 18,640,480:waittimer 117 blt 0,0,640,480,0, 20,640,480:waittimer 130 blt 0,0,640,480,0, 22,640,480:waittimer 143 blt 0,0,640,480,0, 24,640,480:waittimer 156 blt 0,0,640,480,0, 26,640,480:waittimer 169 blt 0,0,640,480,0, 28,640,480:waittimer 182 blt 0,0,640,480,0, 30,640,480:waittimer 195 blt 0,0,640,480,0, 32,640,480:waittimer 208 blt 0,0,640,480,0, 34,640,480:waittimer 221 blt 0,0,640,480,0, 36,640,480:waittimer 235 blt 0,0,640,480,0, 38,640,480:waittimer 248 blt 0,0,640,480,0, 40,640,480:waittimer 261 blt 0,0,640,480,0, 42,640,480:waittimer 274 blt 0,0,640,480,0, 44,640,480:waittimer 287 blt 0,0,640,480,0, 46,640,480:waittimer 300 blt 0,0,640,480,0, 48,640,480:waittimer 313 blt 0,0,640,480,0, 50,640,480:waittimer 326 blt 0,0,640,480,0, 52,640,480:waittimer 339 blt 0,0,640,480,0, 54,640,480:waittimer 352 blt 0,0,640,480,0, 56,640,480:waittimer 365 blt 0,0,640,480,0, 58,640,480:waittimer 378 blt 0,0,640,480,0, 60,640,480:waittimer 391 blt 0,0,640,480,0, 62,640,480:waittimer 404 blt 0,0,640,480,0, 64,640,480:waittimer 417 blt 0,0,640,480,0, 66,640,480:waittimer 430 blt 0,0,640,480,0, 68,640,480:waittimer 443 blt 0,0,640,480,0, 70,640,480:waittimer 456 blt 0,0,640,480,0, 72,640,480:waittimer 470 blt 0,0,640,480,0, 74,640,480:waittimer 483 blt 0,0,640,480,0, 76,640,480:waittimer 496 blt 0,0,640,480,0, 78,640,480:waittimer 509 blt 0,0,640,480,0, 80,640,480:waittimer 522 blt 0,0,640,480,0, 82,640,480:waittimer 535 blt 0,0,640,480,0, 84,640,480:waittimer 548 blt 0,0,640,480,0, 86,640,480:waittimer 561 blt 0,0,640,480,0, 88,640,480:waittimer 574 blt 0,0,640,480,0, 90,640,480:waittimer 587 blt 0,0,640,480,0, 92,640,480:waittimer 600 blt 0,0,640,480,0, 94,640,480:waittimer 613 blt 0,0,640,480,0, 96,640,480:waittimer 626 blt 0,0,640,480,0, 98,640,480:waittimer 639 blt 0,0,640,480,0, 100,640,480:waittimer 652 blt 0,0,640,480,0, 102,640,480:waittimer 665 blt 0,0,640,480,0, 104,640,480:waittimer 678 blt 0,0,640,480,0, 106,640,480:waittimer 692 blt 0,0,640,480,0, 108,640,480:waittimer 705 blt 0,0,640,480,0, 110,640,480:waittimer 718 blt 0,0,640,480,0, 112,640,480:waittimer 731 blt 0,0,640,480,0, 114,640,480:waittimer 744 blt 0,0,640,480,0, 116,640,480:waittimer 757 blt 0,0,640,480,0, 118,640,480:waittimer 770 blt 0,0,640,480,0, 120,640,480:waittimer 783 blt 0,0,640,480,0, 122,640,480:waittimer 796 blt 0,0,640,480,0, 124,640,480:waittimer 809 blt 0,0,640,480,0, 126,640,480:waittimer 822 blt 0,0,640,480,0, 128,640,480:waittimer 835 blt 0,0,640,480,0, 130,640,480:waittimer 848 blt 0,0,640,480,0, 132,640,480:waittimer 861 blt 0,0,640,480,0, 134,640,480:waittimer 874 blt 0,0,640,480,0, 136,640,480:waittimer 887 blt 0,0,640,480,0, 138,640,480:waittimer 900 blt 0,0,640,480,0, 140,640,480:waittimer 913 blt 0,0,640,480,0, 142,640,480:waittimer 927 blt 0,0,640,480,0, 144,640,480:waittimer 940 blt 0,0,640,480,0, 146,640,480:waittimer 953 blt 0,0,640,480,0, 148,640,480:waittimer 966 blt 0,0,640,480,0, 150,640,480:waittimer 979 blt 0,0,640,480,0, 152,640,480:waittimer 992 blt 0,0,640,480,0, 154,640,480:waittimer 1005 blt 0,0,640,480,0, 156,640,480:waittimer 1018 blt 0,0,640,480,0, 158,640,480:waittimer 1031 blt 0,0,640,480,0, 160,640,480:waittimer 1044 blt 0,0,640,480,0, 162,640,480:waittimer 1057 blt 0,0,640,480,0, 164,640,480:waittimer 1070 blt 0,0,640,480,0, 166,640,480:waittimer 1083 blt 0,0,640,480,0, 168,640,480:waittimer 1096 blt 0,0,640,480,0, 170,640,480:waittimer 1109 blt 0,0,640,480,0, 172,640,480:waittimer 1122 blt 0,0,640,480,0, 174,640,480:waittimer 1135 blt 0,0,640,480,0, 176,640,480:waittimer 1149 blt 0,0,640,480,0, 178,640,480:waittimer 1162 blt 0,0,640,480,0, 180,640,480:waittimer 1175 blt 0,0,640,480,0, 182,640,480:waittimer 1188 blt 0,0,640,480,0, 184,640,480:waittimer 1201 blt 0,0,640,480,0, 186,640,480:waittimer 1214 blt 0,0,640,480,0, 188,640,480:waittimer 1227 blt 0,0,640,480,0, 190,640,480:waittimer 1240 blt 0,0,640,480,0, 192,640,480:waittimer 1253 blt 0,0,640,480,0, 194,640,480:waittimer 1266 blt 0,0,640,480,0, 196,640,480:waittimer 1279 blt 0,0,640,480,0, 198,640,480:waittimer 1292 blt 0,0,640,480,0, 200,640,480:waittimer 1305 blt 0,0,640,480,0, 202,640,480:waittimer 1318 blt 0,0,640,480,0, 204,640,480:waittimer 1331 blt 0,0,640,480,0, 206,640,480:waittimer 1344 blt 0,0,640,480,0, 208,640,480:waittimer 1357 blt 0,0,640,480,0, 210,640,480:waittimer 1370 blt 0,0,640,480,0, 212,640,480:waittimer 1384 blt 0,0,640,480,0, 214,640,480:waittimer 1397 blt 0,0,640,480,0, 216,640,480:waittimer 1410 blt 0,0,640,480,0, 218,640,480:waittimer 1423 blt 0,0,640,480,0, 220,640,480:waittimer 1436 blt 0,0,640,480,0, 222,640,480:waittimer 1449 blt 0,0,640,480,0, 224,640,480:waittimer 1462 blt 0,0,640,480,0, 226,640,480:waittimer 1475 blt 0,0,640,480,0, 228,640,480:waittimer 1488 blt 0,0,640,480,0, 230,640,480:waittimer 1501 blt 0,0,640,480,0, 232,640,480:waittimer 1514 blt 0,0,640,480,0, 234,640,480:waittimer 1527 blt 0,0,640,480,0, 236,640,480:waittimer 1540 blt 0,0,640,480,0, 238,640,480:waittimer 1553 blt 0,0,640,480,0, 240,640,480:waittimer 1566 blt 0,0,640,480,0, 242,640,480:waittimer 1579 blt 0,0,640,480,0, 244,640,480:waittimer 1592 blt 0,0,640,480,0, 246,640,480:waittimer 1605 blt 0,0,640,480,0, 248,640,480:waittimer 1619 blt 0,0,640,480,0, 250,640,480:waittimer 1632 blt 0,0,640,480,0, 252,640,480:waittimer 1645 blt 0,0,640,480,0, 254,640,480:waittimer 1658 blt 0,0,640,480,0, 256,640,480:waittimer 1671 blt 0,0,640,480,0, 258,640,480:waittimer 1684 blt 0,0,640,480,0, 260,640,480:waittimer 1697 blt 0,0,640,480,0, 262,640,480:waittimer 1710 blt 0,0,640,480,0, 264,640,480:waittimer 1723 blt 0,0,640,480,0, 266,640,480:waittimer 1736 blt 0,0,640,480,0, 268,640,480:waittimer 1749 blt 0,0,640,480,0, 270,640,480:waittimer 1762 blt 0,0,640,480,0, 272,640,480:waittimer 1775 blt 0,0,640,480,0, 274,640,480:waittimer 1788 blt 0,0,640,480,0, 276,640,480:waittimer 1801 blt 0,0,640,480,0, 278,640,480:waittimer 1814 blt 0,0,640,480,0, 280,640,480:waittimer 1827 blt 0,0,640,480,0, 282,640,480:waittimer 1841 blt 0,0,640,480,0, 284,640,480:waittimer 1854 blt 0,0,640,480,0, 286,640,480:waittimer 1867 blt 0,0,640,480,0, 288,640,480:waittimer 1880 blt 0,0,640,480,0, 290,640,480:waittimer 1893 blt 0,0,640,480,0, 292,640,480:waittimer 1906 blt 0,0,640,480,0, 294,640,480:waittimer 1919 blt 0,0,640,480,0, 296,640,480:waittimer 1932 blt 0,0,640,480,0, 298,640,480:waittimer 1945 blt 0,0,640,480,0, 300,640,480:waittimer 1958 blt 0,0,640,480,0, 302,640,480:waittimer 1971 blt 0,0,640,480,0, 304,640,480:waittimer 1984 blt 0,0,640,480,0, 306,640,480:waittimer 1997 blt 0,0,640,480,0, 308,640,480:waittimer 2010 blt 0,0,640,480,0, 310,640,480:waittimer 2023 blt 0,0,640,480,0, 312,640,480:waittimer 2036 blt 0,0,640,480,0, 314,640,480:waittimer 2049 blt 0,0,640,480,0, 316,640,480:waittimer 2062 blt 0,0,640,480,0, 318,640,480:waittimer 2076 blt 0,0,640,480,0, 320,640,480:waittimer 2089 blt 0,0,640,480,0, 322,640,480:waittimer 2102 blt 0,0,640,480,0, 324,640,480:waittimer 2115 blt 0,0,640,480,0, 326,640,480:waittimer 2128 blt 0,0,640,480,0, 328,640,480:waittimer 2141 blt 0,0,640,480,0, 330,640,480:waittimer 2154 blt 0,0,640,480,0, 332,640,480:waittimer 2167 blt 0,0,640,480,0, 334,640,480:waittimer 2180 blt 0,0,640,480,0, 336,640,480:waittimer 2193 blt 0,0,640,480,0, 338,640,480:waittimer 2206 blt 0,0,640,480,0, 340,640,480:waittimer 2219 blt 0,0,640,480,0, 342,640,480:waittimer 2232 blt 0,0,640,480,0, 344,640,480:waittimer 2245 blt 0,0,640,480,0, 346,640,480:waittimer 2258 blt 0,0,640,480,0, 348,640,480:waittimer 2271 blt 0,0,640,480,0, 350,640,480:waittimer 2284 blt 0,0,640,480,0, 352,640,480:waittimer 2298 blt 0,0,640,480,0, 354,640,480:waittimer 2311 blt 0,0,640,480,0, 356,640,480:waittimer 2324 blt 0,0,640,480,0, 358,640,480:waittimer 2337 blt 0,0,640,480,0, 360,640,480:waittimer 2350 blt 0,0,640,480,0, 362,640,480:waittimer 2363 blt 0,0,640,480,0, 364,640,480:waittimer 2376 blt 0,0,640,480,0, 366,640,480:waittimer 2389 blt 0,0,640,480,0, 368,640,480:waittimer 2402 blt 0,0,640,480,0, 370,640,480:waittimer 2415 blt 0,0,640,480,0, 372,640,480:waittimer 2428 blt 0,0,640,480,0, 374,640,480:waittimer 2441 blt 0,0,640,480,0, 376,640,480:waittimer 2454 blt 0,0,640,480,0, 378,640,480:waittimer 2467 blt 0,0,640,480,0, 380,640,480:waittimer 2480 blt 0,0,640,480,0, 382,640,480:waittimer 2493 blt 0,0,640,480,0, 384,640,480:waittimer 2506 blt 0,0,640,480,0, 386,640,480:waittimer 2519 blt 0,0,640,480,0, 388,640,480:waittimer 2533 blt 0,0,640,480,0, 390,640,480:waittimer 2546 blt 0,0,640,480,0, 392,640,480:waittimer 2559 blt 0,0,640,480,0, 394,640,480:waittimer 2572 blt 0,0,640,480,0, 396,640,480:waittimer 2585 blt 0,0,640,480,0, 398,640,480:waittimer 2598 blt 0,0,640,480,0, 400,640,480:waittimer 2611 blt 0,0,640,480,0, 402,640,480:waittimer 2624 blt 0,0,640,480,0, 404,640,480:waittimer 2637 blt 0,0,640,480,0, 406,640,480:waittimer 2650 blt 0,0,640,480,0, 408,640,480:waittimer 2663 blt 0,0,640,480,0, 410,640,480:waittimer 2676 blt 0,0,640,480,0, 412,640,480:waittimer 2689 blt 0,0,640,480,0, 414,640,480:waittimer 2702 blt 0,0,640,480,0, 416,640,480:waittimer 2715 blt 0,0,640,480,0, 418,640,480:waittimer 2728 blt 0,0,640,480,0, 420,640,480:waittimer 2741 blt 0,0,640,480,0, 422,640,480:waittimer 2754 blt 0,0,640,480,0, 424,640,480:waittimer 2768 blt 0,0,640,480,0, 426,640,480:waittimer 2781 blt 0,0,640,480,0, 428,640,480:waittimer 2794 blt 0,0,640,480,0, 430,640,480:waittimer 2807 blt 0,0,640,480,0, 432,640,480:waittimer 2820 blt 0,0,640,480,0, 434,640,480:waittimer 2833 blt 0,0,640,480,0, 436,640,480:waittimer 2846 blt 0,0,640,480,0, 438,640,480:waittimer 2859 blt 0,0,640,480,0, 440,640,480:waittimer 2872 blt 0,0,640,480,0, 442,640,480:waittimer 2885 blt 0,0,640,480,0, 444,640,480:waittimer 2898 blt 0,0,640,480,0, 446,640,480:waittimer 2911 blt 0,0,640,480,0, 448,640,480:waittimer 2924 blt 0,0,640,480,0, 450,640,480:waittimer 2937 blt 0,0,640,480,0, 452,640,480:waittimer 2950 blt 0,0,640,480,0, 454,640,480:waittimer 2963 blt 0,0,640,480,0, 456,640,480:waittimer 2976 blt 0,0,640,480,0, 458,640,480:waittimer 2990 blt 0,0,640,480,0, 460,640,480:waittimer 3003 blt 0,0,640,480,0, 462,640,480:waittimer 3016 blt 0,0,640,480,0, 464,640,480:waittimer 3029 blt 0,0,640,480,0, 466,640,480:waittimer 3042 blt 0,0,640,480,0, 468,640,480:waittimer 3055 blt 0,0,640,480,0, 470,640,480:waittimer 3068 blt 0,0,640,480,0, 472,640,480:waittimer 3081 blt 0,0,640,480,0, 474,640,480:waittimer 3094 blt 0,0,640,480,0, 476,640,480:waittimer 3107 blt 0,0,640,480,0, 478,640,480:waittimer 3120 blt 0,0,640,480,0, 480,640,480:waittimer 3133 blt 0,0,640,480,0, 482,640,480:waittimer 3146 blt 0,0,640,480,0, 484,640,480:waittimer 3159 blt 0,0,640,480,0, 486,640,480:waittimer 3172 blt 0,0,640,480,0, 488,640,480:waittimer 3185 blt 0,0,640,480,0, 490,640,480:waittimer 3198 blt 0,0,640,480,0, 492,640,480:waittimer 3211 blt 0,0,640,480,0, 494,640,480:waittimer 3225 blt 0,0,640,480,0, 496,640,480:waittimer 3238 blt 0,0,640,480,0, 498,640,480:waittimer 3251 blt 0,0,640,480,0, 500,640,480:waittimer 3264 blt 0,0,640,480,0, 502,640,480:waittimer 3277 blt 0,0,640,480,0, 504,640,480:waittimer 3290 blt 0,0,640,480,0, 506,640,480:waittimer 3303 blt 0,0,640,480,0, 508,640,480:waittimer 3316 blt 0,0,640,480,0, 510,640,480:waittimer 3329 blt 0,0,640,480,0, 512,640,480:waittimer 3342 blt 0,0,640,480,0, 514,640,480:waittimer 3355 blt 0,0,640,480,0, 516,640,480:waittimer 3368 blt 0,0,640,480,0, 518,640,480:waittimer 3381 blt 0,0,640,480,0, 520,640,480:waittimer 3394 blt 0,0,640,480,0, 522,640,480:waittimer 3407 blt 0,0,640,480,0, 524,640,480:waittimer 3420 blt 0,0,640,480,0, 526,640,480:waittimer 3433 blt 0,0,640,480,0, 528,640,480:waittimer 3447 blt 0,0,640,480,0, 530,640,480:waittimer 3460 blt 0,0,640,480,0, 532,640,480:waittimer 3473 blt 0,0,640,480,0, 534,640,480:waittimer 3486 blt 0,0,640,480,0, 536,640,480:waittimer 3499 blt 0,0,640,480,0, 538,640,480:waittimer 3512 blt 0,0,640,480,0, 540,640,480:waittimer 3525 blt 0,0,640,480,0, 542,640,480:waittimer 3538 blt 0,0,640,480,0, 544,640,480:waittimer 3551 blt 0,0,640,480,0, 546,640,480:waittimer 3564 blt 0,0,640,480,0, 548,640,480:waittimer 3577 blt 0,0,640,480,0, 550,640,480:waittimer 3590 blt 0,0,640,480,0, 552,640,480:waittimer 3603 blt 0,0,640,480,0, 554,640,480:waittimer 3616 blt 0,0,640,480,0, 556,640,480:waittimer 3629 blt 0,0,640,480,0, 558,640,480:waittimer 3642 blt 0,0,640,480,0, 560,640,480:waittimer 3655 blt 0,0,640,480,0, 562,640,480:waittimer 3668 blt 0,0,640,480,0, 564,640,480:waittimer 3682 blt 0,0,640,480,0, 566,640,480:waittimer 3695 blt 0,0,640,480,0, 568,640,480:waittimer 3708 blt 0,0,640,480,0, 570,640,480:waittimer 3721 blt 0,0,640,480,0, 572,640,480:waittimer 3734 blt 0,0,640,480,0, 574,640,480:waittimer 3747 blt 0,0,640,480,0, 576,640,480:waittimer 3760 blt 0,0,640,480,0, 578,640,480:waittimer 3773 blt 0,0,640,480,0, 580,640,480:waittimer 3786 blt 0,0,640,480,0, 582,640,480:waittimer 3799 blt 0,0,640,480,0, 584,640,480:waittimer 3812 blt 0,0,640,480,0, 586,640,480:waittimer 3825 blt 0,0,640,480,0, 588,640,480:waittimer 3838 blt 0,0,640,480,0, 590,640,480:waittimer 3851 blt 0,0,640,480,0, 592,640,480:waittimer 3864 blt 0,0,640,480,0, 594,640,480:waittimer 3877 blt 0,0,640,480,0, 596,640,480:waittimer 3890 blt 0,0,640,480,0, 598,640,480:waittimer 3903 blt 0,0,640,480,0, 600,640,480:waittimer 3917 blt 0,0,640,480,0, 602,640,480:waittimer 3930 blt 0,0,640,480,0, 604,640,480:waittimer 3943 blt 0,0,640,480,0, 606,640,480:waittimer 3956 blt 0,0,640,480,0, 608,640,480:waittimer 3969 blt 0,0,640,480,0, 610,640,480:waittimer 3982 blt 0,0,640,480,0, 612,640,480:waittimer 3995 blt 0,0,640,480,0, 614,640,480:waittimer 4008 blt 0,0,640,480,0, 616,640,480:waittimer 4021 blt 0,0,640,480,0, 618,640,480:waittimer 4034 blt 0,0,640,480,0, 620,640,480:waittimer 4047 blt 0,0,640,480,0, 622,640,480:waittimer 4060 blt 0,0,640,480,0, 624,640,480:waittimer 4073 blt 0,0,640,480,0, 626,640,480:waittimer 4086 blt 0,0,640,480,0, 628,640,480:waittimer 4099 blt 0,0,640,480,0, 630,640,480:waittimer 4112 blt 0,0,640,480,0, 632,640,480:waittimer 4125 blt 0,0,640,480,0, 634,640,480:waittimer 4139 blt 0,0,640,480,0, 636,640,480:waittimer 4152 blt 0,0,640,480,0, 638,640,480:waittimer 4165 blt 0,0,640,480,0, 640,640,480:waittimer 4178 blt 0,0,640,480,0, 642,640,480:waittimer 4191 blt 0,0,640,480,0, 644,640,480:waittimer 4204 blt 0,0,640,480,0, 646,640,480:waittimer 4217 blt 0,0,640,480,0, 648,640,480:waittimer 4230 blt 0,0,640,480,0, 650,640,480:waittimer 4243 blt 0,0,640,480,0, 652,640,480:waittimer 4256 blt 0,0,640,480,0, 654,640,480:waittimer 4269 blt 0,0,640,480,0, 656,640,480:waittimer 4282 blt 0,0,640,480,0, 658,640,480:waittimer 4295 blt 0,0,640,480,0, 660,640,480:waittimer 4308 blt 0,0,640,480,0, 662,640,480:waittimer 4321 blt 0,0,640,480,0, 664,640,480:waittimer 4334 blt 0,0,640,480,0, 666,640,480:waittimer 4347 blt 0,0,640,480,0, 668,640,480:waittimer 4360 blt 0,0,640,480,0, 670,640,480:waittimer 4374 blt 0,0,640,480,0, 672,640,480:waittimer 4387 blt 0,0,640,480,0, 674,640,480:waittimer 4400 blt 0,0,640,480,0, 676,640,480:waittimer 4413 blt 0,0,640,480,0, 678,640,480:waittimer 4426 blt 0,0,640,480,0, 680,640,480:waittimer 4439 blt 0,0,640,480,0, 682,640,480:waittimer 4452 blt 0,0,640,480,0, 684,640,480:waittimer 4465 blt 0,0,640,480,0, 686,640,480:waittimer 4478 blt 0,0,640,480,0, 688,640,480:waittimer 4491 blt 0,0,640,480,0, 690,640,480:waittimer 4504 blt 0,0,640,480,0, 692,640,480:waittimer 4517 blt 0,0,640,480,0, 694,640,480:waittimer 4530 blt 0,0,640,480,0, 696,640,480:waittimer 4543 blt 0,0,640,480,0, 698,640,480:waittimer 4556 blt 0,0,640,480,0, 700,640,480:waittimer 4569 blt 0,0,640,480,0, 702,640,480:waittimer 4582 blt 0,0,640,480,0, 704,640,480:waittimer 4596 blt 0,0,640,480,0, 706,640,480:waittimer 4609 blt 0,0,640,480,0, 708,640,480:waittimer 4622 blt 0,0,640,480,0, 710,640,480:waittimer 4635 blt 0,0,640,480,0, 712,640,480:waittimer 4648 blt 0,0,640,480,0, 714,640,480:waittimer 4661 blt 0,0,640,480,0, 716,640,480:waittimer 4674 blt 0,0,640,480,0, 718,640,480:waittimer 4687 blt 0,0,640,480,0, 720,640,480:waittimer 4700 blt 0,0,640,480,0, 722,640,480:waittimer 4713 blt 0,0,640,480,0, 724,640,480:waittimer 4726 blt 0,0,640,480,0, 726,640,480:waittimer 4739 blt 0,0,640,480,0, 728,640,480:waittimer 4752 blt 0,0,640,480,0, 730,640,480:waittimer 4765 blt 0,0,640,480,0, 732,640,480:waittimer 4778 blt 0,0,640,480,0, 734,640,480:waittimer 4791 blt 0,0,640,480,0, 736,640,480:waittimer 4804 blt 0,0,640,480,0, 738,640,480:waittimer 4817 blt 0,0,640,480,0, 740,640,480:waittimer 4831 blt 0,0,640,480,0, 742,640,480:waittimer 4844 blt 0,0,640,480,0, 744,640,480:waittimer 4857 blt 0,0,640,480,0, 746,640,480:waittimer 4870 blt 0,0,640,480,0, 748,640,480:waittimer 4883 blt 0,0,640,480,0, 750,640,480:waittimer 4896 blt 0,0,640,480,0, 752,640,480:waittimer 4909 blt 0,0,640,480,0, 754,640,480:waittimer 4922 blt 0,0,640,480,0, 756,640,480:waittimer 4935 blt 0,0,640,480,0, 758,640,480:waittimer 4948 blt 0,0,640,480,0, 760,640,480:waittimer 4961 blt 0,0,640,480,0, 762,640,480:waittimer 4974 blt 0,0,640,480,0, 764,640,480:waittimer 4987 blt 0,0,640,480,0, 766,640,480:waittimer 5000 blt 0,0,640,480,0, 768,640,480:waittimer 5013 blt 0,0,640,480,0, 770,640,480:waittimer 5026 blt 0,0,640,480,0, 772,640,480:waittimer 5039 blt 0,0,640,480,0, 774,640,480:waittimer 5052 blt 0,0,640,480,0, 776,640,480:waittimer 5066 blt 0,0,640,480,0, 778,640,480:waittimer 5079 blt 0,0,640,480,0, 780,640,480:waittimer 5092 blt 0,0,640,480,0, 782,640,480:waittimer 5105 blt 0,0,640,480,0, 784,640,480:waittimer 5118 blt 0,0,640,480,0, 786,640,480:waittimer 5131 blt 0,0,640,480,0, 788,640,480:waittimer 5144 blt 0,0,640,480,0, 790,640,480:waittimer 5157 blt 0,0,640,480,0, 792,640,480:waittimer 5170 blt 0,0,640,480,0, 794,640,480:waittimer 5183 blt 0,0,640,480,0, 796,640,480:waittimer 5196 blt 0,0,640,480,0, 798,640,480:waittimer 5209 blt 0,0,640,480,0, 800,640,480:waittimer 5222 blt 0,0,640,480,0, 802,640,480:waittimer 5235 blt 0,0,640,480,0, 804,640,480:waittimer 5248 blt 0,0,640,480,0, 806,640,480:waittimer 5261 blt 0,0,640,480,0, 808,640,480:waittimer 5274 blt 0,0,640,480,0, 810,640,480:waittimer 5288 blt 0,0,640,480,0, 812,640,480:waittimer 5301 blt 0,0,640,480,0, 814,640,480:waittimer 5314 blt 0,0,640,480,0, 816,640,480:waittimer 5327 blt 0,0,640,480,0, 818,640,480:waittimer 5340 blt 0,0,640,480,0, 820,640,480:waittimer 5353 blt 0,0,640,480,0, 822,640,480:waittimer 5366 blt 0,0,640,480,0, 824,640,480:waittimer 5379 blt 0,0,640,480,0, 826,640,480:waittimer 5392 blt 0,0,640,480,0, 828,640,480:waittimer 5405 blt 0,0,640,480,0, 830,640,480:waittimer 5418 blt 0,0,640,480,0, 832,640,480:waittimer 5431 blt 0,0,640,480,0, 834,640,480:waittimer 5444 blt 0,0,640,480,0, 836,640,480:waittimer 5457 blt 0,0,640,480,0, 838,640,480:waittimer 5470 blt 0,0,640,480,0, 840,640,480:waittimer 5483 blt 0,0,640,480,0, 842,640,480:waittimer 5496 blt 0,0,640,480,0, 844,640,480:waittimer 5509 blt 0,0,640,480,0, 846,640,480:waittimer 5523 blt 0,0,640,480,0, 848,640,480:waittimer 5536 blt 0,0,640,480,0, 850,640,480:waittimer 5549 blt 0,0,640,480,0, 852,640,480:waittimer 5562 blt 0,0,640,480,0, 854,640,480:waittimer 5575 blt 0,0,640,480,0, 856,640,480:waittimer 5588 blt 0,0,640,480,0, 858,640,480:waittimer 5601 blt 0,0,640,480,0, 860,640,480:waittimer 5614 blt 0,0,640,480,0, 862,640,480:waittimer 5627 blt 0,0,640,480,0, 864,640,480:waittimer 5640 blt 0,0,640,480,0, 866,640,480:waittimer 5653 blt 0,0,640,480,0, 868,640,480:waittimer 5666 blt 0,0,640,480,0, 870,640,480:waittimer 5679 blt 0,0,640,480,0, 872,640,480:waittimer 5692 blt 0,0,640,480,0, 874,640,480:waittimer 5705 blt 0,0,640,480,0, 876,640,480:waittimer 5718 blt 0,0,640,480,0, 878,640,480:waittimer 5731 blt 0,0,640,480,0, 880,640,480:waittimer 5745 blt 0,0,640,480,0, 882,640,480:waittimer 5758 blt 0,0,640,480,0, 884,640,480:waittimer 5771 blt 0,0,640,480,0, 886,640,480:waittimer 5784 blt 0,0,640,480,0, 888,640,480:waittimer 5797 blt 0,0,640,480,0, 890,640,480:waittimer 5810 blt 0,0,640,480,0, 892,640,480:waittimer 5823 blt 0,0,640,480,0, 894,640,480:waittimer 5836 blt 0,0,640,480,0, 896,640,480:waittimer 5849 blt 0,0,640,480,0, 898,640,480:waittimer 5862 blt 0,0,640,480,0, 900,640,480:waittimer 5875 blt 0,0,640,480,0, 902,640,480:waittimer 5888 blt 0,0,640,480,0, 904,640,480:waittimer 5901 blt 0,0,640,480,0, 906,640,480:waittimer 5914 blt 0,0,640,480,0, 908,640,480:waittimer 5927 blt 0,0,640,480,0, 910,640,480:waittimer 5940 blt 0,0,640,480,0, 912,640,480:waittimer 5953 blt 0,0,640,480,0, 914,640,480:waittimer 5966 blt 0,0,640,480,0, 916,640,480:waittimer 5980 blt 0,0,640,480,0, 918,640,480:waittimer 5993 blt 0,0,640,480,0, 920,640,480:waittimer 6006 blt 0,0,640,480,0, 922,640,480:waittimer 6019 blt 0,0,640,480,0, 924,640,480:waittimer 6032 blt 0,0,640,480,0, 926,640,480:waittimer 6045 blt 0,0,640,480,0, 928,640,480:waittimer 6058 blt 0,0,640,480,0, 930,640,480:waittimer 6071 blt 0,0,640,480,0, 932,640,480:waittimer 6084 blt 0,0,640,480,0, 934,640,480:waittimer 6097 blt 0,0,640,480,0, 936,640,480:waittimer 6110 blt 0,0,640,480,0, 938,640,480:waittimer 6123 blt 0,0,640,480,0, 940,640,480:waittimer 6136 blt 0,0,640,480,0, 942,640,480:waittimer 6149 blt 0,0,640,480,0, 944,640,480:waittimer 6162 blt 0,0,640,480,0, 946,640,480:waittimer 6175 blt 0,0,640,480,0, 948,640,480:waittimer 6188 blt 0,0,640,480,0, 950,640,480:waittimer 6201 blt 0,0,640,480,0, 952,640,480:waittimer 6215 blt 0,0,640,480,0, 954,640,480:waittimer 6228 blt 0,0,640,480,0, 956,640,480:waittimer 6241 blt 0,0,640,480,0, 958,640,480:waittimer 6254 blt 0,0,640,480,0, 960,640,480:waittimer 6267 blt 0,0,640,480,0, 962,640,480:waittimer 6280 blt 0,0,640,480,0, 964,640,480:waittimer 6293 blt 0,0,640,480,0, 966,640,480:waittimer 6306 blt 0,0,640,480,0, 968,640,480:waittimer 6319 blt 0,0,640,480,0, 970,640,480:waittimer 6332 blt 0,0,640,480,0, 972,640,480:waittimer 6345 blt 0,0,640,480,0, 974,640,480:waittimer 6358 blt 0,0,640,480,0, 976,640,480:waittimer 6371 blt 0,0,640,480,0, 978,640,480:waittimer 6384 blt 0,0,640,480,0, 980,640,480:waittimer 6397 blt 0,0,640,480,0, 982,640,480:waittimer 6410 blt 0,0,640,480,0, 984,640,480:waittimer 6423 blt 0,0,640,480,0, 986,640,480:waittimer 6437 blt 0,0,640,480,0, 988,640,480:waittimer 6450 blt 0,0,640,480,0, 990,640,480:waittimer 6463 blt 0,0,640,480,0, 992,640,480:waittimer 6476 blt 0,0,640,480,0, 994,640,480:waittimer 6489 blt 0,0,640,480,0, 996,640,480:waittimer 6502 blt 0,0,640,480,0, 998,640,480:waittimer 6515 blt 0,0,640,480,0, 1000,640,480:waittimer 6528 blt 0,0,640,480,0, 1002,640,480:waittimer 6541 blt 0,0,640,480,0, 1004,640,480:waittimer 6554 blt 0,0,640,480,0, 1006,640,480:waittimer 6567 blt 0,0,640,480,0, 1008,640,480:waittimer 6580 blt 0,0,640,480,0, 1010,640,480:waittimer 6593 blt 0,0,640,480,0, 1012,640,480:waittimer 6606 blt 0,0,640,480,0, 1014,640,480:waittimer 6619 blt 0,0,640,480,0, 1016,640,480:waittimer 6632 blt 0,0,640,480,0, 1018,640,480:waittimer 6645 blt 0,0,640,480,0, 1020,640,480:waittimer 6658 blt 0,0,640,480,0, 1022,640,480:waittimer 6672 blt 0,0,640,480,0, 1024,640,480:waittimer 6685 blt 0,0,640,480,0, 1026,640,480:waittimer 6698 blt 0,0,640,480,0, 1028,640,480:waittimer 6711 blt 0,0,640,480,0, 1030,640,480:waittimer 6724 blt 0,0,640,480,0, 1032,640,480:waittimer 6737 blt 0,0,640,480,0, 1034,640,480:waittimer 6750 blt 0,0,640,480,0, 1036,640,480:waittimer 6763 blt 0,0,640,480,0, 1038,640,480:waittimer 6776 blt 0,0,640,480,0, 1040,640,480:waittimer 6789 blt 0,0,640,480,0, 1042,640,480:waittimer 6802 blt 0,0,640,480,0, 1044,640,480:waittimer 6815 blt 0,0,640,480,0, 1046,640,480:waittimer 6828 blt 0,0,640,480,0, 1048,640,480:waittimer 6841 blt 0,0,640,480,0, 1050,640,480:waittimer 6854 blt 0,0,640,480,0, 1052,640,480:waittimer 6867 blt 0,0,640,480,0, 1054,640,480:waittimer 6880 blt 0,0,640,480,0, 1056,640,480:waittimer 6894 blt 0,0,640,480,0, 1058,640,480:waittimer 6907 blt 0,0,640,480,0, 1060,640,480:waittimer 6920 blt 0,0,640,480,0, 1062,640,480:waittimer 6933 blt 0,0,640,480,0, 1064,640,480:waittimer 6946 blt 0,0,640,480,0, 1066,640,480:waittimer 6959 blt 0,0,640,480,0, 1068,640,480:waittimer 6972 blt 0,0,640,480,0, 1070,640,480:waittimer 6985 blt 0,0,640,480,0, 1072,640,480:waittimer 6998 blt 0,0,640,480,0, 1074,640,480:waittimer 7011 blt 0,0,640,480,0, 1076,640,480:waittimer 7024 blt 0,0,640,480,0, 1078,640,480:waittimer 7037 blt 0,0,640,480,0, 1080,640,480:waittimer 7050 blt 0,0,640,480,0, 1082,640,480:waittimer 7063 blt 0,0,640,480,0, 1084,640,480:waittimer 7076 blt 0,0,640,480,0, 1086,640,480:waittimer 7089 blt 0,0,640,480,0, 1088,640,480:waittimer 7102 blt 0,0,640,480,0, 1090,640,480:waittimer 7115 blt 0,0,640,480,0, 1092,640,480:waittimer 7129 blt 0,0,640,480,0, 1094,640,480:waittimer 7142 blt 0,0,640,480,0, 1096,640,480:waittimer 7155 blt 0,0,640,480,0, 1098,640,480:waittimer 7168 blt 0,0,640,480,0, 1100,640,480:waittimer 7181 blt 0,0,640,480,0, 1102,640,480:waittimer 7194 blt 0,0,640,480,0, 1104,640,480:waittimer 7207 blt 0,0,640,480,0, 1106,640,480:waittimer 7220 blt 0,0,640,480,0, 1108,640,480:waittimer 7233 blt 0,0,640,480,0, 1110,640,480:waittimer 7246 blt 0,0,640,480,0, 1112,640,480:waittimer 7259 blt 0,0,640,480,0, 1114,640,480:waittimer 7272 blt 0,0,640,480,0, 1116,640,480:waittimer 7285 blt 0,0,640,480,0, 1118,640,480:waittimer 7298 blt 0,0,640,480,0, 1120,640,480:waittimer 7311 blt 0,0,640,480,0, 1122,640,480:waittimer 7324 blt 0,0,640,480,0, 1124,640,480:waittimer 7337 blt 0,0,640,480,0, 1126,640,480:waittimer 7350 blt 0,0,640,480,0, 1128,640,480:waittimer 7364 blt 0,0,640,480,0, 1130,640,480:waittimer 7377 blt 0,0,640,480,0, 1132,640,480:waittimer 7390 blt 0,0,640,480,0, 1134,640,480:waittimer 7403 blt 0,0,640,480,0, 1136,640,480:waittimer 7416 blt 0,0,640,480,0, 1138,640,480:waittimer 7429 blt 0,0,640,480,0, 1140,640,480:waittimer 7442 blt 0,0,640,480,0, 1142,640,480:waittimer 7455 blt 0,0,640,480,0, 1144,640,480:waittimer 7468 blt 0,0,640,480,0, 1146,640,480:waittimer 7481 blt 0,0,640,480,0, 1148,640,480:waittimer 7494 blt 0,0,640,480,0, 1150,640,480:waittimer 7507 blt 0,0,640,480,0, 1152,640,480:waittimer 7520 blt 0,0,640,480,0, 1154,640,480:waittimer 7533 blt 0,0,640,480,0, 1156,640,480:waittimer 7546 blt 0,0,640,480,0, 1158,640,480:waittimer 7559 blt 0,0,640,480,0, 1160,640,480:waittimer 7572 blt 0,0,640,480,0, 1162,640,480:waittimer 7586 blt 0,0,640,480,0, 1164,640,480:waittimer 7599 blt 0,0,640,480,0, 1166,640,480:waittimer 7612 blt 0,0,640,480,0, 1168,640,480:waittimer 7625 blt 0,0,640,480,0, 1170,640,480:waittimer 7638 blt 0,0,640,480,0, 1172,640,480:waittimer 7651 blt 0,0,640,480,0, 1174,640,480:waittimer 7664 blt 0,0,640,480,0, 1176,640,480:waittimer 7677 blt 0,0,640,480,0, 1178,640,480:waittimer 7690 blt 0,0,640,480,0, 1180,640,480:waittimer 7703 blt 0,0,640,480,0, 1182,640,480:waittimer 7716 blt 0,0,640,480,0, 1184,640,480:waittimer 7729 blt 0,0,640,480,0, 1186,640,480:waittimer 7742 blt 0,0,640,480,0, 1188,640,480:waittimer 7755 blt 0,0,640,480,0, 1190,640,480:waittimer 7768 blt 0,0,640,480,0, 1192,640,480:waittimer 7781 blt 0,0,640,480,0, 1194,640,480:waittimer 7794 blt 0,0,640,480,0, 1196,640,480:waittimer 7807 blt 0,0,640,480,0, 1198,640,480:waittimer 7821 blt 0,0,640,480,0, 1200,640,480:waittimer 7834 blt 0,0,640,480,0, 1202,640,480:waittimer 7847 blt 0,0,640,480,0, 1204,640,480:waittimer 7860 blt 0,0,640,480,0, 1206,640,480:waittimer 7873 blt 0,0,640,480,0, 1208,640,480:waittimer 7886 blt 0,0,640,480,0, 1210,640,480:waittimer 7899 blt 0,0,640,480,0, 1212,640,480:waittimer 7912 blt 0,0,640,480,0, 1214,640,480:waittimer 7925 blt 0,0,640,480,0, 1216,640,480:waittimer 7938 blt 0,0,640,480,0, 1218,640,480:waittimer 7951 blt 0,0,640,480,0, 1220,640,480:waittimer 7964 blt 0,0,640,480,0, 1222,640,480:waittimer 7977 blt 0,0,640,480,0, 1224,640,480:waittimer 7990 blt 0,0,640,480,0, 1226,640,480:waittimer 8003 blt 0,0,640,480,0, 1228,640,480:waittimer 8016 blt 0,0,640,480,0, 1230,640,480:waittimer 8029 blt 0,0,640,480,0, 1232,640,480:waittimer 8043 blt 0,0,640,480,0, 1234,640,480:waittimer 8056 blt 0,0,640,480,0, 1236,640,480:waittimer 8069 blt 0,0,640,480,0, 1238,640,480:waittimer 8082 blt 0,0,640,480,0, 1240,640,480:waittimer 8095 blt 0,0,640,480,0, 1242,640,480:waittimer 8108 blt 0,0,640,480,0, 1244,640,480:waittimer 8121 blt 0,0,640,480,0, 1246,640,480:waittimer 8134 blt 0,0,640,480,0, 1248,640,480:waittimer 8147 blt 0,0,640,480,0, 1250,640,480:waittimer 8160 blt 0,0,640,480,0, 1252,640,480:waittimer 8173 blt 0,0,640,480,0, 1254,640,480:waittimer 8186 blt 0,0,640,480,0, 1256,640,480:waittimer 8199 blt 0,0,640,480,0, 1258,640,480:waittimer 8212 blt 0,0,640,480,0, 1260,640,480:waittimer 8225 blt 0,0,640,480,0, 1262,640,480:waittimer 8238 blt 0,0,640,480,0, 1264,640,480:waittimer 8251 blt 0,0,640,480,0, 1266,640,480:waittimer 8264 blt 0,0,640,480,0, 1268,640,480:waittimer 8278 blt 0,0,640,480,0, 1270,640,480:waittimer 8291 blt 0,0,640,480,0, 1272,640,480:waittimer 8304 blt 0,0,640,480,0, 1274,640,480:waittimer 8317 blt 0,0,640,480,0, 1276,640,480:waittimer 8330 blt 0,0,640,480,0, 1278,640,480:waittimer 8343 blt 0,0,640,480,0, 1280,640,480:waittimer 8356 blt 0,0,640,480,0, 1282,640,480:waittimer 8369 blt 0,0,640,480,0, 1284,640,480:waittimer 8382 blt 0,0,640,480,0, 1286,640,480:waittimer 8395 blt 0,0,640,480,0, 1288,640,480:waittimer 8408 blt 0,0,640,480,0, 1290,640,480:waittimer 8421 blt 0,0,640,480,0, 1292,640,480:waittimer 8434 blt 0,0,640,480,0, 1294,640,480:waittimer 8447 blt 0,0,640,480,0, 1296,640,480:waittimer 8460 blt 0,0,640,480,0, 1298,640,480:waittimer 8473 blt 0,0,640,480,0, 1300,640,480:waittimer 8486 blt 0,0,640,480,0, 1302,640,480:waittimer 8499 blt 0,0,640,480,0, 1304,640,480:waittimer 8513 blt 0,0,640,480,0, 1306,640,480:waittimer 8526 blt 0,0,640,480,0, 1308,640,480:waittimer 8539 blt 0,0,640,480,0, 1310,640,480:waittimer 8552 blt 0,0,640,480,0, 1312,640,480:waittimer 8565 blt 0,0,640,480,0, 1314,640,480:waittimer 8578 blt 0,0,640,480,0, 1316,640,480:waittimer 8591 blt 0,0,640,480,0, 1318,640,480:waittimer 8604 blt 0,0,640,480,0, 1320,640,480:waittimer 8617 blt 0,0,640,480,0, 1322,640,480:waittimer 8630 blt 0,0,640,480,0, 1324,640,480:waittimer 8643 blt 0,0,640,480,0, 1326,640,480:waittimer 8656 blt 0,0,640,480,0, 1328,640,480:waittimer 8669 blt 0,0,640,480,0, 1330,640,480:waittimer 8682 blt 0,0,640,480,0, 1332,640,480:waittimer 8695 blt 0,0,640,480,0, 1334,640,480:waittimer 8708 blt 0,0,640,480,0, 1336,640,480:waittimer 8721 blt 0,0,640,480,0, 1338,640,480:waittimer 8735 blt 0,0,640,480,0, 1340,640,480:waittimer 8748 blt 0,0,640,480,0, 1342,640,480:waittimer 8761 blt 0,0,640,480,0, 1344,640,480:waittimer 8774 blt 0,0,640,480,0, 1346,640,480:waittimer 8787 blt 0,0,640,480,0, 1348,640,480:waittimer 8800 blt 0,0,640,480,0, 1350,640,480:waittimer 8813 blt 0,0,640,480,0, 1352,640,480:waittimer 8826 blt 0,0,640,480,0, 1354,640,480:waittimer 8839 blt 0,0,640,480,0, 1356,640,480:waittimer 8852 blt 0,0,640,480,0, 1358,640,480:waittimer 8865 blt 0,0,640,480,0, 1360,640,480:waittimer 8878 blt 0,0,640,480,0, 1362,640,480:waittimer 8891 blt 0,0,640,480,0, 1364,640,480:waittimer 8904 blt 0,0,640,480,0, 1366,640,480:waittimer 8917 blt 0,0,640,480,0, 1368,640,480:waittimer 8930 blt 0,0,640,480,0, 1370,640,480:waittimer 8943 blt 0,0,640,480,0, 1372,640,480:waittimer 8956 blt 0,0,640,480,0, 1374,640,480:waittimer 8970 blt 0,0,640,480,0, 1376,640,480:waittimer 8983 blt 0,0,640,480,0, 1378,640,480:waittimer 8996 blt 0,0,640,480,0, 1380,640,480:waittimer 9009 blt 0,0,640,480,0, 1382,640,480:waittimer 9022 blt 0,0,640,480,0, 1384,640,480:waittimer 9035 blt 0,0,640,480,0, 1386,640,480:waittimer 9048 blt 0,0,640,480,0, 1388,640,480:waittimer 9061 blt 0,0,640,480,0, 1390,640,480:waittimer 9074 blt 0,0,640,480,0, 1392,640,480:waittimer 9087 blt 0,0,640,480,0, 1394,640,480:waittimer 9100 blt 0,0,640,480,0, 1396,640,480:waittimer 9113 blt 0,0,640,480,0, 1398,640,480:waittimer 9126 blt 0,0,640,480,0, 1400,640,480:waittimer 9139 blt 0,0,640,480,0, 1402,640,480:waittimer 9152 blt 0,0,640,480,0, 1404,640,480:waittimer 9165 blt 0,0,640,480,0, 1406,640,480:waittimer 9178 blt 0,0,640,480,0, 1408,640,480:waittimer 9192 blt 0,0,640,480,0, 1410,640,480:waittimer 9205 blt 0,0,640,480,0, 1412,640,480:waittimer 9218 blt 0,0,640,480,0, 1414,640,480:waittimer 9231 blt 0,0,640,480,0, 1416,640,480:waittimer 9244 blt 0,0,640,480,0, 1418,640,480:waittimer 9257 blt 0,0,640,480,0, 1420,640,480:waittimer 9270 blt 0,0,640,480,0, 1422,640,480:waittimer 9283 blt 0,0,640,480,0, 1424,640,480:waittimer 9296 blt 0,0,640,480,0, 1426,640,480:waittimer 9309 blt 0,0,640,480,0, 1428,640,480:waittimer 9322 blt 0,0,640,480,0, 1430,640,480:waittimer 9335 blt 0,0,640,480,0, 1432,640,480:waittimer 9348 blt 0,0,640,480,0, 1434,640,480:waittimer 9361 blt 0,0,640,480,0, 1436,640,480:waittimer 9374 blt 0,0,640,480,0, 1438,640,480:waittimer 9387 blt 0,0,640,480,0, 1440,640,480:waittimer 9400 blt 0,0,640,480,0, 1442,640,480:waittimer 9413 blt 0,0,640,480,0, 1444,640,480:waittimer 9427 blt 0,0,640,480,0, 1446,640,480:waittimer 9440 blt 0,0,640,480,0, 1448,640,480:waittimer 9453 blt 0,0,640,480,0, 1450,640,480:waittimer 9466 blt 0,0,640,480,0, 1452,640,480:waittimer 9479 blt 0,0,640,480,0, 1454,640,480:waittimer 9492 blt 0,0,640,480,0, 1456,640,480:waittimer 9505 blt 0,0,640,480,0, 1458,640,480:waittimer 9518 blt 0,0,640,480,0, 1460,640,480:waittimer 9531 blt 0,0,640,480,0, 1462,640,480:waittimer 9544 blt 0,0,640,480,0, 1464,640,480:waittimer 9557 blt 0,0,640,480,0, 1466,640,480:waittimer 9570 blt 0,0,640,480,0, 1468,640,480:waittimer 9583 blt 0,0,640,480,0, 1470,640,480:waittimer 9596 blt 0,0,640,480,0, 1472,640,480:waittimer 9609 blt 0,0,640,480,0, 1474,640,480:waittimer 9622 blt 0,0,640,480,0, 1476,640,480:waittimer 9635 blt 0,0,640,480,0, 1478,640,480:waittimer 9649 blt 0,0,640,480,0, 1480,640,480:waittimer 9662 blt 0,0,640,480,0, 1482,640,480:waittimer 9675 blt 0,0,640,480,0, 1484,640,480:waittimer 9688 blt 0,0,640,480,0, 1486,640,480:waittimer 9701 blt 0,0,640,480,0, 1488,640,480:waittimer 9714 blt 0,0,640,480,0, 1490,640,480:waittimer 9727 blt 0,0,640,480,0, 1492,640,480:waittimer 9740 blt 0,0,640,480,0, 1494,640,480:waittimer 9753 blt 0,0,640,480,0, 1496,640,480:waittimer 9766 blt 0,0,640,480,0, 1498,640,480:waittimer 9779 blt 0,0,640,480,0, 1500,640,480:waittimer 9792 blt 0,0,640,480,0, 1502,640,480:waittimer 9805 blt 0,0,640,480,0, 1504,640,480:waittimer 9818 blt 0,0,640,480,0, 1506,640,480:waittimer 9831 blt 0,0,640,480,0, 1508,640,480:waittimer 9844 blt 0,0,640,480,0, 1510,640,480:waittimer 9857 blt 0,0,640,480,0, 1512,640,480:waittimer 9870 blt 0,0,640,480,0, 1514,640,480:waittimer 9884 blt 0,0,640,480,0, 1516,640,480:waittimer 9897 blt 0,0,640,480,0, 1518,640,480:waittimer 9910 blt 0,0,640,480,0, 1520,640,480:waittimer 9923 blt 0,0,640,480,0, 1522,640,480:waittimer 9936 blt 0,0,640,480,0, 1524,640,480:waittimer 9949 blt 0,0,640,480,0, 1526,640,480:waittimer 9962 blt 0,0,640,480,0, 1528,640,480:waittimer 9975 blt 0,0,640,480,0, 1530,640,480:waittimer 9988 blt 0,0,640,480,0, 1532,640,480:waittimer 10001 blt 0,0,640,480,0, 1534,640,480:waittimer 10014 blt 0,0,640,480,0, 1536,640,480:waittimer 10027 blt 0,0,640,480,0, 1538,640,480:waittimer 10040 blt 0,0,640,480,0, 1540,640,480:waittimer 10053 blt 0,0,640,480,0, 1542,640,480:waittimer 10066 blt 0,0,640,480,0, 1544,640,480:waittimer 10079 blt 0,0,640,480,0, 1546,640,480:waittimer 10092 blt 0,0,640,480,0, 1548,640,480:waittimer 10105 blt 0,0,640,480,0, 1550,640,480:waittimer 10119 blt 0,0,640,480,0, 1552,640,480:waittimer 10132 blt 0,0,640,480,0, 1554,640,480:waittimer 10145 blt 0,0,640,480,0, 1556,640,480:waittimer 10158 blt 0,0,640,480,0, 1558,640,480:waittimer 10171 blt 0,0,640,480,0, 1560,640,480:waittimer 10184 blt 0,0,640,480,0, 1562,640,480:waittimer 10197 blt 0,0,640,480,0, 1564,640,480:waittimer 10210 blt 0,0,640,480,0, 1566,640,480:waittimer 10223 blt 0,0,640,480,0, 1568,640,480:waittimer 10236 blt 0,0,640,480,0, 1570,640,480:waittimer 10249 blt 0,0,640,480,0, 1572,640,480:waittimer 10262 blt 0,0,640,480,0, 1574,640,480:waittimer 10275 blt 0,0,640,480,0, 1576,640,480:waittimer 10288 blt 0,0,640,480,0, 1578,640,480:waittimer 10301 blt 0,0,640,480,0, 1580,640,480:waittimer 10314 blt 0,0,640,480,0, 1582,640,480:waittimer 10327 blt 0,0,640,480,0, 1584,640,480:waittimer 10341 blt 0,0,640,480,0, 1586,640,480:waittimer 10354 blt 0,0,640,480,0, 1588,640,480:waittimer 10367 blt 0,0,640,480,0, 1590,640,480:waittimer 10380 blt 0,0,640,480,0, 1592,640,480:waittimer 10393 blt 0,0,640,480,0, 1594,640,480:waittimer 10406 blt 0,0,640,480,0, 1596,640,480:waittimer 10419 blt 0,0,640,480,0, 1598,640,480:waittimer 10432 blt 0,0,640,480,0, 1600,640,480:waittimer 10445 blt 0,0,640,480,0, 1602,640,480:waittimer 10458 blt 0,0,640,480,0, 1604,640,480:waittimer 10471 blt 0,0,640,480,0, 1606,640,480:waittimer 10484 blt 0,0,640,480,0, 1608,640,480:waittimer 10497 blt 0,0,640,480,0, 1610,640,480:waittimer 10510 blt 0,0,640,480,0, 1612,640,480:waittimer 10523 blt 0,0,640,480,0, 1614,640,480:waittimer 10536 blt 0,0,640,480,0, 1616,640,480:waittimer 10549 blt 0,0,640,480,0, 1618,640,480:waittimer 10562 blt 0,0,640,480,0, 1620,640,480:waittimer 10576 blt 0,0,640,480,0, 1622,640,480:waittimer 10589 blt 0,0,640,480,0, 1624,640,480:waittimer 10602 blt 0,0,640,480,0, 1626,640,480:waittimer 10615 blt 0,0,640,480,0, 1628,640,480:waittimer 10628 blt 0,0,640,480,0, 1630,640,480:waittimer 10641 blt 0,0,640,480,0, 1632,640,480:waittimer 10654 blt 0,0,640,480,0, 1634,640,480:waittimer 10667 blt 0,0,640,480,0, 1636,640,480:waittimer 10680 blt 0,0,640,480,0, 1638,640,480:waittimer 10693 blt 0,0,640,480,0, 1640,640,480:waittimer 10706 blt 0,0,640,480,0, 1642,640,480:waittimer 10719 blt 0,0,640,480,0, 1644,640,480:waittimer 10732 blt 0,0,640,480,0, 1646,640,480:waittimer 10745 blt 0,0,640,480,0, 1648,640,480:waittimer 10758 blt 0,0,640,480,0, 1650,640,480:waittimer 10771 blt 0,0,640,480,0, 1652,640,480:waittimer 10784 blt 0,0,640,480,0, 1654,640,480:waittimer 10798 blt 0,0,640,480,0, 1656,640,480:waittimer 10811 blt 0,0,640,480,0, 1658,640,480:waittimer 10824 blt 0,0,640,480,0, 1660,640,480:waittimer 10837 blt 0,0,640,480,0, 1662,640,480:waittimer 10850 blt 0,0,640,480,0, 1664,640,480:waittimer 10863 blt 0,0,640,480,0, 1666,640,480:waittimer 10876 blt 0,0,640,480,0, 1668,640,480:waittimer 10889 blt 0,0,640,480,0, 1670,640,480:waittimer 10902 blt 0,0,640,480,0, 1672,640,480:waittimer 10915 blt 0,0,640,480,0, 1674,640,480:waittimer 10928 blt 0,0,640,480,0, 1676,640,480:waittimer 10941 blt 0,0,640,480,0, 1678,640,480:waittimer 10954 blt 0,0,640,480,0, 1680,640,480:waittimer 10967 blt 0,0,640,480,0, 1682,640,480:waittimer 10980 blt 0,0,640,480,0, 1684,640,480:waittimer 10993 blt 0,0,640,480,0, 1686,640,480:waittimer 11006 blt 0,0,640,480,0, 1688,640,480:waittimer 11019 blt 0,0,640,480,0, 1690,640,480:waittimer 11033 blt 0,0,640,480,0, 1692,640,480:waittimer 11046 blt 0,0,640,480,0, 1694,640,480:waittimer 11059 blt 0,0,640,480,0, 1696,640,480:waittimer 11072 blt 0,0,640,480,0, 1698,640,480:waittimer 11085 blt 0,0,640,480,0, 1700,640,480:waittimer 11098 blt 0,0,640,480,0, 1702,640,480:waittimer 11111 blt 0,0,640,480,0, 1704,640,480:waittimer 11124 blt 0,0,640,480,0, 1706,640,480:waittimer 11137 blt 0,0,640,480,0, 1708,640,480:waittimer 11150 blt 0,0,640,480,0, 1710,640,480:waittimer 11163 blt 0,0,640,480,0, 1712,640,480:waittimer 11176 blt 0,0,640,480,0, 1714,640,480:waittimer 11189 blt 0,0,640,480,0, 1716,640,480:waittimer 11202 blt 0,0,640,480,0, 1718,640,480:waittimer 11215 blt 0,0,640,480,0, 1720,640,480:waittimer 11228 blt 0,0,640,480,0, 1722,640,480:waittimer 11241 blt 0,0,640,480,0, 1724,640,480:waittimer 11254 blt 0,0,640,480,0, 1726,640,480:waittimer 11268 blt 0,0,640,480,0, 1728,640,480:waittimer 11281 blt 0,0,640,480,0, 1730,640,480:waittimer 11294 blt 0,0,640,480,0, 1732,640,480:waittimer 11307 blt 0,0,640,480,0, 1734,640,480:waittimer 11320 blt 0,0,640,480,0, 1736,640,480:waittimer 11333 blt 0,0,640,480,0, 1738,640,480:waittimer 11346 blt 0,0,640,480,0, 1740,640,480:waittimer 11359 blt 0,0,640,480,0, 1742,640,480:waittimer 11372 blt 0,0,640,480,0, 1744,640,480:waittimer 11385 blt 0,0,640,480,0, 1746,640,480:waittimer 11398 blt 0,0,640,480,0, 1748,640,480:waittimer 11411 blt 0,0,640,480,0, 1750,640,480:waittimer 11424 blt 0,0,640,480,0, 1752,640,480:waittimer 11437 blt 0,0,640,480,0, 1754,640,480:waittimer 11450 blt 0,0,640,480,0, 1756,640,480:waittimer 11463 blt 0,0,640,480,0, 1758,640,480:waittimer 11476 blt 0,0,640,480,0, 1760,640,480:waittimer 11490 blt 0,0,640,480,0, 1762,640,480:waittimer 11503 blt 0,0,640,480,0, 1764,640,480:waittimer 11516 blt 0,0,640,480,0, 1766,640,480:waittimer 11529 blt 0,0,640,480,0, 1768,640,480:waittimer 11542 blt 0,0,640,480,0, 1770,640,480:waittimer 11555 blt 0,0,640,480,0, 1772,640,480:waittimer 11568 blt 0,0,640,480,0, 1774,640,480:waittimer 11581 blt 0,0,640,480,0, 1776,640,480:waittimer 11594 blt 0,0,640,480,0, 1778,640,480:waittimer 11607 blt 0,0,640,480,0, 1780,640,480:waittimer 11620 blt 0,0,640,480,0, 1782,640,480:waittimer 11633 blt 0,0,640,480,0, 1784,640,480:waittimer 11646 blt 0,0,640,480,0, 1786,640,480:waittimer 11659 blt 0,0,640,480,0, 1788,640,480:waittimer 11672 blt 0,0,640,480,0, 1790,640,480:waittimer 11685 blt 0,0,640,480,0, 1792,640,480:waittimer 11698 blt 0,0,640,480,0, 1794,640,480:waittimer 11711 blt 0,0,640,480,0, 1796,640,480:waittimer 11725 blt 0,0,640,480,0, 1798,640,480:waittimer 11738 blt 0,0,640,480,0, 1800,640,480:waittimer 11751 blt 0,0,640,480,0, 1802,640,480:waittimer 11764 blt 0,0,640,480,0, 1804,640,480:waittimer 11777 blt 0,0,640,480,0, 1806,640,480:waittimer 11790 blt 0,0,640,480,0, 1808,640,480:waittimer 11803 blt 0,0,640,480,0, 1810,640,480:waittimer 11816 blt 0,0,640,480,0, 1812,640,480:waittimer 11829 blt 0,0,640,480,0, 1814,640,480:waittimer 11842 blt 0,0,640,480,0, 1816,640,480:waittimer 11855 blt 0,0,640,480,0, 1818,640,480:waittimer 11868 blt 0,0,640,480,0, 1820,640,480:waittimer 11881 blt 0,0,640,480,0, 1822,640,480:waittimer 11894 blt 0,0,640,480,0, 1824,640,480:waittimer 11907 blt 0,0,640,480,0, 1826,640,480:waittimer 11920 blt 0,0,640,480,0, 1828,640,480:waittimer 11933 blt 0,0,640,480,0, 1830,640,480:waittimer 11947 blt 0,0,640,480,0, 1832,640,480:waittimer 11960 blt 0,0,640,480,0, 1834,640,480:waittimer 11973 blt 0,0,640,480,0, 1836,640,480:waittimer 11986 blt 0,0,640,480,0, 1838,640,480:waittimer 11999 blt 0,0,640,480,0, 1840,640,480:waittimer 12012 blt 0,0,640,480,0, 1842,640,480:waittimer 12025 blt 0,0,640,480,0, 1844,640,480:waittimer 12038 blt 0,0,640,480,0, 1846,640,480:waittimer 12051 blt 0,0,640,480,0, 1848,640,480:waittimer 12064 blt 0,0,640,480,0, 1850,640,480:waittimer 12077 blt 0,0,640,480,0, 1852,640,480:waittimer 12090 blt 0,0,640,480,0, 1854,640,480:waittimer 12103 blt 0,0,640,480,0, 1856,640,480:waittimer 12116 blt 0,0,640,480,0, 1858,640,480:waittimer 12129 blt 0,0,640,480,0, 1860,640,480:waittimer 12142 blt 0,0,640,480,0, 1862,640,480:waittimer 12155 blt 0,0,640,480,0, 1864,640,480:waittimer 12168 blt 0,0,640,480,0, 1866,640,480:waittimer 12182 blt 0,0,640,480,0, 1868,640,480:waittimer 12195 blt 0,0,640,480,0, 1870,640,480:waittimer 12208 blt 0,0,640,480,0, 1872,640,480:waittimer 12221 blt 0,0,640,480,0, 1874,640,480:waittimer 12234 blt 0,0,640,480,0, 1876,640,480:waittimer 12247 blt 0,0,640,480,0, 1878,640,480:waittimer 12260 blt 0,0,640,480,0, 1880,640,480:waittimer 12273 blt 0,0,640,480,0, 1882,640,480:waittimer 12286 blt 0,0,640,480,0, 1884,640,480:waittimer 12299 blt 0,0,640,480,0, 1886,640,480:waittimer 12312 blt 0,0,640,480,0, 1888,640,480:waittimer 12325 blt 0,0,640,480,0, 1890,640,480:waittimer 12338 blt 0,0,640,480,0, 1892,640,480:waittimer 12351 blt 0,0,640,480,0, 1894,640,480:waittimer 12364 blt 0,0,640,480,0, 1896,640,480:waittimer 12377 blt 0,0,640,480,0, 1898,640,480:waittimer 12390 blt 0,0,640,480,0, 1900,640,480:waittimer 12403 blt 0,0,640,480,0, 1902,640,480:waittimer 12417 blt 0,0,640,480,0, 1904,640,480:waittimer 12430 blt 0,0,640,480,0, 1906,640,480:waittimer 12443 blt 0,0,640,480,0, 1908,640,480:waittimer 12456 blt 0,0,640,480,0, 1910,640,480:waittimer 12469 blt 0,0,640,480,0, 1912,640,480:waittimer 12482 blt 0,0,640,480,0, 1914,640,480:waittimer 12495 blt 0,0,640,480,0, 1916,640,480:waittimer 12508 blt 0,0,640,480,0, 1918,640,480:waittimer 12521 blt 0,0,640,480,0, 1920,640,480:waittimer 12534 blt 0,0,640,480,0, 1922,640,480:waittimer 12547 blt 0,0,640,480,0, 1924,640,480:waittimer 12560 blt 0,0,640,480,0, 1926,640,480:waittimer 12573 blt 0,0,640,480,0, 1928,640,480:waittimer 12586 blt 0,0,640,480,0, 1930,640,480:waittimer 12599 blt 0,0,640,480,0, 1932,640,480:waittimer 12612 blt 0,0,640,480,0, 1934,640,480:waittimer 12625 blt 0,0,640,480,0, 1936,640,480:waittimer 12639 blt 0,0,640,480,0, 1938,640,480:waittimer 12652 blt 0,0,640,480,0, 1940,640,480:waittimer 12665 blt 0,0,640,480,0, 1942,640,480:waittimer 12678 blt 0,0,640,480,0, 1944,640,480:waittimer 12691 blt 0,0,640,480,0, 1946,640,480:waittimer 12704 blt 0,0,640,480,0, 1948,640,480:waittimer 12717 blt 0,0,640,480,0, 1950,640,480:waittimer 12730 blt 0,0,640,480,0, 1952,640,480:waittimer 12743 blt 0,0,640,480,0, 1954,640,480:waittimer 12756 blt 0,0,640,480,0, 1956,640,480:waittimer 12769 blt 0,0,640,480,0, 1958,640,480:waittimer 12782 blt 0,0,640,480,0, 1960,640,480:waittimer 12795 blt 0,0,640,480,0, 1962,640,480:waittimer 12808 blt 0,0,640,480,0, 1964,640,480:waittimer 12821 blt 0,0,640,480,0, 1966,640,480:waittimer 12834 blt 0,0,640,480,0, 1968,640,480:waittimer 12847 blt 0,0,640,480,0, 1970,640,480:waittimer 12860 blt 0,0,640,480,0, 1972,640,480:waittimer 12874 blt 0,0,640,480,0, 1974,640,480:waittimer 12887 blt 0,0,640,480,0, 1976,640,480:waittimer 12900 blt 0,0,640,480,0, 1978,640,480:waittimer 12913 blt 0,0,640,480,0, 1980,640,480:waittimer 12926 blt 0,0,640,480,0, 1982,640,480:waittimer 12939 blt 0,0,640,480,0, 1984,640,480:waittimer 12952 blt 0,0,640,480,0, 1986,640,480:waittimer 12965 blt 0,0,640,480,0, 1988,640,480:waittimer 12978 blt 0,0,640,480,0, 1990,640,480:waittimer 12991 blt 0,0,640,480,0, 1992,640,480:waittimer 13004 blt 0,0,640,480,0, 1994,640,480:waittimer 13017 blt 0,0,640,480,0, 1996,640,480:waittimer 13030 blt 0,0,640,480,0, 1998,640,480:waittimer 13043 blt 0,0,640,480,0, 2000,640,480:waittimer 13056 blt 0,0,640,480,0, 2002,640,480:waittimer 13069 blt 0,0,640,480,0, 2004,640,480:waittimer 13082 blt 0,0,640,480,0, 2006,640,480:waittimer 13096 blt 0,0,640,480,0, 2008,640,480:waittimer 13109 blt 0,0,640,480,0, 2010,640,480:waittimer 13122 blt 0,0,640,480,0, 2012,640,480:waittimer 13135 blt 0,0,640,480,0, 2014,640,480:waittimer 13148 blt 0,0,640,480,0, 2016,640,480:waittimer 13161 blt 0,0,640,480,0, 2018,640,480:waittimer 13174 blt 0,0,640,480,0, 2020,640,480:waittimer 13187 blt 0,0,640,480,0, 2022,640,480:waittimer 13200 blt 0,0,640,480,0, 2024,640,480:waittimer 13213 blt 0,0,640,480,0, 2026,640,480:waittimer 13226 blt 0,0,640,480,0, 2028,640,480:waittimer 13239 blt 0,0,640,480,0, 2030,640,480:waittimer 13252 blt 0,0,640,480,0, 2032,640,480:waittimer 13265 blt 0,0,640,480,0, 2034,640,480:waittimer 13278 blt 0,0,640,480,0, 2036,640,480:waittimer 13291 blt 0,0,640,480,0, 2038,640,480:waittimer 13304 blt 0,0,640,480,0, 2040,640,480:waittimer 13317 blt 0,0,640,480,0, 2042,640,480:waittimer 13331 blt 0,0,640,480,0, 2044,640,480:waittimer 13344 blt 0,0,640,480,0, 2046,640,480:waittimer 13357 blt 0,0,640,480,0, 2048,640,480:waittimer 13370 blt 0,0,640,480,0, 2050,640,480:waittimer 13383 blt 0,0,640,480,0, 2052,640,480:waittimer 13396 blt 0,0,640,480,0, 2054,640,480:waittimer 13409 blt 0,0,640,480,0, 2056,640,480:waittimer 13422 blt 0,0,640,480,0, 2058,640,480:waittimer 13435 blt 0,0,640,480,0, 2060,640,480:waittimer 13448 blt 0,0,640,480,0, 2062,640,480:waittimer 13461 blt 0,0,640,480,0, 2064,640,480:waittimer 13474 blt 0,0,640,480,0, 2066,640,480:waittimer 13487 blt 0,0,640,480,0, 2068,640,480:waittimer 13500 blt 0,0,640,480,0, 2070,640,480:waittimer 13513 blt 0,0,640,480,0, 2072,640,480:waittimer 13526 blt 0,0,640,480,0, 2074,640,480:waittimer 13539 blt 0,0,640,480,0, 2076,640,480:waittimer 13552 blt 0,0,640,480,0, 2078,640,480:waittimer 13566 blt 0,0,640,480,0, 2080,640,480:waittimer 13579 blt 0,0,640,480,0, 2082,640,480:waittimer 13592 blt 0,0,640,480,0, 2084,640,480:waittimer 13605 blt 0,0,640,480,0, 2086,640,480:waittimer 13618 blt 0,0,640,480,0, 2088,640,480:waittimer 13631 blt 0,0,640,480,0, 2090,640,480:waittimer 13644 blt 0,0,640,480,0, 2092,640,480:waittimer 13657 blt 0,0,640,480,0, 2094,640,480:waittimer 13670 blt 0,0,640,480,0, 2096,640,480:waittimer 13683 blt 0,0,640,480,0, 2098,640,480:waittimer 13696 blt 0,0,640,480,0, 2100,640,480:waittimer 13709 blt 0,0,640,480,0, 2102,640,480:waittimer 13722 blt 0,0,640,480,0, 2104,640,480:waittimer 13735 blt 0,0,640,480,0, 2106,640,480:waittimer 13748 blt 0,0,640,480,0, 2108,640,480:waittimer 13761 blt 0,0,640,480,0, 2110,640,480:waittimer 13774 blt 0,0,640,480,0, 2112,640,480:waittimer 13788 blt 0,0,640,480,0, 2114,640,480:waittimer 13801 blt 0,0,640,480,0, 2116,640,480:waittimer 13814 blt 0,0,640,480,0, 2118,640,480:waittimer 13827 blt 0,0,640,480,0, 2120,640,480:waittimer 13840 blt 0,0,640,480,0, 2122,640,480:waittimer 13853 blt 0,0,640,480,0, 2124,640,480:waittimer 13866 blt 0,0,640,480,0, 2126,640,480:waittimer 13879 blt 0,0,640,480,0, 2128,640,480:waittimer 13892 blt 0,0,640,480,0, 2130,640,480:waittimer 13905 blt 0,0,640,480,0, 2132,640,480:waittimer 13918 blt 0,0,640,480,0, 2134,640,480:waittimer 13931 blt 0,0,640,480,0, 2136,640,480:waittimer 13944 blt 0,0,640,480,0, 2138,640,480:waittimer 13957 blt 0,0,640,480,0, 2140,640,480:waittimer 13970 blt 0,0,640,480,0, 2142,640,480:waittimer 13983 blt 0,0,640,480,0, 2144,640,480:waittimer 13996 blt 0,0,640,480,0, 2146,640,480:waittimer 14009 blt 0,0,640,480,0, 2148,640,480:waittimer 14023 blt 0,0,640,480,0, 2150,640,480:waittimer 14036 blt 0,0,640,480,0, 2152,640,480:waittimer 14049 blt 0,0,640,480,0, 2154,640,480:waittimer 14062 blt 0,0,640,480,0, 2156,640,480:waittimer 14075 blt 0,0,640,480,0, 2158,640,480:waittimer 14088 blt 0,0,640,480,0, 2160,640,480:waittimer 14101 blt 0,0,640,480,0, 2162,640,480:waittimer 14114 blt 0,0,640,480,0, 2164,640,480:waittimer 14127 blt 0,0,640,480,0, 2166,640,480:waittimer 14140 blt 0,0,640,480,0, 2168,640,480:waittimer 14153 blt 0,0,640,480,0, 2170,640,480:waittimer 14166 blt 0,0,640,480,0, 2172,640,480:waittimer 14179 blt 0,0,640,480,0, 2174,640,480:waittimer 14192 blt 0,0,640,480,0, 2176,640,480:waittimer 14205 blt 0,0,640,480,0, 2178,640,480:waittimer 14218 blt 0,0,640,480,0, 2180,640,480:waittimer 14231 blt 0,0,640,480,0, 2182,640,480:waittimer 14245 blt 0,0,640,480,0, 2184,640,480:waittimer 14258 blt 0,0,640,480,0, 2186,640,480:waittimer 14271 blt 0,0,640,480,0, 2188,640,480:waittimer 14284 blt 0,0,640,480,0, 2190,640,480:waittimer 14297 blt 0,0,640,480,0, 2192,640,480:waittimer 14310 blt 0,0,640,480,0, 2194,640,480:waittimer 14323 blt 0,0,640,480,0, 2196,640,480:waittimer 14336 blt 0,0,640,480,0, 2198,640,480:waittimer 14349 blt 0,0,640,480,0, 2200,640,480:waittimer 14362 blt 0,0,640,480,0, 2202,640,480:waittimer 14375 blt 0,0,640,480,0, 2204,640,480:waittimer 14388 blt 0,0,640,480,0, 2206,640,480:waittimer 14401 blt 0,0,640,480,0, 2208,640,480:waittimer 14414 blt 0,0,640,480,0, 2210,640,480:waittimer 14427 blt 0,0,640,480,0, 2212,640,480:waittimer 14440 blt 0,0,640,480,0, 2214,640,480:waittimer 14453 blt 0,0,640,480,0, 2216,640,480:waittimer 14466 blt 0,0,640,480,0, 2218,640,480:waittimer 14480 blt 0,0,640,480,0, 2220,640,480:waittimer 14493 blt 0,0,640,480,0, 2222,640,480:waittimer 14506 blt 0,0,640,480,0, 2224,640,480:waittimer 14519 blt 0,0,640,480,0, 2226,640,480:waittimer 14532 blt 0,0,640,480,0, 2228,640,480:waittimer 14545 blt 0,0,640,480,0, 2230,640,480:waittimer 14558 blt 0,0,640,480,0, 2232,640,480:waittimer 14571 blt 0,0,640,480,0, 2234,640,480:waittimer 14584 blt 0,0,640,480,0, 2236,640,480:waittimer 14597 blt 0,0,640,480,0, 2238,640,480:waittimer 14610 blt 0,0,640,480,0, 2240,640,480:waittimer 14623 blt 0,0,640,480,0, 2242,640,480:waittimer 14636 blt 0,0,640,480,0, 2244,640,480:waittimer 14649 blt 0,0,640,480,0, 2246,640,480:waittimer 14662 blt 0,0,640,480,0, 2248,640,480:waittimer 14675 blt 0,0,640,480,0, 2250,640,480:waittimer 14688 blt 0,0,640,480,0, 2252,640,480:waittimer 14701 blt 0,0,640,480,0, 2254,640,480:waittimer 14715 blt 0,0,640,480,0, 2256,640,480:waittimer 14728 blt 0,0,640,480,0, 2258,640,480:waittimer 14741 blt 0,0,640,480,0, 2260,640,480:waittimer 14754 blt 0,0,640,480,0, 2262,640,480:waittimer 14767 blt 0,0,640,480,0, 2264,640,480:waittimer 14780 blt 0,0,640,480,0, 2266,640,480:waittimer 14793 blt 0,0,640,480,0, 2268,640,480:waittimer 14806 blt 0,0,640,480,0, 2270,640,480:waittimer 14819 blt 0,0,640,480,0, 2272,640,480:waittimer 14832 blt 0,0,640,480,0, 2274,640,480:waittimer 14845 blt 0,0,640,480,0, 2276,640,480:waittimer 14858 blt 0,0,640,480,0, 2278,640,480:waittimer 14871 blt 0,0,640,480,0, 2280,640,480:waittimer 14884 blt 0,0,640,480,0, 2282,640,480:waittimer 14897 blt 0,0,640,480,0, 2284,640,480:waittimer 14910 blt 0,0,640,480,0, 2286,640,480:waittimer 14923 blt 0,0,640,480,0, 2288,640,480:waittimer 14937 blt 0,0,640,480,0, 2290,640,480:waittimer 14950 blt 0,0,640,480,0, 2292,640,480:waittimer 14963 blt 0,0,640,480,0, 2294,640,480:waittimer 14976 blt 0,0,640,480,0, 2296,640,480:waittimer 14989 blt 0,0,640,480,0, 2298,640,480:waittimer 15002 blt 0,0,640,480,0, 2300,640,480:waittimer 15015 blt 0,0,640,480,0, 2302,640,480:waittimer 15028 blt 0,0,640,480,0, 2304,640,480:waittimer 15041 blt 0,0,640,480,0, 2306,640,480:waittimer 15054 blt 0,0,640,480,0, 2308,640,480:waittimer 15067 blt 0,0,640,480,0, 2310,640,480:waittimer 15080 blt 0,0,640,480,0, 2312,640,480:waittimer 15093 blt 0,0,640,480,0, 2314,640,480:waittimer 15106 blt 0,0,640,480,0, 2316,640,480:waittimer 15119 blt 0,0,640,480,0, 2318,640,480:waittimer 15132 blt 0,0,640,480,0, 2320,640,480:waittimer 15145 blt 0,0,640,480,0, 2322,640,480:waittimer 15158 blt 0,0,640,480,0, 2324,640,480:waittimer 15172 blt 0,0,640,480,0, 2326,640,480:waittimer 15185 blt 0,0,640,480,0, 2328,640,480:waittimer 15198 blt 0,0,640,480,0, 2330,640,480:waittimer 15211 blt 0,0,640,480,0, 2332,640,480:waittimer 15224 blt 0,0,640,480,0, 2334,640,480:waittimer 15237 blt 0,0,640,480,0, 2336,640,480:waittimer 15250 blt 0,0,640,480,0, 2338,640,480:waittimer 15263 blt 0,0,640,480,0, 2340,640,480:waittimer 15276 blt 0,0,640,480,0, 2342,640,480:waittimer 15289 blt 0,0,640,480,0, 2344,640,480:waittimer 15302 blt 0,0,640,480,0, 2346,640,480:waittimer 15315 blt 0,0,640,480,0, 2348,640,480:waittimer 15328 blt 0,0,640,480,0, 2350,640,480:waittimer 15341 blt 0,0,640,480,0, 2352,640,480:waittimer 15354 blt 0,0,640,480,0, 2354,640,480:waittimer 15367 blt 0,0,640,480,0, 2356,640,480:waittimer 15380 blt 0,0,640,480,0, 2358,640,480:waittimer 15394 blt 0,0,640,480,0, 2360,640,480:waittimer 15407 blt 0,0,640,480,0, 2362,640,480:waittimer 15420 blt 0,0,640,480,0, 2364,640,480:waittimer 15433 blt 0,0,640,480,0, 2366,640,480:waittimer 15446 blt 0,0,640,480,0, 2368,640,480:waittimer 15459 blt 0,0,640,480,0, 2370,640,480:waittimer 15472 blt 0,0,640,480,0, 2372,640,480:waittimer 15485 blt 0,0,640,480,0, 2374,640,480:waittimer 15498 blt 0,0,640,480,0, 2376,640,480:waittimer 15511 blt 0,0,640,480,0, 2378,640,480:waittimer 15524 blt 0,0,640,480,0, 2380,640,480:waittimer 15537 blt 0,0,640,480,0, 2382,640,480:waittimer 15550 blt 0,0,640,480,0, 2384,640,480:waittimer 15563 blt 0,0,640,480,0, 2386,640,480:waittimer 15576 blt 0,0,640,480,0, 2388,640,480:waittimer 15589 blt 0,0,640,480,0, 2390,640,480:waittimer 15602 blt 0,0,640,480,0, 2392,640,480:waittimer 15615 blt 0,0,640,480,0, 2394,640,480:waittimer 15629 blt 0,0,640,480,0, 2396,640,480:waittimer 15642 blt 0,0,640,480,0, 2398,640,480:waittimer 15655 blt 0,0,640,480,0, 2400,640,480:waittimer 15668 blt 0,0,640,480,0, 2402,640,480:waittimer 15681 blt 0,0,640,480,0, 2404,640,480:waittimer 15694 blt 0,0,640,480,0, 2406,640,480:waittimer 15707 blt 0,0,640,480,0, 2408,640,480:waittimer 15720 blt 0,0,640,480,0, 2410,640,480:waittimer 15733 blt 0,0,640,480,0, 2412,640,480:waittimer 15746 blt 0,0,640,480,0, 2414,640,480:waittimer 15759 blt 0,0,640,480,0, 2416,640,480:waittimer 15772 blt 0,0,640,480,0, 2418,640,480:waittimer 15785 blt 0,0,640,480,0, 2420,640,480:waittimer 15798 blt 0,0,640,480,0, 2422,640,480:waittimer 15811 blt 0,0,640,480,0, 2424,640,480:waittimer 15824 blt 0,0,640,480,0, 2426,640,480:waittimer 15837 blt 0,0,640,480,0, 2428,640,480:waittimer 15850 blt 0,0,640,480,0, 2430,640,480:waittimer 15864 blt 0,0,640,480,0, 2432,640,480:waittimer 15877 blt 0,0,640,480,0, 2434,640,480:waittimer 15890 blt 0,0,640,480,0, 2436,640,480:waittimer 15903 blt 0,0,640,480,0, 2438,640,480:waittimer 15916 blt 0,0,640,480,0, 2440,640,480:waittimer 15929 blt 0,0,640,480,0, 2442,640,480:waittimer 15942 blt 0,0,640,480,0, 2444,640,480:waittimer 15955 blt 0,0,640,480,0, 2446,640,480:waittimer 15968 blt 0,0,640,480,0, 2448,640,480:waittimer 15981 blt 0,0,640,480,0, 2450,640,480:waittimer 15994 blt 0,0,640,480,0, 2452,640,480:waittimer 16007 blt 0,0,640,480,0, 2454,640,480:waittimer 16020 blt 0,0,640,480,0, 2456,640,480:waittimer 16033 blt 0,0,640,480,0, 2458,640,480:waittimer 16046 blt 0,0,640,480,0, 2460,640,480:waittimer 16059 blt 0,0,640,480,0, 2462,640,480:waittimer 16072 blt 0,0,640,480,0, 2464,640,480:waittimer 16086 blt 0,0,640,480,0, 2466,640,480:waittimer 16099 blt 0,0,640,480,0, 2468,640,480:waittimer 16112 blt 0,0,640,480,0, 2470,640,480:waittimer 16125 blt 0,0,640,480,0, 2472,640,480:waittimer 16138 blt 0,0,640,480,0, 2474,640,480:waittimer 16151 blt 0,0,640,480,0, 2476,640,480:waittimer 16164 blt 0,0,640,480,0, 2478,640,480:waittimer 16177 blt 0,0,640,480,0, 2480,640,480:waittimer 16190 blt 0,0,640,480,0, 2482,640,480:waittimer 16203 blt 0,0,640,480,0, 2484,640,480:waittimer 16216 blt 0,0,640,480,0, 2486,640,480:waittimer 16229 blt 0,0,640,480,0, 2488,640,480:waittimer 16242 blt 0,0,640,480,0, 2490,640,480:waittimer 16255 blt 0,0,640,480,0, 2492,640,480:waittimer 16268 blt 0,0,640,480,0, 2494,640,480:waittimer 16281 blt 0,0,640,480,0, 2496,640,480:waittimer 16294 blt 0,0,640,480,0, 2498,640,480:waittimer 16307 blt 0,0,640,480,0, 2500,640,480:waittimer 16321 blt 0,0,640,480,0, 2502,640,480:waittimer 16334 blt 0,0,640,480,0, 2504,640,480:waittimer 16347 blt 0,0,640,480,0, 2506,640,480:waittimer 16360 blt 0,0,640,480,0, 2508,640,480:waittimer 16373 blt 0,0,640,480,0, 2510,640,480:waittimer 16386 blt 0,0,640,480,0, 2512,640,480:waittimer 16399 blt 0,0,640,480,0, 2514,640,480:waittimer 16412 blt 0,0,640,480,0, 2516,640,480:waittimer 16425 blt 0,0,640,480,0, 2518,640,480:waittimer 16438 blt 0,0,640,480,0, 2520,640,480:waittimer 16451 blt 0,0,640,480,0, 2522,640,480:waittimer 16464 blt 0,0,640,480,0, 2524,640,480:waittimer 16477 blt 0,0,640,480,0, 2526,640,480:waittimer 16490 blt 0,0,640,480,0, 2528,640,480:waittimer 16503 blt 0,0,640,480,0, 2530,640,480:waittimer 16516 blt 0,0,640,480,0, 2532,640,480:waittimer 16529 blt 0,0,640,480,0, 2534,640,480:waittimer 16543 blt 0,0,640,480,0, 2536,640,480:waittimer 16556 blt 0,0,640,480,0, 2538,640,480:waittimer 16569 blt 0,0,640,480,0, 2540,640,480:waittimer 16582 blt 0,0,640,480,0, 2542,640,480:waittimer 16595 blt 0,0,640,480,0, 2544,640,480:waittimer 16608 blt 0,0,640,480,0, 2546,640,480:waittimer 16621 blt 0,0,640,480,0, 2548,640,480:waittimer 16634 blt 0,0,640,480,0, 2550,640,480:waittimer 16647 blt 0,0,640,480,0, 2552,640,480:waittimer 16660 blt 0,0,640,480,0, 2554,640,480:waittimer 16673 blt 0,0,640,480,0, 2556,640,480:waittimer 16686 blt 0,0,640,480,0, 2558,640,480:waittimer 16699 blt 0,0,640,480,0, 2560,640,480:waittimer 16712 blt 0,0,640,480,0, 2562,640,480:waittimer 16725 blt 0,0,640,480,0, 2564,640,480:waittimer 16738 blt 0,0,640,480,0, 2566,640,480:waittimer 16751 blt 0,0,640,480,0, 2568,640,480:waittimer 16764 blt 0,0,640,480,0, 2570,640,480:waittimer 16778 blt 0,0,640,480,0, 2572,640,480:waittimer 16791 blt 0,0,640,480,0, 2574,640,480:waittimer 16804 blt 0,0,640,480,0, 2576,640,480:waittimer 16817 blt 0,0,640,480,0, 2578,640,480:waittimer 16830 blt 0,0,640,480,0, 2580,640,480:waittimer 16843 blt 0,0,640,480,0, 2582,640,480:waittimer 16856 blt 0,0,640,480,0, 2584,640,480:waittimer 16869 blt 0,0,640,480,0, 2586,640,480:waittimer 16882 blt 0,0,640,480,0, 2588,640,480:waittimer 16895 blt 0,0,640,480,0, 2590,640,480:waittimer 16908 blt 0,0,640,480,0, 2592,640,480:waittimer 16921 blt 0,0,640,480,0, 2594,640,480:waittimer 16934 blt 0,0,640,480,0, 2596,640,480:waittimer 16947 blt 0,0,640,480,0, 2598,640,480:waittimer 16960 blt 0,0,640,480,0, 2600,640,480:waittimer 16973 blt 0,0,640,480,0, 2602,640,480:waittimer 16986 blt 0,0,640,480,0, 2604,640,480:waittimer 16999 blt 0,0,640,480,0, 2606,640,480:waittimer 17013 blt 0,0,640,480,0, 2608,640,480:waittimer 17026 blt 0,0,640,480,0, 2610,640,480:waittimer 17039 blt 0,0,640,480,0, 2612,640,480:waittimer 17052 blt 0,0,640,480,0, 2614,640,480:waittimer 17065 blt 0,0,640,480,0, 2616,640,480:waittimer 17078 blt 0,0,640,480,0, 2618,640,480:waittimer 17091 blt 0,0,640,480,0, 2620,640,480:waittimer 17104 blt 0,0,640,480,0, 2622,640,480:waittimer 17117 blt 0,0,640,480,0, 2624,640,480:waittimer 17130 blt 0,0,640,480,0, 2626,640,480:waittimer 17143 blt 0,0,640,480,0, 2628,640,480:waittimer 17156 blt 0,0,640,480,0, 2630,640,480:waittimer 17169 blt 0,0,640,480,0, 2632,640,480:waittimer 17182 blt 0,0,640,480,0, 2634,640,480:waittimer 17195 blt 0,0,640,480,0, 2636,640,480:waittimer 17208 blt 0,0,640,480,0, 2638,640,480:waittimer 17221 blt 0,0,640,480,0, 2640,640,480:waittimer 17235 blt 0,0,640,480,0, 2642,640,480:waittimer 17248 blt 0,0,640,480,0, 2644,640,480:waittimer 17261 blt 0,0,640,480,0, 2646,640,480:waittimer 17274 blt 0,0,640,480,0, 2648,640,480:waittimer 17287 blt 0,0,640,480,0, 2650,640,480:waittimer 17300 blt 0,0,640,480,0, 2652,640,480:waittimer 17313 blt 0,0,640,480,0, 2654,640,480:waittimer 17326 blt 0,0,640,480,0, 2656,640,480:waittimer 17339 blt 0,0,640,480,0, 2658,640,480:waittimer 17352 blt 0,0,640,480,0, 2660,640,480:waittimer 17365 blt 0,0,640,480,0, 2662,640,480:waittimer 17378 blt 0,0,640,480,0, 2664,640,480:waittimer 17391 blt 0,0,640,480,0, 2666,640,480:waittimer 17404 blt 0,0,640,480,0, 2668,640,480:waittimer 17417 blt 0,0,640,480,0, 2670,640,480:waittimer 17430 blt 0,0,640,480,0, 2672,640,480:waittimer 17443 blt 0,0,640,480,0, 2674,640,480:waittimer 17456 blt 0,0,640,480,0, 2676,640,480:waittimer 17470 blt 0,0,640,480,0, 2678,640,480:waittimer 17483 blt 0,0,640,480,0, 2680,640,480:waittimer 17496 blt 0,0,640,480,0, 2682,640,480:waittimer 17509 blt 0,0,640,480,0, 2684,640,480:waittimer 17522 blt 0,0,640,480,0, 2686,640,480:waittimer 17535 blt 0,0,640,480,0, 2688,640,480:waittimer 17548 blt 0,0,640,480,0, 2690,640,480:waittimer 17561 blt 0,0,640,480,0, 2692,640,480:waittimer 17574 blt 0,0,640,480,0, 2694,640,480:waittimer 17587 blt 0,0,640,480,0, 2696,640,480:waittimer 17600 blt 0,0,640,480,0, 2698,640,480:waittimer 17613 blt 0,0,640,480,0, 2700,640,480:waittimer 17626 blt 0,0,640,480,0, 2702,640,480:waittimer 17639 blt 0,0,640,480,0, 2704,640,480:waittimer 17652 blt 0,0,640,480,0, 2706,640,480:waittimer 17665 blt 0,0,640,480,0, 2708,640,480:waittimer 17678 blt 0,0,640,480,0, 2710,640,480:waittimer 17692 blt 0,0,640,480,0, 2712,640,480:waittimer 17705 blt 0,0,640,480,0, 2714,640,480:waittimer 17718 blt 0,0,640,480,0, 2716,640,480:waittimer 17731 blt 0,0,640,480,0, 2718,640,480:waittimer 17744 blt 0,0,640,480,0, 2720,640,480:waittimer 17757 blt 0,0,640,480,0, 2722,640,480:waittimer 17770 blt 0,0,640,480,0, 2724,640,480:waittimer 17783 blt 0,0,640,480,0, 2726,640,480:waittimer 17796 blt 0,0,640,480,0, 2728,640,480:waittimer 17809 blt 0,0,640,480,0, 2730,640,480:waittimer 17822 blt 0,0,640,480,0, 2732,640,480:waittimer 17835 blt 0,0,640,480,0, 2734,640,480:waittimer 17848 blt 0,0,640,480,0, 2736,640,480:waittimer 17861 blt 0,0,640,480,0, 2738,640,480:waittimer 17874 blt 0,0,640,480,0, 2740,640,480:waittimer 17887 blt 0,0,640,480,0, 2742,640,480:waittimer 17900 blt 0,0,640,480,0, 2744,640,480:waittimer 17913 blt 0,0,640,480,0, 2746,640,480:waittimer 17927 blt 0,0,640,480,0, 2748,640,480:waittimer 17940 blt 0,0,640,480,0, 2750,640,480:waittimer 17953 blt 0,0,640,480,0, 2752,640,480:waittimer 17966 blt 0,0,640,480,0, 2754,640,480:waittimer 17979 blt 0,0,640,480,0, 2756,640,480:waittimer 17992 blt 0,0,640,480,0, 2758,640,480:waittimer 18005 blt 0,0,640,480,0, 2760,640,480:waittimer 18018 blt 0,0,640,480,0, 2762,640,480:waittimer 18031 blt 0,0,640,480,0, 2764,640,480:waittimer 18044 blt 0,0,640,480,0, 2766,640,480:waittimer 18057 blt 0,0,640,480,0, 2768,640,480:waittimer 18070 blt 0,0,640,480,0, 2770,640,480:waittimer 18083 blt 0,0,640,480,0, 2772,640,480:waittimer 18096 blt 0,0,640,480,0, 2774,640,480:waittimer 18109 blt 0,0,640,480,0, 2776,640,480:waittimer 18122 blt 0,0,640,480,0, 2778,640,480:waittimer 18135 blt 0,0,640,480,0, 2780,640,480:waittimer 18149 blt 0,0,640,480,0, 2782,640,480:waittimer 18162 blt 0,0,640,480,0, 2784,640,480:waittimer 18175 blt 0,0,640,480,0, 2786,640,480:waittimer 18188 blt 0,0,640,480,0, 2788,640,480:waittimer 18201 blt 0,0,640,480,0, 2790,640,480:waittimer 18214 blt 0,0,640,480,0, 2792,640,480:waittimer 18227 blt 0,0,640,480,0, 2794,640,480:waittimer 18240 blt 0,0,640,480,0, 2796,640,480:waittimer 18253 blt 0,0,640,480,0, 2798,640,480:waittimer 18266 blt 0,0,640,480,0, 2800,640,480:waittimer 18279 blt 0,0,640,480,0, 2802,640,480:waittimer 18292 blt 0,0,640,480,0, 2804,640,480:waittimer 18305 blt 0,0,640,480,0, 2806,640,480:waittimer 18318 blt 0,0,640,480,0, 2808,640,480:waittimer 18331 blt 0,0,640,480,0, 2810,640,480:waittimer 18344 blt 0,0,640,480,0, 2812,640,480:waittimer 18357 blt 0,0,640,480,0, 2814,640,480:waittimer 18370 blt 0,0,640,480,0, 2816,640,480:waittimer 18384 blt 0,0,640,480,0, 2818,640,480:waittimer 18397 blt 0,0,640,480,0, 2820,640,480:waittimer 18410 blt 0,0,640,480,0, 2822,640,480:waittimer 18423 blt 0,0,640,480,0, 2824,640,480:waittimer 18436 blt 0,0,640,480,0, 2826,640,480:waittimer 18449 blt 0,0,640,480,0, 2828,640,480:waittimer 18462 blt 0,0,640,480,0, 2830,640,480:waittimer 18475 blt 0,0,640,480,0, 2832,640,480:waittimer 18488 blt 0,0,640,480,0, 2834,640,480:waittimer 18501 blt 0,0,640,480,0, 2836,640,480:waittimer 18514 blt 0,0,640,480,0, 2838,640,480:waittimer 18527 blt 0,0,640,480,0, 2840,640,480:waittimer 18540 blt 0,0,640,480,0, 2842,640,480:waittimer 18553 blt 0,0,640,480,0, 2844,640,480:waittimer 18566 blt 0,0,640,480,0, 2846,640,480:waittimer 18579 blt 0,0,640,480,0, 2848,640,480:waittimer 18592 blt 0,0,640,480,0, 2850,640,480:waittimer 18605 blt 0,0,640,480,0, 2852,640,480:waittimer 18619 blt 0,0,640,480,0, 2854,640,480:waittimer 18632 blt 0,0,640,480,0, 2856,640,480:waittimer 18645 blt 0,0,640,480,0, 2858,640,480:waittimer 18658 blt 0,0,640,480,0, 2860,640,480:waittimer 18671 blt 0,0,640,480,0, 2862,640,480:waittimer 18684 blt 0,0,640,480,0, 2864,640,480:waittimer 18697 blt 0,0,640,480,0, 2866,640,480:waittimer 18710 blt 0,0,640,480,0, 2868,640,480:waittimer 18723 blt 0,0,640,480,0, 2870,640,480:waittimer 18736 blt 0,0,640,480,0, 2872,640,480:waittimer 18749 blt 0,0,640,480,0, 2874,640,480:waittimer 18762 blt 0,0,640,480,0, 2876,640,480:waittimer 18775 blt 0,0,640,480,0, 2878,640,480:waittimer 18788 blt 0,0,640,480,0, 2880,640,480:waittimer 18801 blt 0,0,640,480,0, 2882,640,480:waittimer 18814 blt 0,0,640,480,0, 2884,640,480:waittimer 18827 blt 0,0,640,480,0, 2886,640,480:waittimer 18841 blt 0,0,640,480,0, 2888,640,480:waittimer 18854 blt 0,0,640,480,0, 2890,640,480:waittimer 18867 blt 0,0,640,480,0, 2892,640,480:waittimer 18880 blt 0,0,640,480,0, 2894,640,480:waittimer 18893 blt 0,0,640,480,0, 2896,640,480:waittimer 18906 blt 0,0,640,480,0, 2898,640,480:waittimer 18919 blt 0,0,640,480,0, 2900,640,480:waittimer 18932 blt 0,0,640,480,0, 2902,640,480:waittimer 18945 blt 0,0,640,480,0, 2904,640,480:waittimer 18958 blt 0,0,640,480,0, 2906,640,480:waittimer 18971 blt 0,0,640,480,0, 2908,640,480:waittimer 18984 blt 0,0,640,480,0, 2910,640,480:waittimer 18997 blt 0,0,640,480,0, 2912,640,480:waittimer 19010 blt 0,0,640,480,0, 2914,640,480:waittimer 19023 blt 0,0,640,480,0, 2916,640,480:waittimer 19036 blt 0,0,640,480,0, 2918,640,480:waittimer 19049 blt 0,0,640,480,0, 2920,640,480:waittimer 19062 blt 0,0,640,480,0, 2922,640,480:waittimer 19076 blt 0,0,640,480,0, 2924,640,480:waittimer 19089 blt 0,0,640,480,0, 2926,640,480:waittimer 19102 blt 0,0,640,480,0, 2928,640,480:waittimer 19115 blt 0,0,640,480,0, 2930,640,480:waittimer 19128 blt 0,0,640,480,0, 2932,640,480:waittimer 19141 blt 0,0,640,480,0, 2934,640,480:waittimer 19154 blt 0,0,640,480,0, 2936,640,480:waittimer 19167 blt 0,0,640,480,0, 2938,640,480:waittimer 19180 blt 0,0,640,480,0, 2940,640,480:waittimer 19193 blt 0,0,640,480,0, 2942,640,480:waittimer 19206 blt 0,0,640,480,0, 2944,640,480:waittimer 19219 blt 0,0,640,480,0, 2946,640,480:waittimer 19232 blt 0,0,640,480,0, 2948,640,480:waittimer 19245 blt 0,0,640,480,0, 2950,640,480:waittimer 19258 blt 0,0,640,480,0, 2952,640,480:waittimer 19271 blt 0,0,640,480,0, 2954,640,480:waittimer 19284 blt 0,0,640,480,0, 2956,640,480:waittimer 19298 blt 0,0,640,480,0, 2958,640,480:waittimer 19311 blt 0,0,640,480,0, 2960,640,480:waittimer 19324 blt 0,0,640,480,0, 2962,640,480:waittimer 19337 blt 0,0,640,480,0, 2964,640,480:waittimer 19350 blt 0,0,640,480,0, 2966,640,480:waittimer 19363 blt 0,0,640,480,0, 2968,640,480:waittimer 19376 blt 0,0,640,480,0, 2970,640,480:waittimer 19389 blt 0,0,640,480,0, 2972,640,480:waittimer 19402 blt 0,0,640,480,0, 2974,640,480:waittimer 19415 blt 0,0,640,480,0, 2976,640,480:waittimer 19428 blt 0,0,640,480,0, 2978,640,480:waittimer 19441 blt 0,0,640,480,0, 2980,640,480:waittimer 19454 blt 0,0,640,480,0, 2982,640,480:waittimer 19467 blt 0,0,640,480,0, 2984,640,480:waittimer 19480 blt 0,0,640,480,0, 2986,640,480:waittimer 19493 blt 0,0,640,480,0, 2988,640,480:waittimer 19506 blt 0,0,640,480,0, 2990,640,480:waittimer 19519 blt 0,0,640,480,0, 2992,640,480:waittimer 19533 blt 0,0,640,480,0, 2994,640,480:waittimer 19546 blt 0,0,640,480,0, 2996,640,480:waittimer 19559 blt 0,0,640,480,0, 2998,640,480:waittimer 19572 blt 0,0,640,480,0, 3000,640,480:waittimer 19585 blt 0,0,640,480,0, 3002,640,480:waittimer 19598 blt 0,0,640,480,0, 3004,640,480:waittimer 19611 blt 0,0,640,480,0, 3006,640,480:waittimer 19624 blt 0,0,640,480,0, 3008,640,480:waittimer 19637 blt 0,0,640,480,0, 3010,640,480:waittimer 19650 blt 0,0,640,480,0, 3012,640,480:waittimer 19663 blt 0,0,640,480,0, 3014,640,480:waittimer 19676 blt 0,0,640,480,0, 3016,640,480:waittimer 19689 blt 0,0,640,480,0, 3018,640,480:waittimer 19702 blt 0,0,640,480,0, 3020,640,480:waittimer 19715 blt 0,0,640,480,0, 3022,640,480:waittimer 19728 blt 0,0,640,480,0, 3024,640,480:waittimer 19741 blt 0,0,640,480,0, 3026,640,480:waittimer 19754 blt 0,0,640,480,0, 3028,640,480:waittimer 19768 blt 0,0,640,480,0, 3030,640,480:waittimer 19781 blt 0,0,640,480,0, 3032,640,480:waittimer 19794 blt 0,0,640,480,0, 3034,640,480:waittimer 19807 blt 0,0,640,480,0, 3036,640,480:waittimer 19820 blt 0,0,640,480,0, 3038,640,480:waittimer 19833 blt 0,0,640,480,0, 3040,640,480:waittimer 19846 blt 0,0,640,480,0, 3042,640,480:waittimer 19859 blt 0,0,640,480,0, 3044,640,480:waittimer 19872 blt 0,0,640,480,0, 3046,640,480:waittimer 19885 blt 0,0,640,480,0, 3048,640,480:waittimer 19898 blt 0,0,640,480,0, 3050,640,480:waittimer 19911 blt 0,0,640,480,0, 3052,640,480:waittimer 19924 blt 0,0,640,480,0, 3054,640,480:waittimer 19937 blt 0,0,640,480,0, 3056,640,480:waittimer 19950 blt 0,0,640,480,0, 3058,640,480:waittimer 19963 blt 0,0,640,480,0, 3060,640,480:waittimer 19976 blt 0,0,640,480,0, 3062,640,480:waittimer 19990 blt 0,0,640,480,0, 3064,640,480:waittimer 20003 blt 0,0,640,480,0, 3066,640,480:waittimer 20016 blt 0,0,640,480,0, 3068,640,480:waittimer 20029 blt 0,0,640,480,0, 3070,640,480:waittimer 20042 blt 0,0,640,480,0, 3072,640,480:waittimer 20055 blt 0,0,640,480,0, 3074,640,480:waittimer 20068 blt 0,0,640,480,0, 3076,640,480:waittimer 20081 blt 0,0,640,480,0, 3078,640,480:waittimer 20094 blt 0,0,640,480,0, 3080,640,480:waittimer 20107 blt 0,0,640,480,0, 3082,640,480:waittimer 20120 blt 0,0,640,480,0, 3084,640,480:waittimer 20133 blt 0,0,640,480,0, 3086,640,480:waittimer 20146 blt 0,0,640,480,0, 3088,640,480:waittimer 20159 blt 0,0,640,480,0, 3090,640,480:waittimer 20172 blt 0,0,640,480,0, 3092,640,480:waittimer 20185 blt 0,0,640,480,0, 3094,640,480:waittimer 20198 blt 0,0,640,480,0, 3096,640,480:waittimer 20211 blt 0,0,640,480,0, 3098,640,480:waittimer 20225 blt 0,0,640,480,0, 3100,640,480:waittimer 20238 blt 0,0,640,480,0, 3102,640,480:waittimer 20251 blt 0,0,640,480,0, 3104,640,480:waittimer 20264 blt 0,0,640,480,0, 3106,640,480:waittimer 20277 blt 0,0,640,480,0, 3108,640,480:waittimer 20290 blt 0,0,640,480,0, 3110,640,480:waittimer 20303 blt 0,0,640,480,0, 3112,640,480:waittimer 20316 blt 0,0,640,480,0, 3114,640,480:waittimer 20329 blt 0,0,640,480,0, 3116,640,480:waittimer 20342 blt 0,0,640,480,0, 3118,640,480:waittimer 20355 blt 0,0,640,480,0, 3120,640,480:waittimer 20368 blt 0,0,640,480,0, 3122,640,480:waittimer 20381 blt 0,0,640,480,0, 3124,640,480:waittimer 20394 blt 0,0,640,480,0, 3126,640,480:waittimer 20407 blt 0,0,640,480,0, 3128,640,480:waittimer 20420 blt 0,0,640,480,0, 3130,640,480:waittimer 20433 blt 0,0,640,480,0, 3132,640,480:waittimer 20447 blt 0,0,640,480,0, 3134,640,480:waittimer 20460 blt 0,0,640,480,0, 3136,640,480:waittimer 20473 blt 0,0,640,480,0, 3138,640,480:waittimer 20486 blt 0,0,640,480,0, 3140,640,480:waittimer 20499 blt 0,0,640,480,0, 3142,640,480:waittimer 20512 blt 0,0,640,480,0, 3144,640,480:waittimer 20525 blt 0,0,640,480,0, 3146,640,480:waittimer 20538 blt 0,0,640,480,0, 3148,640,480:waittimer 20551 blt 0,0,640,480,0, 3150,640,480:waittimer 20564 blt 0,0,640,480,0, 3152,640,480:waittimer 20577 blt 0,0,640,480,0, 3154,640,480:waittimer 20590 blt 0,0,640,480,0, 3156,640,480:waittimer 20603 blt 0,0,640,480,0, 3158,640,480:waittimer 20616 blt 0,0,640,480,0, 3160,640,480:waittimer 20629 blt 0,0,640,480,0, 3162,640,480:waittimer 20642 blt 0,0,640,480,0, 3164,640,480:waittimer 20655 blt 0,0,640,480,0, 3166,640,480:waittimer 20668 blt 0,0,640,480,0, 3168,640,480:waittimer 20682 blt 0,0,640,480,0, 3170,640,480:waittimer 20695 blt 0,0,640,480,0, 3172,640,480:waittimer 20708 blt 0,0,640,480,0, 3174,640,480:waittimer 20721 blt 0,0,640,480,0, 3176,640,480:waittimer 20734 blt 0,0,640,480,0, 3178,640,480:waittimer 20747 blt 0,0,640,480,0, 3180,640,480:waittimer 20760 blt 0,0,640,480,0, 3182,640,480:waittimer 20773 blt 0,0,640,480,0, 3184,640,480:waittimer 20786 blt 0,0,640,480,0, 3186,640,480:waittimer 20799 blt 0,0,640,480,0, 3188,640,480:waittimer 20812 blt 0,0,640,480,0, 3190,640,480:waittimer 20825 blt 0,0,640,480,0, 3192,640,480:waittimer 20838 blt 0,0,640,480,0, 3194,640,480:waittimer 20851 blt 0,0,640,480,0, 3196,640,480:waittimer 20864 blt 0,0,640,480,0, 3198,640,480:waittimer 20877 blt 0,0,640,480,0, 3200,640,480:waittimer 20890 blt 0,0,640,480,0, 3202,640,480:waittimer 20903 blt 0,0,640,480,0, 3204,640,480:waittimer 20917 blt 0,0,640,480,0, 3206,640,480:waittimer 20930 blt 0,0,640,480,0, 3208,640,480:waittimer 20943 blt 0,0,640,480,0, 3210,640,480:waittimer 20956 blt 0,0,640,480,0, 3212,640,480:waittimer 20969 blt 0,0,640,480,0, 3214,640,480:waittimer 20982 blt 0,0,640,480,0, 3216,640,480:waittimer 20995 blt 0,0,640,480,0, 3218,640,480:waittimer 21008 blt 0,0,640,480,0, 3220,640,480:waittimer 21021 blt 0,0,640,480,0, 3222,640,480:waittimer 21034 blt 0,0,640,480,0, 3224,640,480:waittimer 21047 blt 0,0,640,480,0, 3226,640,480:waittimer 21060 blt 0,0,640,480,0, 3228,640,480:waittimer 21073 blt 0,0,640,480,0, 3230,640,480:waittimer 21086 blt 0,0,640,480,0, 3232,640,480:waittimer 21099 blt 0,0,640,480,0, 3234,640,480:waittimer 21112 blt 0,0,640,480,0, 3236,640,480:waittimer 21125 blt 0,0,640,480,0, 3238,640,480:waittimer 21139 blt 0,0,640,480,0, 3240,640,480:waittimer 21152 blt 0,0,640,480,0, 3242,640,480:waittimer 21165 blt 0,0,640,480,0, 3244,640,480:waittimer 21178 blt 0,0,640,480,0, 3246,640,480:waittimer 21191 blt 0,0,640,480,0, 3248,640,480:waittimer 21204 blt 0,0,640,480,0, 3250,640,480:waittimer 21217 blt 0,0,640,480,0, 3252,640,480:waittimer 21230 blt 0,0,640,480,0, 3254,640,480:waittimer 21243 blt 0,0,640,480,0, 3256,640,480:waittimer 21256 blt 0,0,640,480,0, 3258,640,480:waittimer 21269 blt 0,0,640,480,0, 3260,640,480:waittimer 21282 blt 0,0,640,480,0, 3262,640,480:waittimer 21295 blt 0,0,640,480,0, 3264,640,480:waittimer 21308 blt 0,0,640,480,0, 3266,640,480:waittimer 21321 blt 0,0,640,480,0, 3268,640,480:waittimer 21334 blt 0,0,640,480,0, 3270,640,480:waittimer 21347 blt 0,0,640,480,0, 3272,640,480:waittimer 21360 blt 0,0,640,480,0, 3274,640,480:waittimer 21374 blt 0,0,640,480,0, 3276,640,480:waittimer 21387 blt 0,0,640,480,0, 3278,640,480:waittimer 21400 blt 0,0,640,480,0, 3280,640,480:waittimer 21413 blt 0,0,640,480,0, 3282,640,480:waittimer 21426 blt 0,0,640,480,0, 3284,640,480:waittimer 21439 blt 0,0,640,480,0, 3286,640,480:waittimer 21452 blt 0,0,640,480,0, 3288,640,480:waittimer 21465 blt 0,0,640,480,0, 3290,640,480:waittimer 21478 blt 0,0,640,480,0, 3292,640,480:waittimer 21491 blt 0,0,640,480,0, 3294,640,480:waittimer 21504 blt 0,0,640,480,0, 3296,640,480:waittimer 21517 blt 0,0,640,480,0, 3298,640,480:waittimer 21530 blt 0,0,640,480,0, 3300,640,480:waittimer 21543 blt 0,0,640,480,0, 3302,640,480:waittimer 21556 blt 0,0,640,480,0, 3304,640,480:waittimer 21569 blt 0,0,640,480,0, 3306,640,480:waittimer 21582 blt 0,0,640,480,0, 3308,640,480:waittimer 21596 blt 0,0,640,480,0, 3310,640,480:waittimer 21609 blt 0,0,640,480,0, 3312,640,480:waittimer 21622 blt 0,0,640,480,0, 3314,640,480:waittimer 21635 blt 0,0,640,480,0, 3316,640,480:waittimer 21648 blt 0,0,640,480,0, 3318,640,480:waittimer 21661 blt 0,0,640,480,0, 3320,640,480:waittimer 21674 blt 0,0,640,480,0, 3322,640,480:waittimer 21687 blt 0,0,640,480,0, 3324,640,480:waittimer 21700 blt 0,0,640,480,0, 3326,640,480:waittimer 21713 blt 0,0,640,480,0, 3328,640,480:waittimer 21726 blt 0,0,640,480,0, 3330,640,480:waittimer 21739 blt 0,0,640,480,0, 3332,640,480:waittimer 21752 blt 0,0,640,480,0, 3334,640,480:waittimer 21765 blt 0,0,640,480,0, 3336,640,480:waittimer 21778 blt 0,0,640,480,0, 3338,640,480:waittimer 21791 blt 0,0,640,480,0, 3340,640,480:waittimer 21804 blt 0,0,640,480,0, 3342,640,480:waittimer 21817 blt 0,0,640,480,0, 3344,640,480:waittimer 21831 blt 0,0,640,480,0, 3346,640,480:waittimer 21844 blt 0,0,640,480,0, 3348,640,480:waittimer 21857 blt 0,0,640,480,0, 3350,640,480:waittimer 21870 blt 0,0,640,480,0, 3352,640,480:waittimer 21883 blt 0,0,640,480,0, 3354,640,480:waittimer 21896 blt 0,0,640,480,0, 3356,640,480:waittimer 21909 blt 0,0,640,480,0, 3358,640,480:waittimer 21922 blt 0,0,640,480,0, 3360,640,480:waittimer 21935 blt 0,0,640,480,0, 3362,640,480:waittimer 21948 blt 0,0,640,480,0, 3364,640,480:waittimer 21961 blt 0,0,640,480,0, 3366,640,480:waittimer 21974 blt 0,0,640,480,0, 3368,640,480:waittimer 21987 blt 0,0,640,480,0, 3370,640,480:waittimer 22000 blt 0,0,640,480,0, 3372,640,480:waittimer 22013 blt 0,0,640,480,0, 3374,640,480:waittimer 22026 blt 0,0,640,480,0, 3376,640,480:waittimer 22039 blt 0,0,640,480,0, 3378,640,480:waittimer 22052 blt 0,0,640,480,0, 3380,640,480:waittimer 22066 blt 0,0,640,480,0, 3382,640,480:waittimer 22079 blt 0,0,640,480,0, 3384,640,480:waittimer 22092 blt 0,0,640,480,0, 3386,640,480:waittimer 22105 blt 0,0,640,480,0, 3388,640,480:waittimer 22118 blt 0,0,640,480,0, 3390,640,480:waittimer 22131 blt 0,0,640,480,0, 3392,640,480:waittimer 22144 blt 0,0,640,480,0, 3394,640,480:waittimer 22157 blt 0,0,640,480,0, 3396,640,480:waittimer 22170 blt 0,0,640,480,0, 3398,640,480:waittimer 22183 blt 0,0,640,480,0, 3400,640,480:waittimer 22196 blt 0,0,640,480,0, 3402,640,480:waittimer 22209 blt 0,0,640,480,0, 3404,640,480:waittimer 22222 blt 0,0,640,480,0, 3406,640,480:waittimer 22235 blt 0,0,640,480,0, 3408,640,480:waittimer 22248 blt 0,0,640,480,0, 3410,640,480:waittimer 22261 blt 0,0,640,480,0, 3412,640,480:waittimer 22274 blt 0,0,640,480,0, 3414,640,480:waittimer 22288 blt 0,0,640,480,0, 3416,640,480:waittimer 22301 blt 0,0,640,480,0, 3418,640,480:waittimer 22314 blt 0,0,640,480,0, 3420,640,480:waittimer 22327 blt 0,0,640,480,0, 3422,640,480:waittimer 22340 blt 0,0,640,480,0, 3424,640,480:waittimer 22353 blt 0,0,640,480,0, 3426,640,480:waittimer 22366 blt 0,0,640,480,0, 3428,640,480:waittimer 22379 blt 0,0,640,480,0, 3430,640,480:waittimer 22392 blt 0,0,640,480,0, 3432,640,480:waittimer 22405 blt 0,0,640,480,0, 3434,640,480:waittimer 22418 blt 0,0,640,480,0, 3436,640,480:waittimer 22431 blt 0,0,640,480,0, 3438,640,480:waittimer 22444 blt 0,0,640,480,0, 3440,640,480:waittimer 22457 blt 0,0,640,480,0, 3442,640,480:waittimer 22470 blt 0,0,640,480,0, 3444,640,480:waittimer 22483 blt 0,0,640,480,0, 3446,640,480:waittimer 22496 blt 0,0,640,480,0, 3448,640,480:waittimer 22509 blt 0,0,640,480,0, 3450,640,480:waittimer 22523 blt 0,0,640,480,0, 3452,640,480:waittimer 22536 blt 0,0,640,480,0, 3454,640,480:waittimer 22549 blt 0,0,640,480,0, 3456,640,480:waittimer 22562 blt 0,0,640,480,0, 3458,640,480:waittimer 22575 blt 0,0,640,480,0, 3460,640,480:waittimer 22588 blt 0,0,640,480,0, 3462,640,480:waittimer 22601 blt 0,0,640,480,0, 3464,640,480:waittimer 22614 blt 0,0,640,480,0, 3466,640,480:waittimer 22627 blt 0,0,640,480,0, 3468,640,480:waittimer 22640 blt 0,0,640,480,0, 3470,640,480:waittimer 22653 blt 0,0,640,480,0, 3472,640,480:waittimer 22666 blt 0,0,640,480,0, 3474,640,480:waittimer 22679 blt 0,0,640,480,0, 3476,640,480:waittimer 22692 blt 0,0,640,480,0, 3478,640,480:waittimer 22705 blt 0,0,640,480,0, 3480,640,480:waittimer 22718 blt 0,0,640,480,0, 3482,640,480:waittimer 22731 blt 0,0,640,480,0, 3484,640,480:waittimer 22745 blt 0,0,640,480,0, 3486,640,480:waittimer 22758 blt 0,0,640,480,0, 3488,640,480:waittimer 22771 blt 0,0,640,480,0, 3490,640,480:waittimer 22784 blt 0,0,640,480,0, 3492,640,480:waittimer 22797 blt 0,0,640,480,0, 3494,640,480:waittimer 22810 blt 0,0,640,480,0, 3496,640,480:waittimer 22823 blt 0,0,640,480,0, 3498,640,480:waittimer 22836 blt 0,0,640,480,0, 3500,640,480:waittimer 22849 blt 0,0,640,480,0, 3502,640,480:waittimer 22862 blt 0,0,640,480,0, 3504,640,480:waittimer 22875 blt 0,0,640,480,0, 3506,640,480:waittimer 22888 blt 0,0,640,480,0, 3508,640,480:waittimer 22901 blt 0,0,640,480,0, 3510,640,480:waittimer 22914 blt 0,0,640,480,0, 3512,640,480:waittimer 22927 blt 0,0,640,480,0, 3514,640,480:waittimer 22940 blt 0,0,640,480,0, 3516,640,480:waittimer 22953 blt 0,0,640,480,0, 3518,640,480:waittimer 22966 blt 0,0,640,480,0, 3520,640,480:waittimer 22980 blt 0,0,640,480,0, 3522,640,480:waittimer 22993 blt 0,0,640,480,0, 3524,640,480:waittimer 23006 blt 0,0,640,480,0, 3526,640,480:waittimer 23019 blt 0,0,640,480,0, 3528,640,480:waittimer 23032 blt 0,0,640,480,0, 3530,640,480:waittimer 23045 blt 0,0,640,480,0, 3532,640,480:waittimer 23058 blt 0,0,640,480,0, 3534,640,480:waittimer 23071 blt 0,0,640,480,0, 3536,640,480:waittimer 23084 blt 0,0,640,480,0, 3538,640,480:waittimer 23097 blt 0,0,640,480,0, 3540,640,480:waittimer 23110 blt 0,0,640,480,0, 3542,640,480:waittimer 23123 blt 0,0,640,480,0, 3544,640,480:waittimer 23136 blt 0,0,640,480,0, 3546,640,480:waittimer 23149 blt 0,0,640,480,0, 3548,640,480:waittimer 23162 blt 0,0,640,480,0, 3550,640,480:waittimer 23175 blt 0,0,640,480,0, 3552,640,480:waittimer 23188 blt 0,0,640,480,0, 3554,640,480:waittimer 23201 blt 0,0,640,480,0, 3556,640,480:waittimer 23215 blt 0,0,640,480,0, 3558,640,480:waittimer 23228 blt 0,0,640,480,0, 3560,640,480:waittimer 23241 blt 0,0,640,480,0, 3562,640,480:waittimer 23254 blt 0,0,640,480,0, 3564,640,480:waittimer 23267 blt 0,0,640,480,0, 3566,640,480:waittimer 23280 blt 0,0,640,480,0, 3568,640,480:waittimer 23293 blt 0,0,640,480,0, 3570,640,480:waittimer 23306 blt 0,0,640,480,0, 3572,640,480:waittimer 23319 blt 0,0,640,480,0, 3574,640,480:waittimer 23332 blt 0,0,640,480,0, 3576,640,480:waittimer 23345 blt 0,0,640,480,0, 3578,640,480:waittimer 23358 blt 0,0,640,480,0, 3580,640,480:waittimer 23371 blt 0,0,640,480,0, 3582,640,480:waittimer 23384 blt 0,0,640,480,0, 3584,640,480:waittimer 23397 blt 0,0,640,480,0, 3586,640,480:waittimer 23410 blt 0,0,640,480,0, 3588,640,480:waittimer 23423 blt 0,0,640,480,0, 3590,640,480:waittimer 23437 blt 0,0,640,480,0, 3592,640,480:waittimer 23450 blt 0,0,640,480,0, 3594,640,480:waittimer 23463 blt 0,0,640,480,0, 3596,640,480:waittimer 23476 blt 0,0,640,480,0, 3598,640,480:waittimer 23489 blt 0,0,640,480,0, 3600,640,480:waittimer 23502 blt 0,0,640,480,0, 3602,640,480:waittimer 23515 blt 0,0,640,480,0, 3604,640,480:waittimer 23528 blt 0,0,640,480,0, 3606,640,480:waittimer 23541 blt 0,0,640,480,0, 3608,640,480:waittimer 23554 blt 0,0,640,480,0, 3610,640,480:waittimer 23567 blt 0,0,640,480,0, 3612,640,480:waittimer 23580 blt 0,0,640,480,0, 3614,640,480:waittimer 23593 blt 0,0,640,480,0, 3616,640,480:waittimer 23606 blt 0,0,640,480,0, 3618,640,480:waittimer 23619 blt 0,0,640,480,0, 3620,640,480:waittimer 23632 blt 0,0,640,480,0, 3622,640,480:waittimer 23645 blt 0,0,640,480,0, 3624,640,480:waittimer 23658 blt 0,0,640,480,0, 3626,640,480:waittimer 23672 blt 0,0,640,480,0, 3628,640,480:waittimer 23685 blt 0,0,640,480,0, 3630,640,480:waittimer 23698 blt 0,0,640,480,0, 3632,640,480:waittimer 23711 blt 0,0,640,480,0, 3634,640,480:waittimer 23724 blt 0,0,640,480,0, 3636,640,480:waittimer 23737 blt 0,0,640,480,0, 3638,640,480:waittimer 23750 blt 0,0,640,480,0, 3640,640,480:waittimer 23763 blt 0,0,640,480,0, 3642,640,480:waittimer 23776 blt 0,0,640,480,0, 3644,640,480:waittimer 23789 blt 0,0,640,480,0, 3646,640,480:waittimer 23802 blt 0,0,640,480,0, 3648,640,480:waittimer 23815 blt 0,0,640,480,0, 3650,640,480:waittimer 23828 blt 0,0,640,480,0, 3652,640,480:waittimer 23841 blt 0,0,640,480,0, 3654,640,480:waittimer 23854 blt 0,0,640,480,0, 3656,640,480:waittimer 23867 blt 0,0,640,480,0, 3658,640,480:waittimer 23880 blt 0,0,640,480,0, 3660,640,480:waittimer 23894 blt 0,0,640,480,0, 3662,640,480:waittimer 23907 blt 0,0,640,480,0, 3664,640,480:waittimer 23920 blt 0,0,640,480,0, 3666,640,480:waittimer 23933 blt 0,0,640,480,0, 3668,640,480:waittimer 23946 blt 0,0,640,480,0, 3670,640,480:waittimer 23959 blt 0,0,640,480,0, 3672,640,480:waittimer 23972 blt 0,0,640,480,0, 3674,640,480:waittimer 23985 blt 0,0,640,480,0, 3676,640,480:waittimer 23998 blt 0,0,640,480,0, 3678,640,480:waittimer 24011 blt 0,0,640,480,0, 3680,640,480:waittimer 24024 blt 0,0,640,480,0, 3682,640,480:waittimer 24037 blt 0,0,640,480,0, 3684,640,480:waittimer 24050 blt 0,0,640,480,0, 3686,640,480:waittimer 24063 blt 0,0,640,480,0, 3688,640,480:waittimer 24076 blt 0,0,640,480,0, 3690,640,480:waittimer 24089 blt 0,0,640,480,0, 3692,640,480:waittimer 24102 blt 0,0,640,480,0, 3694,640,480:waittimer 24115 blt 0,0,640,480,0, 3696,640,480:waittimer 24129 blt 0,0,640,480,0, 3698,640,480:waittimer 24142 blt 0,0,640,480,0, 3700,640,480:waittimer 24155 blt 0,0,640,480,0, 3702,640,480:waittimer 24168 blt 0,0,640,480,0, 3704,640,480:waittimer 24181 blt 0,0,640,480,0, 3706,640,480:waittimer 24194 blt 0,0,640,480,0, 3708,640,480:waittimer 24207 blt 0,0,640,480,0, 3710,640,480:waittimer 24220 blt 0,0,640,480,0, 3712,640,480:waittimer 24233 blt 0,0,640,480,0, 3714,640,480:waittimer 24246 blt 0,0,640,480,0, 3716,640,480:waittimer 24259 blt 0,0,640,480,0, 3718,640,480:waittimer 24272 blt 0,0,640,480,0, 3720,640,480:waittimer 24285 blt 0,0,640,480,0, 3722,640,480:waittimer 24298 blt 0,0,640,480,0, 3724,640,480:waittimer 24311 blt 0,0,640,480,0, 3726,640,480:waittimer 24324 blt 0,0,640,480,0, 3728,640,480:waittimer 24337 blt 0,0,640,480,0, 3730,640,480:waittimer 24350 blt 0,0,640,480,0, 3732,640,480:waittimer 24364 blt 0,0,640,480,0, 3734,640,480:waittimer 24377 blt 0,0,640,480,0, 3736,640,480:waittimer 24390 blt 0,0,640,480,0, 3738,640,480:waittimer 24403 blt 0,0,640,480,0, 3740,640,480:waittimer 24416 blt 0,0,640,480,0, 3742,640,480:waittimer 24429 blt 0,0,640,480,0, 3744,640,480:waittimer 24442 blt 0,0,640,480,0, 3746,640,480:waittimer 24455 blt 0,0,640,480,0, 3748,640,480:waittimer 24468 blt 0,0,640,480,0, 3750,640,480:waittimer 24481 blt 0,0,640,480,0, 3752,640,480:waittimer 24494 blt 0,0,640,480,0, 3754,640,480:waittimer 24507 blt 0,0,640,480,0, 3756,640,480:waittimer 24520 blt 0,0,640,480,0, 3758,640,480:waittimer 24533 blt 0,0,640,480,0, 3760,640,480:waittimer 24546 blt 0,0,640,480,0, 3762,640,480:waittimer 24559 blt 0,0,640,480,0, 3764,640,480:waittimer 24572 blt 0,0,640,480,0, 3766,640,480:waittimer 24586 blt 0,0,640,480,0, 3768,640,480:waittimer 24599 blt 0,0,640,480,0, 3770,640,480:waittimer 24612 blt 0,0,640,480,0, 3772,640,480:waittimer 24625 blt 0,0,640,480,0, 3774,640,480:waittimer 24638 blt 0,0,640,480,0, 3776,640,480:waittimer 24651 blt 0,0,640,480,0, 3778,640,480:waittimer 24664 blt 0,0,640,480,0, 3780,640,480:waittimer 24677 blt 0,0,640,480,0, 3782,640,480:waittimer 24690 blt 0,0,640,480,0, 3784,640,480:waittimer 24703 blt 0,0,640,480,0, 3786,640,480:waittimer 24716 blt 0,0,640,480,0, 3788,640,480:waittimer 24729 blt 0,0,640,480,0, 3790,640,480:waittimer 24742 blt 0,0,640,480,0, 3792,640,480:waittimer 24755 blt 0,0,640,480,0, 3794,640,480:waittimer 24768 blt 0,0,640,480,0, 3796,640,480:waittimer 24781 blt 0,0,640,480,0, 3798,640,480:waittimer 24794 blt 0,0,640,480,0, 3800,640,480:waittimer 24807 blt 0,0,640,480,0, 3802,640,480:waittimer 24821 blt 0,0,640,480,0, 3804,640,480:waittimer 24834 blt 0,0,640,480,0, 3806,640,480:waittimer 24847 blt 0,0,640,480,0, 3808,640,480:waittimer 24860 blt 0,0,640,480,0, 3810,640,480:waittimer 24873 blt 0,0,640,480,0, 3812,640,480:waittimer 24886 blt 0,0,640,480,0, 3814,640,480:waittimer 24899 blt 0,0,640,480,0, 3816,640,480:waittimer 24912 blt 0,0,640,480,0, 3818,640,480:waittimer 24925 blt 0,0,640,480,0, 3820,640,480:waittimer 24938 blt 0,0,640,480,0, 3822,640,480:waittimer 24951 blt 0,0,640,480,0, 3824,640,480:waittimer 24964 blt 0,0,640,480,0, 3826,640,480:waittimer 24977 blt 0,0,640,480,0, 3828,640,480:waittimer 24990 blt 0,0,640,480,0, 3830,640,480:waittimer 25003 blt 0,0,640,480,0, 3832,640,480:waittimer 25016 blt 0,0,640,480,0, 3834,640,480:waittimer 25029 blt 0,0,640,480,0, 3836,640,480:waittimer 25043 blt 0,0,640,480,0, 3838,640,480:waittimer 25056 blt 0,0,640,480,0, 3840,640,480:waittimer 25069 blt 0,0,640,480,0, 3842,640,480:waittimer 25082 blt 0,0,640,480,0, 3844,640,480:waittimer 25095 blt 0,0,640,480,0, 3846,640,480:waittimer 25108 blt 0,0,640,480,0, 3848,640,480:waittimer 25121 blt 0,0,640,480,0, 3850,640,480:waittimer 25134 blt 0,0,640,480,0, 3852,640,480:waittimer 25147 blt 0,0,640,480,0, 3854,640,480:waittimer 25160 blt 0,0,640,480,0, 3856,640,480:waittimer 25173 blt 0,0,640,480,0, 3858,640,480:waittimer 25186 blt 0,0,640,480,0, 3860,640,480:waittimer 25199 blt 0,0,640,480,0, 3862,640,480:waittimer 25212 blt 0,0,640,480,0, 3864,640,480:waittimer 25225 blt 0,0,640,480,0, 3866,640,480:waittimer 25238 blt 0,0,640,480,0, 3868,640,480:waittimer 25251 blt 0,0,640,480,0, 3870,640,480:waittimer 25264 blt 0,0,640,480,0, 3872,640,480:waittimer 25278 blt 0,0,640,480,0, 3874,640,480:waittimer 25291 blt 0,0,640,480,0, 3876,640,480:waittimer 25304 blt 0,0,640,480,0, 3878,640,480:waittimer 25317 blt 0,0,640,480,0, 3880,640,480:waittimer 25330 blt 0,0,640,480,0, 3882,640,480:waittimer 25343 blt 0,0,640,480,0, 3884,640,480:waittimer 25356 blt 0,0,640,480,0, 3886,640,480:waittimer 25369 blt 0,0,640,480,0, 3888,640,480:waittimer 25382 blt 0,0,640,480,0, 3890,640,480:waittimer 25395 blt 0,0,640,480,0, 3892,640,480:waittimer 25408 blt 0,0,640,480,0, 3894,640,480:waittimer 25421 blt 0,0,640,480,0, 3896,640,480:waittimer 25434 blt 0,0,640,480,0, 3898,640,480:waittimer 25447 blt 0,0,640,480,0, 3900,640,480:waittimer 25460 blt 0,0,640,480,0, 3902,640,480:waittimer 25473 blt 0,0,640,480,0, 3904,640,480:waittimer 25486 blt 0,0,640,480,0, 3906,640,480:waittimer 25499 blt 0,0,640,480,0, 3908,640,480:waittimer 25513 blt 0,0,640,480,0, 3910,640,480:waittimer 25526 blt 0,0,640,480,0, 3912,640,480:waittimer 25539 blt 0,0,640,480,0, 3914,640,480:waittimer 25552 blt 0,0,640,480,0, 3916,640,480:waittimer 25565 blt 0,0,640,480,0, 3918,640,480:waittimer 25578 blt 0,0,640,480,0, 3920,640,480:waittimer 25591 blt 0,0,640,480,0, 3922,640,480:waittimer 25604 blt 0,0,640,480,0, 3924,640,480:waittimer 25617 blt 0,0,640,480,0, 3926,640,480:waittimer 25630 blt 0,0,640,480,0, 3928,640,480:waittimer 25643 blt 0,0,640,480,0, 3930,640,480:waittimer 25656 blt 0,0,640,480,0, 3932,640,480:waittimer 25669 blt 0,0,640,480,0, 3934,640,480:waittimer 25682 blt 0,0,640,480,0, 3936,640,480:waittimer 25695 blt 0,0,640,480,0, 3938,640,480:waittimer 25708 blt 0,0,640,480,0, 3940,640,480:waittimer 25721 blt 0,0,640,480,0, 3942,640,480:waittimer 25735 blt 0,0,640,480,0, 3944,640,480:waittimer 25748 blt 0,0,640,480,0, 3946,640,480:waittimer 25761 blt 0,0,640,480,0, 3948,640,480:waittimer 25774 blt 0,0,640,480,0, 3950,640,480:waittimer 25787 blt 0,0,640,480,0, 3952,640,480:waittimer 25800 blt 0,0,640,480,0, 3954,640,480:waittimer 25813 blt 0,0,640,480,0, 3956,640,480:waittimer 25826 blt 0,0,640,480,0, 3958,640,480:waittimer 25839 blt 0,0,640,480,0, 3960,640,480:waittimer 25852 blt 0,0,640,480,0, 3962,640,480:waittimer 25865 blt 0,0,640,480,0, 3964,640,480:waittimer 25878 blt 0,0,640,480,0, 3966,640,480:waittimer 25891 blt 0,0,640,480,0, 3968,640,480:waittimer 25904 blt 0,0,640,480,0, 3970,640,480:waittimer 25917 blt 0,0,640,480,0, 3972,640,480:waittimer 25930 blt 0,0,640,480,0, 3974,640,480:waittimer 25943 blt 0,0,640,480,0, 3976,640,480:waittimer 25956 blt 0,0,640,480,0, 3978,640,480:waittimer 25970 blt 0,0,640,480,0, 3980,640,480:waittimer 25983 blt 0,0,640,480,0, 3982,640,480:waittimer 25996 blt 0,0,640,480,0, 3984,640,480:waittimer 26009 blt 0,0,640,480,0, 3986,640,480:waittimer 26022 blt 0,0,640,480,0, 3988,640,480:waittimer 26035 blt 0,0,640,480,0, 3990,640,480:waittimer 26048 blt 0,0,640,480,0, 3992,640,480:waittimer 26061 blt 0,0,640,480,0, 3994,640,480:waittimer 26074 blt 0,0,640,480,0, 3996,640,480:waittimer 26087 blt 0,0,640,480,0, 3998,640,480:waittimer 26100 blt 0,0,640,480,0, 4000,640,480:waittimer 26113 blt 0,0,640,480,0, 4002,640,480:waittimer 26126 blt 0,0,640,480,0, 4004,640,480:waittimer 26139 blt 0,0,640,480,0, 4006,640,480:waittimer 26152 blt 0,0,640,480,0, 4008,640,480:waittimer 26165 blt 0,0,640,480,0, 4010,640,480:waittimer 26178 blt 0,0,640,480,0, 4012,640,480:waittimer 26192 blt 0,0,640,480,0, 4014,640,480:waittimer 26205 blt 0,0,640,480,0, 4016,640,480:waittimer 26218 blt 0,0,640,480,0, 4018,640,480:waittimer 26231 blt 0,0,640,480,0, 4020,640,480:waittimer 26244 blt 0,0,640,480,0, 4022,640,480:waittimer 26257 blt 0,0,640,480,0, 4024,640,480:waittimer 26270 blt 0,0,640,480,0, 4026,640,480:waittimer 26283 blt 0,0,640,480,0, 4028,640,480:waittimer 26296 blt 0,0,640,480,0, 4030,640,480:waittimer 26309 blt 0,0,640,480,0, 4032,640,480:waittimer 26322 blt 0,0,640,480,0, 4034,640,480:waittimer 26335 blt 0,0,640,480,0, 4036,640,480:waittimer 26348 blt 0,0,640,480,0, 4038,640,480:waittimer 26361 blt 0,0,640,480,0, 4040,640,480:waittimer 26374 blt 0,0,640,480,0, 4042,640,480:waittimer 26387 blt 0,0,640,480,0, 4044,640,480:waittimer 26400 blt 0,0,640,480,0, 4046,640,480:waittimer 26413 blt 0,0,640,480,0, 4048,640,480:waittimer 26427 blt 0,0,640,480,0, 4050,640,480:waittimer 26440 blt 0,0,640,480,0, 4052,640,480:waittimer 26453 blt 0,0,640,480,0, 4054,640,480:waittimer 26466 blt 0,0,640,480,0, 4056,640,480:waittimer 26479 blt 0,0,640,480,0, 4058,640,480:waittimer 26492 blt 0,0,640,480,0, 4060,640,480:waittimer 26505 blt 0,0,640,480,0, 4062,640,480:waittimer 26518 blt 0,0,640,480,0, 4064,640,480:waittimer 26531 blt 0,0,640,480,0, 4066,640,480:waittimer 26544 blt 0,0,640,480,0, 4068,640,480:waittimer 26557 blt 0,0,640,480,0, 4070,640,480:waittimer 26570 blt 0,0,640,480,0, 4072,640,480:waittimer 26583 blt 0,0,640,480,0, 4074,640,480:waittimer 26596 blt 0,0,640,480,0, 4076,640,480:waittimer 26609 blt 0,0,640,480,0, 4078,640,480:waittimer 26622 blt 0,0,640,480,0, 4080,640,480:waittimer 26635 blt 0,0,640,480,0, 4082,640,480:waittimer 26649 blt 0,0,640,480,0, 4084,640,480:waittimer 26662 blt 0,0,640,480,0, 4086,640,480:waittimer 26675 blt 0,0,640,480,0, 4088,640,480:waittimer 26688 blt 0,0,640,480,0, 4090,640,480:waittimer 26701 blt 0,0,640,480,0, 4092,640,480:waittimer 26714 blt 0,0,640,480,0, 4094,640,480:waittimer 26727 blt 0,0,640,480,0, 4096,640,480:waittimer 26740 blt 0,0,640,480,0, 4098,640,480:waittimer 26753 blt 0,0,640,480,0, 4100,640,480:waittimer 26766 blt 0,0,640,480,0, 4102,640,480:waittimer 26779 blt 0,0,640,480,0, 4104,640,480:waittimer 26792 blt 0,0,640,480,0, 4106,640,480:waittimer 26805 blt 0,0,640,480,0, 4108,640,480:waittimer 26818 blt 0,0,640,480,0, 4110,640,480:waittimer 26831 blt 0,0,640,480,0, 4112,640,480:waittimer 26844 blt 0,0,640,480,0, 4114,640,480:waittimer 26857 blt 0,0,640,480,0, 4116,640,480:waittimer 26870 blt 0,0,640,480,0, 4118,640,480:waittimer 26884 blt 0,0,640,480,0, 4120,640,480:waittimer 26897 blt 0,0,640,480,0, 4122,640,480:waittimer 26910 blt 0,0,640,480,0, 4124,640,480:waittimer 26923 blt 0,0,640,480,0, 4126,640,480:waittimer 26936 blt 0,0,640,480,0, 4128,640,480:waittimer 26949 blt 0,0,640,480,0, 4130,640,480:waittimer 26962 blt 0,0,640,480,0, 4132,640,480:waittimer 26975 blt 0,0,640,480,0, 4134,640,480:waittimer 26988 blt 0,0,640,480,0, 4136,640,480:waittimer 27001 blt 0,0,640,480,0, 4138,640,480:waittimer 27014 blt 0,0,640,480,0, 4140,640,480:waittimer 27027 blt 0,0,640,480,0, 4142,640,480:waittimer 27040 blt 0,0,640,480,0, 4144,640,480:waittimer 27053 blt 0,0,640,480,0, 4146,640,480:waittimer 27066 blt 0,0,640,480,0, 4148,640,480:waittimer 27079 blt 0,0,640,480,0, 4150,640,480:waittimer 27092 blt 0,0,640,480,0, 4152,640,480:waittimer 27105 blt 0,0,640,480,0, 4154,640,480:waittimer 27119 blt 0,0,640,480,0, 4156,640,480:waittimer 27132 blt 0,0,640,480,0, 4158,640,480:waittimer 27145 blt 0,0,640,480,0, 4160,640,480:waittimer 27158 blt 0,0,640,480,0, 4162,640,480:waittimer 27171 blt 0,0,640,480,0, 4164,640,480:waittimer 27184 blt 0,0,640,480,0, 4166,640,480:waittimer 27197 blt 0,0,640,480,0, 4168,640,480:waittimer 27210 blt 0,0,640,480,0, 4170,640,480:waittimer 27223 blt 0,0,640,480,0, 4172,640,480:waittimer 27236 blt 0,0,640,480,0, 4174,640,480:waittimer 27249 blt 0,0,640,480,0, 4176,640,480:waittimer 27262 blt 0,0,640,480,0, 4178,640,480:waittimer 27275 blt 0,0,640,480,0, 4180,640,480:waittimer 27288 blt 0,0,640,480,0, 4182,640,480:waittimer 27301 blt 0,0,640,480,0, 4184,640,480:waittimer 27314 blt 0,0,640,480,0, 4186,640,480:waittimer 27327 blt 0,0,640,480,0, 4188,640,480:waittimer 27341 blt 0,0,640,480,0, 4190,640,480:waittimer 27354 blt 0,0,640,480,0, 4192,640,480:waittimer 27367 blt 0,0,640,480,0, 4194,640,480:waittimer 27380 blt 0,0,640,480,0, 4196,640,480:waittimer 27393 blt 0,0,640,480,0, 4198,640,480:waittimer 27406 blt 0,0,640,480,0, 4200,640,480:waittimer 27419 blt 0,0,640,480,0, 4202,640,480:waittimer 27432 blt 0,0,640,480,0, 4204,640,480:waittimer 27445 blt 0,0,640,480,0, 4206,640,480:waittimer 27458 blt 0,0,640,480,0, 4208,640,480:waittimer 27471 blt 0,0,640,480,0, 4210,640,480:waittimer 27484 blt 0,0,640,480,0, 4212,640,480:waittimer 27497 blt 0,0,640,480,0, 4214,640,480:waittimer 27510 blt 0,0,640,480,0, 4216,640,480:waittimer 27523 blt 0,0,640,480,0, 4218,640,480:waittimer 27536 blt 0,0,640,480,0, 4220,640,480:waittimer 27549 blt 0,0,640,480,0, 4222,640,480:waittimer 27562 blt 0,0,640,480,0, 4224,640,480:waittimer 27576 blt 0,0,640,480,0, 4226,640,480:waittimer 27589 blt 0,0,640,480,0, 4228,640,480:waittimer 27602 blt 0,0,640,480,0, 4230,640,480:waittimer 27615 blt 0,0,640,480,0, 4232,640,480:waittimer 27628 blt 0,0,640,480,0, 4234,640,480:waittimer 27641 blt 0,0,640,480,0, 4236,640,480:waittimer 27654 blt 0,0,640,480,0, 4238,640,480:waittimer 27667 blt 0,0,640,480,0, 4240,640,480:waittimer 27680 blt 0,0,640,480,0, 4242,640,480:waittimer 27693 blt 0,0,640,480,0, 4244,640,480:waittimer 27706 blt 0,0,640,480,0, 4246,640,480:waittimer 27719 blt 0,0,640,480,0, 4248,640,480:waittimer 27732 blt 0,0,640,480,0, 4250,640,480:waittimer 27745 blt 0,0,640,480,0, 4252,640,480:waittimer 27758 blt 0,0,640,480,0, 4254,640,480:waittimer 27771 blt 0,0,640,480,0, 4256,640,480:waittimer 27784 blt 0,0,640,480,0, 4258,640,480:waittimer 27798 blt 0,0,640,480,0, 4260,640,480:waittimer 27811 blt 0,0,640,480,0, 4262,640,480:waittimer 27824 blt 0,0,640,480,0, 4264,640,480:waittimer 27837 blt 0,0,640,480,0, 4266,640,480:waittimer 27850 blt 0,0,640,480,0, 4268,640,480:waittimer 27863 blt 0,0,640,480,0, 4270,640,480:waittimer 27876 blt 0,0,640,480,0, 4272,640,480:waittimer 27889 blt 0,0,640,480,0, 4274,640,480:waittimer 27902 blt 0,0,640,480,0, 4276,640,480:waittimer 27915 blt 0,0,640,480,0, 4278,640,480:waittimer 27928 blt 0,0,640,480,0, 4280,640,480:waittimer 27941 blt 0,0,640,480,0, 4282,640,480:waittimer 27954 blt 0,0,640,480,0, 4284,640,480:waittimer 27967 blt 0,0,640,480,0, 4286,640,480:waittimer 27980 blt 0,0,640,480,0, 4288,640,480:waittimer 27993 blt 0,0,640,480,0, 4290,640,480:waittimer 28006 blt 0,0,640,480,0, 4292,640,480:waittimer 28019 blt 0,0,640,480,0, 4294,640,480:waittimer 28033 blt 0,0,640,480,0, 4296,640,480:waittimer 28046 blt 0,0,640,480,0, 4298,640,480:waittimer 28059 blt 0,0,640,480,0, 4300,640,480:waittimer 28072 blt 0,0,640,480,0, 4302,640,480:waittimer 28085 blt 0,0,640,480,0, 4304,640,480:waittimer 28098 blt 0,0,640,480,0, 4306,640,480:waittimer 28111 blt 0,0,640,480,0, 4308,640,480:waittimer 28124 blt 0,0,640,480,0, 4310,640,480:waittimer 28137 blt 0,0,640,480,0, 4312,640,480:waittimer 28150 blt 0,0,640,480,0, 4314,640,480:waittimer 28163 blt 0,0,640,480,0, 4316,640,480:waittimer 28176 blt 0,0,640,480,0, 4318,640,480:waittimer 28189 blt 0,0,640,480,0, 4320,640,480:waittimer 28202 blt 0,0,640,480,0, 4322,640,480:waittimer 28215 blt 0,0,640,480,0, 4324,640,480:waittimer 28228 blt 0,0,640,480,0, 4326,640,480:waittimer 28241 blt 0,0,640,480,0, 4328,640,480:waittimer 28254 blt 0,0,640,480,0, 4330,640,480:waittimer 28268 blt 0,0,640,480,0, 4332,640,480:waittimer 28281 blt 0,0,640,480,0, 4334,640,480:waittimer 28294 blt 0,0,640,480,0, 4336,640,480:waittimer 28307 blt 0,0,640,480,0, 4338,640,480:waittimer 28320 blt 0,0,640,480,0, 4340,640,480:waittimer 28333 blt 0,0,640,480,0, 4342,640,480:waittimer 28346 blt 0,0,640,480,0, 4344,640,480:waittimer 28359 blt 0,0,640,480,0, 4346,640,480:waittimer 28372 blt 0,0,640,480,0, 4348,640,480:waittimer 28385 blt 0,0,640,480,0, 4350,640,480:waittimer 28398 blt 0,0,640,480,0, 4352,640,480:waittimer 28411 blt 0,0,640,480,0, 4354,640,480:waittimer 28424 blt 0,0,640,480,0, 4356,640,480:waittimer 28437 blt 0,0,640,480,0, 4358,640,480:waittimer 28450 blt 0,0,640,480,0, 4360,640,480:waittimer 28463 blt 0,0,640,480,0, 4362,640,480:waittimer 28476 blt 0,0,640,480,0, 4364,640,480:waittimer 28490 blt 0,0,640,480,0, 4366,640,480:waittimer 28503 blt 0,0,640,480,0, 4368,640,480:waittimer 28516 blt 0,0,640,480,0, 4370,640,480:waittimer 28529 blt 0,0,640,480,0, 4372,640,480:waittimer 28542 blt 0,0,640,480,0, 4374,640,480:waittimer 28555 blt 0,0,640,480,0, 4376,640,480:waittimer 28568 blt 0,0,640,480,0, 4378,640,480:waittimer 28581 blt 0,0,640,480,0, 4380,640,480:waittimer 28594 blt 0,0,640,480,0, 4382,640,480:waittimer 28607 blt 0,0,640,480,0, 4384,640,480:waittimer 28620 blt 0,0,640,480,0, 4386,640,480:waittimer 28633 blt 0,0,640,480,0, 4388,640,480:waittimer 28646 blt 0,0,640,480,0, 4390,640,480:waittimer 28659 blt 0,0,640,480,0, 4392,640,480:waittimer 28672 blt 0,0,640,480,0, 4394,640,480:waittimer 28685 blt 0,0,640,480,0, 4396,640,480:waittimer 28698 blt 0,0,640,480,0, 4398,640,480:waittimer 28711 blt 0,0,640,480,0, 4400,640,480:waittimer 28725 blt 0,0,640,480,0, 4402,640,480:waittimer 28738 blt 0,0,640,480,0, 4404,640,480:waittimer 28751 blt 0,0,640,480,0, 4406,640,480:waittimer 28764 blt 0,0,640,480,0, 4408,640,480:waittimer 28777 blt 0,0,640,480,0, 4410,640,480:waittimer 28790 blt 0,0,640,480,0, 4412,640,480:waittimer 28803 blt 0,0,640,480,0, 4414,640,480:waittimer 28816 blt 0,0,640,480,0, 4416,640,480:waittimer 28829 blt 0,0,640,480,0, 4418,640,480:waittimer 28842 blt 0,0,640,480,0, 4420,640,480:waittimer 28855 blt 0,0,640,480,0, 4422,640,480:waittimer 28868 blt 0,0,640,480,0, 4424,640,480:waittimer 28881 blt 0,0,640,480,0, 4426,640,480:waittimer 28894 blt 0,0,640,480,0, 4428,640,480:waittimer 28907 blt 0,0,640,480,0, 4430,640,480:waittimer 28920 blt 0,0,640,480,0, 4432,640,480:waittimer 28933 blt 0,0,640,480,0, 4434,640,480:waittimer 28947 blt 0,0,640,480,0, 4436,640,480:waittimer 28960 blt 0,0,640,480,0, 4438,640,480:waittimer 28973 blt 0,0,640,480,0, 4440,640,480:waittimer 28986 blt 0,0,640,480,0, 4442,640,480:waittimer 28999 blt 0,0,640,480,0, 4444,640,480:waittimer 29012 blt 0,0,640,480,0, 4446,640,480:waittimer 29025 blt 0,0,640,480,0, 4448,640,480:waittimer 29038 blt 0,0,640,480,0, 4450,640,480:waittimer 29051 blt 0,0,640,480,0, 4452,640,480:waittimer 29064 blt 0,0,640,480,0, 4454,640,480:waittimer 29077 blt 0,0,640,480,0, 4456,640,480:waittimer 29090 blt 0,0,640,480,0, 4458,640,480:waittimer 29103 blt 0,0,640,480,0, 4460,640,480:waittimer 29116 blt 0,0,640,480,0, 4462,640,480:waittimer 29129 blt 0,0,640,480,0, 4464,640,480:waittimer 29142 blt 0,0,640,480,0, 4466,640,480:waittimer 29155 blt 0,0,640,480,0, 4468,640,480:waittimer 29168 blt 0,0,640,480,0, 4470,640,480:waittimer 29182 blt 0,0,640,480,0, 4472,640,480:waittimer 29195 blt 0,0,640,480,0, 4474,640,480:waittimer 29208 blt 0,0,640,480,0, 4476,640,480:waittimer 29221 blt 0,0,640,480,0, 4478,640,480:waittimer 29234 blt 0,0,640,480,0, 4480,640,480:waittimer 29247 blt 0,0,640,480,0, 4482,640,480:waittimer 29260 blt 0,0,640,480,0, 4484,640,480:waittimer 29273 blt 0,0,640,480,0, 4486,640,480:waittimer 29286 blt 0,0,640,480,0, 4488,640,480:waittimer 29299 blt 0,0,640,480,0, 4490,640,480:waittimer 29312 blt 0,0,640,480,0, 4492,640,480:waittimer 29325 blt 0,0,640,480,0, 4494,640,480:waittimer 29338 blt 0,0,640,480,0, 4496,640,480:waittimer 29351 blt 0,0,640,480,0, 4498,640,480:waittimer 29364 blt 0,0,640,480,0, 4500,640,480:waittimer 29377 blt 0,0,640,480,0, 4502,640,480:waittimer 29390 blt 0,0,640,480,0, 4504,640,480:waittimer 29403 blt 0,0,640,480,0, 4506,640,480:waittimer 29417 blt 0,0,640,480,0, 4508,640,480:waittimer 29430 blt 0,0,640,480,0, 4510,640,480:waittimer 29443 blt 0,0,640,480,0, 4512,640,480:waittimer 29456 blt 0,0,640,480,0, 4514,640,480:waittimer 29469 blt 0,0,640,480,0, 4516,640,480:waittimer 29482 blt 0,0,640,480,0, 4518,640,480:waittimer 29495 blt 0,0,640,480,0, 4520,640,480:waittimer 29508 blt 0,0,640,480,0, 4522,640,480:waittimer 29521 blt 0,0,640,480,0, 4524,640,480:waittimer 29534 blt 0,0,640,480,0, 4526,640,480:waittimer 29547 blt 0,0,640,480,0, 4528,640,480:waittimer 29560 blt 0,0,640,480,0, 4530,640,480:waittimer 29573 blt 0,0,640,480,0, 4532,640,480:waittimer 29586 blt 0,0,640,480,0, 4534,640,480:waittimer 29599 blt 0,0,640,480,0, 4536,640,480:waittimer 29612 blt 0,0,640,480,0, 4538,640,480:waittimer 29625 blt 0,0,640,480,0, 4540,640,480:waittimer 29639 blt 0,0,640,480,0, 4542,640,480:waittimer 29652 blt 0,0,640,480,0, 4544,640,480:waittimer 29665 blt 0,0,640,480,0, 4546,640,480:waittimer 29678 blt 0,0,640,480,0, 4548,640,480:waittimer 29691 blt 0,0,640,480,0, 4550,640,480:waittimer 29704 blt 0,0,640,480,0, 4552,640,480:waittimer 29717 blt 0,0,640,480,0, 4554,640,480:waittimer 29730 blt 0,0,640,480,0, 4556,640,480:waittimer 29743 blt 0,0,640,480,0, 4558,640,480:waittimer 29756 blt 0,0,640,480,0, 4560,640,480:waittimer 29769 blt 0,0,640,480,0, 4562,640,480:waittimer 29782 blt 0,0,640,480,0, 4564,640,480:waittimer 29795 blt 0,0,640,480,0, 4566,640,480:waittimer 29808 blt 0,0,640,480,0, 4568,640,480:waittimer 29821 blt 0,0,640,480,0, 4570,640,480:waittimer 29834 blt 0,0,640,480,0, 4572,640,480:waittimer 29847 blt 0,0,640,480,0, 4574,640,480:waittimer 29860 blt 0,0,640,480,0, 4576,640,480:waittimer 29874 blt 0,0,640,480,0, 4578,640,480:waittimer 29887 blt 0,0,640,480,0, 4580,640,480:waittimer 29900 blt 0,0,640,480,0, 4582,640,480:waittimer 29913 blt 0,0,640,480,0, 4584,640,480:waittimer 29926 blt 0,0,640,480,0, 4586,640,480:waittimer 29939 blt 0,0,640,480,0, 4588,640,480:waittimer 29952 blt 0,0,640,480,0, 4590,640,480:waittimer 29965 blt 0,0,640,480,0, 4592,640,480:waittimer 29978 blt 0,0,640,480,0, 4594,640,480:waittimer 29991 blt 0,0,640,480,0, 4596,640,480:waittimer 30004 blt 0,0,640,480,0, 4598,640,480:waittimer 30017 blt 0,0,640,480,0, 4600,640,480:waittimer 30030 blt 0,0,640,480,0, 4602,640,480:waittimer 30043 blt 0,0,640,480,0, 4604,640,480:waittimer 30056 blt 0,0,640,480,0, 4606,640,480:waittimer 30069 blt 0,0,640,480,0, 4608,640,480:waittimer 30082 blt 0,0,640,480,0, 4610,640,480:waittimer 30096 blt 0,0,640,480,0, 4612,640,480:waittimer 30109 blt 0,0,640,480,0, 4614,640,480:waittimer 30122 blt 0,0,640,480,0, 4616,640,480:waittimer 30135 blt 0,0,640,480,0, 4618,640,480:waittimer 30148 blt 0,0,640,480,0, 4620,640,480:waittimer 30161 blt 0,0,640,480,0, 4622,640,480:waittimer 30174 blt 0,0,640,480,0, 4624,640,480:waittimer 30187 blt 0,0,640,480,0, 4626,640,480:waittimer 30200 blt 0,0,640,480,0, 4628,640,480:waittimer 30213 blt 0,0,640,480,0, 4630,640,480:waittimer 30226 blt 0,0,640,480,0, 4632,640,480:waittimer 30239 blt 0,0,640,480,0, 4634,640,480:waittimer 30252 blt 0,0,640,480,0, 4636,640,480:waittimer 30265 blt 0,0,640,480,0, 4638,640,480:waittimer 30278 blt 0,0,640,480,0, 4640,640,480:waittimer 30291 blt 0,0,640,480,0, 4642,640,480:waittimer 30304 blt 0,0,640,480,0, 4644,640,480:waittimer 30317 blt 0,0,640,480,0, 4646,640,480:waittimer 30331 blt 0,0,640,480,0, 4648,640,480:waittimer 30344 blt 0,0,640,480,0, 4650,640,480:waittimer 30357 blt 0,0,640,480,0, 4652,640,480:waittimer 30370 blt 0,0,640,480,0, 4654,640,480:waittimer 30383 blt 0,0,640,480,0, 4656,640,480:waittimer 30396 blt 0,0,640,480,0, 4658,640,480:waittimer 30409 blt 0,0,640,480,0, 4660,640,480:waittimer 30422 blt 0,0,640,480,0, 4662,640,480:waittimer 30435 blt 0,0,640,480,0, 4664,640,480:waittimer 30448 blt 0,0,640,480,0, 4666,640,480:waittimer 30461 blt 0,0,640,480,0, 4668,640,480:waittimer 30474 blt 0,0,640,480,0, 4670,640,480:waittimer 30487 blt 0,0,640,480,0, 4672,640,480:waittimer 30500 blt 0,0,640,480,0, 4674,640,480:waittimer 30513 blt 0,0,640,480,0, 4676,640,480:waittimer 30526 blt 0,0,640,480,0, 4678,640,480:waittimer 30539 blt 0,0,640,480,0, 4680,640,480:waittimer 30552 blt 0,0,640,480,0, 4682,640,480:waittimer 30566 blt 0,0,640,480,0, 4684,640,480:waittimer 30579 blt 0,0,640,480,0, 4686,640,480:waittimer 30592 blt 0,0,640,480,0, 4688,640,480:waittimer 30605 blt 0,0,640,480,0, 4690,640,480:waittimer 30618 blt 0,0,640,480,0, 4692,640,480:waittimer 30631 blt 0,0,640,480,0, 4694,640,480:waittimer 30644 blt 0,0,640,480,0, 4696,640,480:waittimer 30657 blt 0,0,640,480,0, 4698,640,480:waittimer 30670 blt 0,0,640,480,0, 4700,640,480:waittimer 30683 blt 0,0,640,480,0, 4702,640,480:waittimer 30696 blt 0,0,640,480,0, 4704,640,480:waittimer 30709 blt 0,0,640,480,0, 4706,640,480:waittimer 30722 blt 0,0,640,480,0, 4708,640,480:waittimer 30735 blt 0,0,640,480,0, 4710,640,480:waittimer 30748 blt 0,0,640,480,0, 4712,640,480:waittimer 30761 blt 0,0,640,480,0, 4714,640,480:waittimer 30774 blt 0,0,640,480,0, 4716,640,480:waittimer 30788 blt 0,0,640,480,0, 4718,640,480:waittimer 30801 blt 0,0,640,480,0, 4720,640,480:waittimer 30814 blt 0,0,640,480,0, 4722,640,480:waittimer 30827 blt 0,0,640,480,0, 4724,640,480:waittimer 30840 blt 0,0,640,480,0, 4726,640,480:waittimer 30853 blt 0,0,640,480,0, 4728,640,480:waittimer 30866 blt 0,0,640,480,0, 4730,640,480:waittimer 30879 blt 0,0,640,480,0, 4732,640,480:waittimer 30892 blt 0,0,640,480,0, 4734,640,480:waittimer 30905 blt 0,0,640,480,0, 4736,640,480:waittimer 30918 blt 0,0,640,480,0, 4738,640,480:waittimer 30931 blt 0,0,640,480,0, 4740,640,480:waittimer 30944 blt 0,0,640,480,0, 4742,640,480:waittimer 30957 blt 0,0,640,480,0, 4744,640,480:waittimer 30970 blt 0,0,640,480,0, 4746,640,480:waittimer 30983 blt 0,0,640,480,0, 4748,640,480:waittimer 30996 blt 0,0,640,480,0, 4750,640,480:waittimer 31009 blt 0,0,640,480,0, 4752,640,480:waittimer 31023 blt 0,0,640,480,0, 4754,640,480:waittimer 31036 blt 0,0,640,480,0, 4756,640,480:waittimer 31049 blt 0,0,640,480,0, 4758,640,480:waittimer 31062 blt 0,0,640,480,0, 4760,640,480:waittimer 31075 blt 0,0,640,480,0, 4762,640,480:waittimer 31088 blt 0,0,640,480,0, 4764,640,480:waittimer 31101 blt 0,0,640,480,0, 4766,640,480:waittimer 31114 blt 0,0,640,480,0, 4768,640,480:waittimer 31127 blt 0,0,640,480,0, 4770,640,480:waittimer 31140 blt 0,0,640,480,0, 4772,640,480:waittimer 31153 blt 0,0,640,480,0, 4774,640,480:waittimer 31166 blt 0,0,640,480,0, 4776,640,480:waittimer 31179 blt 0,0,640,480,0, 4778,640,480:waittimer 31192 blt 0,0,640,480,0, 4780,640,480:waittimer 31205 blt 0,0,640,480,0, 4782,640,480:waittimer 31218 blt 0,0,640,480,0, 4784,640,480:waittimer 31231 blt 0,0,640,480,0, 4786,640,480:waittimer 31245 blt 0,0,640,480,0, 4788,640,480:waittimer 31258 blt 0,0,640,480,0, 4790,640,480:waittimer 31271 blt 0,0,640,480,0, 4792,640,480:waittimer 31284 blt 0,0,640,480,0, 4794,640,480:waittimer 31297 blt 0,0,640,480,0, 4796,640,480:waittimer 31310 blt 0,0,640,480,0, 4798,640,480:waittimer 31323 blt 0,0,640,480,0, 4800,640,480:waittimer 31336 blt 0,0,640,480,0, 4802,640,480:waittimer 31349 blt 0,0,640,480,0, 4804,640,480:waittimer 31362 blt 0,0,640,480,0, 4806,640,480:waittimer 31375 blt 0,0,640,480,0, 4808,640,480:waittimer 31388 blt 0,0,640,480,0, 4810,640,480:waittimer 31401 blt 0,0,640,480,0, 4812,640,480:waittimer 31414 blt 0,0,640,480,0, 4814,640,480:waittimer 31427 blt 0,0,640,480,0, 4816,640,480:waittimer 31440 blt 0,0,640,480,0, 4818,640,480:waittimer 31453 blt 0,0,640,480,0, 4820,640,480:waittimer 31466 blt 0,0,640,480,0, 4822,640,480:waittimer 31480 blt 0,0,640,480,0, 4824,640,480:waittimer 31493 blt 0,0,640,480,0, 4826,640,480:waittimer 31506 blt 0,0,640,480,0, 4828,640,480:waittimer 31519 blt 0,0,640,480,0, 4830,640,480:waittimer 31532 blt 0,0,640,480,0, 4832,640,480:waittimer 31545 blt 0,0,640,480,0, 4834,640,480:waittimer 31558 blt 0,0,640,480,0, 4836,640,480:waittimer 31571 blt 0,0,640,480,0, 4838,640,480:waittimer 31584 blt 0,0,640,480,0, 4840,640,480:waittimer 31597 blt 0,0,640,480,0, 4842,640,480:waittimer 31610 blt 0,0,640,480,0, 4844,640,480:waittimer 31623 blt 0,0,640,480,0, 4846,640,480:waittimer 31636 blt 0,0,640,480,0, 4848,640,480:waittimer 31649 blt 0,0,640,480,0, 4850,640,480:waittimer 31662 blt 0,0,640,480,0, 4852,640,480:waittimer 31675 blt 0,0,640,480,0, 4854,640,480:waittimer 31688 blt 0,0,640,480,0, 4856,640,480:waittimer 31701 blt 0,0,640,480,0, 4858,640,480:waittimer 31715 blt 0,0,640,480,0, 4860,640,480:waittimer 31728 blt 0,0,640,480,0, 4862,640,480:waittimer 31741 blt 0,0,640,480,0, 4864,640,480:waittimer 31754 blt 0,0,640,480,0, 4866,640,480:waittimer 31767 blt 0,0,640,480,0, 4868,640,480:waittimer 31780 blt 0,0,640,480,0, 4870,640,480:waittimer 31793 blt 0,0,640,480,0, 4872,640,480:waittimer 31806 blt 0,0,640,480,0, 4874,640,480:waittimer 31819 blt 0,0,640,480,0, 4876,640,480:waittimer 31832 blt 0,0,640,480,0, 4878,640,480:waittimer 31845 blt 0,0,640,480,0, 4880,640,480:waittimer 31858 blt 0,0,640,480,0, 4882,640,480:waittimer 31871 blt 0,0,640,480,0, 4884,640,480:waittimer 31884 blt 0,0,640,480,0, 4886,640,480:waittimer 31897 blt 0,0,640,480,0, 4888,640,480:waittimer 31910 blt 0,0,640,480,0, 4890,640,480:waittimer 31923 blt 0,0,640,480,0, 4892,640,480:waittimer 31937 blt 0,0,640,480,0, 4894,640,480:waittimer 31950 blt 0,0,640,480,0, 4896,640,480:waittimer 31963 blt 0,0,640,480,0, 4898,640,480:waittimer 31976 blt 0,0,640,480,0, 4900,640,480:waittimer 31989 blt 0,0,640,480,0, 4902,640,480:waittimer 32002 blt 0,0,640,480,0, 4904,640,480:waittimer 32015 blt 0,0,640,480,0, 4906,640,480:waittimer 32028 blt 0,0,640,480,0, 4908,640,480:waittimer 32041 blt 0,0,640,480,0, 4910,640,480:waittimer 32054 blt 0,0,640,480,0, 4912,640,480:waittimer 32067 blt 0,0,640,480,0, 4914,640,480:waittimer 32080 blt 0,0,640,480,0, 4916,640,480:waittimer 32093 blt 0,0,640,480,0, 4918,640,480:waittimer 32106 blt 0,0,640,480,0, 4920,640,480:waittimer 32119 blt 0,0,640,480,0, 4922,640,480:waittimer 32132 blt 0,0,640,480,0, 4924,640,480:waittimer 32145 blt 0,0,640,480,0, 4926,640,480:waittimer 32158 blt 0,0,640,480,0, 4928,640,480:waittimer 32172 blt 0,0,640,480,0, 4930,640,480:waittimer 32185 blt 0,0,640,480,0, 4932,640,480:waittimer 32198 blt 0,0,640,480,0, 4934,640,480:waittimer 32211 blt 0,0,640,480,0, 4936,640,480:waittimer 32224 blt 0,0,640,480,0, 4938,640,480:waittimer 32237 blt 0,0,640,480,0, 4940,640,480:waittimer 32250 blt 0,0,640,480,0, 4942,640,480:waittimer 32263 blt 0,0,640,480,0, 4944,640,480:waittimer 32276 blt 0,0,640,480,0, 4946,640,480:waittimer 32289 blt 0,0,640,480,0, 4948,640,480:waittimer 32302 blt 0,0,640,480,0, 4950,640,480:waittimer 32315 blt 0,0,640,480,0, 4952,640,480:waittimer 32328 blt 0,0,640,480,0, 4954,640,480:waittimer 32341 blt 0,0,640,480,0, 4956,640,480:waittimer 32354 blt 0,0,640,480,0, 4958,640,480:waittimer 32367 blt 0,0,640,480,0, 4960,640,480:waittimer 32380 blt 0,0,640,480,0, 4962,640,480:waittimer 32394 blt 0,0,640,480,0, 4964,640,480:waittimer 32407 blt 0,0,640,480,0, 4966,640,480:waittimer 32420 blt 0,0,640,480,0, 4968,640,480:waittimer 32433 blt 0,0,640,480,0, 4970,640,480:waittimer 32446 blt 0,0,640,480,0, 4972,640,480:waittimer 32459 blt 0,0,640,480,0, 4974,640,480:waittimer 32472 blt 0,0,640,480,0, 4976,640,480:waittimer 32485 blt 0,0,640,480,0, 4978,640,480:waittimer 32498 blt 0,0,640,480,0, 4980,640,480:waittimer 32511 blt 0,0,640,480,0, 4982,640,480:waittimer 32524 blt 0,0,640,480,0, 4984,640,480:waittimer 32537 blt 0,0,640,480,0, 4986,640,480:waittimer 32550 blt 0,0,640,480,0, 4988,640,480:waittimer 32563 blt 0,0,640,480,0, 4990,640,480:waittimer 32576 blt 0,0,640,480,0, 4992,640,480:waittimer 32589 blt 0,0,640,480,0, 4994,640,480:waittimer 32602 blt 0,0,640,480,0, 4996,640,480:waittimer 32615 blt 0,0,640,480,0, 4998,640,480:waittimer 32629 blt 0,0,640,480,0, 5000,640,480:waittimer 32642 blt 0,0,640,480,0, 5002,640,480:waittimer 32655 blt 0,0,640,480,0, 5004,640,480:waittimer 32668 blt 0,0,640,480,0, 5006,640,480:waittimer 32681 blt 0,0,640,480,0, 5008,640,480:waittimer 32694 blt 0,0,640,480,0, 5010,640,480:waittimer 32707 blt 0,0,640,480,0, 5012,640,480:waittimer 32720 blt 0,0,640,480,0, 5014,640,480:waittimer 32733 blt 0,0,640,480,0, 5016,640,480:waittimer 32746 blt 0,0,640,480,0, 5018,640,480:waittimer 32759 blt 0,0,640,480,0, 5020,640,480:waittimer 32772 blt 0,0,640,480,0, 5022,640,480:waittimer 32785 blt 0,0,640,480,0, 5024,640,480:waittimer 32798 blt 0,0,640,480,0, 5026,640,480:waittimer 32811 blt 0,0,640,480,0, 5028,640,480:waittimer 32824 blt 0,0,640,480,0, 5030,640,480:waittimer 32837 blt 0,0,640,480,0, 5032,640,480:waittimer 32850 blt 0,0,640,480,0, 5034,640,480:waittimer 32864 blt 0,0,640,480,0, 5036,640,480:waittimer 32877 blt 0,0,640,480,0, 5038,640,480:waittimer 32890 blt 0,0,640,480,0, 5040,640,480:waittimer 32903 blt 0,0,640,480,0, 5042,640,480:waittimer 32916 blt 0,0,640,480,0, 5044,640,480:waittimer 32929 blt 0,0,640,480,0, 5046,640,480:waittimer 32942 blt 0,0,640,480,0, 5048,640,480:waittimer 32955 blt 0,0,640,480,0, 5050,640,480:waittimer 32968 blt 0,0,640,480,0, 5052,640,480:waittimer 32981 blt 0,0,640,480,0, 5054,640,480:waittimer 32994 blt 0,0,640,480,0, 5056,640,480:waittimer 33007 blt 0,0,640,480,0, 5058,640,480:waittimer 33020 blt 0,0,640,480,0, 5060,640,480:waittimer 33033 blt 0,0,640,480,0, 5062,640,480:waittimer 33046 blt 0,0,640,480,0, 5064,640,480:waittimer 33059 blt 0,0,640,480,0, 5066,640,480:waittimer 33072 blt 0,0,640,480,0, 5068,640,480:waittimer 33086 blt 0,0,640,480,0, 5070,640,480:waittimer 33099 blt 0,0,640,480,0, 5072,640,480:waittimer 33112 blt 0,0,640,480,0, 5074,640,480:waittimer 33125 blt 0,0,640,480,0, 5076,640,480:waittimer 33138 blt 0,0,640,480,0, 5078,640,480:waittimer 33151 blt 0,0,640,480,0, 5080,640,480:waittimer 33164 blt 0,0,640,480,0, 5082,640,480:waittimer 33177 blt 0,0,640,480,0, 5084,640,480:waittimer 33190 blt 0,0,640,480,0, 5086,640,480:waittimer 33203 blt 0,0,640,480,0, 5088,640,480:waittimer 33216 blt 0,0,640,480,0, 5090,640,480:waittimer 33229 blt 0,0,640,480,0, 5092,640,480:waittimer 33242 blt 0,0,640,480,0, 5094,640,480:waittimer 33255 blt 0,0,640,480,0, 5096,640,480:waittimer 33268 blt 0,0,640,480,0, 5098,640,480:waittimer 33281 blt 0,0,640,480,0, 5100,640,480:waittimer 33294 blt 0,0,640,480,0, 5102,640,480:waittimer 33307 blt 0,0,640,480,0, 5104,640,480:waittimer 33321 blt 0,0,640,480,0, 5106,640,480:waittimer 33334 blt 0,0,640,480,0, 5108,640,480:waittimer 33347 blt 0,0,640,480,0, 5110,640,480:waittimer 33360 blt 0,0,640,480,0, 5112,640,480:waittimer 33373 blt 0,0,640,480,0, 5114,640,480:waittimer 33386 blt 0,0,640,480,0, 5116,640,480:waittimer 33399 blt 0,0,640,480,0, 5118,640,480:waittimer 33412 blt 0,0,640,480,0, 5120,640,480:waittimer 33425 blt 0,0,640,480,0, 5122,640,480:waittimer 33438 blt 0,0,640,480,0, 5124,640,480:waittimer 33451 blt 0,0,640,480,0, 5126,640,480:waittimer 33464 blt 0,0,640,480,0, 5128,640,480:waittimer 33477 blt 0,0,640,480,0, 5130,640,480:waittimer 33490 blt 0,0,640,480,0, 5132,640,480:waittimer 33503 blt 0,0,640,480,0, 5134,640,480:waittimer 33516 blt 0,0,640,480,0, 5136,640,480:waittimer 33529 blt 0,0,640,480,0, 5138,640,480:waittimer 33543 blt 0,0,640,480,0, 5140,640,480:waittimer 33556 blt 0,0,640,480,0, 5142,640,480:waittimer 33569 blt 0,0,640,480,0, 5144,640,480:waittimer 33582 blt 0,0,640,480,0, 5146,640,480:waittimer 33595 blt 0,0,640,480,0, 5148,640,480:waittimer 33608 blt 0,0,640,480,0, 5150,640,480:waittimer 33621 blt 0,0,640,480,0, 5152,640,480:waittimer 33634 blt 0,0,640,480,0, 5154,640,480:waittimer 33647 blt 0,0,640,480,0, 5156,640,480:waittimer 33660 blt 0,0,640,480,0, 5158,640,480:waittimer 33673 blt 0,0,640,480,0, 5160,640,480:waittimer 33686 blt 0,0,640,480,0, 5162,640,480:waittimer 33699 blt 0,0,640,480,0, 5164,640,480:waittimer 33712 blt 0,0,640,480,0, 5166,640,480:waittimer 33725 blt 0,0,640,480,0, 5168,640,480:waittimer 33738 blt 0,0,640,480,0, 5170,640,480:waittimer 33751 blt 0,0,640,480,0, 5172,640,480:waittimer 33764 blt 0,0,640,480,0, 5174,640,480:waittimer 33778 blt 0,0,640,480,0, 5176,640,480:waittimer 33791 blt 0,0,640,480,0, 5178,640,480:waittimer 33804 blt 0,0,640,480,0, 5180,640,480:waittimer 33817 blt 0,0,640,480,0, 5182,640,480:waittimer 33830 blt 0,0,640,480,0, 5184,640,480:waittimer 33843 blt 0,0,640,480,0, 5186,640,480:waittimer 33856 blt 0,0,640,480,0, 5188,640,480:waittimer 33869 blt 0,0,640,480,0, 5190,640,480:waittimer 33882 blt 0,0,640,480,0, 5192,640,480:waittimer 33895 blt 0,0,640,480,0, 5194,640,480:waittimer 33908 blt 0,0,640,480,0, 5196,640,480:waittimer 33921 blt 0,0,640,480,0, 5198,640,480:waittimer 33934 blt 0,0,640,480,0, 5200,640,480:waittimer 33947 blt 0,0,640,480,0, 5202,640,480:waittimer 33960 blt 0,0,640,480,0, 5204,640,480:waittimer 33973 blt 0,0,640,480,0, 5206,640,480:waittimer 33986 blt 0,0,640,480,0, 5208,640,480:waittimer 33999 blt 0,0,640,480,0, 5210,640,480:waittimer 34013 blt 0,0,640,480,0, 5212,640,480:waittimer 34026 blt 0,0,640,480,0, 5214,640,480:waittimer 34039 blt 0,0,640,480,0, 5216,640,480:waittimer 34052 blt 0,0,640,480,0, 5218,640,480:waittimer 34065 blt 0,0,640,480,0, 5220,640,480:waittimer 34078 blt 0,0,640,480,0, 5222,640,480:waittimer 34091 blt 0,0,640,480,0, 5224,640,480:waittimer 34104 blt 0,0,640,480,0, 5226,640,480:waittimer 34117 blt 0,0,640,480,0, 5228,640,480:waittimer 34130 blt 0,0,640,480,0, 5230,640,480:waittimer 34143 blt 0,0,640,480,0, 5232,640,480:waittimer 34156 blt 0,0,640,480,0, 5234,640,480:waittimer 34169 blt 0,0,640,480,0, 5236,640,480:waittimer 34182 blt 0,0,640,480,0, 5238,640,480:waittimer 34195 blt 0,0,640,480,0, 5240,640,480:waittimer 34208 blt 0,0,640,480,0, 5242,640,480:waittimer 34221 blt 0,0,640,480,0, 5244,640,480:waittimer 34235 blt 0,0,640,480,0, 5246,640,480:waittimer 34248 blt 0,0,640,480,0, 5248,640,480:waittimer 34261 blt 0,0,640,480,0, 5250,640,480:waittimer 34274 blt 0,0,640,480,0, 5252,640,480:waittimer 34287 blt 0,0,640,480,0, 5254,640,480:waittimer 34300 blt 0,0,640,480,0, 5256,640,480:waittimer 34313 blt 0,0,640,480,0, 5258,640,480:waittimer 34326 blt 0,0,640,480,0, 5260,640,480:waittimer 34339 blt 0,0,640,480,0, 5262,640,480:waittimer 34352 blt 0,0,640,480,0, 5264,640,480:waittimer 34365 blt 0,0,640,480,0, 5266,640,480:waittimer 34378 blt 0,0,640,480,0, 5268,640,480:waittimer 34391 blt 0,0,640,480,0, 5270,640,480:waittimer 34404 blt 0,0,640,480,0, 5272,640,480:waittimer 34417 blt 0,0,640,480,0, 5274,640,480:waittimer 34430 blt 0,0,640,480,0, 5276,640,480:waittimer 34443 blt 0,0,640,480,0, 5278,640,480:waittimer 34456 blt 0,0,640,480,0, 5280,640,480:waittimer 34470 blt 0,0,640,480,0, 5282,640,480:waittimer 34483 blt 0,0,640,480,0, 5284,640,480:waittimer 34496 blt 0,0,640,480,0, 5286,640,480:waittimer 34509 blt 0,0,640,480,0, 5288,640,480:waittimer 34522 blt 0,0,640,480,0, 5290,640,480:waittimer 34535 blt 0,0,640,480,0, 5292,640,480:waittimer 34548 blt 0,0,640,480,0, 5294,640,480:waittimer 34561 blt 0,0,640,480,0, 5296,640,480:waittimer 34574 blt 0,0,640,480,0, 5298,640,480:waittimer 34587 blt 0,0,640,480,0, 5300,640,480:waittimer 34600 blt 0,0,640,480,0, 5302,640,480:waittimer 34613 blt 0,0,640,480,0, 5304,640,480:waittimer 34626 blt 0,0,640,480,0, 5306,640,480:waittimer 34639 blt 0,0,640,480,0, 5308,640,480:waittimer 34652 blt 0,0,640,480,0, 5310,640,480:waittimer 34665 blt 0,0,640,480,0, 5312,640,480:waittimer 34678 blt 0,0,640,480,0, 5314,640,480:waittimer 34692 blt 0,0,640,480,0, 5316,640,480:waittimer 34705 blt 0,0,640,480,0, 5318,640,480:waittimer 34718 blt 0,0,640,480,0, 5320,640,480:waittimer 34731 blt 0,0,640,480,0, 5322,640,480:waittimer 34744 blt 0,0,640,480,0, 5324,640,480:waittimer 34757 blt 0,0,640,480,0, 5326,640,480:waittimer 34770 blt 0,0,640,480,0, 5328,640,480:waittimer 34783 blt 0,0,640,480,0, 5330,640,480:waittimer 34796 blt 0,0,640,480,0, 5332,640,480:waittimer 34809 blt 0,0,640,480,0, 5334,640,480:waittimer 34822 blt 0,0,640,480,0, 5336,640,480:waittimer 34835 blt 0,0,640,480,0, 5338,640,480:waittimer 34848 blt 0,0,640,480,0, 5340,640,480:waittimer 34861 blt 0,0,640,480,0, 5342,640,480:waittimer 34874 blt 0,0,640,480,0, 5344,640,480:waittimer 34887 blt 0,0,640,480,0, 5346,640,480:waittimer 34900 blt 0,0,640,480,0, 5348,640,480:waittimer 34913 blt 0,0,640,480,0, 5350,640,480:waittimer 34927 blt 0,0,640,480,0, 5352,640,480:waittimer 34940 blt 0,0,640,480,0, 5354,640,480:waittimer 34953 blt 0,0,640,480,0, 5356,640,480:waittimer 34966 blt 0,0,640,480,0, 5358,640,480:waittimer 34979 blt 0,0,640,480,0, 5360,640,480:waittimer 34992 blt 0,0,640,480,0, 5362,640,480:waittimer 35005 blt 0,0,640,480,0, 5364,640,480:waittimer 35018 blt 0,0,640,480,0, 5366,640,480:waittimer 35031 blt 0,0,640,480,0, 5368,640,480:waittimer 35044 blt 0,0,640,480,0, 5370,640,480:waittimer 35057 blt 0,0,640,480,0, 5372,640,480:waittimer 35070 blt 0,0,640,480,0, 5374,640,480:waittimer 35083 blt 0,0,640,480,0, 5376,640,480:waittimer 35096 blt 0,0,640,480,0, 5378,640,480:waittimer 35109 blt 0,0,640,480,0, 5380,640,480:waittimer 35122 blt 0,0,640,480,0, 5382,640,480:waittimer 35135 blt 0,0,640,480,0, 5384,640,480:waittimer 35149 blt 0,0,640,480,0, 5386,640,480:waittimer 35162 blt 0,0,640,480,0, 5388,640,480:waittimer 35175 blt 0,0,640,480,0, 5390,640,480:waittimer 35188 blt 0,0,640,480,0, 5392,640,480:waittimer 35201 blt 0,0,640,480,0, 5394,640,480:waittimer 35214 blt 0,0,640,480,0, 5396,640,480:waittimer 35227 blt 0,0,640,480,0, 5398,640,480:waittimer 35240 blt 0,0,640,480,0, 5400,640,480:waittimer 35253 blt 0,0,640,480,0, 5402,640,480:waittimer 35266 blt 0,0,640,480,0, 5404,640,480:waittimer 35279 blt 0,0,640,480,0, 5406,640,480:waittimer 35292 blt 0,0,640,480,0, 5408,640,480:waittimer 35305 blt 0,0,640,480,0, 5410,640,480:waittimer 35318 blt 0,0,640,480,0, 5412,640,480:waittimer 35331 blt 0,0,640,480,0, 5414,640,480:waittimer 35344 blt 0,0,640,480,0, 5416,640,480:waittimer 35357 blt 0,0,640,480,0, 5418,640,480:waittimer 35370 blt 0,0,640,480,0, 5420,640,480:waittimer 35384 blt 0,0,640,480,0, 5422,640,480:waittimer 35397 blt 0,0,640,480,0, 5424,640,480:waittimer 35410 blt 0,0,640,480,0, 5426,640,480:waittimer 35423 blt 0,0,640,480,0, 5428,640,480:waittimer 35436 blt 0,0,640,480,0, 5430,640,480:waittimer 35449 blt 0,0,640,480,0, 5432,640,480:waittimer 35462 blt 0,0,640,480,0, 5434,640,480:waittimer 35475 blt 0,0,640,480,0, 5436,640,480:waittimer 35488 blt 0,0,640,480,0, 5438,640,480:waittimer 35501 blt 0,0,640,480,0, 5440,640,480:waittimer 35514 blt 0,0,640,480,0, 5442,640,480:waittimer 35527 blt 0,0,640,480,0, 5444,640,480:waittimer 35540 blt 0,0,640,480,0, 5446,640,480:waittimer 35553 blt 0,0,640,480,0, 5448,640,480:waittimer 35566 blt 0,0,640,480,0, 5450,640,480:waittimer 35579 blt 0,0,640,480,0, 5452,640,480:waittimer 35592 blt 0,0,640,480,0, 5454,640,480:waittimer 35605 blt 0,0,640,480,0, 5456,640,480:waittimer 35619 blt 0,0,640,480,0, 5458,640,480:waittimer 35632 blt 0,0,640,480,0, 5460,640,480:waittimer 35645 blt 0,0,640,480,0, 5462,640,480:waittimer 35658 blt 0,0,640,480,0, 5464,640,480:waittimer 35671 blt 0,0,640,480,0, 5466,640,480:waittimer 35684 blt 0,0,640,480,0, 5468,640,480:waittimer 35697 blt 0,0,640,480,0, 5470,640,480:waittimer 35710 blt 0,0,640,480,0, 5472,640,480:waittimer 35723 blt 0,0,640,480,0, 5474,640,480:waittimer 35736 blt 0,0,640,480,0, 5476,640,480:waittimer 35749 blt 0,0,640,480,0, 5478,640,480:waittimer 35762 blt 0,0,640,480,0, 5480,640,480:waittimer 35775 blt 0,0,640,480,0, 5482,640,480:waittimer 35788 blt 0,0,640,480,0, 5484,640,480:waittimer 35801 blt 0,0,640,480,0, 5486,640,480:waittimer 35814 blt 0,0,640,480,0, 5488,640,480:waittimer 35827 blt 0,0,640,480,0, 5490,640,480:waittimer 35841 blt 0,0,640,480,0, 5492,640,480:waittimer 35854 blt 0,0,640,480,0, 5494,640,480:waittimer 35867 blt 0,0,640,480,0, 5496,640,480:waittimer 35880 blt 0,0,640,480,0, 5498,640,480:waittimer 35893 blt 0,0,640,480,0, 5500,640,480:waittimer 35906 blt 0,0,640,480,0, 5502,640,480:waittimer 35919 blt 0,0,640,480,0, 5504,640,480:waittimer 35932 blt 0,0,640,480,0, 5506,640,480:waittimer 35945 blt 0,0,640,480,0, 5508,640,480:waittimer 35958 blt 0,0,640,480,0, 5510,640,480:waittimer 35971 blt 0,0,640,480,0, 5512,640,480:waittimer 35984 blt 0,0,640,480,0, 5514,640,480:waittimer 35997 blt 0,0,640,480,0, 5516,640,480:waittimer 36010 blt 0,0,640,480,0, 5518,640,480:waittimer 36023 blt 0,0,640,480,0, 5520,640,480:waittimer 36036 blt 0,0,640,480,0, 5522,640,480:waittimer 36049 blt 0,0,640,480,0, 5524,640,480:waittimer 36062 blt 0,0,640,480,0, 5526,640,480:waittimer 36076 blt 0,0,640,480,0, 5528,640,480:waittimer 36089 blt 0,0,640,480,0, 5530,640,480:waittimer 36102 blt 0,0,640,480,0, 5532,640,480:waittimer 36115 blt 0,0,640,480,0, 5534,640,480:waittimer 36128 blt 0,0,640,480,0, 5536,640,480:waittimer 36141 blt 0,0,640,480,0, 5538,640,480:waittimer 36154 blt 0,0,640,480,0, 5540,640,480:waittimer 36167 blt 0,0,640,480,0, 5542,640,480:waittimer 36180 blt 0,0,640,480,0, 5544,640,480:waittimer 36193 blt 0,0,640,480,0, 5546,640,480:waittimer 36206 blt 0,0,640,480,0, 5548,640,480:waittimer 36219 blt 0,0,640,480,0, 5550,640,480:waittimer 36232 blt 0,0,640,480,0, 5552,640,480:waittimer 36245 blt 0,0,640,480,0, 5554,640,480:waittimer 36258 blt 0,0,640,480,0, 5556,640,480:waittimer 36271 blt 0,0,640,480,0, 5558,640,480:waittimer 36284 blt 0,0,640,480,0, 5560,640,480:waittimer 36298 blt 0,0,640,480,0, 5562,640,480:waittimer 36311 blt 0,0,640,480,0, 5564,640,480:waittimer 36324 blt 0,0,640,480,0, 5566,640,480:waittimer 36337 blt 0,0,640,480,0, 5568,640,480:waittimer 36350 blt 0,0,640,480,0, 5570,640,480:waittimer 36363 blt 0,0,640,480,0, 5572,640,480:waittimer 36376 blt 0,0,640,480,0, 5574,640,480:waittimer 36389 blt 0,0,640,480,0, 5576,640,480:waittimer 36402 blt 0,0,640,480,0, 5578,640,480:waittimer 36415 blt 0,0,640,480,0, 5580,640,480:waittimer 36428 blt 0,0,640,480,0, 5582,640,480:waittimer 36441 blt 0,0,640,480,0, 5584,640,480:waittimer 36454 blt 0,0,640,480,0, 5586,640,480:waittimer 36467 blt 0,0,640,480,0, 5588,640,480:waittimer 36480 blt 0,0,640,480,0, 5590,640,480:waittimer 36493 blt 0,0,640,480,0, 5592,640,480:waittimer 36506 blt 0,0,640,480,0, 5594,640,480:waittimer 36519 blt 0,0,640,480,0, 5596,640,480:waittimer 36533 blt 0,0,640,480,0, 5598,640,480:waittimer 36546 blt 0,0,640,480,0, 5600,640,480:waittimer 36559 blt 0,0,640,480,0, 5602,640,480:waittimer 36572 blt 0,0,640,480,0, 5604,640,480:waittimer 36585 blt 0,0,640,480,0, 5606,640,480:waittimer 36598 blt 0,0,640,480,0, 5608,640,480:waittimer 36611 blt 0,0,640,480,0, 5610,640,480:waittimer 36624 blt 0,0,640,480,0, 5612,640,480:waittimer 36637 blt 0,0,640,480,0, 5614,640,480:waittimer 36650 blt 0,0,640,480,0, 5616,640,480:waittimer 36663 blt 0,0,640,480,0, 5618,640,480:waittimer 36676 blt 0,0,640,480,0, 5620,640,480:waittimer 36689 blt 0,0,640,480,0, 5622,640,480:waittimer 36702 blt 0,0,640,480,0, 5624,640,480:waittimer 36715 blt 0,0,640,480,0, 5626,640,480:waittimer 36728 blt 0,0,640,480,0, 5628,640,480:waittimer 36741 blt 0,0,640,480,0, 5630,640,480:waittimer 36754 blt 0,0,640,480,0, 5632,640,480:waittimer 36768 blt 0,0,640,480,0, 5634,640,480:waittimer 36781 blt 0,0,640,480,0, 5636,640,480:waittimer 36794 blt 0,0,640,480,0, 5638,640,480:waittimer 36807 blt 0,0,640,480,0, 5640,640,480:waittimer 36820 blt 0,0,640,480,0, 5642,640,480:waittimer 36833 blt 0,0,640,480,0, 5644,640,480:waittimer 36846 blt 0,0,640,480,0, 5646,640,480:waittimer 36859 blt 0,0,640,480,0, 5648,640,480:waittimer 36872 blt 0,0,640,480,0, 5650,640,480:waittimer 36885 blt 0,0,640,480,0, 5652,640,480:waittimer 36898 blt 0,0,640,480,0, 5654,640,480:waittimer 36911 blt 0,0,640,480,0, 5656,640,480:waittimer 36924 blt 0,0,640,480,0, 5658,640,480:waittimer 36937 blt 0,0,640,480,0, 5660,640,480:waittimer 36950 blt 0,0,640,480,0, 5662,640,480:waittimer 36963 blt 0,0,640,480,0, 5664,640,480:waittimer 36976 blt 0,0,640,480,0, 5666,640,480:waittimer 36990 blt 0,0,640,480,0, 5668,640,480:waittimer 37003 blt 0,0,640,480,0, 5670,640,480:waittimer 37016 blt 0,0,640,480,0, 5672,640,480:waittimer 37029 blt 0,0,640,480,0, 5674,640,480:waittimer 37042 blt 0,0,640,480,0, 5676,640,480:waittimer 37055 blt 0,0,640,480,0, 5678,640,480:waittimer 37068 blt 0,0,640,480,0, 5680,640,480:waittimer 37081 blt 0,0,640,480,0, 5682,640,480:waittimer 37094 blt 0,0,640,480,0, 5684,640,480:waittimer 37107 blt 0,0,640,480,0, 5686,640,480:waittimer 37120 blt 0,0,640,480,0, 5688,640,480:waittimer 37133 blt 0,0,640,480,0, 5690,640,480:waittimer 37146 blt 0,0,640,480,0, 5692,640,480:waittimer 37159 blt 0,0,640,480,0, 5694,640,480:waittimer 37172 blt 0,0,640,480,0, 5696,640,480:waittimer 37185 blt 0,0,640,480,0, 5698,640,480:waittimer 37198 blt 0,0,640,480,0, 5700,640,480:waittimer 37211 blt 0,0,640,480,0, 5702,640,480:waittimer 37225 blt 0,0,640,480,0, 5704,640,480:waittimer 37238 blt 0,0,640,480,0, 5706,640,480:waittimer 37251 blt 0,0,640,480,0, 5708,640,480:waittimer 37264 blt 0,0,640,480,0, 5710,640,480:waittimer 37277 blt 0,0,640,480,0, 5712,640,480:waittimer 37290 blt 0,0,640,480,0, 5714,640,480:waittimer 37303 blt 0,0,640,480,0, 5716,640,480:waittimer 37316 blt 0,0,640,480,0, 5718,640,480:waittimer 37329 blt 0,0,640,480,0, 5720,640,480:waittimer 37342 blt 0,0,640,480,0, 5722,640,480:waittimer 37355 blt 0,0,640,480,0, 5724,640,480:waittimer 37368 blt 0,0,640,480,0, 5726,640,480:waittimer 37381 blt 0,0,640,480,0, 5728,640,480:waittimer 37394 blt 0,0,640,480,0, 5730,640,480:waittimer 37407 blt 0,0,640,480,0, 5732,640,480:waittimer 37420 blt 0,0,640,480,0, 5734,640,480:waittimer 37433 blt 0,0,640,480,0, 5736,640,480:waittimer 37447 blt 0,0,640,480,0, 5738,640,480:waittimer 37460 blt 0,0,640,480,0, 5740,640,480:waittimer 37473 blt 0,0,640,480,0, 5742,640,480:waittimer 37486 blt 0,0,640,480,0, 5744,640,480:waittimer 37499 blt 0,0,640,480,0, 5746,640,480:waittimer 37512 blt 0,0,640,480,0, 5748,640,480:waittimer 37525 blt 0,0,640,480,0, 5750,640,480:waittimer 37538 blt 0,0,640,480,0, 5752,640,480:waittimer 37551 blt 0,0,640,480,0, 5754,640,480:waittimer 37564 blt 0,0,640,480,0, 5756,640,480:waittimer 37577 blt 0,0,640,480,0, 5758,640,480:waittimer 37590 blt 0,0,640,480,0, 5760,640,480:waittimer 37603 blt 0,0,640,480,0, 5762,640,480:waittimer 37616 blt 0,0,640,480,0, 5764,640,480:waittimer 37629 blt 0,0,640,480,0, 5766,640,480:waittimer 37642 blt 0,0,640,480,0, 5768,640,480:waittimer 37655 blt 0,0,640,480,0, 5770,640,480:waittimer 37668 blt 0,0,640,480,0, 5772,640,480:waittimer 37682 blt 0,0,640,480,0, 5774,640,480:waittimer 37695 blt 0,0,640,480,0, 5776,640,480:waittimer 37708 blt 0,0,640,480,0, 5778,640,480:waittimer 37721 blt 0,0,640,480,0, 5780,640,480:waittimer 37734 blt 0,0,640,480,0, 5782,640,480:waittimer 37747 blt 0,0,640,480,0, 5784,640,480:waittimer 37760 blt 0,0,640,480,0, 5786,640,480:waittimer 37773 blt 0,0,640,480,0, 5788,640,480:waittimer 37786 blt 0,0,640,480,0, 5790,640,480:waittimer 37799 blt 0,0,640,480,0, 5792,640,480:waittimer 37812 blt 0,0,640,480,0, 5794,640,480:waittimer 37825 blt 0,0,640,480,0, 5796,640,480:waittimer 37838 blt 0,0,640,480,0, 5798,640,480:waittimer 37851 blt 0,0,640,480,0, 5800,640,480:waittimer 37864 blt 0,0,640,480,0, 5802,640,480:waittimer 37877 blt 0,0,640,480,0, 5804,640,480:waittimer 37890 blt 0,0,640,480,0, 5806,640,480:waittimer 37903 blt 0,0,640,480,0, 5808,640,480:waittimer 37917 blt 0,0,640,480,0, 5810,640,480:waittimer 37930 blt 0,0,640,480,0, 5812,640,480:waittimer 37943 blt 0,0,640,480,0, 5814,640,480:waittimer 37956 blt 0,0,640,480,0, 5816,640,480:waittimer 37969 blt 0,0,640,480,0, 5818,640,480:waittimer 37982 blt 0,0,640,480,0, 5820,640,480:waittimer 37995 blt 0,0,640,480,0, 5822,640,480:waittimer 38008 blt 0,0,640,480,0, 5824,640,480:waittimer 38021 blt 0,0,640,480,0, 5826,640,480:waittimer 38034 blt 0,0,640,480,0, 5828,640,480:waittimer 38047 blt 0,0,640,480,0, 5830,640,480:waittimer 38060 blt 0,0,640,480,0, 5832,640,480:waittimer 38073 blt 0,0,640,480,0, 5834,640,480:waittimer 38086 blt 0,0,640,480,0, 5836,640,480:waittimer 38099 blt 0,0,640,480,0, 5838,640,480:waittimer 38112 blt 0,0,640,480,0, 5840,640,480:waittimer 38125 blt 0,0,640,480,0, 5842,640,480:waittimer 38139 blt 0,0,640,480,0, 5844,640,480:waittimer 38152 blt 0,0,640,480,0, 5846,640,480:waittimer 38165 blt 0,0,640,480,0, 5848,640,480:waittimer 38178 blt 0,0,640,480,0, 5850,640,480:waittimer 38191 blt 0,0,640,480,0, 5852,640,480:waittimer 38204 blt 0,0,640,480,0, 5854,640,480:waittimer 38217 blt 0,0,640,480,0, 5856,640,480:waittimer 38230 blt 0,0,640,480,0, 5858,640,480:waittimer 38243 blt 0,0,640,480,0, 5860,640,480:waittimer 38256 blt 0,0,640,480,0, 5862,640,480:waittimer 38269 blt 0,0,640,480,0, 5864,640,480:waittimer 38282 blt 0,0,640,480,0, 5866,640,480:waittimer 38295 blt 0,0,640,480,0, 5868,640,480:waittimer 38308 blt 0,0,640,480,0, 5870,640,480:waittimer 38321 blt 0,0,640,480,0, 5872,640,480:waittimer 38334 blt 0,0,640,480,0, 5874,640,480:waittimer 38347 blt 0,0,640,480,0, 5876,640,480:waittimer 38360 blt 0,0,640,480,0, 5878,640,480:waittimer 38374 blt 0,0,640,480,0, 5880,640,480:waittimer 38387 blt 0,0,640,480,0, 5882,640,480:waittimer 38400 blt 0,0,640,480,0, 5884,640,480:waittimer 38413 blt 0,0,640,480,0, 5886,640,480:waittimer 38426 blt 0,0,640,480,0, 5888,640,480:waittimer 38439 blt 0,0,640,480,0, 5890,640,480:waittimer 38452 blt 0,0,640,480,0, 5892,640,480:waittimer 38465 blt 0,0,640,480,0, 5894,640,480:waittimer 38478 blt 0,0,640,480,0, 5896,640,480:waittimer 38491 blt 0,0,640,480,0, 5898,640,480:waittimer 38504 blt 0,0,640,480,0, 5900,640,480:waittimer 38517 blt 0,0,640,480,0, 5902,640,480:waittimer 38530 blt 0,0,640,480,0, 5904,640,480:waittimer 38543 blt 0,0,640,480,0, 5906,640,480:waittimer 38556 blt 0,0,640,480,0, 5908,640,480:waittimer 38569 blt 0,0,640,480,0, 5910,640,480:waittimer 38582 blt 0,0,640,480,0, 5912,640,480:waittimer 38596 blt 0,0,640,480,0, 5914,640,480:waittimer 38609 blt 0,0,640,480,0, 5916,640,480:waittimer 38622 blt 0,0,640,480,0, 5918,640,480:waittimer 38635 blt 0,0,640,480,0, 5920,640,480:waittimer 38648 blt 0,0,640,480,0, 5922,640,480:waittimer 38661 blt 0,0,640,480,0, 5924,640,480:waittimer 38674 blt 0,0,640,480,0, 5926,640,480:waittimer 38687 blt 0,0,640,480,0, 5928,640,480:waittimer 38700 blt 0,0,640,480,0, 5930,640,480:waittimer 38713 blt 0,0,640,480,0, 5932,640,480:waittimer 38726 blt 0,0,640,480,0, 5934,640,480:waittimer 38739 blt 0,0,640,480,0, 5936,640,480:waittimer 38752 blt 0,0,640,480,0, 5938,640,480:waittimer 38765 blt 0,0,640,480,0, 5940,640,480:waittimer 38778 blt 0,0,640,480,0, 5942,640,480:waittimer 38791 blt 0,0,640,480,0, 5944,640,480:waittimer 38804 blt 0,0,640,480,0, 5946,640,480:waittimer 38817 blt 0,0,640,480,0, 5948,640,480:waittimer 38831 blt 0,0,640,480,0, 5950,640,480:waittimer 38844 blt 0,0,640,480,0, 5952,640,480:waittimer 38857 blt 0,0,640,480,0, 5954,640,480:waittimer 38870 blt 0,0,640,480,0, 5956,640,480:waittimer 38883 blt 0,0,640,480,0, 5958,640,480:waittimer 38896 blt 0,0,640,480,0, 5960,640,480:waittimer 38909 blt 0,0,640,480,0, 5962,640,480:waittimer 38922 blt 0,0,640,480,0, 5964,640,480:waittimer 38935 blt 0,0,640,480,0, 5966,640,480:waittimer 38948 blt 0,0,640,480,0, 5968,640,480:waittimer 38961 blt 0,0,640,480,0, 5970,640,480:waittimer 38974 blt 0,0,640,480,0, 5972,640,480:waittimer 38987 blt 0,0,640,480,0, 5974,640,480:waittimer 39000 blt 0,0,640,480,0, 5976,640,480:waittimer 39013 blt 0,0,640,480,0, 5978,640,480:waittimer 39026 blt 0,0,640,480,0, 5980,640,480:waittimer 39039 blt 0,0,640,480,0, 5982,640,480:waittimer 39052 blt 0,0,640,480,0, 5984,640,480:waittimer 39066 blt 0,0,640,480,0, 5986,640,480:waittimer 39079 blt 0,0,640,480,0, 5988,640,480:waittimer 39092 blt 0,0,640,480,0, 5990,640,480:waittimer 39105 blt 0,0,640,480,0, 5992,640,480:waittimer 39118 blt 0,0,640,480,0, 5994,640,480:waittimer 39131 blt 0,0,640,480,0, 5996,640,480:waittimer 39144 blt 0,0,640,480,0, 5998,640,480:waittimer 39157 blt 0,0,640,480,0, 6000,640,480:waittimer 39170 blt 0,0,640,480,0, 6002,640,480:waittimer 39183 blt 0,0,640,480,0, 6004,640,480:waittimer 39196 blt 0,0,640,480,0, 6006,640,480:waittimer 39209 blt 0,0,640,480,0, 6008,640,480:waittimer 39222 blt 0,0,640,480,0, 6010,640,480:waittimer 39235 blt 0,0,640,480,0, 6012,640,480:waittimer 39248 blt 0,0,640,480,0, 6014,640,480:waittimer 39261 blt 0,0,640,480,0, 6016,640,480:waittimer 39274 blt 0,0,640,480,0, 6018,640,480:waittimer 39288 blt 0,0,640,480,0, 6020,640,480:waittimer 39301 blt 0,0,640,480,0, 6022,640,480:waittimer 39314 blt 0,0,640,480,0, 6024,640,480:waittimer 39327 blt 0,0,640,480,0, 6026,640,480:waittimer 39340 blt 0,0,640,480,0, 6028,640,480:waittimer 39353 blt 0,0,640,480,0, 6030,640,480:waittimer 39366 blt 0,0,640,480,0, 6032,640,480:waittimer 39379 blt 0,0,640,480,0, 6034,640,480:waittimer 39392 blt 0,0,640,480,0, 6036,640,480:waittimer 39405 blt 0,0,640,480,0, 6038,640,480:waittimer 39418 blt 0,0,640,480,0, 6040,640,480:waittimer 39431 blt 0,0,640,480,0, 6042,640,480:waittimer 39444 blt 0,0,640,480,0, 6044,640,480:waittimer 39457 blt 0,0,640,480,0, 6046,640,480:waittimer 39470 blt 0,0,640,480,0, 6048,640,480:waittimer 39483 blt 0,0,640,480,0, 6050,640,480:waittimer 39496 blt 0,0,640,480,0, 6052,640,480:waittimer 39509 blt 0,0,640,480,0, 6054,640,480:waittimer 39523 blt 0,0,640,480,0, 6056,640,480:waittimer 39536 blt 0,0,640,480,0, 6058,640,480:waittimer 39549 blt 0,0,640,480,0, 6060,640,480:waittimer 39562 blt 0,0,640,480,0, 6062,640,480:waittimer 39575 blt 0,0,640,480,0, 6064,640,480:waittimer 39588 blt 0,0,640,480,0, 6066,640,480:waittimer 39601 blt 0,0,640,480,0, 6068,640,480:waittimer 39614 blt 0,0,640,480,0, 6070,640,480:waittimer 39627 blt 0,0,640,480,0, 6072,640,480:waittimer 39640 blt 0,0,640,480,0, 6074,640,480:waittimer 39653 blt 0,0,640,480,0, 6076,640,480:waittimer 39666 blt 0,0,640,480,0, 6078,640,480:waittimer 39679 blt 0,0,640,480,0, 6080,640,480:waittimer 39692 blt 0,0,640,480,0, 6082,640,480:waittimer 39705 blt 0,0,640,480,0, 6084,640,480:waittimer 39718 blt 0,0,640,480,0, 6086,640,480:waittimer 39731 blt 0,0,640,480,0, 6088,640,480:waittimer 39745 blt 0,0,640,480,0, 6090,640,480:waittimer 39758 blt 0,0,640,480,0, 6092,640,480:waittimer 39771 blt 0,0,640,480,0, 6094,640,480:waittimer 39784 blt 0,0,640,480,0, 6096,640,480:waittimer 39797 blt 0,0,640,480,0, 6098,640,480:waittimer 39810 blt 0,0,640,480,0, 6100,640,480:waittimer 39823 blt 0,0,640,480,0, 6102,640,480:waittimer 39836 blt 0,0,640,480,0, 6104,640,480:waittimer 39849 blt 0,0,640,480,0, 6106,640,480:waittimer 39862 blt 0,0,640,480,0, 6108,640,480:waittimer 39875 blt 0,0,640,480,0, 6110,640,480:waittimer 39888 blt 0,0,640,480,0, 6112,640,480:waittimer 39901 blt 0,0,640,480,0, 6114,640,480:waittimer 39914 blt 0,0,640,480,0, 6116,640,480:waittimer 39927 blt 0,0,640,480,0, 6118,640,480:waittimer 39940 blt 0,0,640,480,0, 6120,640,480:waittimer 39953 blt 0,0,640,480,0, 6122,640,480:waittimer 39966 blt 0,0,640,480,0, 6124,640,480:waittimer 39980 blt 0,0,640,480,0, 6126,640,480:waittimer 39993 blt 0,0,640,480,0, 6128,640,480:waittimer 40006 blt 0,0,640,480,0, 6130,640,480:waittimer 40019 blt 0,0,640,480,0, 6132,640,480:waittimer 40032 blt 0,0,640,480,0, 6134,640,480:waittimer 40045 blt 0,0,640,480,0, 6136,640,480:waittimer 40058 blt 0,0,640,480,0, 6138,640,480:waittimer 40071 blt 0,0,640,480,0, 6140,640,480:waittimer 40084 blt 0,0,640,480,0, 6142,640,480:waittimer 40097 blt 0,0,640,480,0, 6144,640,480:waittimer 40110 blt 0,0,640,480,0, 6146,640,480:waittimer 40123 blt 0,0,640,480,0, 6148,640,480:waittimer 40136 blt 0,0,640,480,0, 6150,640,480:waittimer 40149 blt 0,0,640,480,0, 6152,640,480:waittimer 40162 blt 0,0,640,480,0, 6154,640,480:waittimer 40175 blt 0,0,640,480,0, 6156,640,480:waittimer 40188 blt 0,0,640,480,0, 6158,640,480:waittimer 40201 blt 0,0,640,480,0, 6160,640,480:waittimer 40215 blt 0,0,640,480,0, 6162,640,480:waittimer 40228 blt 0,0,640,480,0, 6164,640,480:waittimer 40241 blt 0,0,640,480,0, 6166,640,480:waittimer 40254 blt 0,0,640,480,0, 6168,640,480:waittimer 40267 blt 0,0,640,480,0, 6170,640,480:waittimer 40280 blt 0,0,640,480,0, 6172,640,480:waittimer 40293 blt 0,0,640,480,0, 6174,640,480:waittimer 40306 blt 0,0,640,480,0, 6176,640,480:waittimer 40319 blt 0,0,640,480,0, 6178,640,480:waittimer 40332 blt 0,0,640,480,0, 6180,640,480:waittimer 40345 blt 0,0,640,480,0, 6182,640,480:waittimer 40358 blt 0,0,640,480,0, 6184,640,480:waittimer 40371 blt 0,0,640,480,0, 6186,640,480:waittimer 40384 blt 0,0,640,480,0, 6188,640,480:waittimer 40397 blt 0,0,640,480,0, 6190,640,480:waittimer 40410 blt 0,0,640,480,0, 6192,640,480:waittimer 40423 blt 0,0,640,480,0, 6194,640,480:waittimer 40437 blt 0,0,640,480,0, 6196,640,480:waittimer 40450 blt 0,0,640,480,0, 6198,640,480:waittimer 40463 blt 0,0,640,480,0, 6200,640,480:waittimer 40476 blt 0,0,640,480,0, 6202,640,480:waittimer 40489 blt 0,0,640,480,0, 6204,640,480:waittimer 40502 blt 0,0,640,480,0, 6206,640,480:waittimer 40515 blt 0,0,640,480,0, 6208,640,480:waittimer 40528 blt 0,0,640,480,0, 6210,640,480:waittimer 40541 blt 0,0,640,480,0, 6212,640,480:waittimer 40554 blt 0,0,640,480,0, 6214,640,480:waittimer 40567 blt 0,0,640,480,0, 6216,640,480:waittimer 40580 blt 0,0,640,480,0, 6218,640,480:waittimer 40593 blt 0,0,640,480,0, 6220,640,480:waittimer 40606 blt 0,0,640,480,0, 6222,640,480:waittimer 40619 blt 0,0,640,480,0, 6224,640,480:waittimer 40632 blt 0,0,640,480,0, 6226,640,480:waittimer 40645 blt 0,0,640,480,0, 6228,640,480:waittimer 40658 blt 0,0,640,480,0, 6230,640,480:waittimer 40672 blt 0,0,640,480,0, 6232,640,480:waittimer 40685 blt 0,0,640,480,0, 6234,640,480:waittimer 40698 blt 0,0,640,480,0, 6236,640,480:waittimer 40711 blt 0,0,640,480,0, 6238,640,480:waittimer 40724 blt 0,0,640,480,0, 6240,640,480:waittimer 40737 blt 0,0,640,480,0, 6242,640,480:waittimer 40750 blt 0,0,640,480,0, 6244,640,480:waittimer 40763 blt 0,0,640,480,0, 6246,640,480:waittimer 40776 blt 0,0,640,480,0, 6248,640,480:waittimer 40789 blt 0,0,640,480,0, 6250,640,480:waittimer 40802 blt 0,0,640,480,0, 6252,640,480:waittimer 40815 blt 0,0,640,480,0, 6254,640,480:waittimer 40828 blt 0,0,640,480,0, 6256,640,480:waittimer 40841 blt 0,0,640,480,0, 6258,640,480:waittimer 40854 blt 0,0,640,480,0, 6260,640,480:waittimer 40867 blt 0,0,640,480,0, 6262,640,480:waittimer 40880 blt 0,0,640,480,0, 6264,640,480:waittimer 40894 blt 0,0,640,480,0, 6266,640,480:waittimer 40907 blt 0,0,640,480,0, 6268,640,480:waittimer 40920 blt 0,0,640,480,0, 6270,640,480:waittimer 40933 blt 0,0,640,480,0, 6272,640,480:waittimer 40946 blt 0,0,640,480,0, 6274,640,480:waittimer 40959 blt 0,0,640,480,0, 6276,640,480:waittimer 40972 blt 0,0,640,480,0, 6278,640,480:waittimer 40985 blt 0,0,640,480,0, 6280,640,480:waittimer 40998 blt 0,0,640,480,0, 6282,640,480:waittimer 41011 blt 0,0,640,480,0, 6284,640,480:waittimer 41024 blt 0,0,640,480,0, 6286,640,480:waittimer 41037 blt 0,0,640,480,0, 6288,640,480:waittimer 41050 blt 0,0,640,480,0, 6290,640,480:waittimer 41063 blt 0,0,640,480,0, 6292,640,480:waittimer 41076 blt 0,0,640,480,0, 6294,640,480:waittimer 41089 blt 0,0,640,480,0, 6296,640,480:waittimer 41102 blt 0,0,640,480,0, 6298,640,480:waittimer 41115 blt 0,0,640,480,0, 6300,640,480:waittimer 41129 blt 0,0,640,480,0, 6302,640,480:waittimer 41142 blt 0,0,640,480,0, 6304,640,480:waittimer 41155 blt 0,0,640,480,0, 6306,640,480:waittimer 41168 blt 0,0,640,480,0, 6308,640,480:waittimer 41181 blt 0,0,640,480,0, 6310,640,480:waittimer 41194 blt 0,0,640,480,0, 6312,640,480:waittimer 41207 blt 0,0,640,480,0, 6314,640,480:waittimer 41220 blt 0,0,640,480,0, 6316,640,480:waittimer 41233 blt 0,0,640,480,0, 6318,640,480:waittimer 41246 blt 0,0,640,480,0, 6320,640,480:waittimer 41259 blt 0,0,640,480,0, 6322,640,480:waittimer 41272 blt 0,0,640,480,0, 6324,640,480:waittimer 41285 blt 0,0,640,480,0, 6326,640,480:waittimer 41298 blt 0,0,640,480,0, 6328,640,480:waittimer 41311 blt 0,0,640,480,0, 6330,640,480:waittimer 41324 blt 0,0,640,480,0, 6332,640,480:waittimer 41337 blt 0,0,640,480,0, 6334,640,480:waittimer 41350 blt 0,0,640,480,0, 6336,640,480:waittimer 41364 blt 0,0,640,480,0, 6338,640,480:waittimer 41377 blt 0,0,640,480,0, 6340,640,480:waittimer 41390 blt 0,0,640,480,0, 6342,640,480:waittimer 41403 blt 0,0,640,480,0, 6344,640,480:waittimer 41416 blt 0,0,640,480,0, 6346,640,480:waittimer 41429 blt 0,0,640,480,0, 6348,640,480:waittimer 41442 blt 0,0,640,480,0, 6350,640,480:waittimer 41455 blt 0,0,640,480,0, 6352,640,480:waittimer 41468 blt 0,0,640,480,0, 6354,640,480:waittimer 41481 blt 0,0,640,480,0, 6356,640,480:waittimer 41494 blt 0,0,640,480,0, 6358,640,480:waittimer 41507 blt 0,0,640,480,0, 6360,640,480:waittimer 41520 blt 0,0,640,480,0, 6362,640,480:waittimer 41533 blt 0,0,640,480,0, 6364,640,480:waittimer 41546 blt 0,0,640,480,0, 6366,640,480:waittimer 41559 blt 0,0,640,480,0, 6368,640,480:waittimer 41572 blt 0,0,640,480,0, 6370,640,480:waittimer 41586 blt 0,0,640,480,0, 6372,640,480:waittimer 41599 blt 0,0,640,480,0, 6374,640,480:waittimer 41612 blt 0,0,640,480,0, 6376,640,480:waittimer 41625 blt 0,0,640,480,0, 6378,640,480:waittimer 41638 blt 0,0,640,480,0, 6380,640,480:waittimer 41651 blt 0,0,640,480,0, 6382,640,480:waittimer 41664 blt 0,0,640,480,0, 6384,640,480:waittimer 41677 blt 0,0,640,480,0, 6386,640,480:waittimer 41690 blt 0,0,640,480,0, 6388,640,480:waittimer 41703 blt 0,0,640,480,0, 6390,640,480:waittimer 41716 blt 0,0,640,480,0, 6392,640,480:waittimer 41729 blt 0,0,640,480,0, 6394,640,480:waittimer 41742 blt 0,0,640,480,0, 6396,640,480:waittimer 41755 blt 0,0,640,480,0, 6398,640,480:waittimer 41768 blt 0,0,640,480,0, 6400,640,480:waittimer 41781 blt 0,0,640,480,0, 6402,640,480:waittimer 41794 blt 0,0,640,480,0, 6404,640,480:waittimer 41807 blt 0,0,640,480,0, 6406,640,480:waittimer 41821 blt 0,0,640,480,0, 6408,640,480:waittimer 41834 blt 0,0,640,480,0, 6410,640,480:waittimer 41847 blt 0,0,640,480,0, 6412,640,480:waittimer 41860 blt 0,0,640,480,0, 6414,640,480:waittimer 41873 blt 0,0,640,480,0, 6416,640,480:waittimer 41886 blt 0,0,640,480,0, 6418,640,480:waittimer 41899 blt 0,0,640,480,0, 6420,640,480:waittimer 41912 blt 0,0,640,480,0, 6422,640,480:waittimer 41925 blt 0,0,640,480,0, 6424,640,480:waittimer 41938 blt 0,0,640,480,0, 6426,640,480:waittimer 41951 blt 0,0,640,480,0, 6428,640,480:waittimer 41964 blt 0,0,640,480,0, 6430,640,480:waittimer 41977 blt 0,0,640,480,0, 6432,640,480:waittimer 41990 blt 0,0,640,480,0, 6434,640,480:waittimer 42003 blt 0,0,640,480,0, 6436,640,480:waittimer 42016 blt 0,0,640,480,0, 6438,640,480:waittimer 42029 blt 0,0,640,480,0, 6440,640,480:waittimer 42043 blt 0,0,640,480,0, 6442,640,480:waittimer 42056 blt 0,0,640,480,0, 6444,640,480:waittimer 42069 blt 0,0,640,480,0, 6446,640,480:waittimer 42082 blt 0,0,640,480,0, 6448,640,480:waittimer 42095 blt 0,0,640,480,0, 6450,640,480:waittimer 42108 blt 0,0,640,480,0, 6452,640,480:waittimer 42121 blt 0,0,640,480,0, 6454,640,480:waittimer 42134 blt 0,0,640,480,0, 6456,640,480:waittimer 42147 blt 0,0,640,480,0, 6458,640,480:waittimer 42160 blt 0,0,640,480,0, 6460,640,480:waittimer 42173 blt 0,0,640,480,0, 6462,640,480:waittimer 42186 blt 0,0,640,480,0, 6464,640,480:waittimer 42199 blt 0,0,640,480,0, 6466,640,480:waittimer 42212 blt 0,0,640,480,0, 6468,640,480:waittimer 42225 blt 0,0,640,480,0, 6470,640,480:waittimer 42238 blt 0,0,640,480,0, 6472,640,480:waittimer 42251 blt 0,0,640,480,0, 6474,640,480:waittimer 42264 blt 0,0,640,480,0, 6476,640,480:waittimer 42278 blt 0,0,640,480,0, 6478,640,480:waittimer 42291 blt 0,0,640,480,0, 6480,640,480:waittimer 42304 blt 0,0,640,480,0, 6482,640,480:waittimer 42317 blt 0,0,640,480,0, 6484,640,480:waittimer 42330 blt 0,0,640,480,0, 6486,640,480:waittimer 42343 blt 0,0,640,480,0, 6488,640,480:waittimer 42356 blt 0,0,640,480,0, 6490,640,480:waittimer 42369 blt 0,0,640,480,0, 6492,640,480:waittimer 42382 blt 0,0,640,480,0, 6494,640,480:waittimer 42395 blt 0,0,640,480,0, 6496,640,480:waittimer 42408 blt 0,0,640,480,0, 6498,640,480:waittimer 42421 blt 0,0,640,480,0, 6500,640,480:waittimer 42434 blt 0,0,640,480,0, 6502,640,480:waittimer 42447 blt 0,0,640,480,0, 6504,640,480:waittimer 42460 blt 0,0,640,480,0, 6506,640,480:waittimer 42473 blt 0,0,640,480,0, 6508,640,480:waittimer 42486 blt 0,0,640,480,0, 6510,640,480:waittimer 42499 blt 0,0,640,480,0, 6512,640,480:waittimer 42513 blt 0,0,640,480,0, 6514,640,480:waittimer 42526 blt 0,0,640,480,0, 6516,640,480:waittimer 42539 blt 0,0,640,480,0, 6518,640,480:waittimer 42552 blt 0,0,640,480,0, 6520,640,480:waittimer 42565 blt 0,0,640,480,0, 6522,640,480:waittimer 42578 blt 0,0,640,480,0, 6524,640,480:waittimer 42591 blt 0,0,640,480,0, 6526,640,480:waittimer 42604 blt 0,0,640,480,0, 6528,640,480:waittimer 42617 blt 0,0,640,480,0, 6530,640,480:waittimer 42630 blt 0,0,640,480,0, 6532,640,480:waittimer 42643 blt 0,0,640,480,0, 6534,640,480:waittimer 42656 blt 0,0,640,480,0, 6536,640,480:waittimer 42669 blt 0,0,640,480,0, 6538,640,480:waittimer 42682 blt 0,0,640,480,0, 6540,640,480:waittimer 42695 blt 0,0,640,480,0, 6542,640,480:waittimer 42708 blt 0,0,640,480,0, 6544,640,480:waittimer 42721 blt 0,0,640,480,0, 6546,640,480:waittimer 42735 blt 0,0,640,480,0, 6548,640,480:waittimer 42748 blt 0,0,640,480,0, 6550,640,480:waittimer 42761 blt 0,0,640,480,0, 6552,640,480:waittimer 42774 blt 0,0,640,480,0, 6554,640,480:waittimer 42787 blt 0,0,640,480,0, 6556,640,480:waittimer 42800 blt 0,0,640,480,0, 6558,640,480:waittimer 42813 blt 0,0,640,480,0, 6560,640,480:waittimer 42826 blt 0,0,640,480,0, 6562,640,480:waittimer 42839 blt 0,0,640,480,0, 6564,640,480:waittimer 42852 blt 0,0,640,480,0, 6566,640,480:waittimer 42865 blt 0,0,640,480,0, 6568,640,480:waittimer 42878 blt 0,0,640,480,0, 6570,640,480:waittimer 42891 blt 0,0,640,480,0, 6572,640,480:waittimer 42904 blt 0,0,640,480,0, 6574,640,480:waittimer 42917 blt 0,0,640,480,0, 6576,640,480:waittimer 42930 blt 0,0,640,480,0, 6578,640,480:waittimer 42943 blt 0,0,640,480,0, 6580,640,480:waittimer 42956 blt 0,0,640,480,0, 6582,640,480:waittimer 42970 blt 0,0,640,480,0, 6584,640,480:waittimer 42983 blt 0,0,640,480,0, 6586,640,480:waittimer 42996 blt 0,0,640,480,0, 6588,640,480:waittimer 43009 blt 0,0,640,480,0, 6590,640,480:waittimer 43022 blt 0,0,640,480,0, 6592,640,480:waittimer 43035 blt 0,0,640,480,0, 6594,640,480:waittimer 43048 blt 0,0,640,480,0, 6596,640,480:waittimer 43061 blt 0,0,640,480,0, 6598,640,480:waittimer 43074 blt 0,0,640,480,0, 6600,640,480:waittimer 43087 blt 0,0,640,480,0, 6602,640,480:waittimer 43100 blt 0,0,640,480,0, 6604,640,480:waittimer 43113 blt 0,0,640,480,0, 6606,640,480:waittimer 43126 blt 0,0,640,480,0, 6608,640,480:waittimer 43139 blt 0,0,640,480,0, 6610,640,480:waittimer 43152 blt 0,0,640,480,0, 6612,640,480:waittimer 43165 blt 0,0,640,480,0, 6614,640,480:waittimer 43178 blt 0,0,640,480,0, 6616,640,480:waittimer 43192 blt 0,0,640,480,0, 6618,640,480:waittimer 43205 blt 0,0,640,480,0, 6620,640,480:waittimer 43218 blt 0,0,640,480,0, 6622,640,480:waittimer 43231 blt 0,0,640,480,0, 6624,640,480:waittimer 43244 blt 0,0,640,480,0, 6626,640,480:waittimer 43257 blt 0,0,640,480,0, 6628,640,480:waittimer 43270 blt 0,0,640,480,0, 6630,640,480:waittimer 43283 blt 0,0,640,480,0, 6632,640,480:waittimer 43296 blt 0,0,640,480,0, 6634,640,480:waittimer 43309 blt 0,0,640,480,0, 6636,640,480:waittimer 43322 blt 0,0,640,480,0, 6638,640,480:waittimer 43335 blt 0,0,640,480,0, 6640,640,480:waittimer 43348 blt 0,0,640,480,0, 6642,640,480:waittimer 43361 blt 0,0,640,480,0, 6644,640,480:waittimer 43374 blt 0,0,640,480,0, 6646,640,480:waittimer 43387 blt 0,0,640,480,0, 6648,640,480:waittimer 43400 blt 0,0,640,480,0, 6650,640,480:waittimer 43413 blt 0,0,640,480,0, 6652,640,480:waittimer 43427 blt 0,0,640,480,0, 6654,640,480:waittimer 43440 blt 0,0,640,480,0, 6656,640,480:waittimer 43453 blt 0,0,640,480,0, 6658,640,480:waittimer 43466 blt 0,0,640,480,0, 6660,640,480:waittimer 43479 blt 0,0,640,480,0, 6662,640,480:waittimer 43492 blt 0,0,640,480,0, 6664,640,480:waittimer 43505 blt 0,0,640,480,0, 6666,640,480:waittimer 43518 blt 0,0,640,480,0, 6668,640,480:waittimer 43531 blt 0,0,640,480,0, 6670,640,480:waittimer 43544 blt 0,0,640,480,0, 6672,640,480:waittimer 43557 blt 0,0,640,480,0, 6674,640,480:waittimer 43570 blt 0,0,640,480,0, 6676,640,480:waittimer 43583 blt 0,0,640,480,0, 6678,640,480:waittimer 43596 blt 0,0,640,480,0, 6680,640,480:waittimer 43609 blt 0,0,640,480,0, 6682,640,480:waittimer 43622 blt 0,0,640,480,0, 6684,640,480:waittimer 43635 blt 0,0,640,480,0, 6686,640,480:waittimer 43648 blt 0,0,640,480,0, 6688,640,480:waittimer 43662 blt 0,0,640,480,0, 6690,640,480:waittimer 43675 blt 0,0,640,480,0, 6692,640,480:waittimer 43688 blt 0,0,640,480,0, 6694,640,480:waittimer 43701 blt 0,0,640,480,0, 6696,640,480:waittimer 43714 blt 0,0,640,480,0, 6698,640,480:waittimer 43727 blt 0,0,640,480,0, 6700,640,480:waittimer 43740 blt 0,0,640,480,0, 6702,640,480:waittimer 43753 blt 0,0,640,480,0, 6704,640,480:waittimer 43766 blt 0,0,640,480,0, 6706,640,480:waittimer 43779 blt 0,0,640,480,0, 6708,640,480:waittimer 43792 blt 0,0,640,480,0, 6710,640,480:waittimer 43805 blt 0,0,640,480,0, 6712,640,480:waittimer 43818 blt 0,0,640,480,0, 6714,640,480:waittimer 43831 blt 0,0,640,480,0, 6716,640,480:waittimer 43844 blt 0,0,640,480,0, 6718,640,480:waittimer 43857 blt 0,0,640,480,0, 6720,640,480:waittimer 43870 blt 0,0,640,480,0, 6722,640,480:waittimer 43884 blt 0,0,640,480,0, 6724,640,480:waittimer 43897 blt 0,0,640,480,0, 6726,640,480:waittimer 43910 blt 0,0,640,480,0, 6728,640,480:waittimer 43923 blt 0,0,640,480,0, 6730,640,480:waittimer 43936 blt 0,0,640,480,0, 6732,640,480:waittimer 43949 blt 0,0,640,480,0, 6734,640,480:waittimer 43962 blt 0,0,640,480,0, 6736,640,480:waittimer 43975 blt 0,0,640,480,0, 6738,640,480:waittimer 43988 blt 0,0,640,480,0, 6740,640,480:waittimer 44001 blt 0,0,640,480,0, 6742,640,480:waittimer 44014 blt 0,0,640,480,0, 6744,640,480:waittimer 44027 blt 0,0,640,480,0, 6746,640,480:waittimer 44040 blt 0,0,640,480,0, 6748,640,480:waittimer 44053 blt 0,0,640,480,0, 6750,640,480:waittimer 44066 blt 0,0,640,480,0, 6752,640,480:waittimer 44079 blt 0,0,640,480,0, 6754,640,480:waittimer 44092 blt 0,0,640,480,0, 6756,640,480:waittimer 44105 blt 0,0,640,480,0, 6758,640,480:waittimer 44119 blt 0,0,640,480,0, 6760,640,480:waittimer 44132 blt 0,0,640,480,0, 6762,640,480:waittimer 44145 blt 0,0,640,480,0, 6764,640,480:waittimer 44158 blt 0,0,640,480,0, 6766,640,480:waittimer 44171 blt 0,0,640,480,0, 6768,640,480:waittimer 44184 blt 0,0,640,480,0, 6770,640,480:waittimer 44197 blt 0,0,640,480,0, 6772,640,480:waittimer 44210 blt 0,0,640,480,0, 6774,640,480:waittimer 44223 blt 0,0,640,480,0, 6776,640,480:waittimer 44236 blt 0,0,640,480,0, 6778,640,480:waittimer 44249 blt 0,0,640,480,0, 6780,640,480:waittimer 44262 blt 0,0,640,480,0, 6782,640,480:waittimer 44275 blt 0,0,640,480,0, 6784,640,480:waittimer 44288 blt 0,0,640,480,0, 6786,640,480:waittimer 44301 blt 0,0,640,480,0, 6788,640,480:waittimer 44314 blt 0,0,640,480,0, 6790,640,480:waittimer 44327 blt 0,0,640,480,0, 6792,640,480:waittimer 44341 blt 0,0,640,480,0, 6794,640,480:waittimer 44354 blt 0,0,640,480,0, 6796,640,480:waittimer 44367 blt 0,0,640,480,0, 6798,640,480:waittimer 44380 blt 0,0,640,480,0, 6800,640,480:waittimer 44393 blt 0,0,640,480,0, 6802,640,480:waittimer 44406 blt 0,0,640,480,0, 6804,640,480:waittimer 44419 blt 0,0,640,480,0, 6806,640,480:waittimer 44432 blt 0,0,640,480,0, 6808,640,480:waittimer 44445 blt 0,0,640,480,0, 6810,640,480:waittimer 44458 blt 0,0,640,480,0, 6812,640,480:waittimer 44471 blt 0,0,640,480,0, 6814,640,480:waittimer 44484 blt 0,0,640,480,0, 6816,640,480:waittimer 44497 blt 0,0,640,480,0, 6818,640,480:waittimer 44510 blt 0,0,640,480,0, 6820,640,480:waittimer 44523 blt 0,0,640,480,0, 6822,640,480:waittimer 44536 blt 0,0,640,480,0, 6824,640,480:waittimer 44549 blt 0,0,640,480,0, 6826,640,480:waittimer 44562 blt 0,0,640,480,0, 6828,640,480:waittimer 44576 blt 0,0,640,480,0, 6830,640,480:waittimer 44589 blt 0,0,640,480,0, 6832,640,480:waittimer 44602 blt 0,0,640,480,0, 6834,640,480:waittimer 44615 blt 0,0,640,480,0, 6836,640,480:waittimer 44628 blt 0,0,640,480,0, 6838,640,480:waittimer 44641 blt 0,0,640,480,0, 6840,640,480:waittimer 44654 blt 0,0,640,480,0, 6842,640,480:waittimer 44667 blt 0,0,640,480,0, 6844,640,480:waittimer 44680 blt 0,0,640,480,0, 6846,640,480:waittimer 44693 blt 0,0,640,480,0, 6848,640,480:waittimer 44706 blt 0,0,640,480,0, 6850,640,480:waittimer 44719 blt 0,0,640,480,0, 6852,640,480:waittimer 44732 blt 0,0,640,480,0, 6854,640,480:waittimer 44745 blt 0,0,640,480,0, 6856,640,480:waittimer 44758 blt 0,0,640,480,0, 6858,640,480:waittimer 44771 blt 0,0,640,480,0, 6860,640,480:waittimer 44784 blt 0,0,640,480,0, 6862,640,480:waittimer 44798 blt 0,0,640,480,0, 6864,640,480:waittimer 44811 blt 0,0,640,480,0, 6866,640,480:waittimer 44824 blt 0,0,640,480,0, 6868,640,480:waittimer 44837 blt 0,0,640,480,0, 6870,640,480:waittimer 44850 blt 0,0,640,480,0, 6872,640,480:waittimer 44863 blt 0,0,640,480,0, 6874,640,480:waittimer 44876 blt 0,0,640,480,0, 6876,640,480:waittimer 44889 blt 0,0,640,480,0, 6878,640,480:waittimer 44902 blt 0,0,640,480,0, 6880,640,480:waittimer 44915 blt 0,0,640,480,0, 6882,640,480:waittimer 44928 blt 0,0,640,480,0, 6884,640,480:waittimer 44941 blt 0,0,640,480,0, 6886,640,480:waittimer 44954 blt 0,0,640,480,0, 6888,640,480:waittimer 44967 blt 0,0,640,480,0, 6890,640,480:waittimer 44980 blt 0,0,640,480,0, 6892,640,480:waittimer 44993 blt 0,0,640,480,0, 6894,640,480:waittimer 45006 blt 0,0,640,480,0, 6896,640,480:waittimer 45019 blt 0,0,640,480,0, 6898,640,480:waittimer 45033 blt 0,0,640,480,0, 6900,640,480:waittimer 45046 blt 0,0,640,480,0, 6902,640,480:waittimer 45059 blt 0,0,640,480,0, 6904,640,480:waittimer 45072 blt 0,0,640,480,0, 6906,640,480:waittimer 45085 blt 0,0,640,480,0, 6908,640,480:waittimer 45098 blt 0,0,640,480,0, 6910,640,480:waittimer 45111 blt 0,0,640,480,0, 6912,640,480:waittimer 45124 blt 0,0,640,480,0, 6914,640,480:waittimer 45137 blt 0,0,640,480,0, 6916,640,480:waittimer 45150 blt 0,0,640,480,0, 6918,640,480:waittimer 45163 blt 0,0,640,480,0, 6920,640,480:waittimer 45176 blt 0,0,640,480,0, 6922,640,480:waittimer 45189 blt 0,0,640,480,0, 6924,640,480:waittimer 45202 blt 0,0,640,480,0, 6926,640,480:waittimer 45215 blt 0,0,640,480,0, 6928,640,480:waittimer 45228 blt 0,0,640,480,0, 6930,640,480:waittimer 45241 blt 0,0,640,480,0, 6932,640,480:waittimer 45254 blt 0,0,640,480,0, 6934,640,480:waittimer 45268 blt 0,0,640,480,0, 6936,640,480:waittimer 45281 blt 0,0,640,480,0, 6938,640,480:waittimer 45294 blt 0,0,640,480,0, 6940,640,480:waittimer 45307 blt 0,0,640,480,0, 6942,640,480:waittimer 45320 blt 0,0,640,480,0, 6944,640,480:waittimer 45333 blt 0,0,640,480,0, 6946,640,480:waittimer 45346 blt 0,0,640,480,0, 6948,640,480:waittimer 45359 blt 0,0,640,480,0, 6950,640,480:waittimer 45372 blt 0,0,640,480,0, 6952,640,480:waittimer 45385 blt 0,0,640,480,0, 6954,640,480:waittimer 45398 blt 0,0,640,480,0, 6956,640,480:waittimer 45411 blt 0,0,640,480,0, 6958,640,480:waittimer 45424 blt 0,0,640,480,0, 6960,640,480:waittimer 45437 blt 0,0,640,480,0, 6962,640,480:waittimer 45450 blt 0,0,640,480,0, 6964,640,480:waittimer 45463 blt 0,0,640,480,0, 6966,640,480:waittimer 45476 blt 0,0,640,480,0, 6968,640,480:waittimer 45490 blt 0,0,640,480,0, 6970,640,480:waittimer 45503 blt 0,0,640,480,0, 6972,640,480:waittimer 45516 blt 0,0,640,480,0, 6974,640,480:waittimer 45529 blt 0,0,640,480,0, 6976,640,480:waittimer 45542 blt 0,0,640,480,0, 6978,640,480:waittimer 45555 blt 0,0,640,480,0, 6980,640,480:waittimer 45568 blt 0,0,640,480,0, 6982,640,480:waittimer 45581 blt 0,0,640,480,0, 6984,640,480:waittimer 45594 blt 0,0,640,480,0, 6986,640,480:waittimer 45607 blt 0,0,640,480,0, 6988,640,480:waittimer 45620 blt 0,0,640,480,0, 6990,640,480:waittimer 45633 blt 0,0,640,480,0, 6992,640,480:waittimer 45646 blt 0,0,640,480,0, 6994,640,480:waittimer 45659 blt 0,0,640,480,0, 6996,640,480:waittimer 45672 blt 0,0,640,480,0, 6998,640,480:waittimer 45685 blt 0,0,640,480,0, 7000,640,480:waittimer 45698 blt 0,0,640,480,0, 7002,640,480:waittimer 45711 blt 0,0,640,480,0, 7004,640,480:waittimer 45725 blt 0,0,640,480,0, 7006,640,480:waittimer 45738 blt 0,0,640,480,0, 7008,640,480:waittimer 45751 blt 0,0,640,480,0, 7010,640,480:waittimer 45764 blt 0,0,640,480,0, 7012,640,480:waittimer 45777 blt 0,0,640,480,0, 7014,640,480:waittimer 45790 blt 0,0,640,480,0, 7016,640,480:waittimer 45803 blt 0,0,640,480,0, 7018,640,480:waittimer 45816 blt 0,0,640,480,0, 7020,640,480:waittimer 45829 blt 0,0,640,480,0, 7022,640,480:waittimer 45842 blt 0,0,640,480,0, 7024,640,480:waittimer 45855 blt 0,0,640,480,0, 7026,640,480:waittimer 45868 blt 0,0,640,480,0, 7028,640,480:waittimer 45881 blt 0,0,640,480,0, 7030,640,480:waittimer 45894 blt 0,0,640,480,0, 7032,640,480:waittimer 45907 blt 0,0,640,480,0, 7034,640,480:waittimer 45920 blt 0,0,640,480,0, 7036,640,480:waittimer 45933 blt 0,0,640,480,0, 7038,640,480:waittimer 45947 blt 0,0,640,480,0, 7040,640,480:waittimer 45960 blt 0,0,640,480,0, 7042,640,480:waittimer 45973 blt 0,0,640,480,0, 7044,640,480:waittimer 45986 blt 0,0,640,480,0, 7046,640,480:waittimer 45999 blt 0,0,640,480,0, 7048,640,480:waittimer 46012 blt 0,0,640,480,0, 7050,640,480:waittimer 46025 blt 0,0,640,480,0, 7052,640,480:waittimer 46038 blt 0,0,640,480,0, 7054,640,480:waittimer 46051 blt 0,0,640,480,0, 7056,640,480:waittimer 46064 blt 0,0,640,480,0, 7058,640,480:waittimer 46077 blt 0,0,640,480,0, 7060,640,480:waittimer 46090 blt 0,0,640,480,0, 7062,640,480:waittimer 46103 blt 0,0,640,480,0, 7064,640,480:waittimer 46116 blt 0,0,640,480,0, 7066,640,480:waittimer 46129 blt 0,0,640,480,0, 7068,640,480:waittimer 46142 blt 0,0,640,480,0, 7070,640,480:waittimer 46155 blt 0,0,640,480,0, 7072,640,480:waittimer 46168 blt 0,0,640,480,0, 7074,640,480:waittimer 46182 blt 0,0,640,480,0, 7076,640,480:waittimer 46195 blt 0,0,640,480,0, 7078,640,480:waittimer 46208 blt 0,0,640,480,0, 7080,640,480:waittimer 46221 blt 0,0,640,480,0, 7082,640,480:waittimer 46234 blt 0,0,640,480,0, 7084,640,480:waittimer 46247 blt 0,0,640,480,0, 7086,640,480:waittimer 46260 blt 0,0,640,480,0, 7088,640,480:waittimer 46273 blt 0,0,640,480,0, 7090,640,480:waittimer 46286 blt 0,0,640,480,0, 7092,640,480:waittimer 46299 blt 0,0,640,480,0, 7094,640,480:waittimer 46312 blt 0,0,640,480,0, 7096,640,480:waittimer 46325 blt 0,0,640,480,0, 7098,640,480:waittimer 46338 blt 0,0,640,480,0, 7100,640,480:waittimer 46351 blt 0,0,640,480,0, 7102,640,480:waittimer 46364 blt 0,0,640,480,0, 7104,640,480:waittimer 46377 blt 0,0,640,480,0, 7106,640,480:waittimer 46390 blt 0,0,640,480,0, 7108,640,480:waittimer 46403 blt 0,0,640,480,0, 7110,640,480:waittimer 46417 blt 0,0,640,480,0, 7112,640,480:waittimer 46430 blt 0,0,640,480,0, 7114,640,480:waittimer 46443 blt 0,0,640,480,0, 7116,640,480:waittimer 46456 blt 0,0,640,480,0, 7118,640,480:waittimer 46469 blt 0,0,640,480,0, 7120,640,480:waittimer 46482 blt 0,0,640,480,0, 7122,640,480:waittimer 46495 blt 0,0,640,480,0, 7124,640,480:waittimer 46508 blt 0,0,640,480,0, 7126,640,480:waittimer 46521 blt 0,0,640,480,0, 7128,640,480:waittimer 46534 blt 0,0,640,480,0, 7130,640,480:waittimer 46547 blt 0,0,640,480,0, 7132,640,480:waittimer 46560 blt 0,0,640,480,0, 7134,640,480:waittimer 46573 blt 0,0,640,480,0, 7136,640,480:waittimer 46586 blt 0,0,640,480,0, 7138,640,480:waittimer 46599 blt 0,0,640,480,0, 7140,640,480:waittimer 46612 blt 0,0,640,480,0, 7142,640,480:waittimer 46625 blt 0,0,640,480,0, 7144,640,480:waittimer 46639 blt 0,0,640,480,0, 7146,640,480:waittimer 46652 blt 0,0,640,480,0, 7148,640,480:waittimer 46665 blt 0,0,640,480,0, 7150,640,480:waittimer 46678 blt 0,0,640,480,0, 7152,640,480:waittimer 46691 blt 0,0,640,480,0, 7154,640,480:waittimer 46704 blt 0,0,640,480,0, 7156,640,480:waittimer 46717 blt 0,0,640,480,0, 7158,640,480:waittimer 46730 blt 0,0,640,480,0, 7160,640,480:waittimer 46743 blt 0,0,640,480,0, 7162,640,480:waittimer 46756 blt 0,0,640,480,0, 7164,640,480:waittimer 46769 blt 0,0,640,480,0, 7166,640,480:waittimer 46782 blt 0,0,640,480,0, 7168,640,480:waittimer 46795 blt 0,0,640,480,0, 7170,640,480:waittimer 46808 blt 0,0,640,480,0, 7172,640,480:waittimer 46821 blt 0,0,640,480,0, 7174,640,480:waittimer 46834 blt 0,0,640,480,0, 7176,640,480:waittimer 46847 blt 0,0,640,480,0, 7178,640,480:waittimer 46860 blt 0,0,640,480,0, 7180,640,480:waittimer 46874 blt 0,0,640,480,0, 7182,640,480:waittimer 46887 blt 0,0,640,480,0, 7184,640,480:waittimer 46900 blt 0,0,640,480,0, 7186,640,480:waittimer 46913 blt 0,0,640,480,0, 7188,640,480:waittimer 46926 blt 0,0,640,480,0, 7190,640,480:waittimer 46939 blt 0,0,640,480,0, 7192,640,480:waittimer 46952 blt 0,0,640,480,0, 7194,640,480:waittimer 46965 blt 0,0,640,480,0, 7196,640,480:waittimer 46978 blt 0,0,640,480,0, 7198,640,480:waittimer 46991 blt 0,0,640,480,0, 7200,640,480:waittimer 47004 blt 0,0,640,480,0, 7202,640,480:waittimer 47017 blt 0,0,640,480,0, 7204,640,480:waittimer 47030 blt 0,0,640,480,0, 7206,640,480:waittimer 47043 blt 0,0,640,480,0, 7208,640,480:waittimer 47056 blt 0,0,640,480,0, 7210,640,480:waittimer 47069 blt 0,0,640,480,0, 7212,640,480:waittimer 47082 blt 0,0,640,480,0, 7214,640,480:waittimer 47096 blt 0,0,640,480,0, 7216,640,480:waittimer 47109 blt 0,0,640,480,0, 7218,640,480:waittimer 47122 blt 0,0,640,480,0, 7220,640,480:waittimer 47135 blt 0,0,640,480,0, 7222,640,480:waittimer 47148 blt 0,0,640,480,0, 7224,640,480:waittimer 47161 blt 0,0,640,480,0, 7226,640,480:waittimer 47174 blt 0,0,640,480,0, 7228,640,480:waittimer 47187 blt 0,0,640,480,0, 7230,640,480:waittimer 47200 blt 0,0,640,480,0, 7232,640,480:waittimer 47213 blt 0,0,640,480,0, 7234,640,480:waittimer 47226 blt 0,0,640,480,0, 7236,640,480:waittimer 47239 blt 0,0,640,480,0, 7238,640,480:waittimer 47252 blt 0,0,640,480,0, 7240,640,480:waittimer 47265 blt 0,0,640,480,0, 7242,640,480:waittimer 47278 blt 0,0,640,480,0, 7244,640,480:waittimer 47291 blt 0,0,640,480,0, 7246,640,480:waittimer 47304 blt 0,0,640,480,0, 7248,640,480:waittimer 47317 blt 0,0,640,480,0, 7250,640,480:waittimer 47331 blt 0,0,640,480,0, 7252,640,480:waittimer 47344 blt 0,0,640,480,0, 7254,640,480:waittimer 47357 blt 0,0,640,480,0, 7256,640,480:waittimer 47370 blt 0,0,640,480,0, 7258,640,480:waittimer 47383 blt 0,0,640,480,0, 7260,640,480:waittimer 47396 blt 0,0,640,480,0, 7262,640,480:waittimer 47409 blt 0,0,640,480,0, 7264,640,480:waittimer 47422 blt 0,0,640,480,0, 7266,640,480:waittimer 47435 blt 0,0,640,480,0, 7268,640,480:waittimer 47448 blt 0,0,640,480,0, 7270,640,480:waittimer 47461 blt 0,0,640,480,0, 7272,640,480:waittimer 47474 blt 0,0,640,480,0, 7274,640,480:waittimer 47487 blt 0,0,640,480,0, 7276,640,480:waittimer 47500 blt 0,0,640,480,0, 7278,640,480:waittimer 47513 blt 0,0,640,480,0, 7280,640,480:waittimer 47526 blt 0,0,640,480,0, 7282,640,480:waittimer 47539 blt 0,0,640,480,0, 7284,640,480:waittimer 47552 blt 0,0,640,480,0, 7286,640,480:waittimer 47566 blt 0,0,640,480,0, 7288,640,480:waittimer 47579 blt 0,0,640,480,0, 7290,640,480:waittimer 47592 blt 0,0,640,480,0, 7292,640,480:waittimer 47605 blt 0,0,640,480,0, 7294,640,480:waittimer 47618 blt 0,0,640,480,0, 7296,640,480:waittimer 47631 blt 0,0,640,480,0, 7298,640,480:waittimer 47644 blt 0,0,640,480,0, 7300,640,480:waittimer 47657 blt 0,0,640,480,0, 7302,640,480:waittimer 47670 blt 0,0,640,480,0, 7304,640,480:waittimer 47683 blt 0,0,640,480,0, 7306,640,480:waittimer 47696 blt 0,0,640,480,0, 7308,640,480:waittimer 47709 blt 0,0,640,480,0, 7310,640,480:waittimer 47722 blt 0,0,640,480,0, 7312,640,480:waittimer 47735 blt 0,0,640,480,0, 7314,640,480:waittimer 47748 blt 0,0,640,480,0, 7316,640,480:waittimer 47761 blt 0,0,640,480,0, 7318,640,480:waittimer 47774 blt 0,0,640,480,0, 7320,640,480:waittimer 47788 blt 0,0,640,480,0, 7322,640,480:waittimer 47801 blt 0,0,640,480,0, 7324,640,480:waittimer 47814 blt 0,0,640,480,0, 7326,640,480:waittimer 47827 blt 0,0,640,480,0, 7328,640,480:waittimer 47840 blt 0,0,640,480,0, 7330,640,480:waittimer 47853 blt 0,0,640,480,0, 7332,640,480:waittimer 47866 blt 0,0,640,480,0, 7334,640,480:waittimer 47879 blt 0,0,640,480,0, 7336,640,480:waittimer 47892 blt 0,0,640,480,0, 7338,640,480:waittimer 47905 blt 0,0,640,480,0, 7340,640,480:waittimer 47918 blt 0,0,640,480,0, 7342,640,480:waittimer 47931 blt 0,0,640,480,0, 7344,640,480:waittimer 47944 blt 0,0,640,480,0, 7346,640,480:waittimer 47957 blt 0,0,640,480,0, 7348,640,480:waittimer 47970 blt 0,0,640,480,0, 7350,640,480:waittimer 47983 blt 0,0,640,480,0, 7352,640,480:waittimer 47996 blt 0,0,640,480,0, 7354,640,480:waittimer 48009 blt 0,0,640,480,0, 7356,640,480:waittimer 48023 blt 0,0,640,480,0, 7358,640,480:waittimer 48036 blt 0,0,640,480,0, 7360,640,480:waittimer 48049 blt 0,0,640,480,0, 7362,640,480:waittimer 48062 blt 0,0,640,480,0, 7364,640,480:waittimer 48075 blt 0,0,640,480,0, 7366,640,480:waittimer 48088 blt 0,0,640,480,0, 7368,640,480:waittimer 48101 blt 0,0,640,480,0, 7370,640,480:waittimer 48114 blt 0,0,640,480,0, 7372,640,480:waittimer 48127 blt 0,0,640,480,0, 7374,640,480:waittimer 48140 blt 0,0,640,480,0, 7376,640,480:waittimer 48153 blt 0,0,640,480,0, 7378,640,480:waittimer 48166 blt 0,0,640,480,0, 7380,640,480:waittimer 48179 blt 0,0,640,480,0, 7382,640,480:waittimer 48192 blt 0,0,640,480,0, 7384,640,480:waittimer 48205 blt 0,0,640,480,0, 7386,640,480:waittimer 48218 blt 0,0,640,480,0, 7388,640,480:waittimer 48231 blt 0,0,640,480,0, 7390,640,480:waittimer 48245 blt 0,0,640,480,0, 7392,640,480:waittimer 48258 blt 0,0,640,480,0, 7394,640,480:waittimer 48271 blt 0,0,640,480,0, 7396,640,480:waittimer 48284 blt 0,0,640,480,0, 7398,640,480:waittimer 48297 blt 0,0,640,480,0, 7400,640,480:waittimer 48310 blt 0,0,640,480,0, 7402,640,480:waittimer 48323 blt 0,0,640,480,0, 7404,640,480:waittimer 48336 blt 0,0,640,480,0, 7406,640,480:waittimer 48349 blt 0,0,640,480,0, 7408,640,480:waittimer 48362 blt 0,0,640,480,0, 7410,640,480:waittimer 48375 blt 0,0,640,480,0, 7412,640,480:waittimer 48388 blt 0,0,640,480,0, 7414,640,480:waittimer 48401 blt 0,0,640,480,0, 7416,640,480:waittimer 48414 blt 0,0,640,480,0, 7418,640,480:waittimer 48427 blt 0,0,640,480,0, 7420,640,480:waittimer 48440 blt 0,0,640,480,0, 7422,640,480:waittimer 48453 blt 0,0,640,480,0, 7424,640,480:waittimer 48466 blt 0,0,640,480,0, 7426,640,480:waittimer 48480 blt 0,0,640,480,0, 7428,640,480:waittimer 48493 blt 0,0,640,480,0, 7430,640,480:waittimer 48506 blt 0,0,640,480,0, 7432,640,480:waittimer 48519 blt 0,0,640,480,0, 7434,640,480:waittimer 48532 blt 0,0,640,480,0, 7436,640,480:waittimer 48545 blt 0,0,640,480,0, 7438,640,480:waittimer 48558 blt 0,0,640,480,0, 7440,640,480:waittimer 48571 blt 0,0,640,480,0, 7442,640,480:waittimer 48584 blt 0,0,640,480,0, 7444,640,480:waittimer 48597 blt 0,0,640,480,0, 7446,640,480:waittimer 48610 blt 0,0,640,480,0, 7448,640,480:waittimer 48623 blt 0,0,640,480,0, 7450,640,480:waittimer 48636 blt 0,0,640,480,0, 7452,640,480:waittimer 48649 blt 0,0,640,480,0, 7454,640,480:waittimer 48662 blt 0,0,640,480,0, 7456,640,480:waittimer 48675 blt 0,0,640,480,0, 7458,640,480:waittimer 48688 blt 0,0,640,480,0, 7460,640,480:waittimer 48701 blt 0,0,640,480,0, 7462,640,480:waittimer 48715 blt 0,0,640,480,0, 7464,640,480:waittimer 48728 blt 0,0,640,480,0, 7466,640,480:waittimer 48741 blt 0,0,640,480,0, 7468,640,480:waittimer 48754 blt 0,0,640,480,0, 7470,640,480:waittimer 48767 blt 0,0,640,480,0, 7472,640,480:waittimer 48780 blt 0,0,640,480,0, 7474,640,480:waittimer 48793 blt 0,0,640,480,0, 7476,640,480:waittimer 48806 blt 0,0,640,480,0, 7478,640,480:waittimer 48819 blt 0,0,640,480,0, 7480,640,480:waittimer 48832 blt 0,0,640,480,0, 7482,640,480:waittimer 48845 blt 0,0,640,480,0, 7484,640,480:waittimer 48858 blt 0,0,640,480,0, 7486,640,480:waittimer 48871 blt 0,0,640,480,0, 7488,640,480:waittimer 48884 blt 0,0,640,480,0, 7490,640,480:waittimer 48897 blt 0,0,640,480,0, 7492,640,480:waittimer 48910 blt 0,0,640,480,0, 7494,640,480:waittimer 48923 blt 0,0,640,480,0, 7496,640,480:waittimer 48937 blt 0,0,640,480,0, 7498,640,480:waittimer 48950 blt 0,0,640,480,0, 7500,640,480:waittimer 48963 blt 0,0,640,480,0, 7502,640,480:waittimer 48976 blt 0,0,640,480,0, 7504,640,480:waittimer 48989 blt 0,0,640,480,0, 7506,640,480:waittimer 49002 blt 0,0,640,480,0, 7508,640,480:waittimer 49015 blt 0,0,640,480,0, 7510,640,480:waittimer 49028 blt 0,0,640,480,0, 7512,640,480:waittimer 49041 blt 0,0,640,480,0, 7514,640,480:waittimer 49054 blt 0,0,640,480,0, 7516,640,480:waittimer 49067 blt 0,0,640,480,0, 7518,640,480:waittimer 49080 blt 0,0,640,480,0, 7520,640,480:waittimer 49093 blt 0,0,640,480,0, 7522,640,480:waittimer 49106 blt 0,0,640,480,0, 7524,640,480:waittimer 49119 blt 0,0,640,480,0, 7526,640,480:waittimer 49132 blt 0,0,640,480,0, 7528,640,480:waittimer 49145 blt 0,0,640,480,0, 7530,640,480:waittimer 49158 blt 0,0,640,480,0, 7532,640,480:waittimer 49172 blt 0,0,640,480,0, 7534,640,480:waittimer 49185 blt 0,0,640,480,0, 7536,640,480:waittimer 49198 blt 0,0,640,480,0, 7538,640,480:waittimer 49211 blt 0,0,640,480,0, 7540,640,480:waittimer 49224 blt 0,0,640,480,0, 7542,640,480:waittimer 49237 blt 0,0,640,480,0, 7544,640,480:waittimer 49250 blt 0,0,640,480,0, 7546,640,480:waittimer 49263 blt 0,0,640,480,0, 7548,640,480:waittimer 49276 blt 0,0,640,480,0, 7550,640,480:waittimer 49289 blt 0,0,640,480,0, 7552,640,480:waittimer 49302 blt 0,0,640,480,0, 7554,640,480:waittimer 49315 blt 0,0,640,480,0, 7556,640,480:waittimer 49328 blt 0,0,640,480,0, 7558,640,480:waittimer 49341 blt 0,0,640,480,0, 7560,640,480:waittimer 49354 blt 0,0,640,480,0, 7562,640,480:waittimer 49367 blt 0,0,640,480,0, 7564,640,480:waittimer 49380 blt 0,0,640,480,0, 7566,640,480:waittimer 49394 blt 0,0,640,480,0, 7568,640,480:waittimer 49407 blt 0,0,640,480,0, 7570,640,480:waittimer 49420 blt 0,0,640,480,0, 7572,640,480:waittimer 49433 blt 0,0,640,480,0, 7574,640,480:waittimer 49446 blt 0,0,640,480,0, 7576,640,480:waittimer 49459 blt 0,0,640,480,0, 7578,640,480:waittimer 49472 blt 0,0,640,480,0, 7580,640,480:waittimer 49485 blt 0,0,640,480,0, 7582,640,480:waittimer 49498 blt 0,0,640,480,0, 7584,640,480:waittimer 49511 blt 0,0,640,480,0, 7586,640,480:waittimer 49524 blt 0,0,640,480,0, 7588,640,480:waittimer 49537 blt 0,0,640,480,0, 7590,640,480:waittimer 49550 blt 0,0,640,480,0, 7592,640,480:waittimer 49563 blt 0,0,640,480,0, 7594,640,480:waittimer 49576 blt 0,0,640,480,0, 7596,640,480:waittimer 49589 blt 0,0,640,480,0, 7598,640,480:waittimer 49602 blt 0,0,640,480,0, 7600,640,480:waittimer 49615 blt 0,0,640,480,0, 7602,640,480:waittimer 49629 blt 0,0,640,480,0, 7604,640,480:waittimer 49642 blt 0,0,640,480,0, 7606,640,480:waittimer 49655 blt 0,0,640,480,0, 7608,640,480:waittimer 49668 blt 0,0,640,480,0, 7610,640,480:waittimer 49681 blt 0,0,640,480,0, 7612,640,480:waittimer 49694 blt 0,0,640,480,0, 7614,640,480:waittimer 49707 blt 0,0,640,480,0, 7616,640,480:waittimer 49720 blt 0,0,640,480,0, 7618,640,480:waittimer 49733 blt 0,0,640,480,0, 7620,640,480:waittimer 49746 blt 0,0,640,480,0, 7622,640,480:waittimer 49759 blt 0,0,640,480,0, 7624,640,480:waittimer 49772 blt 0,0,640,480,0, 7626,640,480:waittimer 49785 blt 0,0,640,480,0, 7628,640,480:waittimer 49798 blt 0,0,640,480,0, 7630,640,480:waittimer 49811 blt 0,0,640,480,0, 7632,640,480:waittimer 49824 blt 0,0,640,480,0, 7634,640,480:waittimer 49837 blt 0,0,640,480,0, 7636,640,480:waittimer 49850 blt 0,0,640,480,0, 7638,640,480:waittimer 49864 blt 0,0,640,480,0, 7640,640,480:waittimer 49877 blt 0,0,640,480,0, 7642,640,480:waittimer 49890 blt 0,0,640,480,0, 7644,640,480:waittimer 49903 blt 0,0,640,480,0, 7646,640,480:waittimer 49916 blt 0,0,640,480,0, 7648,640,480:waittimer 49929 blt 0,0,640,480,0, 7650,640,480:waittimer 49942 blt 0,0,640,480,0, 7652,640,480:waittimer 49955 blt 0,0,640,480,0, 7654,640,480:waittimer 49968 blt 0,0,640,480,0, 7656,640,480:waittimer 49981 blt 0,0,640,480,0, 7658,640,480:waittimer 49994 blt 0,0,640,480,0, 7660,640,480:waittimer 50007 blt 0,0,640,480,0, 7662,640,480:waittimer 50020 blt 0,0,640,480,0, 7664,640,480:waittimer 50033 blt 0,0,640,480,0, 7666,640,480:waittimer 50046 blt 0,0,640,480,0, 7668,640,480:waittimer 50059 blt 0,0,640,480,0, 7670,640,480:waittimer 50072 blt 0,0,640,480,0, 7672,640,480:waittimer 50086 blt 0,0,640,480,0, 7674,640,480:waittimer 50099 blt 0,0,640,480,0, 7676,640,480:waittimer 50112 blt 0,0,640,480,0, 7678,640,480:waittimer 50125 blt 0,0,640,480,0, 7680,640,480:waittimer 50138 blt 0,0,640,480,0, 7682,640,480:waittimer 50151 blt 0,0,640,480,0, 7684,640,480:waittimer 50164 blt 0,0,640,480,0, 7686,640,480:waittimer 50177 blt 0,0,640,480,0, 7688,640,480:waittimer 50190 blt 0,0,640,480,0, 7690,640,480:waittimer 50203 blt 0,0,640,480,0, 7692,640,480:waittimer 50216 blt 0,0,640,480,0, 7694,640,480:waittimer 50229 blt 0,0,640,480,0, 7696,640,480:waittimer 50242 blt 0,0,640,480,0, 7698,640,480:waittimer 50255 blt 0,0,640,480,0, 7700,640,480:waittimer 50268 blt 0,0,640,480,0, 7702,640,480:waittimer 50281 blt 0,0,640,480,0, 7704,640,480:waittimer 50294 blt 0,0,640,480,0, 7706,640,480:waittimer 50307 blt 0,0,640,480,0, 7708,640,480:waittimer 50321 blt 0,0,640,480,0, 7710,640,480:waittimer 50334 blt 0,0,640,480,0, 7712,640,480:waittimer 50347 blt 0,0,640,480,0, 7714,640,480:waittimer 50360 blt 0,0,640,480,0, 7716,640,480:waittimer 50373 blt 0,0,640,480,0, 7718,640,480:waittimer 50386 blt 0,0,640,480,0, 7720,640,480:waittimer 50399 blt 0,0,640,480,0, 7722,640,480:waittimer 50412 blt 0,0,640,480,0, 7724,640,480:waittimer 50425 blt 0,0,640,480,0, 7726,640,480:waittimer 50438 blt 0,0,640,480,0, 7728,640,480:waittimer 50451 blt 0,0,640,480,0, 7730,640,480:waittimer 50464 blt 0,0,640,480,0, 7732,640,480:waittimer 50477 blt 0,0,640,480,0, 7734,640,480:waittimer 50490 blt 0,0,640,480,0, 7736,640,480:waittimer 50503 blt 0,0,640,480,0, 7738,640,480:waittimer 50516 blt 0,0,640,480,0, 7740,640,480:waittimer 50529 blt 0,0,640,480,0, 7742,640,480:waittimer 50543 blt 0,0,640,480,0, 7744,640,480:waittimer 50556 blt 0,0,640,480,0, 7746,640,480:waittimer 50569 blt 0,0,640,480,0, 7748,640,480:waittimer 50582 blt 0,0,640,480,0, 7750,640,480:waittimer 50595 blt 0,0,640,480,0, 7752,640,480:waittimer 50608 blt 0,0,640,480,0, 7754,640,480:waittimer 50621 blt 0,0,640,480,0, 7756,640,480:waittimer 50634 blt 0,0,640,480,0, 7758,640,480:waittimer 50647 blt 0,0,640,480,0, 7760,640,480:waittimer 50660 blt 0,0,640,480,0, 7762,640,480:waittimer 50673 blt 0,0,640,480,0, 7764,640,480:waittimer 50686 blt 0,0,640,480,0, 7766,640,480:waittimer 50699 blt 0,0,640,480,0, 7768,640,480:waittimer 50712 blt 0,0,640,480,0, 7770,640,480:waittimer 50725 blt 0,0,640,480,0, 7772,640,480:waittimer 50738 blt 0,0,640,480,0, 7774,640,480:waittimer 50751 blt 0,0,640,480,0, 7776,640,480:waittimer 50764 blt 0,0,640,480,0, 7778,640,480:waittimer 50778 blt 0,0,640,480,0, 7780,640,480:waittimer 50791 blt 0,0,640,480,0, 7782,640,480:waittimer 50804 blt 0,0,640,480,0, 7784,640,480:waittimer 50817 blt 0,0,640,480,0, 7786,640,480:waittimer 50830 blt 0,0,640,480,0, 7788,640,480:waittimer 50843 blt 0,0,640,480,0, 7790,640,480:waittimer 50856 blt 0,0,640,480,0, 7792,640,480:waittimer 50869 blt 0,0,640,480,0, 7794,640,480:waittimer 50882 blt 0,0,640,480,0, 7796,640,480:waittimer 50895 blt 0,0,640,480,0, 7798,640,480:waittimer 50908 blt 0,0,640,480,0, 7800,640,480:waittimer 50921 blt 0,0,640,480,0, 7802,640,480:waittimer 50934 blt 0,0,640,480,0, 7804,640,480:waittimer 50947 blt 0,0,640,480,0, 7806,640,480:waittimer 50960 blt 0,0,640,480,0, 7808,640,480:waittimer 50973 blt 0,0,640,480,0, 7810,640,480:waittimer 50986 blt 0,0,640,480,0, 7812,640,480:waittimer 50999 blt 0,0,640,480,0, 7814,640,480:waittimer 51013 blt 0,0,640,480,0, 7816,640,480:waittimer 51026 blt 0,0,640,480,0, 7818,640,480:waittimer 51039 blt 0,0,640,480,0, 7820,640,480:waittimer 51052 blt 0,0,640,480,0, 7822,640,480:waittimer 51065 blt 0,0,640,480,0, 7824,640,480:waittimer 51078 blt 0,0,640,480,0, 7826,640,480:waittimer 51091 blt 0,0,640,480,0, 7828,640,480:waittimer 51104 blt 0,0,640,480,0, 7830,640,480:waittimer 51117 blt 0,0,640,480,0, 7832,640,480:waittimer 51130 blt 0,0,640,480,0, 7834,640,480:waittimer 51143 blt 0,0,640,480,0, 7836,640,480:waittimer 51156 blt 0,0,640,480,0, 7838,640,480:waittimer 51169 blt 0,0,640,480,0, 7840,640,480:waittimer 51182 blt 0,0,640,480,0, 7842,640,480:waittimer 51195 blt 0,0,640,480,0, 7844,640,480:waittimer 51208 blt 0,0,640,480,0, 7846,640,480:waittimer 51221 blt 0,0,640,480,0, 7848,640,480:waittimer 51235 blt 0,0,640,480,0, 7850,640,480:waittimer 51248 blt 0,0,640,480,0, 7852,640,480:waittimer 51261 blt 0,0,640,480,0, 7854,640,480:waittimer 51274 blt 0,0,640,480,0, 7856,640,480:waittimer 51287 blt 0,0,640,480,0, 7858,640,480:waittimer 51300 blt 0,0,640,480,0, 7860,640,480:waittimer 51313 blt 0,0,640,480,0, 7862,640,480:waittimer 51326 blt 0,0,640,480,0, 7864,640,480:waittimer 51339 blt 0,0,640,480,0, 7866,640,480:waittimer 51352 blt 0,0,640,480,0, 7868,640,480:waittimer 51365 blt 0,0,640,480,0, 7870,640,480:waittimer 51378 blt 0,0,640,480,0, 7872,640,480:waittimer 51391 blt 0,0,640,480,0, 7874,640,480:waittimer 51404 blt 0,0,640,480,0, 7876,640,480:waittimer 51417 blt 0,0,640,480,0, 7878,640,480:waittimer 51430 blt 0,0,640,480,0, 7880,640,480:waittimer 51443 blt 0,0,640,480,0, 7882,640,480:waittimer 51456 blt 0,0,640,480,0, 7884,640,480:waittimer 51470 blt 0,0,640,480,0, 7886,640,480:waittimer 51483 blt 0,0,640,480,0, 7888,640,480:waittimer 51496 blt 0,0,640,480,0, 7890,640,480:waittimer 51509 blt 0,0,640,480,0, 7892,640,480:waittimer 51522 blt 0,0,640,480,0, 7894,640,480:waittimer 51535 blt 0,0,640,480,0, 7896,640,480:waittimer 51548 blt 0,0,640,480,0, 7898,640,480:waittimer 51561 blt 0,0,640,480,0, 7900,640,480:waittimer 51574 blt 0,0,640,480,0, 7902,640,480:waittimer 51587 blt 0,0,640,480,0, 7904,640,480:waittimer 51600 blt 0,0,640,480,0, 7906,640,480:waittimer 51613 blt 0,0,640,480,0, 7908,640,480:waittimer 51626 blt 0,0,640,480,0, 7910,640,480:waittimer 51639 blt 0,0,640,480,0, 7912,640,480:waittimer 51652 blt 0,0,640,480,0, 7914,640,480:waittimer 51665 blt 0,0,640,480,0, 7916,640,480:waittimer 51678 blt 0,0,640,480,0, 7918,640,480:waittimer 51692 blt 0,0,640,480,0, 7920,640,480:waittimer 51705 blt 0,0,640,480,0, 7922,640,480:waittimer 51718 blt 0,0,640,480,0, 7924,640,480:waittimer 51731 blt 0,0,640,480,0, 7926,640,480:waittimer 51744 blt 0,0,640,480,0, 7928,640,480:waittimer 51757 blt 0,0,640,480,0, 7930,640,480:waittimer 51770 blt 0,0,640,480,0, 7932,640,480:waittimer 51783 blt 0,0,640,480,0, 7934,640,480:waittimer 51796 blt 0,0,640,480,0, 7936,640,480:waittimer 51809 blt 0,0,640,480,0, 7938,640,480:waittimer 51822 blt 0,0,640,480,0, 7940,640,480:waittimer 51835 blt 0,0,640,480,0, 7942,640,480:waittimer 51848 blt 0,0,640,480,0, 7944,640,480:waittimer 51861 blt 0,0,640,480,0, 7946,640,480:waittimer 51874 blt 0,0,640,480,0, 7948,640,480:waittimer 51887 blt 0,0,640,480,0, 7950,640,480:waittimer 51900 blt 0,0,640,480,0, 7952,640,480:waittimer 51913 blt 0,0,640,480,0, 7954,640,480:waittimer 51927 blt 0,0,640,480,0, 7956,640,480:waittimer 51940 blt 0,0,640,480,0, 7958,640,480:waittimer 51953 blt 0,0,640,480,0, 7960,640,480:waittimer 51966 blt 0,0,640,480,0, 7962,640,480:waittimer 51979 blt 0,0,640,480,0, 7964,640,480:waittimer 51992 blt 0,0,640,480,0, 7966,640,480:waittimer 52005 blt 0,0,640,480,0, 7968,640,480:waittimer 52018 blt 0,0,640,480,0, 7970,640,480:waittimer 52031 blt 0,0,640,480,0, 7972,640,480:waittimer 52044 blt 0,0,640,480,0, 7974,640,480:waittimer 52057 blt 0,0,640,480,0, 7976,640,480:waittimer 52070 blt 0,0,640,480,0, 7978,640,480:waittimer 52083 blt 0,0,640,480,0, 7980,640,480:waittimer 52096 blt 0,0,640,480,0, 7982,640,480:waittimer 52109 blt 0,0,640,480,0, 7984,640,480:waittimer 52122 blt 0,0,640,480,0, 7986,640,480:waittimer 52135 blt 0,0,640,480,0, 7988,640,480:waittimer 52148 blt 0,0,640,480,0, 7990,640,480:waittimer 52162 blt 0,0,640,480,0, 7992,640,480:waittimer 52175 blt 0,0,640,480,0, 7994,640,480:waittimer 52188 blt 0,0,640,480,0, 7996,640,480:waittimer 52201 blt 0,0,640,480,0, 7998,640,480:waittimer 52214 blt 0,0,640,480,0, 8000,640,480:waittimer 52227 blt 0,0,640,480,0, 8002,640,480:waittimer 52240 blt 0,0,640,480,0, 8004,640,480:waittimer 52253 blt 0,0,640,480,0, 8006,640,480:waittimer 52266 blt 0,0,640,480,0, 8008,640,480:waittimer 52279 blt 0,0,640,480,0, 8010,640,480:waittimer 52292 blt 0,0,640,480,0, 8012,640,480:waittimer 52305 blt 0,0,640,480,0, 8014,640,480:waittimer 52318 blt 0,0,640,480,0, 8016,640,480:waittimer 52331 blt 0,0,640,480,0, 8018,640,480:waittimer 52344 blt 0,0,640,480,0, 8020,640,480:waittimer 52357 blt 0,0,640,480,0, 8022,640,480:waittimer 52370 blt 0,0,640,480,0, 8024,640,480:waittimer 52384 blt 0,0,640,480,0, 8026,640,480:waittimer 52397 blt 0,0,640,480,0, 8028,640,480:waittimer 52410 blt 0,0,640,480,0, 8030,640,480:waittimer 52423 blt 0,0,640,480,0, 8032,640,480:waittimer 52436 blt 0,0,640,480,0, 8034,640,480:waittimer 52449 blt 0,0,640,480,0, 8036,640,480:waittimer 52462 blt 0,0,640,480,0, 8038,640,480:waittimer 52475 blt 0,0,640,480,0, 8040,640,480:waittimer 52488 blt 0,0,640,480,0, 8042,640,480:waittimer 52501 blt 0,0,640,480,0, 8044,640,480:waittimer 52514 blt 0,0,640,480,0, 8046,640,480:waittimer 52527 blt 0,0,640,480,0, 8048,640,480:waittimer 52540 blt 0,0,640,480,0, 8050,640,480:waittimer 52553 blt 0,0,640,480,0, 8052,640,480:waittimer 52566 blt 0,0,640,480,0, 8054,640,480:waittimer 52579 blt 0,0,640,480,0, 8056,640,480:waittimer 52592 blt 0,0,640,480,0, 8058,640,480:waittimer 52605 blt 0,0,640,480,0, 8060,640,480:waittimer 52619 blt 0,0,640,480,0, 8062,640,480:waittimer 52632 blt 0,0,640,480,0, 8064,640,480:waittimer 52645 blt 0,0,640,480,0, 8066,640,480:waittimer 52658 blt 0,0,640,480,0, 8068,640,480:waittimer 52671 blt 0,0,640,480,0, 8070,640,480:waittimer 52684 blt 0,0,640,480,0, 8072,640,480:waittimer 52697 blt 0,0,640,480,0, 8074,640,480:waittimer 52710 blt 0,0,640,480,0, 8076,640,480:waittimer 52723 blt 0,0,640,480,0, 8078,640,480:waittimer 52736 blt 0,0,640,480,0, 8080,640,480:waittimer 52749 blt 0,0,640,480,0, 8082,640,480:waittimer 52762 blt 0,0,640,480,0, 8084,640,480:waittimer 52775 blt 0,0,640,480,0, 8086,640,480:waittimer 52788 blt 0,0,640,480,0, 8088,640,480:waittimer 52801 blt 0,0,640,480,0, 8090,640,480:waittimer 52814 blt 0,0,640,480,0, 8092,640,480:waittimer 52827 blt 0,0,640,480,0, 8094,640,480:waittimer 52841 blt 0,0,640,480,0, 8096,640,480:waittimer 52854 blt 0,0,640,480,0, 8098,640,480:waittimer 52867 blt 0,0,640,480,0, 8100,640,480:waittimer 52880 blt 0,0,640,480,0, 8102,640,480:waittimer 52893 blt 0,0,640,480,0, 8104,640,480:waittimer 52906 blt 0,0,640,480,0, 8106,640,480:waittimer 52919 blt 0,0,640,480,0, 8108,640,480:waittimer 52932 blt 0,0,640,480,0, 8110,640,480:waittimer 52945 blt 0,0,640,480,0, 8112,640,480:waittimer 52958 blt 0,0,640,480,0, 8114,640,480:waittimer 52971 blt 0,0,640,480,0, 8116,640,480:waittimer 52984 blt 0,0,640,480,0, 8118,640,480:waittimer 52997 blt 0,0,640,480,0, 8120,640,480:waittimer 53010 blt 0,0,640,480,0, 8122,640,480:waittimer 53023 blt 0,0,640,480,0, 8124,640,480:waittimer 53036 blt 0,0,640,480,0, 8126,640,480:waittimer 53049 blt 0,0,640,480,0, 8128,640,480:waittimer 53062 blt 0,0,640,480,0, 8130,640,480:waittimer 53076 blt 0,0,640,480,0, 8132,640,480:waittimer 53089 blt 0,0,640,480,0, 8134,640,480:waittimer 53102 blt 0,0,640,480,0, 8136,640,480:waittimer 53115 blt 0,0,640,480,0, 8138,640,480:waittimer 53128 blt 0,0,640,480,0, 8140,640,480:waittimer 53141 blt 0,0,640,480,0, 8142,640,480:waittimer 53154 blt 0,0,640,480,0, 8144,640,480:waittimer 53167 blt 0,0,640,480,0, 8146,640,480:waittimer 53180 blt 0,0,640,480,0, 8148,640,480:waittimer 53193 blt 0,0,640,480,0, 8150,640,480:waittimer 53206 blt 0,0,640,480,0, 8152,640,480:waittimer 53219 blt 0,0,640,480,0, 8154,640,480:waittimer 53232 blt 0,0,640,480,0, 8156,640,480:waittimer 53245 blt 0,0,640,480,0, 8158,640,480:waittimer 53258 blt 0,0,640,480,0, 8160,640,480:waittimer 53271 blt 0,0,640,480,0, 8162,640,480:waittimer 53284 blt 0,0,640,480,0, 8164,640,480:waittimer 53298 blt 0,0,640,480,0, 8166,640,480:waittimer 53311 blt 0,0,640,480,0, 8168,640,480:waittimer 53324 blt 0,0,640,480,0, 8170,640,480:waittimer 53337 blt 0,0,640,480,0, 8172,640,480:waittimer 53350 blt 0,0,640,480,0, 8174,640,480:waittimer 53363 blt 0,0,640,480,0, 8176,640,480:waittimer 53376 blt 0,0,640,480,0, 8178,640,480:waittimer 53389 blt 0,0,640,480,0, 8180,640,480:waittimer 53402 blt 0,0,640,480,0, 8182,640,480:waittimer 53415 blt 0,0,640,480,0, 8184,640,480:waittimer 53428 blt 0,0,640,480,0, 8186,640,480:waittimer 53441 blt 0,0,640,480,0, 8188,640,480:waittimer 53454 blt 0,0,640,480,0, 8190,640,480:waittimer 53467 blt 0,0,640,480,0, 8192,640,480:waittimer 53480 blt 0,0,640,480,0, 8194,640,480:waittimer 53493 blt 0,0,640,480,0, 8196,640,480:waittimer 53506 blt 0,0,640,480,0, 8198,640,480:waittimer 53519 blt 0,0,640,480,0, 8200,640,480:waittimer 53533 blt 0,0,640,480,0, 8202,640,480:waittimer 53546 blt 0,0,640,480,0, 8204,640,480:waittimer 53559 blt 0,0,640,480,0, 8206,640,480:waittimer 53572 blt 0,0,640,480,0, 8208,640,480:waittimer 53585 blt 0,0,640,480,0, 8210,640,480:waittimer 53598 blt 0,0,640,480,0, 8212,640,480:waittimer 53611 blt 0,0,640,480,0, 8214,640,480:waittimer 53624 blt 0,0,640,480,0, 8216,640,480:waittimer 53637 blt 0,0,640,480,0, 8218,640,480:waittimer 53650 blt 0,0,640,480,0, 8220,640,480:waittimer 53663 blt 0,0,640,480,0, 8222,640,480:waittimer 53676 blt 0,0,640,480,0, 8224,640,480:waittimer 53689 blt 0,0,640,480,0, 8226,640,480:waittimer 53702 blt 0,0,640,480,0, 8228,640,480:waittimer 53715 blt 0,0,640,480,0, 8230,640,480:waittimer 53728 blt 0,0,640,480,0, 8232,640,480:waittimer 53741 blt 0,0,640,480,0, 8234,640,480:waittimer 53754 blt 0,0,640,480,0, 8236,640,480:waittimer 53768 blt 0,0,640,480,0, 8238,640,480:waittimer 53781 blt 0,0,640,480,0, 8240,640,480:waittimer 53794 blt 0,0,640,480,0, 8242,640,480:waittimer 53807 blt 0,0,640,480,0, 8244,640,480:waittimer 53820 blt 0,0,640,480,0, 8246,640,480:waittimer 53833 blt 0,0,640,480,0, 8248,640,480:waittimer 53846 blt 0,0,640,480,0, 8250,640,480:waittimer 53859 blt 0,0,640,480,0, 8252,640,480:waittimer 53872 blt 0,0,640,480,0, 8254,640,480:waittimer 53885 blt 0,0,640,480,0, 8256,640,480:waittimer 53898 blt 0,0,640,480,0, 8258,640,480:waittimer 53911 blt 0,0,640,480,0, 8260,640,480:waittimer 53924 blt 0,0,640,480,0, 8262,640,480:waittimer 53937 blt 0,0,640,480,0, 8264,640,480:waittimer 53950 blt 0,0,640,480,0, 8266,640,480:waittimer 53963 blt 0,0,640,480,0, 8268,640,480:waittimer 53976 blt 0,0,640,480,0, 8270,640,480:waittimer 53990 blt 0,0,640,480,0, 8272,640,480:waittimer 54003 blt 0,0,640,480,0, 8274,640,480:waittimer 54016 blt 0,0,640,480,0, 8276,640,480:waittimer 54029 blt 0,0,640,480,0, 8278,640,480:waittimer 54042 blt 0,0,640,480,0, 8280,640,480:waittimer 54055 blt 0,0,640,480,0, 8282,640,480:waittimer 54068 blt 0,0,640,480,0, 8284,640,480:waittimer 54081 blt 0,0,640,480,0, 8286,640,480:waittimer 54094 blt 0,0,640,480,0, 8288,640,480:waittimer 54107 blt 0,0,640,480,0, 8290,640,480:waittimer 54120 blt 0,0,640,480,0, 8292,640,480:waittimer 54133 blt 0,0,640,480,0, 8294,640,480:waittimer 54146 blt 0,0,640,480,0, 8296,640,480:waittimer 54159 blt 0,0,640,480,0, 8298,640,480:waittimer 54172 blt 0,0,640,480,0, 8300,640,480:waittimer 54185 blt 0,0,640,480,0, 8302,640,480:waittimer 54198 blt 0,0,640,480,0, 8304,640,480:waittimer 54211 blt 0,0,640,480,0, 8306,640,480:waittimer 54225 blt 0,0,640,480,0, 8308,640,480:waittimer 54238 blt 0,0,640,480,0, 8310,640,480:waittimer 54251 blt 0,0,640,480,0, 8312,640,480:waittimer 54264 blt 0,0,640,480,0, 8314,640,480:waittimer 54277 blt 0,0,640,480,0, 8316,640,480:waittimer 54290 blt 0,0,640,480,0, 8318,640,480:waittimer 54303 blt 0,0,640,480,0, 8320,640,480:waittimer 54316 blt 0,0,640,480,0, 8322,640,480:waittimer 54329 blt 0,0,640,480,0, 8324,640,480:waittimer 54342 blt 0,0,640,480,0, 8326,640,480:waittimer 54355 blt 0,0,640,480,0, 8328,640,480:waittimer 54368 blt 0,0,640,480,0, 8330,640,480:waittimer 54381 blt 0,0,640,480,0, 8332,640,480:waittimer 54394 blt 0,0,640,480,0, 8334,640,480:waittimer 54407 blt 0,0,640,480,0, 8336,640,480:waittimer 54420 blt 0,0,640,480,0, 8338,640,480:waittimer 54433 blt 0,0,640,480,0, 8340,640,480:waittimer 54447 blt 0,0,640,480,0, 8342,640,480:waittimer 54460 blt 0,0,640,480,0, 8344,640,480:waittimer 54473 blt 0,0,640,480,0, 8346,640,480:waittimer 54486 blt 0,0,640,480,0, 8348,640,480:waittimer 54499 blt 0,0,640,480,0, 8350,640,480:waittimer 54512 blt 0,0,640,480,0, 8352,640,480:waittimer 54525 blt 0,0,640,480,0, 8354,640,480:waittimer 54538 blt 0,0,640,480,0, 8356,640,480:waittimer 54551 blt 0,0,640,480,0, 8358,640,480:waittimer 54564 blt 0,0,640,480,0, 8360,640,480:waittimer 54577 blt 0,0,640,480,0, 8362,640,480:waittimer 54590 blt 0,0,640,480,0, 8364,640,480:waittimer 54603 blt 0,0,640,480,0, 8366,640,480:waittimer 54616 blt 0,0,640,480,0, 8368,640,480:waittimer 54629 blt 0,0,640,480,0, 8370,640,480:waittimer 54642 blt 0,0,640,480,0, 8372,640,480:waittimer 54655 blt 0,0,640,480,0, 8374,640,480:waittimer 54668 blt 0,0,640,480,0, 8376,640,480:waittimer 54682 blt 0,0,640,480,0, 8378,640,480:waittimer 54695 blt 0,0,640,480,0, 8380,640,480:waittimer 54708 blt 0,0,640,480,0, 8382,640,480:waittimer 54721 blt 0,0,640,480,0, 8384,640,480:waittimer 54734 blt 0,0,640,480,0, 8386,640,480:waittimer 54747 blt 0,0,640,480,0, 8388,640,480:waittimer 54760 blt 0,0,640,480,0, 8390,640,480:waittimer 54773 blt 0,0,640,480,0, 8392,640,480:waittimer 54786 blt 0,0,640,480,0, 8394,640,480:waittimer 54799 blt 0,0,640,480,0, 8396,640,480:waittimer 54812 blt 0,0,640,480,0, 8398,640,480:waittimer 54825 blt 0,0,640,480,0, 8400,640,480:waittimer 54838 blt 0,0,640,480,0, 8402,640,480:waittimer 54851 blt 0,0,640,480,0, 8404,640,480:waittimer 54864 blt 0,0,640,480,0, 8406,640,480:waittimer 54877 blt 0,0,640,480,0, 8408,640,480:waittimer 54890 blt 0,0,640,480,0, 8410,640,480:waittimer 54903 blt 0,0,640,480,0, 8412,640,480:waittimer 54917 blt 0,0,640,480,0, 8414,640,480:waittimer 54930 blt 0,0,640,480,0, 8416,640,480:waittimer 54943 blt 0,0,640,480,0, 8418,640,480:waittimer 54956 blt 0,0,640,480,0, 8420,640,480:waittimer 54969 blt 0,0,640,480,0, 8422,640,480:waittimer 54982 blt 0,0,640,480,0, 8424,640,480:waittimer 54995 blt 0,0,640,480,0, 8426,640,480:waittimer 55008 blt 0,0,640,480,0, 8428,640,480:waittimer 55021 blt 0,0,640,480,0, 8430,640,480:waittimer 55034 blt 0,0,640,480,0, 8432,640,480:waittimer 55047 blt 0,0,640,480,0, 8434,640,480:waittimer 55060 blt 0,0,640,480,0, 8436,640,480:waittimer 55073 blt 0,0,640,480,0, 8438,640,480:waittimer 55086 blt 0,0,640,480,0, 8440,640,480:waittimer 55099 blt 0,0,640,480,0, 8442,640,480:waittimer 55112 blt 0,0,640,480,0, 8444,640,480:waittimer 55125 blt 0,0,640,480,0, 8446,640,480:waittimer 55139 blt 0,0,640,480,0, 8448,640,480:waittimer 55152 blt 0,0,640,480,0, 8450,640,480:waittimer 55165 blt 0,0,640,480,0, 8452,640,480:waittimer 55178 blt 0,0,640,480,0, 8454,640,480:waittimer 55191 blt 0,0,640,480,0, 8456,640,480:waittimer 55204 blt 0,0,640,480,0, 8458,640,480:waittimer 55217 blt 0,0,640,480,0, 8460,640,480:waittimer 55230 blt 0,0,640,480,0, 8462,640,480:waittimer 55243 blt 0,0,640,480,0, 8464,640,480:waittimer 55256 blt 0,0,640,480,0, 8466,640,480:waittimer 55269 blt 0,0,640,480,0, 8468,640,480:waittimer 55282 blt 0,0,640,480,0, 8470,640,480:waittimer 55295 blt 0,0,640,480,0, 8472,640,480:waittimer 55308 blt 0,0,640,480,0, 8474,640,480:waittimer 55321 blt 0,0,640,480,0, 8476,640,480:waittimer 55334 blt 0,0,640,480,0, 8478,640,480:waittimer 55347 blt 0,0,640,480,0, 8480,640,480:waittimer 55360 blt 0,0,640,480,0, 8482,640,480:waittimer 55374 blt 0,0,640,480,0, 8484,640,480:waittimer 55387 blt 0,0,640,480,0, 8486,640,480:waittimer 55400 blt 0,0,640,480,0, 8488,640,480:waittimer 55413 blt 0,0,640,480,0, 8490,640,480:waittimer 55426 blt 0,0,640,480,0, 8492,640,480:waittimer 55439 blt 0,0,640,480,0, 8494,640,480:waittimer 55452 blt 0,0,640,480,0, 8496,640,480:waittimer 55465 blt 0,0,640,480,0, 8498,640,480:waittimer 55478 blt 0,0,640,480,0, 8500,640,480:waittimer 55491 blt 0,0,640,480,0, 8502,640,480:waittimer 55504 blt 0,0,640,480,0, 8504,640,480:waittimer 55517 blt 0,0,640,480,0, 8506,640,480:waittimer 55530 blt 0,0,640,480,0, 8508,640,480:waittimer 55543 blt 0,0,640,480,0, 8510,640,480:waittimer 55556 blt 0,0,640,480,0, 8512,640,480:waittimer 55569 blt 0,0,640,480,0, 8514,640,480:waittimer 55582 blt 0,0,640,480,0, 8516,640,480:waittimer 55596 blt 0,0,640,480,0, 8518,640,480:waittimer 55609 blt 0,0,640,480,0, 8520,640,480:waittimer 55622 blt 0,0,640,480,0, 8522,640,480:waittimer 55635 blt 0,0,640,480,0, 8524,640,480:waittimer 55648 blt 0,0,640,480,0, 8526,640,480:waittimer 55661 blt 0,0,640,480,0, 8528,640,480:waittimer 55674 blt 0,0,640,480,0, 8530,640,480:waittimer 55687 blt 0,0,640,480,0, 8532,640,480:waittimer 55700 blt 0,0,640,480,0, 8534,640,480:waittimer 55713 blt 0,0,640,480,0, 8536,640,480:waittimer 55726 blt 0,0,640,480,0, 8538,640,480:waittimer 55739 blt 0,0,640,480,0, 8540,640,480:waittimer 55752 blt 0,0,640,480,0, 8542,640,480:waittimer 55765 blt 0,0,640,480,0, 8544,640,480:waittimer 55778 blt 0,0,640,480,0, 8546,640,480:waittimer 55791 blt 0,0,640,480,0, 8548,640,480:waittimer 55804 blt 0,0,640,480,0, 8550,640,480:waittimer 55817 blt 0,0,640,480,0, 8552,640,480:waittimer 55831 blt 0,0,640,480,0, 8554,640,480:waittimer 55844 blt 0,0,640,480,0, 8556,640,480:waittimer 55857 blt 0,0,640,480,0, 8558,640,480:waittimer 55870 blt 0,0,640,480,0, 8560,640,480:waittimer 55883 blt 0,0,640,480,0, 8562,640,480:waittimer 55896 blt 0,0,640,480,0, 8564,640,480:waittimer 55909 blt 0,0,640,480,0, 8566,640,480:waittimer 55922 blt 0,0,640,480,0, 8568,640,480:waittimer 55935 blt 0,0,640,480,0, 8570,640,480:waittimer 55948 blt 0,0,640,480,0, 8572,640,480:waittimer 55961 blt 0,0,640,480,0, 8574,640,480:waittimer 55974 blt 0,0,640,480,0, 8576,640,480:waittimer 55987 blt 0,0,640,480,0, 8578,640,480:waittimer 56000 blt 0,0,640,480,0, 8580,640,480:waittimer 56013 blt 0,0,640,480,0, 8582,640,480:waittimer 56026 blt 0,0,640,480,0, 8584,640,480:waittimer 56039 blt 0,0,640,480,0, 8586,640,480:waittimer 56052 blt 0,0,640,480,0, 8588,640,480:waittimer 56066 blt 0,0,640,480,0, 8590,640,480:waittimer 56079 blt 0,0,640,480,0, 8592,640,480:waittimer 56092 blt 0,0,640,480,0, 8594,640,480:waittimer 56105 blt 0,0,640,480,0, 8596,640,480:waittimer 56118 blt 0,0,640,480,0, 8598,640,480:waittimer 56131 blt 0,0,640,480,0, 8600,640,480:waittimer 56144 blt 0,0,640,480,0, 8602,640,480:waittimer 56157 blt 0,0,640,480,0, 8604,640,480:waittimer 56170 blt 0,0,640,480,0, 8606,640,480:waittimer 56183 blt 0,0,640,480,0, 8608,640,480:waittimer 56196 blt 0,0,640,480,0, 8610,640,480:waittimer 56209 blt 0,0,640,480,0, 8612,640,480:waittimer 56222 blt 0,0,640,480,0, 8614,640,480:waittimer 56235 blt 0,0,640,480,0, 8616,640,480:waittimer 56248 blt 0,0,640,480,0, 8618,640,480:waittimer 56261 blt 0,0,640,480,0, 8620,640,480:waittimer 56274 blt 0,0,640,480,0, 8622,640,480:waittimer 56288 blt 0,0,640,480,0, 8624,640,480:waittimer 56301 blt 0,0,640,480,0, 8626,640,480:waittimer 56314 blt 0,0,640,480,0, 8628,640,480:waittimer 56327 blt 0,0,640,480,0, 8630,640,480:waittimer 56340 blt 0,0,640,480,0, 8632,640,480:waittimer 56353 blt 0,0,640,480,0, 8634,640,480:waittimer 56366 blt 0,0,640,480,0, 8636,640,480:waittimer 56379 blt 0,0,640,480,0, 8638,640,480:waittimer 56392 blt 0,0,640,480,0, 8640,640,480:waittimer 56405 blt 0,0,640,480,0, 8642,640,480:waittimer 56418 blt 0,0,640,480,0, 8644,640,480:waittimer 56431 blt 0,0,640,480,0, 8646,640,480:waittimer 56444 blt 0,0,640,480,0, 8648,640,480:waittimer 56457 blt 0,0,640,480,0, 8650,640,480:waittimer 56470 blt 0,0,640,480,0, 8652,640,480:waittimer 56483 blt 0,0,640,480,0, 8654,640,480:waittimer 56496 blt 0,0,640,480,0, 8656,640,480:waittimer 56509 blt 0,0,640,480,0, 8658,640,480:waittimer 56523 blt 0,0,640,480,0, 8660,640,480:waittimer 56536 blt 0,0,640,480,0, 8662,640,480:waittimer 56549 blt 0,0,640,480,0, 8664,640,480:waittimer 56562 blt 0,0,640,480,0, 8666,640,480:waittimer 56575 blt 0,0,640,480,0, 8668,640,480:waittimer 56588 blt 0,0,640,480,0, 8670,640,480:waittimer 56601 blt 0,0,640,480,0, 8672,640,480:waittimer 56614 blt 0,0,640,480,0, 8674,640,480:waittimer 56627 blt 0,0,640,480,0, 8676,640,480:waittimer 56640 blt 0,0,640,480,0, 8678,640,480:waittimer 56653 blt 0,0,640,480,0, 8680,640,480:waittimer 56666 blt 0,0,640,480,0, 8682,640,480:waittimer 56679 blt 0,0,640,480,0, 8684,640,480:waittimer 56692 blt 0,0,640,480,0, 8686,640,480:waittimer 56705 blt 0,0,640,480,0, 8688,640,480:waittimer 56718 blt 0,0,640,480,0, 8690,640,480:waittimer 56731 blt 0,0,640,480,0, 8692,640,480:waittimer 56745 blt 0,0,640,480,0, 8694,640,480:waittimer 56758 blt 0,0,640,480,0, 8696,640,480:waittimer 56771 blt 0,0,640,480,0, 8698,640,480:waittimer 56784 blt 0,0,640,480,0, 8700,640,480:waittimer 56797 blt 0,0,640,480,0, 8702,640,480:waittimer 56810 blt 0,0,640,480,0, 8704,640,480:waittimer 56823 blt 0,0,640,480,0, 8706,640,480:waittimer 56836 blt 0,0,640,480,0, 8708,640,480:waittimer 56849 blt 0,0,640,480,0, 8710,640,480:waittimer 56862 blt 0,0,640,480,0, 8712,640,480:waittimer 56875 blt 0,0,640,480,0, 8714,640,480:waittimer 56888 blt 0,0,640,480,0, 8716,640,480:waittimer 56901 blt 0,0,640,480,0, 8718,640,480:waittimer 56914 blt 0,0,640,480,0, 8720,640,480:waittimer 56927 blt 0,0,640,480,0, 8722,640,480:waittimer 56940 blt 0,0,640,480,0, 8724,640,480:waittimer 56953 blt 0,0,640,480,0, 8726,640,480:waittimer 56966 blt 0,0,640,480,0, 8728,640,480:waittimer 56980 blt 0,0,640,480,0, 8730,640,480:waittimer 56993 blt 0,0,640,480,0, 8732,640,480:waittimer 57006 blt 0,0,640,480,0, 8734,640,480:waittimer 57019 blt 0,0,640,480,0, 8736,640,480:waittimer 57032 blt 0,0,640,480,0, 8738,640,480:waittimer 57045 blt 0,0,640,480,0, 8740,640,480:waittimer 57058 blt 0,0,640,480,0, 8742,640,480:waittimer 57071 blt 0,0,640,480,0, 8744,640,480:waittimer 57084 blt 0,0,640,480,0, 8746,640,480:waittimer 57097 blt 0,0,640,480,0, 8748,640,480:waittimer 57110 blt 0,0,640,480,0, 8750,640,480:waittimer 57123 blt 0,0,640,480,0, 8752,640,480:waittimer 57136 blt 0,0,640,480,0, 8754,640,480:waittimer 57149 blt 0,0,640,480,0, 8756,640,480:waittimer 57162 blt 0,0,640,480,0, 8758,640,480:waittimer 57175 blt 0,0,640,480,0, 8760,640,480:waittimer 57188 blt 0,0,640,480,0, 8762,640,480:waittimer 57201 blt 0,0,640,480,0, 8764,640,480:waittimer 57215 blt 0,0,640,480,0, 8766,640,480:waittimer 57228 blt 0,0,640,480,0, 8768,640,480:waittimer 57241 blt 0,0,640,480,0, 8770,640,480:waittimer 57254 blt 0,0,640,480,0, 8772,640,480:waittimer 57267 blt 0,0,640,480,0, 8774,640,480:waittimer 57280 blt 0,0,640,480,0, 8776,640,480:waittimer 57293 blt 0,0,640,480,0, 8778,640,480:waittimer 57306 blt 0,0,640,480,0, 8780,640,480:waittimer 57319 blt 0,0,640,480,0, 8782,640,480:waittimer 57332 blt 0,0,640,480,0, 8784,640,480:waittimer 57345 blt 0,0,640,480,0, 8786,640,480:waittimer 57358 blt 0,0,640,480,0, 8788,640,480:waittimer 57371 blt 0,0,640,480,0, 8790,640,480:waittimer 57384 blt 0,0,640,480,0, 8792,640,480:waittimer 57397 blt 0,0,640,480,0, 8794,640,480:waittimer 57410 blt 0,0,640,480,0, 8796,640,480:waittimer 57423 blt 0,0,640,480,0, 8798,640,480:waittimer 57437 blt 0,0,640,480,0, 8800,640,480:waittimer 57450 blt 0,0,640,480,0, 8802,640,480:waittimer 57463 blt 0,0,640,480,0, 8804,640,480:waittimer 57476 blt 0,0,640,480,0, 8806,640,480:waittimer 57489 blt 0,0,640,480,0, 8808,640,480:waittimer 57502 blt 0,0,640,480,0, 8810,640,480:waittimer 57515 blt 0,0,640,480,0, 8812,640,480:waittimer 57528 blt 0,0,640,480,0, 8814,640,480:waittimer 57541 blt 0,0,640,480,0, 8816,640,480:waittimer 57554 blt 0,0,640,480,0, 8818,640,480:waittimer 57567 blt 0,0,640,480,0, 8820,640,480:waittimer 57580 blt 0,0,640,480,0, 8822,640,480:waittimer 57593 blt 0,0,640,480,0, 8824,640,480:waittimer 57606 blt 0,0,640,480,0, 8826,640,480:waittimer 57619 blt 0,0,640,480,0, 8828,640,480:waittimer 57632 blt 0,0,640,480,0, 8830,640,480:waittimer 57645 blt 0,0,640,480,0, 8832,640,480:waittimer 57658 blt 0,0,640,480,0, 8834,640,480:waittimer 57672 blt 0,0,640,480,0, 8836,640,480:waittimer 57685 blt 0,0,640,480,0, 8838,640,480:waittimer 57698 blt 0,0,640,480,0, 8840,640,480:waittimer 57711 blt 0,0,640,480,0, 8842,640,480:waittimer 57724 blt 0,0,640,480,0, 8844,640,480:waittimer 57737 blt 0,0,640,480,0, 8846,640,480:waittimer 57750 blt 0,0,640,480,0, 8848,640,480:waittimer 57763 blt 0,0,640,480,0, 8850,640,480:waittimer 57776 blt 0,0,640,480,0, 8852,640,480:waittimer 57789 blt 0,0,640,480,0, 8854,640,480:waittimer 57802 blt 0,0,640,480,0, 8856,640,480:waittimer 57815 blt 0,0,640,480,0, 8858,640,480:waittimer 57828 blt 0,0,640,480,0, 8860,640,480:waittimer 57841 blt 0,0,640,480,0, 8862,640,480:waittimer 57854 blt 0,0,640,480,0, 8864,640,480:waittimer 57867 blt 0,0,640,480,0, 8866,640,480:waittimer 57880 blt 0,0,640,480,0, 8868,640,480:waittimer 57894 blt 0,0,640,480,0, 8870,640,480:waittimer 57907 blt 0,0,640,480,0, 8872,640,480:waittimer 57920 blt 0,0,640,480,0, 8874,640,480:waittimer 57933 blt 0,0,640,480,0, 8876,640,480:waittimer 57946 blt 0,0,640,480,0, 8878,640,480:waittimer 57959 blt 0,0,640,480,0, 8880,640,480:waittimer 57972 blt 0,0,640,480,0, 8882,640,480:waittimer 57985 blt 0,0,640,480,0, 8884,640,480:waittimer 57998 blt 0,0,640,480,0, 8886,640,480:waittimer 58011 blt 0,0,640,480,0, 8888,640,480:waittimer 58024 blt 0,0,640,480,0, 8890,640,480:waittimer 58037 blt 0,0,640,480,0, 8892,640,480:waittimer 58050 blt 0,0,640,480,0, 8894,640,480:waittimer 58063 blt 0,0,640,480,0, 8896,640,480:waittimer 58076 blt 0,0,640,480,0, 8898,640,480:waittimer 58089 blt 0,0,640,480,0, 8900,640,480:waittimer 58102 blt 0,0,640,480,0, 8902,640,480:waittimer 58115 blt 0,0,640,480,0, 8904,640,480:waittimer 58129 blt 0,0,640,480,0, 8906,640,480:waittimer 58142 blt 0,0,640,480,0, 8908,640,480:waittimer 58155 blt 0,0,640,480,0, 8910,640,480:waittimer 58168 blt 0,0,640,480,0, 8912,640,480:waittimer 58181 blt 0,0,640,480,0, 8914,640,480:waittimer 58194 blt 0,0,640,480,0, 8916,640,480:waittimer 58207 blt 0,0,640,480,0, 8918,640,480:waittimer 58220 blt 0,0,640,480,0, 8920,640,480:waittimer 58233 blt 0,0,640,480,0, 8922,640,480:waittimer 58246 blt 0,0,640,480,0, 8924,640,480:waittimer 58259 blt 0,0,640,480,0, 8926,640,480:waittimer 58272 blt 0,0,640,480,0, 8928,640,480:waittimer 58285 blt 0,0,640,480,0, 8930,640,480:waittimer 58298 blt 0,0,640,480,0, 8932,640,480:waittimer 58311 blt 0,0,640,480,0, 8934,640,480:waittimer 58324 blt 0,0,640,480,0, 8936,640,480:waittimer 58337 blt 0,0,640,480,0, 8938,640,480:waittimer 58350 blt 0,0,640,480,0, 8940,640,480:waittimer 58364 blt 0,0,640,480,0, 8942,640,480:waittimer 58377 blt 0,0,640,480,0, 8944,640,480:waittimer 58390 blt 0,0,640,480,0, 8946,640,480:waittimer 58403 blt 0,0,640,480,0, 8948,640,480:waittimer 58416 blt 0,0,640,480,0, 8950,640,480:waittimer 58429 blt 0,0,640,480,0, 8952,640,480:waittimer 58442 blt 0,0,640,480,0, 8954,640,480:waittimer 58455 blt 0,0,640,480,0, 8956,640,480:waittimer 58468 blt 0,0,640,480,0, 8958,640,480:waittimer 58481 blt 0,0,640,480,0, 8960,640,480:waittimer 58494 blt 0,0,640,480,0, 8962,640,480:waittimer 58507 blt 0,0,640,480,0, 8964,640,480:waittimer 58520 blt 0,0,640,480,0, 8966,640,480:waittimer 58533 blt 0,0,640,480,0, 8968,640,480:waittimer 58546 blt 0,0,640,480,0, 8970,640,480:waittimer 58559 blt 0,0,640,480,0, 8972,640,480:waittimer 58572 blt 0,0,640,480,0, 8974,640,480:waittimer 58586 blt 0,0,640,480,0, 8976,640,480:waittimer 58599 blt 0,0,640,480,0, 8978,640,480:waittimer 58612 blt 0,0,640,480,0, 8980,640,480:waittimer 58625 blt 0,0,640,480,0, 8982,640,480:waittimer 58638 blt 0,0,640,480,0, 8984,640,480:waittimer 58651 blt 0,0,640,480,0, 8986,640,480:waittimer 58664 blt 0,0,640,480,0, 8988,640,480:waittimer 58677 blt 0,0,640,480,0, 8990,640,480:waittimer 58690 blt 0,0,640,480,0, 8992,640,480:waittimer 58703 blt 0,0,640,480,0, 8994,640,480:waittimer 58716 blt 0,0,640,480,0, 8996,640,480:waittimer 58729 blt 0,0,640,480,0, 8998,640,480:waittimer 58742 blt 0,0,640,480,0, 9000,640,480:waittimer 58755 blt 0,0,640,480,0, 9002,640,480:waittimer 58768 blt 0,0,640,480,0, 9004,640,480:waittimer 58781 blt 0,0,640,480,0, 9006,640,480:waittimer 58794 blt 0,0,640,480,0, 9008,640,480:waittimer 58807 blt 0,0,640,480,0, 9010,640,480:waittimer 58821 blt 0,0,640,480,0, 9012,640,480:waittimer 58834 blt 0,0,640,480,0, 9014,640,480:waittimer 58847 blt 0,0,640,480,0, 9016,640,480:waittimer 58860 blt 0,0,640,480,0, 9018,640,480:waittimer 58873 blt 0,0,640,480,0, 9020,640,480:waittimer 58886 blt 0,0,640,480,0, 9022,640,480:waittimer 58899 blt 0,0,640,480,0, 9024,640,480:waittimer 58912 blt 0,0,640,480,0, 9026,640,480:waittimer 58925 blt 0,0,640,480,0, 9028,640,480:waittimer 58938 blt 0,0,640,480,0, 9030,640,480:waittimer 58951 blt 0,0,640,480,0, 9032,640,480:waittimer 58964 blt 0,0,640,480,0, 9034,640,480:waittimer 58977 blt 0,0,640,480,0, 9036,640,480:waittimer 58990 blt 0,0,640,480,0, 9038,640,480:waittimer 59003 blt 0,0,640,480,0, 9040,640,480:waittimer 59016 blt 0,0,640,480,0, 9042,640,480:waittimer 59029 blt 0,0,640,480,0, 9044,640,480:waittimer 59043 blt 0,0,640,480,0, 9046,640,480:waittimer 59056 blt 0,0,640,480,0, 9048,640,480:waittimer 59069 blt 0,0,640,480,0, 9050,640,480:waittimer 59082 blt 0,0,640,480,0, 9052,640,480:waittimer 59095 blt 0,0,640,480,0, 9054,640,480:waittimer 59108 blt 0,0,640,480,0, 9056,640,480:waittimer 59121 blt 0,0,640,480,0, 9058,640,480:waittimer 59134 blt 0,0,640,480,0, 9060,640,480:waittimer 59147 blt 0,0,640,480,0, 9062,640,480:waittimer 59160 blt 0,0,640,480,0, 9064,640,480:waittimer 59173 blt 0,0,640,480,0, 9066,640,480:waittimer 59186 blt 0,0,640,480,0, 9068,640,480:waittimer 59199 blt 0,0,640,480,0, 9070,640,480:waittimer 59212 blt 0,0,640,480,0, 9072,640,480:waittimer 59225 blt 0,0,640,480,0, 9074,640,480:waittimer 59238 blt 0,0,640,480,0, 9076,640,480:waittimer 59251 blt 0,0,640,480,0, 9078,640,480:waittimer 59264 blt 0,0,640,480,0, 9080,640,480:waittimer 59278 blt 0,0,640,480,0, 9082,640,480:waittimer 59291 blt 0,0,640,480,0, 9084,640,480:waittimer 59304 blt 0,0,640,480,0, 9086,640,480:waittimer 59317 blt 0,0,640,480,0, 9088,640,480:waittimer 59330 blt 0,0,640,480,0, 9090,640,480:waittimer 59343 blt 0,0,640,480,0, 9092,640,480:waittimer 59356 blt 0,0,640,480,0, 9094,640,480:waittimer 59369 blt 0,0,640,480,0, 9096,640,480:waittimer 59382 blt 0,0,640,480,0, 9098,640,480:waittimer 59395 blt 0,0,640,480,0, 9100,640,480:waittimer 59408 blt 0,0,640,480,0, 9102,640,480:waittimer 59421 blt 0,0,640,480,0, 9104,640,480:waittimer 59434 blt 0,0,640,480,0, 9106,640,480:waittimer 59447 blt 0,0,640,480,0, 9108,640,480:waittimer 59460 blt 0,0,640,480,0, 9110,640,480:waittimer 59473 blt 0,0,640,480,0, 9112,640,480:waittimer 59486 blt 0,0,640,480,0, 9114,640,480:waittimer 59499 blt 0,0,640,480,0, 9116,640,480:waittimer 59513 blt 0,0,640,480,0, 9118,640,480:waittimer 59526 blt 0,0,640,480,0, 9120,640,480:waittimer 59539 blt 0,0,640,480,0, 9122,640,480:waittimer 59552 blt 0,0,640,480,0, 9124,640,480:waittimer 59565 blt 0,0,640,480,0, 9126,640,480:waittimer 59578 blt 0,0,640,480,0, 9128,640,480:waittimer 59591 blt 0,0,640,480,0, 9130,640,480:waittimer 59604 blt 0,0,640,480,0, 9132,640,480:waittimer 59617 blt 0,0,640,480,0, 9134,640,480:waittimer 59630 blt 0,0,640,480,0, 9136,640,480:waittimer 59643 blt 0,0,640,480,0, 9138,640,480:waittimer 59656 blt 0,0,640,480,0, 9140,640,480:waittimer 59669 blt 0,0,640,480,0, 9142,640,480:waittimer 59682 blt 0,0,640,480,0, 9144,640,480:waittimer 59695 blt 0,0,640,480,0, 9146,640,480:waittimer 59708 blt 0,0,640,480,0, 9148,640,480:waittimer 59721 blt 0,0,640,480,0, 9150,640,480:waittimer 59735 blt 0,0,640,480,0, 9152,640,480:waittimer 59748 blt 0,0,640,480,0, 9154,640,480:waittimer 59761 blt 0,0,640,480,0, 9156,640,480:waittimer 59774 blt 0,0,640,480,0, 9158,640,480:waittimer 59787 blt 0,0,640,480,0, 9160,640,480:waittimer 59800 blt 0,0,640,480,0, 9162,640,480:waittimer 59813 blt 0,0,640,480,0, 9164,640,480:waittimer 59826 blt 0,0,640,480,0, 9166,640,480:waittimer 59839 blt 0,0,640,480,0, 9168,640,480:waittimer 59852 blt 0,0,640,480,0, 9170,640,480:waittimer 59865 blt 0,0,640,480,0, 9172,640,480:waittimer 59878 blt 0,0,640,480,0, 9174,640,480:waittimer 59891 blt 0,0,640,480,0, 9176,640,480:waittimer 59904 blt 0,0,640,480,0, 9178,640,480:waittimer 59917 blt 0,0,640,480,0, 9180,640,480:waittimer 59930 blt 0,0,640,480,0, 9182,640,480:waittimer 59943 blt 0,0,640,480,0, 9184,640,480:waittimer 59956 blt 0,0,640,480,0, 9186,640,480:waittimer 59970 blt 0,0,640,480,0, 9188,640,480:waittimer 59983 blt 0,0,640,480,0, 9190,640,480:waittimer 59996 blt 0,0,640,480,0, 9192,640,480:waittimer 60009 blt 0,0,640,480,0, 9194,640,480:waittimer 60022 blt 0,0,640,480,0, 9196,640,480:waittimer 60035 blt 0,0,640,480,0, 9198,640,480:waittimer 60048 blt 0,0,640,480,0, 9200,640,480:waittimer 60061 blt 0,0,640,480,0, 9202,640,480:waittimer 60074 blt 0,0,640,480,0, 9204,640,480:waittimer 60087 blt 0,0,640,480,0, 9206,640,480:waittimer 60100 blt 0,0,640,480,0, 9208,640,480:waittimer 60113 blt 0,0,640,480,0, 9210,640,480:waittimer 60126 blt 0,0,640,480,0, 9212,640,480:waittimer 60139 blt 0,0,640,480,0, 9214,640,480:waittimer 60152 blt 0,0,640,480,0, 9216,640,480:waittimer 60165 blt 0,0,640,480,0, 9218,640,480:waittimer 60178 blt 0,0,640,480,0, 9220,640,480:waittimer 60192 blt 0,0,640,480,0, 9222,640,480:waittimer 60205 blt 0,0,640,480,0, 9224,640,480:waittimer 60218 blt 0,0,640,480,0, 9226,640,480:waittimer 60231 blt 0,0,640,480,0, 9228,640,480:waittimer 60244 blt 0,0,640,480,0, 9230,640,480:waittimer 60257 blt 0,0,640,480,0, 9232,640,480:waittimer 60270 blt 0,0,640,480,0, 9234,640,480:waittimer 60283 blt 0,0,640,480,0, 9236,640,480:waittimer 60296 blt 0,0,640,480,0, 9238,640,480:waittimer 60309 blt 0,0,640,480,0, 9240,640,480:waittimer 60322 blt 0,0,640,480,0, 9242,640,480:waittimer 60335 blt 0,0,640,480,0, 9244,640,480:waittimer 60348 blt 0,0,640,480,0, 9246,640,480:waittimer 60361 blt 0,0,640,480,0, 9248,640,480:waittimer 60374 blt 0,0,640,480,0, 9250,640,480:waittimer 60387 blt 0,0,640,480,0, 9252,640,480:waittimer 60400 blt 0,0,640,480,0, 9254,640,480:waittimer 60413 blt 0,0,640,480,0, 9256,640,480:waittimer 60427 blt 0,0,640,480,0, 9258,640,480:waittimer 60440 blt 0,0,640,480,0, 9260,640,480:waittimer 60453 blt 0,0,640,480,0, 9262,640,480:waittimer 60466 blt 0,0,640,480,0, 9264,640,480:waittimer 60479 blt 0,0,640,480,0, 9266,640,480:waittimer 60492 blt 0,0,640,480,0, 9268,640,480:waittimer 60505 blt 0,0,640,480,0, 9270,640,480:waittimer 60518 blt 0,0,640,480,0, 9272,640,480:waittimer 60531 blt 0,0,640,480,0, 9274,640,480:waittimer 60544 blt 0,0,640,480,0, 9276,640,480:waittimer 60557 blt 0,0,640,480,0, 9278,640,480:waittimer 60570 blt 0,0,640,480,0, 9280,640,480:waittimer 60583 blt 0,0,640,480,0, 9282,640,480:waittimer 60596 blt 0,0,640,480,0, 9284,640,480:waittimer 60609 blt 0,0,640,480,0, 9286,640,480:waittimer 60622 blt 0,0,640,480,0, 9288,640,480:waittimer 60635 blt 0,0,640,480,0, 9290,640,480:waittimer 60648 blt 0,0,640,480,0, 9292,640,480:waittimer 60662 blt 0,0,640,480,0, 9294,640,480:waittimer 60675 blt 0,0,640,480,0, 9296,640,480:waittimer 60688 blt 0,0,640,480,0, 9298,640,480:waittimer 60701 blt 0,0,640,480,0, 9300,640,480:waittimer 60714 blt 0,0,640,480,0, 9302,640,480:waittimer 60727 blt 0,0,640,480,0, 9304,640,480:waittimer 60740 blt 0,0,640,480,0, 9306,640,480:waittimer 60753 blt 0,0,640,480,0, 9308,640,480:waittimer 60766 blt 0,0,640,480,0, 9310,640,480:waittimer 60779 blt 0,0,640,480,0, 9312,640,480:waittimer 60792 blt 0,0,640,480,0, 9314,640,480:waittimer 60805 blt 0,0,640,480,0, 9316,640,480:waittimer 60818 blt 0,0,640,480,0, 9318,640,480:waittimer 60831 blt 0,0,640,480,0, 9320,640,480:waittimer 60844 blt 0,0,640,480,0, 9322,640,480:waittimer 60857 blt 0,0,640,480,0, 9324,640,480:waittimer 60870 blt 0,0,640,480,0, 9326,640,480:waittimer 60884 blt 0,0,640,480,0, 9328,640,480:waittimer 60897 blt 0,0,640,480,0, 9330,640,480:waittimer 60910 blt 0,0,640,480,0, 9332,640,480:waittimer 60923 blt 0,0,640,480,0, 9334,640,480:waittimer 60936 blt 0,0,640,480,0, 9336,640,480:waittimer 60949 blt 0,0,640,480,0, 9338,640,480:waittimer 60962 blt 0,0,640,480,0, 9340,640,480:waittimer 60975 blt 0,0,640,480,0, 9342,640,480:waittimer 60988 blt 0,0,640,480,0, 9344,640,480:waittimer 61001 blt 0,0,640,480,0, 9346,640,480:waittimer 61014 blt 0,0,640,480,0, 9348,640,480:waittimer 61027 blt 0,0,640,480,0, 9350,640,480:waittimer 61040 blt 0,0,640,480,0, 9352,640,480:waittimer 61053 blt 0,0,640,480,0, 9354,640,480:waittimer 61066 blt 0,0,640,480,0, 9356,640,480:waittimer 61079 blt 0,0,640,480,0, 9358,640,480:waittimer 61092 blt 0,0,640,480,0, 9360,640,480:waittimer 61105 blt 0,0,640,480,0, 9362,640,480:waittimer 61119 blt 0,0,640,480,0, 9364,640,480:waittimer 61132 blt 0,0,640,480,0, 9366,640,480:waittimer 61145 blt 0,0,640,480,0, 9368,640,480:waittimer 61158 blt 0,0,640,480,0, 9370,640,480:waittimer 61171 blt 0,0,640,480,0, 9372,640,480:waittimer 61184 blt 0,0,640,480,0, 9374,640,480:waittimer 61197 blt 0,0,640,480,0, 9376,640,480:waittimer 61210 blt 0,0,640,480,0, 9378,640,480:waittimer 61223 blt 0,0,640,480,0, 9380,640,480:waittimer 61236 blt 0,0,640,480,0, 9382,640,480:waittimer 61249 blt 0,0,640,480,0, 9384,640,480:waittimer 61262 blt 0,0,640,480,0, 9386,640,480:waittimer 61275 blt 0,0,640,480,0, 9388,640,480:waittimer 61288 blt 0,0,640,480,0, 9390,640,480:waittimer 61301 blt 0,0,640,480,0, 9392,640,480:waittimer 61314 blt 0,0,640,480,0, 9394,640,480:waittimer 61327 blt 0,0,640,480,0, 9396,640,480:waittimer 61341 blt 0,0,640,480,0, 9398,640,480:waittimer 61354 blt 0,0,640,480,0, 9400,640,480:waittimer 61367 blt 0,0,640,480,0, 9402,640,480:waittimer 61380 blt 0,0,640,480,0, 9404,640,480:waittimer 61393 blt 0,0,640,480,0, 9406,640,480:waittimer 61406 blt 0,0,640,480,0, 9408,640,480:waittimer 61419 blt 0,0,640,480,0, 9410,640,480:waittimer 61432 blt 0,0,640,480,0, 9412,640,480:waittimer 61445 blt 0,0,640,480,0, 9414,640,480:waittimer 61458 blt 0,0,640,480,0, 9416,640,480:waittimer 61471 blt 0,0,640,480,0, 9418,640,480:waittimer 61484 blt 0,0,640,480,0, 9420,640,480:waittimer 61497 blt 0,0,640,480,0, 9422,640,480:waittimer 61510 blt 0,0,640,480,0, 9424,640,480:waittimer 61523 blt 0,0,640,480,0, 9426,640,480:waittimer 61536 blt 0,0,640,480,0, 9428,640,480:waittimer 61549 blt 0,0,640,480,0, 9430,640,480:waittimer 61562 blt 0,0,640,480,0, 9432,640,480:waittimer 61576 blt 0,0,640,480,0, 9434,640,480:waittimer 61589 blt 0,0,640,480,0, 9436,640,480:waittimer 61602 blt 0,0,640,480,0, 9438,640,480:waittimer 61615 blt 0,0,640,480,0, 9440,640,480:waittimer 61628 blt 0,0,640,480,0, 9442,640,480:waittimer 61641 blt 0,0,640,480,0, 9444,640,480:waittimer 61654 blt 0,0,640,480,0, 9446,640,480:waittimer 61667 blt 0,0,640,480,0, 9448,640,480:waittimer 61680 blt 0,0,640,480,0, 9450,640,480:waittimer 61693 blt 0,0,640,480,0, 9452,640,480:waittimer 61706 blt 0,0,640,480,0, 9454,640,480:waittimer 61719 blt 0,0,640,480,0, 9456,640,480:waittimer 61732 blt 0,0,640,480,0, 9458,640,480:waittimer 61745 blt 0,0,640,480,0, 9460,640,480:waittimer 61758 blt 0,0,640,480,0, 9462,640,480:waittimer 61771 blt 0,0,640,480,0, 9464,640,480:waittimer 61784 blt 0,0,640,480,0, 9466,640,480:waittimer 61798 blt 0,0,640,480,0, 9468,640,480:waittimer 61811 blt 0,0,640,480,0, 9470,640,480:waittimer 61824 blt 0,0,640,480,0, 9472,640,480:waittimer 61837 blt 0,0,640,480,0, 9474,640,480:waittimer 61850 blt 0,0,640,480,0, 9476,640,480:waittimer 61863 blt 0,0,640,480,0, 9478,640,480:waittimer 61876 blt 0,0,640,480,0, 9480,640,480:waittimer 61889 blt 0,0,640,480,0, 9482,640,480:waittimer 61902 blt 0,0,640,480,0, 9484,640,480:waittimer 61915 blt 0,0,640,480,0, 9486,640,480:waittimer 61928 blt 0,0,640,480,0, 9488,640,480:waittimer 61941 blt 0,0,640,480,0, 9490,640,480:waittimer 61954 blt 0,0,640,480,0, 9492,640,480:waittimer 61967 blt 0,0,640,480,0, 9494,640,480:waittimer 61980 blt 0,0,640,480,0, 9496,640,480:waittimer 61993 blt 0,0,640,480,0, 9498,640,480:waittimer 62006 blt 0,0,640,480,0, 9500,640,480:waittimer 62019 blt 0,0,640,480,0, 9502,640,480:waittimer 62033 blt 0,0,640,480,0, 9504,640,480:waittimer 62046 blt 0,0,640,480,0, 9506,640,480:waittimer 62059 blt 0,0,640,480,0, 9508,640,480:waittimer 62072 blt 0,0,640,480,0, 9510,640,480:waittimer 62085 blt 0,0,640,480,0, 9512,640,480:waittimer 62098 blt 0,0,640,480,0, 9514,640,480:waittimer 62111 blt 0,0,640,480,0, 9516,640,480:waittimer 62124 blt 0,0,640,480,0, 9518,640,480:waittimer 62137 blt 0,0,640,480,0, 9520,640,480:waittimer 62150 blt 0,0,640,480,0, 9522,640,480:waittimer 62163 blt 0,0,640,480,0, 9524,640,480:waittimer 62176 blt 0,0,640,480,0, 9526,640,480:waittimer 62189 blt 0,0,640,480,0, 9528,640,480:waittimer 62202 blt 0,0,640,480,0, 9530,640,480:waittimer 62215 blt 0,0,640,480,0, 9532,640,480:waittimer 62228 blt 0,0,640,480,0, 9534,640,480:waittimer 62241 blt 0,0,640,480,0, 9536,640,480:waittimer 62254 blt 0,0,640,480,0, 9538,640,480:waittimer 62268 blt 0,0,640,480,0, 9540,640,480:waittimer 62281 blt 0,0,640,480,0, 9542,640,480:waittimer 62294 blt 0,0,640,480,0, 9544,640,480:waittimer 62307 blt 0,0,640,480,0, 9546,640,480:waittimer 62320 blt 0,0,640,480,0, 9548,640,480:waittimer 62333 blt 0,0,640,480,0, 9550,640,480:waittimer 62346 blt 0,0,640,480,0, 9552,640,480:waittimer 62359 blt 0,0,640,480,0, 9554,640,480:waittimer 62372 blt 0,0,640,480,0, 9556,640,480:waittimer 62385 blt 0,0,640,480,0, 9558,640,480:waittimer 62398 blt 0,0,640,480,0, 9560,640,480:waittimer 62411 blt 0,0,640,480,0, 9562,640,480:waittimer 62424 blt 0,0,640,480,0, 9564,640,480:waittimer 62437 blt 0,0,640,480,0, 9566,640,480:waittimer 62450 blt 0,0,640,480,0, 9568,640,480:waittimer 62463 blt 0,0,640,480,0, 9570,640,480:waittimer 62476 blt 0,0,640,480,0, 9572,640,480:waittimer 62490 blt 0,0,640,480,0, 9574,640,480:waittimer 62503 blt 0,0,640,480,0, 9576,640,480:waittimer 62516 blt 0,0,640,480,0, 9578,640,480:waittimer 62529 blt 0,0,640,480,0, 9580,640,480:waittimer 62542 blt 0,0,640,480,0, 9582,640,480:waittimer 62555 blt 0,0,640,480,0, 9584,640,480:waittimer 62568 blt 0,0,640,480,0, 9586,640,480:waittimer 62581 blt 0,0,640,480,0, 9588,640,480:waittimer 62594 blt 0,0,640,480,0, 9590,640,480:waittimer 62607 blt 0,0,640,480,0, 9592,640,480:waittimer 62620 blt 0,0,640,480,0, 9594,640,480:waittimer 62633 blt 0,0,640,480,0, 9596,640,480:waittimer 62646 blt 0,0,640,480,0, 9598,640,480:waittimer 62659 blt 0,0,640,480,0, 9600,640,480:waittimer 62672 blt 0,0,640,480,0, 9602,640,480:waittimer 62685 blt 0,0,640,480,0, 9604,640,480:waittimer 62698 blt 0,0,640,480,0, 9606,640,480:waittimer 62711 blt 0,0,640,480,0, 9608,640,480:waittimer 62725 blt 0,0,640,480,0, 9610,640,480:waittimer 62738 blt 0,0,640,480,0, 9612,640,480:waittimer 62751 blt 0,0,640,480,0, 9614,640,480:waittimer 62764 blt 0,0,640,480,0, 9616,640,480:waittimer 62777 blt 0,0,640,480,0, 9618,640,480:waittimer 62790 blt 0,0,640,480,0, 9620,640,480:waittimer 62803 blt 0,0,640,480,0, 9622,640,480:waittimer 62816 blt 0,0,640,480,0, 9624,640,480:waittimer 62829 blt 0,0,640,480,0, 9626,640,480:waittimer 62842 blt 0,0,640,480,0, 9628,640,480:waittimer 62855 blt 0,0,640,480,0, 9630,640,480:waittimer 62868 blt 0,0,640,480,0, 9632,640,480:waittimer 62881 blt 0,0,640,480,0, 9634,640,480:waittimer 62894 blt 0,0,640,480,0, 9636,640,480:waittimer 62907 blt 0,0,640,480,0, 9638,640,480:waittimer 62920 blt 0,0,640,480,0, 9640,640,480:waittimer 62933 blt 0,0,640,480,0, 9642,640,480:waittimer 62947 blt 0,0,640,480,0, 9644,640,480:waittimer 62960 blt 0,0,640,480,0, 9646,640,480:waittimer 62973 blt 0,0,640,480,0, 9648,640,480:waittimer 62986 blt 0,0,640,480,0, 9650,640,480:waittimer 62999 blt 0,0,640,480,0, 9652,640,480:waittimer 63012 blt 0,0,640,480,0, 9654,640,480:waittimer 63025 blt 0,0,640,480,0, 9656,640,480:waittimer 63038 blt 0,0,640,480,0, 9658,640,480:waittimer 63051 blt 0,0,640,480,0, 9660,640,480:waittimer 63064 blt 0,0,640,480,0, 9662,640,480:waittimer 63077 blt 0,0,640,480,0, 9664,640,480:waittimer 63090 blt 0,0,640,480,0, 9666,640,480:waittimer 63103 blt 0,0,640,480,0, 9668,640,480:waittimer 63116 blt 0,0,640,480,0, 9670,640,480:waittimer 63129 blt 0,0,640,480,0, 9672,640,480:waittimer 63142 blt 0,0,640,480,0, 9674,640,480:waittimer 63155 blt 0,0,640,480,0, 9676,640,480:waittimer 63168 blt 0,0,640,480,0, 9678,640,480:waittimer 63182 blt 0,0,640,480,0, 9680,640,480:waittimer 63195 blt 0,0,640,480,0, 9682,640,480:waittimer 63208 blt 0,0,640,480,0, 9684,640,480:waittimer 63221 blt 0,0,640,480,0, 9686,640,480:waittimer 63234 blt 0,0,640,480,0, 9688,640,480:waittimer 63247 blt 0,0,640,480,0, 9690,640,480:waittimer 63260 blt 0,0,640,480,0, 9692,640,480:waittimer 63273 blt 0,0,640,480,0, 9694,640,480:waittimer 63286 blt 0,0,640,480,0, 9696,640,480:waittimer 63299 blt 0,0,640,480,0, 9698,640,480:waittimer 63312 blt 0,0,640,480,0, 9700,640,480:waittimer 63325 blt 0,0,640,480,0, 9702,640,480:waittimer 63338 blt 0,0,640,480,0, 9704,640,480:waittimer 63351 blt 0,0,640,480,0, 9706,640,480:waittimer 63364 blt 0,0,640,480,0, 9708,640,480:waittimer 63377 blt 0,0,640,480,0, 9710,640,480:waittimer 63390 blt 0,0,640,480,0, 9712,640,480:waittimer 63403 blt 0,0,640,480,0, 9714,640,480:waittimer 63417 blt 0,0,640,480,0, 9716,640,480:waittimer 63430 blt 0,0,640,480,0, 9718,640,480:waittimer 63443 blt 0,0,640,480,0, 9720,640,480:waittimer 63456 blt 0,0,640,480,0, 9722,640,480:waittimer 63469 blt 0,0,640,480,0, 9724,640,480:waittimer 63482 blt 0,0,640,480,0, 9726,640,480:waittimer 63495 blt 0,0,640,480,0, 9728,640,480:waittimer 63508 blt 0,0,640,480,0, 9730,640,480:waittimer 63521 blt 0,0,640,480,0, 9732,640,480:waittimer 63534 blt 0,0,640,480,0, 9734,640,480:waittimer 63547 blt 0,0,640,480,0, 9736,640,480:waittimer 63560 blt 0,0,640,480,0, 9738,640,480:waittimer 63573 blt 0,0,640,480,0, 9740,640,480:waittimer 63586 blt 0,0,640,480,0, 9742,640,480:waittimer 63599 blt 0,0,640,480,0, 9744,640,480:waittimer 63612 blt 0,0,640,480,0, 9746,640,480:waittimer 63625 blt 0,0,640,480,0, 9748,640,480:waittimer 63639 blt 0,0,640,480,0, 9750,640,480:waittimer 63652 blt 0,0,640,480,0, 9752,640,480:waittimer 63665 blt 0,0,640,480,0, 9754,640,480:waittimer 63678 blt 0,0,640,480,0, 9756,640,480:waittimer 63691 blt 0,0,640,480,0, 9758,640,480:waittimer 63704 blt 0,0,640,480,0, 9760,640,480:waittimer 63717 blt 0,0,640,480,0, 9762,640,480:waittimer 63730 blt 0,0,640,480,0, 9764,640,480:waittimer 63743 blt 0,0,640,480,0, 9766,640,480:waittimer 63756 blt 0,0,640,480,0, 9768,640,480:waittimer 63769 blt 0,0,640,480,0, 9770,640,480:waittimer 63782 blt 0,0,640,480,0, 9772,640,480:waittimer 63795 blt 0,0,640,480,0, 9774,640,480:waittimer 63808 blt 0,0,640,480,0, 9776,640,480:waittimer 63821 blt 0,0,640,480,0, 9778,640,480:waittimer 63834 blt 0,0,640,480,0, 9780,640,480:waittimer 63847 blt 0,0,640,480,0, 9782,640,480:waittimer 63860 blt 0,0,640,480,0, 9784,640,480:waittimer 63874 blt 0,0,640,480,0, 9786,640,480:waittimer 63887 blt 0,0,640,480,0, 9788,640,480:waittimer 63900 blt 0,0,640,480,0, 9790,640,480:waittimer 63913 blt 0,0,640,480,0, 9792,640,480:waittimer 63926 blt 0,0,640,480,0, 9794,640,480:waittimer 63939 blt 0,0,640,480,0, 9796,640,480:waittimer 63952 blt 0,0,640,480,0, 9798,640,480:waittimer 63965 blt 0,0,640,480,0, 9800,640,480:waittimer 63978 blt 0,0,640,480,0, 9802,640,480:waittimer 63991 blt 0,0,640,480,0, 9804,640,480:waittimer 64004 blt 0,0,640,480,0, 9806,640,480:waittimer 64017 blt 0,0,640,480,0, 9808,640,480:waittimer 64030 blt 0,0,640,480,0, 9810,640,480:waittimer 64043 blt 0,0,640,480,0, 9812,640,480:waittimer 64056 blt 0,0,640,480,0, 9814,640,480:waittimer 64069 blt 0,0,640,480,0, 9816,640,480:waittimer 64082 blt 0,0,640,480,0, 9818,640,480:waittimer 64096 blt 0,0,640,480,0, 9820,640,480:waittimer 64109 blt 0,0,640,480,0, 9822,640,480:waittimer 64122 blt 0,0,640,480,0, 9824,640,480:waittimer 64135 blt 0,0,640,480,0, 9826,640,480:waittimer 64148 blt 0,0,640,480,0, 9828,640,480:waittimer 64161 blt 0,0,640,480,0, 9830,640,480:waittimer 64174 blt 0,0,640,480,0, 9832,640,480:waittimer 64187 blt 0,0,640,480,0, 9834,640,480:waittimer 64200 blt 0,0,640,480,0, 9836,640,480:waittimer 64213 blt 0,0,640,480,0, 9838,640,480:waittimer 64226 blt 0,0,640,480,0, 9840,640,480:waittimer 64239 blt 0,0,640,480,0, 9842,640,480:waittimer 64252 blt 0,0,640,480,0, 9844,640,480:waittimer 64265 blt 0,0,640,480,0, 9846,640,480:waittimer 64278 blt 0,0,640,480,0, 9848,640,480:waittimer 64291 blt 0,0,640,480,0, 9850,640,480:waittimer 64304 blt 0,0,640,480,0, 9852,640,480:waittimer 64317 blt 0,0,640,480,0, 9854,640,480:waittimer 64331 blt 0,0,640,480,0, 9856,640,480:waittimer 64344 blt 0,0,640,480,0, 9858,640,480:waittimer 64357 blt 0,0,640,480,0, 9860,640,480:waittimer 64370 blt 0,0,640,480,0, 9862,640,480:waittimer 64383 blt 0,0,640,480,0, 9864,640,480:waittimer 64396 blt 0,0,640,480,0, 9866,640,480:waittimer 64409 blt 0,0,640,480,0, 9868,640,480:waittimer 64422 blt 0,0,640,480,0, 9870,640,480:waittimer 64435 blt 0,0,640,480,0, 9872,640,480:waittimer 64448 blt 0,0,640,480,0, 9874,640,480:waittimer 64461 blt 0,0,640,480,0, 9876,640,480:waittimer 64474 blt 0,0,640,480,0, 9878,640,480:waittimer 64487 blt 0,0,640,480,0, 9880,640,480:waittimer 64500 blt 0,0,640,480,0, 9882,640,480:waittimer 64513 blt 0,0,640,480,0, 9884,640,480:waittimer 64526 blt 0,0,640,480,0, 9886,640,480:waittimer 64539 blt 0,0,640,480,0, 9888,640,480:waittimer 64552 blt 0,0,640,480,0, 9890,640,480:waittimer 64566 blt 0,0,640,480,0, 9892,640,480:waittimer 64579 blt 0,0,640,480,0, 9894,640,480:waittimer 64592 blt 0,0,640,480,0, 9896,640,480:waittimer 64605 blt 0,0,640,480,0, 9898,640,480:waittimer 64618 blt 0,0,640,480,0, 9900,640,480:waittimer 64631 blt 0,0,640,480,0, 9902,640,480:waittimer 64644 blt 0,0,640,480,0, 9904,640,480:waittimer 64657 blt 0,0,640,480,0, 9906,640,480:waittimer 64670 blt 0,0,640,480,0, 9908,640,480:waittimer 64683 blt 0,0,640,480,0, 9910,640,480:waittimer 64696 blt 0,0,640,480,0, 9912,640,480:waittimer 64709 blt 0,0,640,480,0, 9914,640,480:waittimer 64722 blt 0,0,640,480,0, 9916,640,480:waittimer 64735 blt 0,0,640,480,0, 9918,640,480:waittimer 64748 blt 0,0,640,480,0, 9920,640,480:waittimer 64761 blt 0,0,640,480,0, 9922,640,480:waittimer 64774 blt 0,0,640,480,0, 9924,640,480:waittimer 64788 blt 0,0,640,480,0, 9926,640,480:waittimer 64801 blt 0,0,640,480,0, 9928,640,480:waittimer 64814 blt 0,0,640,480,0, 9930,640,480:waittimer 64827 blt 0,0,640,480,0, 9932,640,480:waittimer 64840 blt 0,0,640,480,0, 9934,640,480:waittimer 64853 blt 0,0,640,480,0, 9936,640,480:waittimer 64866 blt 0,0,640,480,0, 9938,640,480:waittimer 64879 blt 0,0,640,480,0, 9940,640,480:waittimer 64892 blt 0,0,640,480,0, 9942,640,480:waittimer 64905 blt 0,0,640,480,0, 9944,640,480:waittimer 64918 blt 0,0,640,480,0, 9946,640,480:waittimer 64931 blt 0,0,640,480,0, 9948,640,480:waittimer 64944 blt 0,0,640,480,0, 9950,640,480:waittimer 64957 blt 0,0,640,480,0, 9952,640,480:waittimer 64970 blt 0,0,640,480,0, 9954,640,480:waittimer 64983 blt 0,0,640,480,0, 9956,640,480:waittimer 64996 blt 0,0,640,480,0, 9958,640,480:waittimer 65009 blt 0,0,640,480,0, 9960,640,480:waittimer 65023 blt 0,0,640,480,0, 9962,640,480:waittimer 65036 blt 0,0,640,480,0, 9964,640,480:waittimer 65049 blt 0,0,640,480,0, 9966,640,480:waittimer 65062 blt 0,0,640,480,0, 9968,640,480:waittimer 65075 blt 0,0,640,480,0, 9970,640,480:waittimer 65088 blt 0,0,640,480,0, 9972,640,480:waittimer 65101 blt 0,0,640,480,0, 9974,640,480:waittimer 65114 blt 0,0,640,480,0, 9976,640,480:waittimer 65127 blt 0,0,640,480,0, 9978,640,480:waittimer 65140 blt 0,0,640,480,0, 9980,640,480:waittimer 65153 blt 0,0,640,480,0, 9982,640,480:waittimer 65166 blt 0,0,640,480,0, 9984,640,480:waittimer 65179 blt 0,0,640,480,0, 9986,640,480:waittimer 65192 blt 0,0,640,480,0, 9988,640,480:waittimer 65205 blt 0,0,640,480,0, 9990,640,480:waittimer 65218 blt 0,0,640,480,0, 9992,640,480:waittimer 65231 blt 0,0,640,480,0, 9994,640,480:waittimer 65245 blt 0,0,640,480,0, 9996,640,480:waittimer 65258 blt 0,0,640,480,0, 9998,640,480:waittimer 65271 blt 0,0,640,480,0, 10000,640,480:waittimer 65284 blt 0,0,640,480,0, 10002,640,480:waittimer 65297 blt 0,0,640,480,0, 10004,640,480:waittimer 65310 blt 0,0,640,480,0, 10006,640,480:waittimer 65323 blt 0,0,640,480,0, 10008,640,480:waittimer 65336 blt 0,0,640,480,0, 10010,640,480:waittimer 65349 blt 0,0,640,480,0, 10012,640,480:waittimer 65362 blt 0,0,640,480,0, 10014,640,480:waittimer 65375 blt 0,0,640,480,0, 10016,640,480:waittimer 65388 blt 0,0,640,480,0, 10018,640,480:waittimer 65401 blt 0,0,640,480,0, 10020,640,480:waittimer 65414 blt 0,0,640,480,0, 10022,640,480:waittimer 65427 blt 0,0,640,480,0, 10024,640,480:waittimer 65440 blt 0,0,640,480,0, 10026,640,480:waittimer 65453 blt 0,0,640,480,0, 10028,640,480:waittimer 65466 blt 0,0,640,480,0, 10030,640,480:waittimer 65480 blt 0,0,640,480,0, 10032,640,480:waittimer 65493 blt 0,0,640,480,0, 10034,640,480:waittimer 65506 blt 0,0,640,480,0, 10036,640,480:waittimer 65519 blt 0,0,640,480,0, 10038,640,480:waittimer 65532 blt 0,0,640,480,0, 10040,640,480:waittimer 65545 blt 0,0,640,480,0, 10042,640,480:waittimer 65558 blt 0,0,640,480,0, 10044,640,480:waittimer 65571 blt 0,0,640,480,0, 10046,640,480:waittimer 65584 blt 0,0,640,480,0, 10048,640,480:waittimer 65597 blt 0,0,640,480,0, 10050,640,480:waittimer 65610 blt 0,0,640,480,0, 10052,640,480:waittimer 65623 blt 0,0,640,480,0, 10054,640,480:waittimer 65636 blt 0,0,640,480,0, 10056,640,480:waittimer 65649 blt 0,0,640,480,0, 10058,640,480:waittimer 65662 blt 0,0,640,480,0, 10060,640,480:waittimer 65675 blt 0,0,640,480,0, 10062,640,480:waittimer 65688 blt 0,0,640,480,0, 10064,640,480:waittimer 65701 blt 0,0,640,480,0, 10066,640,480:waittimer 65715 blt 0,0,640,480,0, 10068,640,480:waittimer 65728 blt 0,0,640,480,0, 10070,640,480:waittimer 65741 blt 0,0,640,480,0, 10072,640,480:waittimer 65754 blt 0,0,640,480,0, 10074,640,480:waittimer 65767 blt 0,0,640,480,0, 10076,640,480:waittimer 65780 blt 0,0,640,480,0, 10078,640,480:waittimer 65793 blt 0,0,640,480,0, 10080,640,480:waittimer 65806 blt 0,0,640,480,0, 10082,640,480:waittimer 65819 blt 0,0,640,480,0, 10084,640,480:waittimer 65832 blt 0,0,640,480,0, 10086,640,480:waittimer 65845 blt 0,0,640,480,0, 10088,640,480:waittimer 65858 blt 0,0,640,480,0, 10090,640,480:waittimer 65871 blt 0,0,640,480,0, 10092,640,480:waittimer 65884 blt 0,0,640,480,0, 10094,640,480:waittimer 65897 blt 0,0,640,480,0, 10096,640,480:waittimer 65910 blt 0,0,640,480,0, 10098,640,480:waittimer 65923 blt 0,0,640,480,0, 10100,640,480:waittimer 65937 blt 0,0,640,480,0, 10102,640,480:waittimer 65950 blt 0,0,640,480,0, 10104,640,480:waittimer 65963 blt 0,0,640,480,0, 10106,640,480:waittimer 65976 blt 0,0,640,480,0, 10108,640,480:waittimer 65989 blt 0,0,640,480,0, 10110,640,480:waittimer 66002 blt 0,0,640,480,0, 10112,640,480:waittimer 66015 blt 0,0,640,480,0, 10114,640,480:waittimer 66028 blt 0,0,640,480,0, 10116,640,480:waittimer 66041 blt 0,0,640,480,0, 10118,640,480:waittimer 66054 blt 0,0,640,480,0, 10120,640,480:waittimer 66067 blt 0,0,640,480,0, 10122,640,480:waittimer 66080 blt 0,0,640,480,0, 10124,640,480:waittimer 66093 blt 0,0,640,480,0, 10126,640,480:waittimer 66106 blt 0,0,640,480,0, 10128,640,480:waittimer 66119 blt 0,0,640,480,0, 10130,640,480:waittimer 66132 blt 0,0,640,480,0, 10132,640,480:waittimer 66145 blt 0,0,640,480,0, 10134,640,480:waittimer 66158 blt 0,0,640,480,0, 10136,640,480:waittimer 66172 blt 0,0,640,480,0, 10138,640,480:waittimer 66185 blt 0,0,640,480,0, 10140,640,480:waittimer 66198 blt 0,0,640,480,0, 10142,640,480:waittimer 66211 blt 0,0,640,480,0, 10144,640,480:waittimer 66224 blt 0,0,640,480,0, 10146,640,480:waittimer 66237 blt 0,0,640,480,0, 10148,640,480:waittimer 66250 blt 0,0,640,480,0, 10150,640,480:waittimer 66263 blt 0,0,640,480,0, 10152,640,480:waittimer 66276 blt 0,0,640,480,0, 10154,640,480:waittimer 66289 blt 0,0,640,480,0, 10156,640,480:waittimer 66302 blt 0,0,640,480,0, 10158,640,480:waittimer 66315 blt 0,0,640,480,0, 10160,640,480:waittimer 66328 blt 0,0,640,480,0, 10162,640,480:waittimer 66341 blt 0,0,640,480,0, 10164,640,480:waittimer 66354 blt 0,0,640,480,0, 10166,640,480:waittimer 66367 blt 0,0,640,480,0, 10168,640,480:waittimer 66380 blt 0,0,640,480,0, 10170,640,480:waittimer 66394 blt 0,0,640,480,0, 10172,640,480:waittimer 66407 blt 0,0,640,480,0, 10174,640,480:waittimer 66420 blt 0,0,640,480,0, 10176,640,480:waittimer 66433 blt 0,0,640,480,0, 10178,640,480:waittimer 66446 blt 0,0,640,480,0, 10180,640,480:waittimer 66459 blt 0,0,640,480,0, 10182,640,480:waittimer 66472 blt 0,0,640,480,0, 10184,640,480:waittimer 66485 blt 0,0,640,480,0, 10186,640,480:waittimer 66498 blt 0,0,640,480,0, 10188,640,480:waittimer 66511 blt 0,0,640,480,0, 10190,640,480:waittimer 66524 blt 0,0,640,480,0, 10192,640,480:waittimer 66537 blt 0,0,640,480,0, 10194,640,480:waittimer 66550 blt 0,0,640,480,0, 10196,640,480:waittimer 66563 blt 0,0,640,480,0, 10198,640,480:waittimer 66576 blt 0,0,640,480,0, 10200,640,480:waittimer 66589 blt 0,0,640,480,0, 10202,640,480:waittimer 66602 blt 0,0,640,480,0, 10204,640,480:waittimer 66615 blt 0,0,640,480,0, 10206,640,480:waittimer 66629 blt 0,0,640,480,0, 10208,640,480:waittimer 66642 blt 0,0,640,480,0, 10210,640,480:waittimer 66655 blt 0,0,640,480,0, 10212,640,480:waittimer 66668 blt 0,0,640,480,0, 10214,640,480:waittimer 66681 blt 0,0,640,480,0, 10216,640,480:waittimer 66694 blt 0,0,640,480,0, 10218,640,480:waittimer 66707 blt 0,0,640,480,0, 10220,640,480:waittimer 66720 blt 0,0,640,480,0, 10222,640,480:waittimer 66733 blt 0,0,640,480,0, 10224,640,480:waittimer 66746 blt 0,0,640,480,0, 10226,640,480:waittimer 66759 blt 0,0,640,480,0, 10228,640,480:waittimer 66772 blt 0,0,640,480,0, 10230,640,480:waittimer 66785 blt 0,0,640,480,0, 10232,640,480:waittimer 66798 blt 0,0,640,480,0, 10234,640,480:waittimer 66811 blt 0,0,640,480,0, 10236,640,480:waittimer 66824 blt 0,0,640,480,0, 10238,640,480:waittimer 66837 blt 0,0,640,480,0, 10240,640,480:waittimer 66850 blt 0,0,640,480,0, 10242,640,480:waittimer 66864 blt 0,0,640,480,0, 10244,640,480:waittimer 66877 blt 0,0,640,480,0, 10246,640,480:waittimer 66890 blt 0,0,640,480,0, 10248,640,480:waittimer 66903 blt 0,0,640,480,0, 10250,640,480:waittimer 66916 blt 0,0,640,480,0, 10252,640,480:waittimer 66929 blt 0,0,640,480,0, 10254,640,480:waittimer 66942 blt 0,0,640,480,0, 10256,640,480:waittimer 66955 blt 0,0,640,480,0, 10258,640,480:waittimer 66968 blt 0,0,640,480,0, 10260,640,480:waittimer 66981 blt 0,0,640,480,0, 10262,640,480:waittimer 66994 blt 0,0,640,480,0, 10264,640,480:waittimer 67007 blt 0,0,640,480,0, 10266,640,480:waittimer 67020 blt 0,0,640,480,0, 10268,640,480:waittimer 67033 blt 0,0,640,480,0, 10270,640,480:waittimer 67046 blt 0,0,640,480,0, 10272,640,480:waittimer 67059 blt 0,0,640,480,0, 10274,640,480:waittimer 67072 blt 0,0,640,480,0, 10276,640,480:waittimer 67086 blt 0,0,640,480,0, 10278,640,480:waittimer 67099 blt 0,0,640,480,0, 10280,640,480:waittimer 67112 blt 0,0,640,480,0, 10282,640,480:waittimer 67125 blt 0,0,640,480,0, 10284,640,480:waittimer 67138 blt 0,0,640,480,0, 10286,640,480:waittimer 67151 blt 0,0,640,480,0, 10288,640,480:waittimer 67164 blt 0,0,640,480,0, 10290,640,480:waittimer 67177 blt 0,0,640,480,0, 10292,640,480:waittimer 67190 blt 0,0,640,480,0, 10294,640,480:waittimer 67203 blt 0,0,640,480,0, 10296,640,480:waittimer 67216 blt 0,0,640,480,0, 10298,640,480:waittimer 67229 blt 0,0,640,480,0, 10300,640,480:waittimer 67242 blt 0,0,640,480,0, 10302,640,480:waittimer 67255 blt 0,0,640,480,0, 10304,640,480:waittimer 67268 blt 0,0,640,480,0, 10306,640,480:waittimer 67281 blt 0,0,640,480,0, 10308,640,480:waittimer 67294 blt 0,0,640,480,0, 10310,640,480:waittimer 67307 blt 0,0,640,480,0, 10312,640,480:waittimer 67321 blt 0,0,640,480,0, 10314,640,480:waittimer 67334 blt 0,0,640,480,0, 10316,640,480:waittimer 67347 blt 0,0,640,480,0, 10318,640,480:waittimer 67360 blt 0,0,640,480,0, 10320,640,480:waittimer 67373 blt 0,0,640,480,0, 10322,640,480:waittimer 67386 blt 0,0,640,480,0, 10324,640,480:waittimer 67399 blt 0,0,640,480,0, 10326,640,480:waittimer 67412 blt 0,0,640,480,0, 10328,640,480:waittimer 67425 blt 0,0,640,480,0, 10330,640,480:waittimer 67438 blt 0,0,640,480,0, 10332,640,480:waittimer 67451 blt 0,0,640,480,0, 10334,640,480:waittimer 67464 blt 0,0,640,480,0, 10336,640,480:waittimer 67477 blt 0,0,640,480,0, 10338,640,480:waittimer 67490 blt 0,0,640,480,0, 10340,640,480:waittimer 67503 blt 0,0,640,480,0, 10342,640,480:waittimer 67516 blt 0,0,640,480,0, 10344,640,480:waittimer 67529 blt 0,0,640,480,0, 10346,640,480:waittimer 67543 blt 0,0,640,480,0, 10348,640,480:waittimer 67556 blt 0,0,640,480,0, 10350,640,480:waittimer 67569 blt 0,0,640,480,0, 10352,640,480:waittimer 67582 blt 0,0,640,480,0, 10354,640,480:waittimer 67595 blt 0,0,640,480,0, 10356,640,480:waittimer 67608 blt 0,0,640,480,0, 10358,640,480:waittimer 67621 blt 0,0,640,480,0, 10360,640,480:waittimer 67634 blt 0,0,640,480,0, 10362,640,480:waittimer 67647 blt 0,0,640,480,0, 10364,640,480:waittimer 67660 blt 0,0,640,480,0, 10366,640,480:waittimer 67673 blt 0,0,640,480,0, 10368,640,480:waittimer 67686 blt 0,0,640,480,0, 10370,640,480:waittimer 67699 blt 0,0,640,480,0, 10372,640,480:waittimer 67712 blt 0,0,640,480,0, 10374,640,480:waittimer 67725 blt 0,0,640,480,0, 10376,640,480:waittimer 67738 blt 0,0,640,480,0, 10378,640,480:waittimer 67751 blt 0,0,640,480,0, 10380,640,480:waittimer 67764 blt 0,0,640,480,0, 10382,640,480:waittimer 67778 blt 0,0,640,480,0, 10384,640,480:waittimer 67791 blt 0,0,640,480,0, 10386,640,480:waittimer 67804 blt 0,0,640,480,0, 10388,640,480:waittimer 67817 blt 0,0,640,480,0, 10390,640,480:waittimer 67830 blt 0,0,640,480,0, 10392,640,480:waittimer 67843 blt 0,0,640,480,0, 10394,640,480:waittimer 67856 blt 0,0,640,480,0, 10396,640,480:waittimer 67869 blt 0,0,640,480,0, 10398,640,480:waittimer 67882 blt 0,0,640,480,0, 10400,640,480:waittimer 67895 blt 0,0,640,480,0, 10402,640,480:waittimer 67908 blt 0,0,640,480,0, 10404,640,480:waittimer 67921 blt 0,0,640,480,0, 10406,640,480:waittimer 67934 blt 0,0,640,480,0, 10408,640,480:waittimer 67947 blt 0,0,640,480,0, 10410,640,480:waittimer 67960 blt 0,0,640,480,0, 10412,640,480:waittimer 67973 blt 0,0,640,480,0, 10414,640,480:waittimer 67986 blt 0,0,640,480,0, 10416,640,480:waittimer 67999 blt 0,0,640,480,0, 10418,640,480:waittimer 68013 blt 0,0,640,480,0, 10420,640,480:waittimer 68026 blt 0,0,640,480,0, 10422,640,480:waittimer 68039 blt 0,0,640,480,0, 10424,640,480:waittimer 68052 blt 0,0,640,480,0, 10426,640,480:waittimer 68065 blt 0,0,640,480,0, 10428,640,480:waittimer 68078 blt 0,0,640,480,0, 10430,640,480:waittimer 68091 blt 0,0,640,480,0, 10432,640,480:waittimer 68104 blt 0,0,640,480,0, 10434,640,480:waittimer 68117 blt 0,0,640,480,0, 10436,640,480:waittimer 68130 blt 0,0,640,480,0, 10438,640,480:waittimer 68143 blt 0,0,640,480,0, 10440,640,480:waittimer 68156 blt 0,0,640,480,0, 10442,640,480:waittimer 68169 blt 0,0,640,480,0, 10444,640,480:waittimer 68182 blt 0,0,640,480,0, 10446,640,480:waittimer 68195 blt 0,0,640,480,0, 10448,640,480:waittimer 68208 blt 0,0,640,480,0, 10450,640,480:waittimer 68221 blt 0,0,640,480,0, 10452,640,480:waittimer 68235 blt 0,0,640,480,0, 10454,640,480:waittimer 68248 blt 0,0,640,480,0, 10456,640,480:waittimer 68261 blt 0,0,640,480,0, 10458,640,480:waittimer 68274 blt 0,0,640,480,0, 10460,640,480:waittimer 68287 blt 0,0,640,480,0, 10462,640,480:waittimer 68300 blt 0,0,640,480,0, 10464,640,480:waittimer 68313 blt 0,0,640,480,0, 10466,640,480:waittimer 68326 blt 0,0,640,480,0, 10468,640,480:waittimer 68339 blt 0,0,640,480,0, 10470,640,480:waittimer 68352 blt 0,0,640,480,0, 10472,640,480:waittimer 68365 blt 0,0,640,480,0, 10474,640,480:waittimer 68378 blt 0,0,640,480,0, 10476,640,480:waittimer 68391 blt 0,0,640,480,0, 10478,640,480:waittimer 68404 blt 0,0,640,480,0, 10480,640,480:waittimer 68417 blt 0,0,640,480,0, 10482,640,480:waittimer 68430 blt 0,0,640,480,0, 10484,640,480:waittimer 68443 blt 0,0,640,480,0, 10486,640,480:waittimer 68456 blt 0,0,640,480,0, 10488,640,480:waittimer 68470 blt 0,0,640,480,0, 10490,640,480:waittimer 68483 blt 0,0,640,480,0, 10492,640,480:waittimer 68496 blt 0,0,640,480,0, 10494,640,480:waittimer 68509 blt 0,0,640,480,0, 10496,640,480:waittimer 68522 blt 0,0,640,480,0, 10498,640,480:waittimer 68535 blt 0,0,640,480,0, 10500,640,480:waittimer 68548 blt 0,0,640,480,0, 10502,640,480:waittimer 68561 blt 0,0,640,480,0, 10504,640,480:waittimer 68574 blt 0,0,640,480,0, 10506,640,480:waittimer 68587 blt 0,0,640,480,0, 10508,640,480:waittimer 68600 blt 0,0,640,480,0, 10510,640,480:waittimer 68613 blt 0,0,640,480,0, 10512,640,480:waittimer 68626 blt 0,0,640,480,0, 10514,640,480:waittimer 68639 blt 0,0,640,480,0, 10516,640,480:waittimer 68652 blt 0,0,640,480,0, 10518,640,480:waittimer 68665 blt 0,0,640,480,0, 10520,640,480:waittimer 68678 blt 0,0,640,480,0, 10522,640,480:waittimer 68692 blt 0,0,640,480,0, 10524,640,480:waittimer 68705 blt 0,0,640,480,0, 10526,640,480:waittimer 68718 blt 0,0,640,480,0, 10528,640,480:waittimer 68731 blt 0,0,640,480,0, 10530,640,480:waittimer 68744 blt 0,0,640,480,0, 10532,640,480:waittimer 68757 blt 0,0,640,480,0, 10534,640,480:waittimer 68770 blt 0,0,640,480,0, 10536,640,480:waittimer 68783 blt 0,0,640,480,0, 10538,640,480:waittimer 68796 blt 0,0,640,480,0, 10540,640,480:waittimer 68809 blt 0,0,640,480,0, 10542,640,480:waittimer 68822 blt 0,0,640,480,0, 10544,640,480:waittimer 68835 blt 0,0,640,480,0, 10546,640,480:waittimer 68848 blt 0,0,640,480,0, 10548,640,480:waittimer 68861 blt 0,0,640,480,0, 10550,640,480:waittimer 68874 blt 0,0,640,480,0, 10552,640,480:waittimer 68887 blt 0,0,640,480,0, 10554,640,480:waittimer 68900 blt 0,0,640,480,0, 10556,640,480:waittimer 68913 blt 0,0,640,480,0, 10558,640,480:waittimer 68927 blt 0,0,640,480,0, 10560,640,480:waittimer 68940 blt 0,0,640,480,0, 10562,640,480:waittimer 68953 blt 0,0,640,480,0, 10564,640,480:waittimer 68966 blt 0,0,640,480,0, 10566,640,480:waittimer 68979 blt 0,0,640,480,0, 10568,640,480:waittimer 68992 blt 0,0,640,480,0, 10570,640,480:waittimer 69005 blt 0,0,640,480,0, 10572,640,480:waittimer 69018 blt 0,0,640,480,0, 10574,640,480:waittimer 69031 blt 0,0,640,480,0, 10576,640,480:waittimer 69044 blt 0,0,640,480,0, 10578,640,480:waittimer 69057 blt 0,0,640,480,0, 10580,640,480:waittimer 69070 blt 0,0,640,480,0, 10582,640,480:waittimer 69083 blt 0,0,640,480,0, 10584,640,480:waittimer 69096 blt 0,0,640,480,0, 10586,640,480:waittimer 69109 blt 0,0,640,480,0, 10588,640,480:waittimer 69122 blt 0,0,640,480,0, 10590,640,480:waittimer 69135 blt 0,0,640,480,0, 10592,640,480:waittimer 69148 blt 0,0,640,480,0, 10594,640,480:waittimer 69162 blt 0,0,640,480,0, 10596,640,480:waittimer 69175 blt 0,0,640,480,0, 10598,640,480:waittimer 69188 blt 0,0,640,480,0, 10600,640,480:waittimer 69201 blt 0,0,640,480,0, 10602,640,480:waittimer 69214 blt 0,0,640,480,0, 10604,640,480:waittimer 69227 blt 0,0,640,480,0, 10606,640,480:waittimer 69240 blt 0,0,640,480,0, 10608,640,480:waittimer 69253 blt 0,0,640,480,0, 10610,640,480:waittimer 69266 blt 0,0,640,480,0, 10612,640,480:waittimer 69279 blt 0,0,640,480,0, 10614,640,480:waittimer 69292 blt 0,0,640,480,0, 10616,640,480:waittimer 69305 blt 0,0,640,480,0, 10618,640,480:waittimer 69318 blt 0,0,640,480,0, 10620,640,480:waittimer 69331 blt 0,0,640,480,0, 10622,640,480:waittimer 69344 blt 0,0,640,480,0, 10624,640,480:waittimer 69357 blt 0,0,640,480,0, 10626,640,480:waittimer 69370 blt 0,0,640,480,0, 10628,640,480:waittimer 69384 blt 0,0,640,480,0, 10630,640,480:waittimer 69397 blt 0,0,640,480,0, 10632,640,480:waittimer 69410 blt 0,0,640,480,0, 10634,640,480:waittimer 69423 blt 0,0,640,480,0, 10636,640,480:waittimer 69436 blt 0,0,640,480,0, 10638,640,480:waittimer 69449 blt 0,0,640,480,0, 10640,640,480:waittimer 69462 blt 0,0,640,480,0, 10642,640,480:waittimer 69475 blt 0,0,640,480,0, 10644,640,480:waittimer 69488 blt 0,0,640,480,0, 10646,640,480:waittimer 69501 blt 0,0,640,480,0, 10648,640,480:waittimer 69514 blt 0,0,640,480,0, 10650,640,480:waittimer 69527 blt 0,0,640,480,0, 10652,640,480:waittimer 69540 blt 0,0,640,480,0, 10654,640,480:waittimer 69553 blt 0,0,640,480,0, 10656,640,480:waittimer 69566 blt 0,0,640,480,0, 10658,640,480:waittimer 69579 blt 0,0,640,480,0, 10660,640,480:waittimer 69592 blt 0,0,640,480,0, 10662,640,480:waittimer 69605 blt 0,0,640,480,0, 10664,640,480:waittimer 69619 blt 0,0,640,480,0, 10666,640,480:waittimer 69632 blt 0,0,640,480,0, 10668,640,480:waittimer 69645 blt 0,0,640,480,0, 10670,640,480:waittimer 69658 blt 0,0,640,480,0, 10672,640,480:waittimer 69671 blt 0,0,640,480,0, 10674,640,480:waittimer 69684 blt 0,0,640,480,0, 10676,640,480:waittimer 69697 blt 0,0,640,480,0, 10678,640,480:waittimer 69710 blt 0,0,640,480,0, 10680,640,480:waittimer 69723 blt 0,0,640,480,0, 10682,640,480:waittimer 69736 blt 0,0,640,480,0, 10684,640,480:waittimer 69749 blt 0,0,640,480,0, 10686,640,480:waittimer 69762 blt 0,0,640,480,0, 10688,640,480:waittimer 69775 blt 0,0,640,480,0, 10690,640,480:waittimer 69788 blt 0,0,640,480,0, 10692,640,480:waittimer 69801 blt 0,0,640,480,0, 10694,640,480:waittimer 69814 blt 0,0,640,480,0, 10696,640,480:waittimer 69827 blt 0,0,640,480,0, 10698,640,480:waittimer 69841 blt 0,0,640,480,0, 10700,640,480:waittimer 69854 blt 0,0,640,480,0, 10702,640,480:waittimer 69867 blt 0,0,640,480,0, 10704,640,480:waittimer 69880 blt 0,0,640,480,0, 10706,640,480:waittimer 69893 blt 0,0,640,480,0, 10708,640,480:waittimer 69906 blt 0,0,640,480,0, 10710,640,480:waittimer 69919 blt 0,0,640,480,0, 10712,640,480:waittimer 69932 blt 0,0,640,480,0, 10714,640,480:waittimer 69945 blt 0,0,640,480,0, 10716,640,480:waittimer 69958 blt 0,0,640,480,0, 10718,640,480:waittimer 69971 blt 0,0,640,480,0, 10720,640,480:waittimer 69984 blt 0,0,640,480,0, 10722,640,480:waittimer 69997 blt 0,0,640,480,0, 10724,640,480:waittimer 70010 blt 0,0,640,480,0, 10726,640,480:waittimer 70023 blt 0,0,640,480,0, 10728,640,480:waittimer 70036 blt 0,0,640,480,0, 10730,640,480:waittimer 70049 blt 0,0,640,480,0, 10732,640,480:waittimer 70062 blt 0,0,640,480,0, 10734,640,480:waittimer 70076 blt 0,0,640,480,0, 10736,640,480:waittimer 70089 blt 0,0,640,480,0, 10738,640,480:waittimer 70102 blt 0,0,640,480,0, 10740,640,480:waittimer 70115 blt 0,0,640,480,0, 10742,640,480:waittimer 70128 blt 0,0,640,480,0, 10744,640,480:waittimer 70141 blt 0,0,640,480,0, 10746,640,480:waittimer 70154 blt 0,0,640,480,0, 10748,640,480:waittimer 70167 blt 0,0,640,480,0, 10750,640,480:waittimer 70180 blt 0,0,640,480,0, 10752,640,480:waittimer 70193 blt 0,0,640,480,0, 10754,640,480:waittimer 70206 blt 0,0,640,480,0, 10756,640,480:waittimer 70219 blt 0,0,640,480,0, 10758,640,480:waittimer 70232 blt 0,0,640,480,0, 10760,640,480:waittimer 70245 blt 0,0,640,480,0, 10762,640,480:waittimer 70258 blt 0,0,640,480,0, 10764,640,480:waittimer 70271 blt 0,0,640,480,0, 10766,640,480:waittimer 70284 blt 0,0,640,480,0, 10768,640,480:waittimer 70298 blt 0,0,640,480,0, 10770,640,480:waittimer 70311 blt 0,0,640,480,0, 10772,640,480:waittimer 70324 blt 0,0,640,480,0, 10774,640,480:waittimer 70337 blt 0,0,640,480,0, 10776,640,480:waittimer 70350 blt 0,0,640,480,0, 10778,640,480:waittimer 70363 blt 0,0,640,480,0, 10780,640,480:waittimer 70376 blt 0,0,640,480,0, 10782,640,480:waittimer 70389 blt 0,0,640,480,0, 10784,640,480:waittimer 70402 blt 0,0,640,480,0, 10786,640,480:waittimer 70415 blt 0,0,640,480,0, 10788,640,480:waittimer 70428 blt 0,0,640,480,0, 10790,640,480:waittimer 70441 blt 0,0,640,480,0, 10792,640,480:waittimer 70454 blt 0,0,640,480,0, 10794,640,480:waittimer 70467 blt 0,0,640,480,0, 10796,640,480:waittimer 70480 blt 0,0,640,480,0, 10798,640,480:waittimer 70493 blt 0,0,640,480,0, 10800,640,480:waittimer 70506 blt 0,0,640,480,0, 10802,640,480:waittimer 70519 blt 0,0,640,480,0, 10804,640,480:waittimer 70533 blt 0,0,640,480,0, 10806,640,480:waittimer 70546 blt 0,0,640,480,0, 10808,640,480:waittimer 70559 blt 0,0,640,480,0, 10810,640,480:waittimer 70572 blt 0,0,640,480,0, 10812,640,480:waittimer 70585 blt 0,0,640,480,0, 10814,640,480:waittimer 70598 blt 0,0,640,480,0, 10816,640,480:waittimer 70611 blt 0,0,640,480,0, 10818,640,480:waittimer 70624 blt 0,0,640,480,0, 10820,640,480:waittimer 70637 blt 0,0,640,480,0, 10822,640,480:waittimer 70650 blt 0,0,640,480,0, 10824,640,480:waittimer 70663 blt 0,0,640,480,0, 10826,640,480:waittimer 70676 blt 0,0,640,480,0, 10828,640,480:waittimer 70689 blt 0,0,640,480,0, 10830,640,480:waittimer 70702 blt 0,0,640,480,0, 10832,640,480:waittimer 70715 blt 0,0,640,480,0, 10834,640,480:waittimer 70728 blt 0,0,640,480,0, 10836,640,480:waittimer 70741 blt 0,0,640,480,0, 10838,640,480:waittimer 70754 blt 0,0,640,480,0, 10840,640,480:waittimer 70768 blt 0,0,640,480,0, 10842,640,480:waittimer 70781 blt 0,0,640,480,0, 10844,640,480:waittimer 70794 blt 0,0,640,480,0, 10846,640,480:waittimer 70807 blt 0,0,640,480,0, 10848,640,480:waittimer 70820 blt 0,0,640,480,0, 10850,640,480:waittimer 70833 blt 0,0,640,480,0, 10852,640,480:waittimer 70846 blt 0,0,640,480,0, 10854,640,480:waittimer 70859 blt 0,0,640,480,0, 10856,640,480:waittimer 70872 blt 0,0,640,480,0, 10858,640,480:waittimer 70885 blt 0,0,640,480,0, 10860,640,480:waittimer 70898 blt 0,0,640,480,0, 10862,640,480:waittimer 70911 blt 0,0,640,480,0, 10864,640,480:waittimer 70924 blt 0,0,640,480,0, 10866,640,480:waittimer 70937 blt 0,0,640,480,0, 10868,640,480:waittimer 70950 blt 0,0,640,480,0, 10870,640,480:waittimer 70963 blt 0,0,640,480,0, 10872,640,480:waittimer 70976 blt 0,0,640,480,0, 10874,640,480:waittimer 70990 blt 0,0,640,480,0, 10876,640,480:waittimer 71003 blt 0,0,640,480,0, 10878,640,480:waittimer 71016 blt 0,0,640,480,0, 10880,640,480:waittimer 71029 blt 0,0,640,480,0, 10882,640,480:waittimer 71042 blt 0,0,640,480,0, 10884,640,480:waittimer 71055 blt 0,0,640,480,0, 10886,640,480:waittimer 71068 blt 0,0,640,480,0, 10888,640,480:waittimer 71081 blt 0,0,640,480,0, 10890,640,480:waittimer 71094 blt 0,0,640,480,0, 10892,640,480:waittimer 71107 blt 0,0,640,480,0, 10894,640,480:waittimer 71120 blt 0,0,640,480,0, 10896,640,480:waittimer 71133 blt 0,0,640,480,0, 10898,640,480:waittimer 71146 blt 0,0,640,480,0, 10900,640,480:waittimer 71159 blt 0,0,640,480,0, 10902,640,480:waittimer 71172 blt 0,0,640,480,0, 10904,640,480:waittimer 71185 blt 0,0,640,480,0, 10906,640,480:waittimer 71198 blt 0,0,640,480,0, 10908,640,480:waittimer 71211 blt 0,0,640,480,0, 10910,640,480:waittimer 71225 blt 0,0,640,480,0, 10912,640,480:waittimer 71238 blt 0,0,640,480,0, 10914,640,480:waittimer 71251 blt 0,0,640,480,0, 10916,640,480:waittimer 71264 blt 0,0,640,480,0, 10918,640,480:waittimer 71277 blt 0,0,640,480,0, 10920,640,480:waittimer 71290 blt 0,0,640,480,0, 10922,640,480:waittimer 71303 blt 0,0,640,480,0, 10924,640,480:waittimer 71316 blt 0,0,640,480,0, 10926,640,480:waittimer 71329 blt 0,0,640,480,0, 10928,640,480:waittimer 71342 blt 0,0,640,480,0, 10930,640,480:waittimer 71355 blt 0,0,640,480,0, 10932,640,480:waittimer 71368 blt 0,0,640,480,0, 10934,640,480:waittimer 71381 blt 0,0,640,480,0, 10936,640,480:waittimer 71394 blt 0,0,640,480,0, 10938,640,480:waittimer 71407 blt 0,0,640,480,0, 10940,640,480:waittimer 71420 blt 0,0,640,480,0, 10942,640,480:waittimer 71433 blt 0,0,640,480,0, 10944,640,480:waittimer 71447 blt 0,0,640,480,0, 10946,640,480:waittimer 71460 blt 0,0,640,480,0, 10948,640,480:waittimer 71473 blt 0,0,640,480,0, 10950,640,480:waittimer 71486 blt 0,0,640,480,0, 10952,640,480:waittimer 71499 blt 0,0,640,480,0, 10954,640,480:waittimer 71512 blt 0,0,640,480,0, 10956,640,480:waittimer 71525 blt 0,0,640,480,0, 10958,640,480:waittimer 71538 blt 0,0,640,480,0, 10960,640,480:waittimer 71551 blt 0,0,640,480,0, 10962,640,480:waittimer 71564 blt 0,0,640,480,0, 10964,640,480:waittimer 71577 blt 0,0,640,480,0, 10966,640,480:waittimer 71590 blt 0,0,640,480,0, 10968,640,480:waittimer 71603 blt 0,0,640,480,0, 10970,640,480:waittimer 71616 blt 0,0,640,480,0, 10972,640,480:waittimer 71629 blt 0,0,640,480,0, 10974,640,480:waittimer 71642 blt 0,0,640,480,0, 10976,640,480:waittimer 71655 blt 0,0,640,480,0, 10978,640,480:waittimer 71668 blt 0,0,640,480,0, 10980,640,480:waittimer 71682 blt 0,0,640,480,0, 10982,640,480:waittimer 71695 blt 0,0,640,480,0, 10984,640,480:waittimer 71708 blt 0,0,640,480,0, 10986,640,480:waittimer 71721 blt 0,0,640,480,0, 10988,640,480:waittimer 71734 blt 0,0,640,480,0, 10990,640,480:waittimer 71747 blt 0,0,640,480,0, 10992,640,480:waittimer 71760 blt 0,0,640,480,0, 10994,640,480:waittimer 71773 blt 0,0,640,480,0, 10996,640,480:waittimer 71786 blt 0,0,640,480,0, 10998,640,480:waittimer 71799 blt 0,0,640,480,0, 11000,640,480:waittimer 71812 blt 0,0,640,480,0, 11002,640,480:waittimer 71825 blt 0,0,640,480,0, 11004,640,480:waittimer 71838 blt 0,0,640,480,0, 11006,640,480:waittimer 71851 blt 0,0,640,480,0, 11008,640,480:waittimer 71864 blt 0,0,640,480,0, 11010,640,480:waittimer 71877 blt 0,0,640,480,0, 11012,640,480:waittimer 71890 blt 0,0,640,480,0, 11014,640,480:waittimer 71903 blt 0,0,640,480,0, 11016,640,480:waittimer 71917 blt 0,0,640,480,0, 11018,640,480:waittimer 71930 blt 0,0,640,480,0, 11020,640,480:waittimer 71943 blt 0,0,640,480,0, 11022,640,480:waittimer 71956 blt 0,0,640,480,0, 11024,640,480:waittimer 71969 blt 0,0,640,480,0, 11026,640,480:waittimer 71982 blt 0,0,640,480,0, 11028,640,480:waittimer 71995 blt 0,0,640,480,0, 11030,640,480:waittimer 72008 blt 0,0,640,480,0, 11032,640,480:waittimer 72021 blt 0,0,640,480,0, 11034,640,480:waittimer 72034 blt 0,0,640,480,0, 11036,640,480:waittimer 72047 blt 0,0,640,480,0, 11038,640,480:waittimer 72060 blt 0,0,640,480,0, 11040,640,480:waittimer 72073 blt 0,0,640,480,0, 11042,640,480:waittimer 72086 blt 0,0,640,480,0, 11044,640,480:waittimer 72099 blt 0,0,640,480,0, 11046,640,480:waittimer 72112 blt 0,0,640,480,0, 11048,640,480:waittimer 72125 blt 0,0,640,480,0, 11050,640,480:waittimer 72139 blt 0,0,640,480,0, 11052,640,480:waittimer 72152 blt 0,0,640,480,0, 11054,640,480:waittimer 72165 blt 0,0,640,480,0, 11056,640,480:waittimer 72178 blt 0,0,640,480,0, 11058,640,480:waittimer 72191 blt 0,0,640,480,0, 11060,640,480:waittimer 72204 blt 0,0,640,480,0, 11062,640,480:waittimer 72217 blt 0,0,640,480,0, 11064,640,480:waittimer 72230 blt 0,0,640,480,0, 11066,640,480:waittimer 72243 blt 0,0,640,480,0, 11068,640,480:waittimer 72256 blt 0,0,640,480,0, 11070,640,480:waittimer 72269 blt 0,0,640,480,0, 11072,640,480:waittimer 72282 blt 0,0,640,480,0, 11074,640,480:waittimer 72295 blt 0,0,640,480,0, 11076,640,480:waittimer 72308 blt 0,0,640,480,0, 11078,640,480:waittimer 72321 blt 0,0,640,480,0, 11080,640,480:waittimer 72334 blt 0,0,640,480,0, 11082,640,480:waittimer 72347 blt 0,0,640,480,0, 11084,640,480:waittimer 72360 blt 0,0,640,480,0, 11086,640,480:waittimer 72374 blt 0,0,640,480,0, 11088,640,480:waittimer 72387 blt 0,0,640,480,0, 11090,640,480:waittimer 72400 blt 0,0,640,480,0, 11092,640,480:waittimer 72413 blt 0,0,640,480,0, 11094,640,480:waittimer 72426 blt 0,0,640,480,0, 11096,640,480:waittimer 72439 blt 0,0,640,480,0, 11098,640,480:waittimer 72452 blt 0,0,640,480,0, 11100,640,480:waittimer 72465 blt 0,0,640,480,0, 11102,640,480:waittimer 72478 blt 0,0,640,480,0, 11104,640,480:waittimer 72491 blt 0,0,640,480,0, 11106,640,480:waittimer 72504 blt 0,0,640,480,0, 11108,640,480:waittimer 72517 blt 0,0,640,480,0, 11110,640,480:waittimer 72530 blt 0,0,640,480,0, 11112,640,480:waittimer 72543 blt 0,0,640,480,0, 11114,640,480:waittimer 72556 blt 0,0,640,480,0, 11116,640,480:waittimer 72569 blt 0,0,640,480,0, 11118,640,480:waittimer 72582 blt 0,0,640,480,0, 11120,640,480:waittimer 72596 blt 0,0,640,480,0, 11122,640,480:waittimer 72609 blt 0,0,640,480,0, 11124,640,480:waittimer 72622 blt 0,0,640,480,0, 11126,640,480:waittimer 72635 blt 0,0,640,480,0, 11128,640,480:waittimer 72648 blt 0,0,640,480,0, 11130,640,480:waittimer 72661 blt 0,0,640,480,0, 11132,640,480:waittimer 72674 blt 0,0,640,480,0, 11134,640,480:waittimer 72687 blt 0,0,640,480,0, 11136,640,480:waittimer 72700 blt 0,0,640,480,0, 11138,640,480:waittimer 72713 blt 0,0,640,480,0, 11140,640,480:waittimer 72726 blt 0,0,640,480,0, 11142,640,480:waittimer 72739 blt 0,0,640,480,0, 11144,640,480:waittimer 72752 blt 0,0,640,480,0, 11146,640,480:waittimer 72765 blt 0,0,640,480,0, 11148,640,480:waittimer 72778 blt 0,0,640,480,0, 11150,640,480:waittimer 72791 blt 0,0,640,480,0, 11152,640,480:waittimer 72804 blt 0,0,640,480,0, 11154,640,480:waittimer 72817 blt 0,0,640,480,0, 11156,640,480:waittimer 72831 blt 0,0,640,480,0, 11158,640,480:waittimer 72844 blt 0,0,640,480,0, 11160,640,480:waittimer 72857 blt 0,0,640,480,0, 11162,640,480:waittimer 72870 blt 0,0,640,480,0, 11164,640,480:waittimer 72883 blt 0,0,640,480,0, 11166,640,480:waittimer 72896 blt 0,0,640,480,0, 11168,640,480:waittimer 72909 blt 0,0,640,480,0, 11170,640,480:waittimer 72922 blt 0,0,640,480,0, 11172,640,480:waittimer 72935 blt 0,0,640,480,0, 11174,640,480:waittimer 72948 blt 0,0,640,480,0, 11176,640,480:waittimer 72961 blt 0,0,640,480,0, 11178,640,480:waittimer 72974 blt 0,0,640,480,0, 11180,640,480:waittimer 72987 blt 0,0,640,480,0, 11182,640,480:waittimer 73000 blt 0,0,640,480,0, 11184,640,480:waittimer 73013 blt 0,0,640,480,0, 11186,640,480:waittimer 73026 blt 0,0,640,480,0, 11188,640,480:waittimer 73039 blt 0,0,640,480,0, 11190,640,480:waittimer 73052 blt 0,0,640,480,0, 11192,640,480:waittimer 73066 blt 0,0,640,480,0, 11194,640,480:waittimer 73079 blt 0,0,640,480,0, 11196,640,480:waittimer 73092 blt 0,0,640,480,0, 11198,640,480:waittimer 73105 blt 0,0,640,480,0, 11200,640,480:waittimer 73118 blt 0,0,640,480,0, 11202,640,480:waittimer 73131 blt 0,0,640,480,0, 11204,640,480:waittimer 73144 blt 0,0,640,480,0, 11206,640,480:waittimer 73157 blt 0,0,640,480,0, 11208,640,480:waittimer 73170 blt 0,0,640,480,0, 11210,640,480:waittimer 73183 blt 0,0,640,480,0, 11212,640,480:waittimer 73196 blt 0,0,640,480,0, 11214,640,480:waittimer 73209 blt 0,0,640,480,0, 11216,640,480:waittimer 73222 blt 0,0,640,480,0, 11218,640,480:waittimer 73235 blt 0,0,640,480,0, 11220,640,480:waittimer 73248 blt 0,0,640,480,0, 11222,640,480:waittimer 73261 blt 0,0,640,480,0, 11224,640,480:waittimer 73274 blt 0,0,640,480,0, 11226,640,480:waittimer 73288 blt 0,0,640,480,0, 11228,640,480:waittimer 73301 blt 0,0,640,480,0, 11230,640,480:waittimer 73314 blt 0,0,640,480,0, 11232,640,480:waittimer 73327 blt 0,0,640,480,0, 11234,640,480:waittimer 73340 blt 0,0,640,480,0, 11236,640,480:waittimer 73353 blt 0,0,640,480,0, 11238,640,480:waittimer 73366 blt 0,0,640,480,0, 11240,640,480:waittimer 73379 blt 0,0,640,480,0, 11242,640,480:waittimer 73392 blt 0,0,640,480,0, 11244,640,480:waittimer 73405 blt 0,0,640,480,0, 11246,640,480:waittimer 73418 blt 0,0,640,480,0, 11248,640,480:waittimer 73431 blt 0,0,640,480,0, 11250,640,480:waittimer 73444 blt 0,0,640,480,0, 11252,640,480:waittimer 73457 blt 0,0,640,480,0, 11254,640,480:waittimer 73470 blt 0,0,640,480,0, 11256,640,480:waittimer 73483 blt 0,0,640,480,0, 11258,640,480:waittimer 73496 blt 0,0,640,480,0, 11260,640,480:waittimer 73509 blt 0,0,640,480,0, 11262,640,480:waittimer 73523 blt 0,0,640,480,0, 11264,640,480:waittimer 73536 blt 0,0,640,480,0, 11266,640,480:waittimer 73549 blt 0,0,640,480,0, 11268,640,480:waittimer 73562 blt 0,0,640,480,0, 11270,640,480:waittimer 73575 blt 0,0,640,480,0, 11272,640,480:waittimer 73588 blt 0,0,640,480,0, 11274,640,480:waittimer 73601 blt 0,0,640,480,0, 11276,640,480:waittimer 73614 blt 0,0,640,480,0, 11278,640,480:waittimer 73627 blt 0,0,640,480,0, 11280,640,480:waittimer 73640 blt 0,0,640,480,0, 11282,640,480:waittimer 73653 blt 0,0,640,480,0, 11284,640,480:waittimer 73666 blt 0,0,640,480,0, 11286,640,480:waittimer 73679 blt 0,0,640,480,0, 11288,640,480:waittimer 73692 blt 0,0,640,480,0, 11290,640,480:waittimer 73705 blt 0,0,640,480,0, 11292,640,480:waittimer 73718 blt 0,0,640,480,0, 11294,640,480:waittimer 73731 blt 0,0,640,480,0, 11296,640,480:waittimer 73745 blt 0,0,640,480,0, 11298,640,480:waittimer 73758 blt 0,0,640,480,0, 11300,640,480:waittimer 73771 blt 0,0,640,480,0, 11302,640,480:waittimer 73784 blt 0,0,640,480,0, 11304,640,480:waittimer 73797 blt 0,0,640,480,0, 11306,640,480:waittimer 73810 blt 0,0,640,480,0, 11308,640,480:waittimer 73823 blt 0,0,640,480,0, 11310,640,480:waittimer 73836 blt 0,0,640,480,0, 11312,640,480:waittimer 73849 blt 0,0,640,480,0, 11314,640,480:waittimer 73862 blt 0,0,640,480,0, 11316,640,480:waittimer 73875 blt 0,0,640,480,0, 11318,640,480:waittimer 73888 blt 0,0,640,480,0, 11320,640,480:waittimer 73901 blt 0,0,640,480,0, 11322,640,480:waittimer 73914 blt 0,0,640,480,0, 11324,640,480:waittimer 73927 blt 0,0,640,480,0, 11326,640,480:waittimer 73940 blt 0,0,640,480,0, 11328,640,480:waittimer 73953 blt 0,0,640,480,0, 11330,640,480:waittimer 73966 blt 0,0,640,480,0, 11332,640,480:waittimer 73980 blt 0,0,640,480,0, 11334,640,480:waittimer 73993 blt 0,0,640,480,0, 11336,640,480:waittimer 74006 blt 0,0,640,480,0, 11338,640,480:waittimer 74019 blt 0,0,640,480,0, 11340,640,480:waittimer 74032 blt 0,0,640,480,0, 11342,640,480:waittimer 74045 blt 0,0,640,480,0, 11344,640,480:waittimer 74058 blt 0,0,640,480,0, 11346,640,480:waittimer 74071 blt 0,0,640,480,0, 11348,640,480:waittimer 74084 blt 0,0,640,480,0, 11350,640,480:waittimer 74097 blt 0,0,640,480,0, 11352,640,480:waittimer 74110 blt 0,0,640,480,0, 11354,640,480:waittimer 74123 blt 0,0,640,480,0, 11356,640,480:waittimer 74136 blt 0,0,640,480,0, 11358,640,480:waittimer 74149 blt 0,0,640,480,0, 11360,640,480:waittimer 74162 blt 0,0,640,480,0, 11362,640,480:waittimer 74175 blt 0,0,640,480,0, 11364,640,480:waittimer 74188 blt 0,0,640,480,0, 11366,640,480:waittimer 74201 blt 0,0,640,480,0, 11368,640,480:waittimer 74215 blt 0,0,640,480,0, 11370,640,480:waittimer 74228 blt 0,0,640,480,0, 11372,640,480:waittimer 74241 blt 0,0,640,480,0, 11374,640,480:waittimer 74254 blt 0,0,640,480,0, 11376,640,480:waittimer 74267 blt 0,0,640,480,0, 11378,640,480:waittimer 74280 blt 0,0,640,480,0, 11380,640,480:waittimer 74293 blt 0,0,640,480,0, 11382,640,480:waittimer 74306 blt 0,0,640,480,0, 11384,640,480:waittimer 74319 blt 0,0,640,480,0, 11386,640,480:waittimer 74332 blt 0,0,640,480,0, 11388,640,480:waittimer 74345 blt 0,0,640,480,0, 11390,640,480:waittimer 74358 blt 0,0,640,480,0, 11392,640,480:waittimer 74371 blt 0,0,640,480,0, 11394,640,480:waittimer 74384 blt 0,0,640,480,0, 11396,640,480:waittimer 74397 blt 0,0,640,480,0, 11398,640,480:waittimer 74410 blt 0,0,640,480,0, 11400,640,480:waittimer 74423 blt 0,0,640,480,0, 11402,640,480:waittimer 74437 blt 0,0,640,480,0, 11404,640,480:waittimer 74450 blt 0,0,640,480,0, 11406,640,480:waittimer 74463 blt 0,0,640,480,0, 11408,640,480:waittimer 74476 blt 0,0,640,480,0, 11410,640,480:waittimer 74489 blt 0,0,640,480,0, 11412,640,480:waittimer 74502 blt 0,0,640,480,0, 11414,640,480:waittimer 74515 blt 0,0,640,480,0, 11416,640,480:waittimer 74528 blt 0,0,640,480,0, 11418,640,480:waittimer 74541 blt 0,0,640,480,0, 11420,640,480:waittimer 74554 blt 0,0,640,480,0, 11422,640,480:waittimer 74567 blt 0,0,640,480,0, 11424,640,480:waittimer 74580 blt 0,0,640,480,0, 11426,640,480:waittimer 74593 blt 0,0,640,480,0, 11428,640,480:waittimer 74606 blt 0,0,640,480,0, 11430,640,480:waittimer 74619 blt 0,0,640,480,0, 11432,640,480:waittimer 74632 blt 0,0,640,480,0, 11434,640,480:waittimer 74645 blt 0,0,640,480,0, 11436,640,480:waittimer 74658 blt 0,0,640,480,0, 11438,640,480:waittimer 74672 blt 0,0,640,480,0, 11440,640,480:waittimer 74685 blt 0,0,640,480,0, 11442,640,480:waittimer 74698 blt 0,0,640,480,0, 11444,640,480:waittimer 74711 blt 0,0,640,480,0, 11446,640,480:waittimer 74724 blt 0,0,640,480,0, 11448,640,480:waittimer 74737 blt 0,0,640,480,0, 11450,640,480:waittimer 74750 blt 0,0,640,480,0, 11452,640,480:waittimer 74763 blt 0,0,640,480,0, 11454,640,480:waittimer 74776 blt 0,0,640,480,0, 11456,640,480:waittimer 74789 blt 0,0,640,480,0, 11458,640,480:waittimer 74802 blt 0,0,640,480,0, 11460,640,480:waittimer 74815 blt 0,0,640,480,0, 11462,640,480:waittimer 74828 blt 0,0,640,480,0, 11464,640,480:waittimer 74841 blt 0,0,640,480,0, 11466,640,480:waittimer 74854 blt 0,0,640,480,0, 11468,640,480:waittimer 74867 blt 0,0,640,480,0, 11470,640,480:waittimer 74880 blt 0,0,640,480,0, 11472,640,480:waittimer 74894 blt 0,0,640,480,0, 11474,640,480:waittimer 74907 blt 0,0,640,480,0, 11476,640,480:waittimer 74920 blt 0,0,640,480,0, 11478,640,480:waittimer 74933 blt 0,0,640,480,0, 11480,640,480:waittimer 74946 blt 0,0,640,480,0, 11482,640,480:waittimer 74959 blt 0,0,640,480,0, 11484,640,480:waittimer 74972 blt 0,0,640,480,0, 11486,640,480:waittimer 74985 blt 0,0,640,480,0, 11488,640,480:waittimer 74998 blt 0,0,640,480,0, 11490,640,480:waittimer 75011 blt 0,0,640,480,0, 11492,640,480:waittimer 75024 blt 0,0,640,480,0, 11494,640,480:waittimer 75037 blt 0,0,640,480,0, 11496,640,480:waittimer 75050 blt 0,0,640,480,0, 11498,640,480:waittimer 75063 blt 0,0,640,480,0, 11500,640,480:waittimer 75076 blt 0,0,640,480,0, 11502,640,480:waittimer 75089 blt 0,0,640,480,0, 11504,640,480:waittimer 75102 blt 0,0,640,480,0, 11506,640,480:waittimer 75115 blt 0,0,640,480,0, 11508,640,480:waittimer 75129 blt 0,0,640,480,0, 11510,640,480:waittimer 75142 blt 0,0,640,480,0, 11512,640,480:waittimer 75155 blt 0,0,640,480,0, 11514,640,480:waittimer 75168 blt 0,0,640,480,0, 11516,640,480:waittimer 75181 blt 0,0,640,480,0, 11518,640,480:waittimer 75194 blt 0,0,640,480,0, 11520,640,480:waittimer 75207 blt 0,0,640,480,0, 11522,640,480:waittimer 75220 blt 0,0,640,480,0, 11524,640,480:waittimer 75233 blt 0,0,640,480,0, 11526,640,480:waittimer 75246 blt 0,0,640,480,0, 11528,640,480:waittimer 75259 blt 0,0,640,480,0, 11530,640,480:waittimer 75272 blt 0,0,640,480,0, 11532,640,480:waittimer 75285 blt 0,0,640,480,0, 11534,640,480:waittimer 75298 blt 0,0,640,480,0, 11536,640,480:waittimer 75311 blt 0,0,640,480,0, 11538,640,480:waittimer 75324 blt 0,0,640,480,0, 11540,640,480:waittimer 75337 blt 0,0,640,480,0, 11542,640,480:waittimer 75350 blt 0,0,640,480,0, 11544,640,480:waittimer 75364 blt 0,0,640,480,0, 11546,640,480:waittimer 75377 blt 0,0,640,480,0, 11548,640,480:waittimer 75390 blt 0,0,640,480,0, 11550,640,480:waittimer 75403 blt 0,0,640,480,0, 11552,640,480:waittimer 75416 blt 0,0,640,480,0, 11554,640,480:waittimer 75429 blt 0,0,640,480,0, 11556,640,480:waittimer 75442 blt 0,0,640,480,0, 11558,640,480:waittimer 75455 blt 0,0,640,480,0, 11560,640,480:waittimer 75468 blt 0,0,640,480,0, 11562,640,480:waittimer 75481 blt 0,0,640,480,0, 11564,640,480:waittimer 75494 blt 0,0,640,480,0, 11566,640,480:waittimer 75507 blt 0,0,640,480,0, 11568,640,480:waittimer 75520 blt 0,0,640,480,0, 11570,640,480:waittimer 75533 blt 0,0,640,480,0, 11572,640,480:waittimer 75546 blt 0,0,640,480,0, 11574,640,480:waittimer 75559 blt 0,0,640,480,0, 11576,640,480:waittimer 75572 blt 0,0,640,480,0, 11578,640,480:waittimer 75586 blt 0,0,640,480,0, 11580,640,480:waittimer 75599 blt 0,0,640,480,0, 11582,640,480:waittimer 75612 blt 0,0,640,480,0, 11584,640,480:waittimer 75625 blt 0,0,640,480,0, 11586,640,480:waittimer 75638 blt 0,0,640,480,0, 11588,640,480:waittimer 75651 blt 0,0,640,480,0, 11590,640,480:waittimer 75664 blt 0,0,640,480,0, 11592,640,480:waittimer 75677 blt 0,0,640,480,0, 11594,640,480:waittimer 75690 blt 0,0,640,480,0, 11596,640,480:waittimer 75703 blt 0,0,640,480,0, 11598,640,480:waittimer 75716 blt 0,0,640,480,0, 11600,640,480:waittimer 75729 blt 0,0,640,480,0, 11602,640,480:waittimer 75742 blt 0,0,640,480,0, 11604,640,480:waittimer 75755 blt 0,0,640,480,0, 11606,640,480:waittimer 75768 blt 0,0,640,480,0, 11608,640,480:waittimer 75781 blt 0,0,640,480,0, 11610,640,480:waittimer 75794 blt 0,0,640,480,0, 11612,640,480:waittimer 75807 blt 0,0,640,480,0, 11614,640,480:waittimer 75821 blt 0,0,640,480,0, 11616,640,480:waittimer 75834 blt 0,0,640,480,0, 11618,640,480:waittimer 75847 blt 0,0,640,480,0, 11620,640,480:waittimer 75860 blt 0,0,640,480,0, 11622,640,480:waittimer 75873 blt 0,0,640,480,0, 11624,640,480:waittimer 75886 blt 0,0,640,480,0, 11626,640,480:waittimer 75899 blt 0,0,640,480,0, 11628,640,480:waittimer 75912 blt 0,0,640,480,0, 11630,640,480:waittimer 75925 blt 0,0,640,480,0, 11632,640,480:waittimer 75938 blt 0,0,640,480,0, 11634,640,480:waittimer 75951 blt 0,0,640,480,0, 11636,640,480:waittimer 75964 blt 0,0,640,480,0, 11638,640,480:waittimer 75977 blt 0,0,640,480,0, 11640,640,480:waittimer 75990 blt 0,0,640,480,0, 11642,640,480:waittimer 76003 blt 0,0,640,480,0, 11644,640,480:waittimer 76016 blt 0,0,640,480,0, 11646,640,480:waittimer 76029 blt 0,0,640,480,0, 11648,640,480:waittimer 76043 blt 0,0,640,480,0, 11650,640,480:waittimer 76056 blt 0,0,640,480,0, 11652,640,480:waittimer 76069 blt 0,0,640,480,0, 11654,640,480:waittimer 76082 blt 0,0,640,480,0, 11656,640,480:waittimer 76095 blt 0,0,640,480,0, 11658,640,480:waittimer 76108 blt 0,0,640,480,0, 11660,640,480:waittimer 76121 blt 0,0,640,480,0, 11662,640,480:waittimer 76134 blt 0,0,640,480,0, 11664,640,480:waittimer 76147 blt 0,0,640,480,0, 11666,640,480:waittimer 76160 blt 0,0,640,480,0, 11668,640,480:waittimer 76173 blt 0,0,640,480,0, 11670,640,480:waittimer 76186 blt 0,0,640,480,0, 11672,640,480:waittimer 76199 blt 0,0,640,480,0, 11674,640,480:waittimer 76212 blt 0,0,640,480,0, 11676,640,480:waittimer 76225 blt 0,0,640,480,0, 11678,640,480:waittimer 76238 blt 0,0,640,480,0, 11680,640,480:waittimer 76251 blt 0,0,640,480,0, 11682,640,480:waittimer 76264 blt 0,0,640,480,0, 11684,640,480:waittimer 76278 blt 0,0,640,480,0, 11686,640,480:waittimer 76291 blt 0,0,640,480,0, 11688,640,480:waittimer 76304 blt 0,0,640,480,0, 11690,640,480:waittimer 76317 blt 0,0,640,480,0, 11692,640,480:waittimer 76330 blt 0,0,640,480,0, 11694,640,480:waittimer 76343 blt 0,0,640,480,0, 11696,640,480:waittimer 76356 blt 0,0,640,480,0, 11698,640,480:waittimer 76369 blt 0,0,640,480,0, 11700,640,480:waittimer 76382 blt 0,0,640,480,0, 11702,640,480:waittimer 76395 blt 0,0,640,480,0, 11704,640,480:waittimer 76408 blt 0,0,640,480,0, 11706,640,480:waittimer 76421 blt 0,0,640,480,0, 11708,640,480:waittimer 76434 blt 0,0,640,480,0, 11710,640,480:waittimer 76447 blt 0,0,640,480,0, 11712,640,480:waittimer 76460 blt 0,0,640,480,0, 11714,640,480:waittimer 76473 blt 0,0,640,480,0, 11716,640,480:waittimer 76486 blt 0,0,640,480,0, 11718,640,480:waittimer 76499 blt 0,0,640,480,0, 11720,640,480:waittimer 76513 blt 0,0,640,480,0, 11722,640,480:waittimer 76526 blt 0,0,640,480,0, 11724,640,480:waittimer 76539 blt 0,0,640,480,0, 11726,640,480:waittimer 76552 blt 0,0,640,480,0, 11728,640,480:waittimer 76565 blt 0,0,640,480,0, 11730,640,480:waittimer 76578 blt 0,0,640,480,0, 11732,640,480:waittimer 76591 blt 0,0,640,480,0, 11734,640,480:waittimer 76604 blt 0,0,640,480,0, 11736,640,480:waittimer 76617 blt 0,0,640,480,0, 11738,640,480:waittimer 76630 blt 0,0,640,480,0, 11740,640,480:waittimer 76643 blt 0,0,640,480,0, 11742,640,480:waittimer 76656 blt 0,0,640,480,0, 11744,640,480:waittimer 76669 blt 0,0,640,480,0, 11746,640,480:waittimer 76682 blt 0,0,640,480,0, 11748,640,480:waittimer 76695 blt 0,0,640,480,0, 11750,640,480:waittimer 76708 blt 0,0,640,480,0, 11752,640,480:waittimer 76721 blt 0,0,640,480,0, 11754,640,480:waittimer 76735 blt 0,0,640,480,0, 11756,640,480:waittimer 76748 blt 0,0,640,480,0, 11758,640,480:waittimer 76761 blt 0,0,640,480,0, 11760,640,480:waittimer 76774 blt 0,0,640,480,0, 11762,640,480:waittimer 76787 blt 0,0,640,480,0, 11764,640,480:waittimer 76800 blt 0,0,640,480,0, 11766,640,480:waittimer 76813 blt 0,0,640,480,0, 11768,640,480:waittimer 76826 blt 0,0,640,480,0, 11770,640,480:waittimer 76839 blt 0,0,640,480,0, 11772,640,480:waittimer 76852 blt 0,0,640,480,0, 11774,640,480:waittimer 76865 blt 0,0,640,480,0, 11776,640,480:waittimer 76878 blt 0,0,640,480,0, 11778,640,480:waittimer 76891 blt 0,0,640,480,0, 11780,640,480:waittimer 76904 blt 0,0,640,480,0, 11782,640,480:waittimer 76917 blt 0,0,640,480,0, 11784,640,480:waittimer 76930 blt 0,0,640,480,0, 11786,640,480:waittimer 76943 blt 0,0,640,480,0, 11788,640,480:waittimer 76956 blt 0,0,640,480,0, 11790,640,480:waittimer 76970 blt 0,0,640,480,0, 11792,640,480:waittimer 76983 blt 0,0,640,480,0, 11794,640,480:waittimer 76996 blt 0,0,640,480,0, 11796,640,480:waittimer 77009 blt 0,0,640,480,0, 11798,640,480:waittimer 77022 blt 0,0,640,480,0, 11800,640,480:waittimer 77035 blt 0,0,640,480,0, 11802,640,480:waittimer 77048 blt 0,0,640,480,0, 11804,640,480:waittimer 77061 blt 0,0,640,480,0, 11806,640,480:waittimer 77074 blt 0,0,640,480,0, 11808,640,480:waittimer 77087 blt 0,0,640,480,0, 11810,640,480:waittimer 77100 blt 0,0,640,480,0, 11812,640,480:waittimer 77113 blt 0,0,640,480,0, 11814,640,480:waittimer 77126 blt 0,0,640,480,0, 11816,640,480:waittimer 77139 blt 0,0,640,480,0, 11818,640,480:waittimer 77152 blt 0,0,640,480,0, 11820,640,480:waittimer 77165 blt 0,0,640,480,0, 11822,640,480:waittimer 77178 blt 0,0,640,480,0, 11824,640,480:waittimer 77192 blt 0,0,640,480,0, 11826,640,480:waittimer 77205 blt 0,0,640,480,0, 11828,640,480:waittimer 77218 blt 0,0,640,480,0, 11830,640,480:waittimer 77231 blt 0,0,640,480,0, 11832,640,480:waittimer 77244 blt 0,0,640,480,0, 11834,640,480:waittimer 77257 blt 0,0,640,480,0, 11836,640,480:waittimer 77270 blt 0,0,640,480,0, 11838,640,480:waittimer 77283 blt 0,0,640,480,0, 11840,640,480:waittimer 77296 blt 0,0,640,480,0, 11842,640,480:waittimer 77309 blt 0,0,640,480,0, 11844,640,480:waittimer 77322 blt 0,0,640,480,0, 11846,640,480:waittimer 77335 blt 0,0,640,480,0, 11848,640,480:waittimer 77348 blt 0,0,640,480,0, 11850,640,480:waittimer 77361 blt 0,0,640,480,0, 11852,640,480:waittimer 77374 blt 0,0,640,480,0, 11854,640,480:waittimer 77387 blt 0,0,640,480,0, 11856,640,480:waittimer 77400 blt 0,0,640,480,0, 11858,640,480:waittimer 77413 blt 0,0,640,480,0, 11860,640,480:waittimer 77427 blt 0,0,640,480,0, 11862,640,480:waittimer 77440 blt 0,0,640,480,0, 11864,640,480:waittimer 77453 blt 0,0,640,480,0, 11866,640,480:waittimer 77466 blt 0,0,640,480,0, 11868,640,480:waittimer 77479 blt 0,0,640,480,0, 11870,640,480:waittimer 77492 blt 0,0,640,480,0, 11872,640,480:waittimer 77505 blt 0,0,640,480,0, 11874,640,480:waittimer 77518 blt 0,0,640,480,0, 11876,640,480:waittimer 77531 blt 0,0,640,480,0, 11878,640,480:waittimer 77544 blt 0,0,640,480,0, 11880,640,480:waittimer 77557 blt 0,0,640,480,0, 11882,640,480:waittimer 77570 blt 0,0,640,480,0, 11884,640,480:waittimer 77583 blt 0,0,640,480,0, 11886,640,480:waittimer 77596 blt 0,0,640,480,0, 11888,640,480:waittimer 77609 blt 0,0,640,480,0, 11890,640,480:waittimer 77622 blt 0,0,640,480,0, 11892,640,480:waittimer 77635 blt 0,0,640,480,0, 11894,640,480:waittimer 77648 blt 0,0,640,480,0, 11896,640,480:waittimer 77662 blt 0,0,640,480,0, 11898,640,480:waittimer 77675 blt 0,0,640,480,0, 11900,640,480:waittimer 77688 blt 0,0,640,480,0, 11902,640,480:waittimer 77701 blt 0,0,640,480,0, 11904,640,480:waittimer 77714 blt 0,0,640,480,0, 11906,640,480:waittimer 77727 blt 0,0,640,480,0, 11908,640,480:waittimer 77740 blt 0,0,640,480,0, 11910,640,480:waittimer 77753 blt 0,0,640,480,0, 11912,640,480:waittimer 77766 blt 0,0,640,480,0, 11914,640,480:waittimer 77779 blt 0,0,640,480,0, 11916,640,480:waittimer 77792 blt 0,0,640,480,0, 11918,640,480:waittimer 77805 blt 0,0,640,480,0, 11920,640,480:waittimer 77818 blt 0,0,640,480,0, 11922,640,480:waittimer 77831 blt 0,0,640,480,0, 11924,640,480:waittimer 77844 blt 0,0,640,480,0, 11926,640,480:waittimer 77857 blt 0,0,640,480,0, 11928,640,480:waittimer 77870 blt 0,0,640,480,0, 11930,640,480:waittimer 77884 blt 0,0,640,480,0, 11932,640,480:waittimer 77897 blt 0,0,640,480,0, 11934,640,480:waittimer 77910 blt 0,0,640,480,0, 11936,640,480:waittimer 77923 blt 0,0,640,480,0, 11938,640,480:waittimer 77936 blt 0,0,640,480,0, 11940,640,480:waittimer 77949 blt 0,0,640,480,0, 11942,640,480:waittimer 77962 blt 0,0,640,480,0, 11944,640,480:waittimer 77975 blt 0,0,640,480,0, 11946,640,480:waittimer 77988 blt 0,0,640,480,0, 11948,640,480:waittimer 78001 blt 0,0,640,480,0, 11950,640,480:waittimer 78014 blt 0,0,640,480,0, 11952,640,480:waittimer 78027 blt 0,0,640,480,0, 11954,640,480:waittimer 78040 blt 0,0,640,480,0, 11956,640,480:waittimer 78053 blt 0,0,640,480,0, 11958,640,480:waittimer 78066 blt 0,0,640,480,0, 11960,640,480:waittimer 78079 blt 0,0,640,480,0, 11962,640,480:waittimer 78092 blt 0,0,640,480,0, 11964,640,480:waittimer 78105 blt 0,0,640,480,0, 11966,640,480:waittimer 78119 blt 0,0,640,480,0, 11968,640,480:waittimer 78132 blt 0,0,640,480,0, 11970,640,480:waittimer 78145 blt 0,0,640,480,0, 11972,640,480:waittimer 78158 blt 0,0,640,480,0, 11974,640,480:waittimer 78171 blt 0,0,640,480,0, 11976,640,480:waittimer 78184 blt 0,0,640,480,0, 11978,640,480:waittimer 78197 blt 0,0,640,480,0, 11980,640,480:waittimer 78210 blt 0,0,640,480,0, 11982,640,480:waittimer 78223 blt 0,0,640,480,0, 11984,640,480:waittimer 78236 blt 0,0,640,480,0, 11986,640,480:waittimer 78249 blt 0,0,640,480,0, 11988,640,480:waittimer 78262 blt 0,0,640,480,0, 11990,640,480:waittimer 78275 blt 0,0,640,480,0, 11992,640,480:waittimer 78288 blt 0,0,640,480,0, 11994,640,480:waittimer 78301 blt 0,0,640,480,0, 11996,640,480:waittimer 78314 blt 0,0,640,480,0, 11998,640,480:waittimer 78327 blt 0,0,640,480,0, 12000,640,480:waittimer 78341 blt 0,0,640,480,0, 12002,640,480:waittimer 78354 blt 0,0,640,480,0, 12004,640,480:waittimer 78367 blt 0,0,640,480,0, 12006,640,480:waittimer 78380 blt 0,0,640,480,0, 12008,640,480:waittimer 78393 blt 0,0,640,480,0, 12010,640,480:waittimer 78406 blt 0,0,640,480,0, 12012,640,480:waittimer 78419 blt 0,0,640,480,0, 12014,640,480:waittimer 78432 blt 0,0,640,480,0, 12016,640,480:waittimer 78445 blt 0,0,640,480,0, 12018,640,480:waittimer 78458 blt 0,0,640,480,0, 12020,640,480:waittimer 78471 blt 0,0,640,480,0, 12022,640,480:waittimer 78484 blt 0,0,640,480,0, 12024,640,480:waittimer 78497 blt 0,0,640,480,0, 12026,640,480:waittimer 78510 blt 0,0,640,480,0, 12028,640,480:waittimer 78523 blt 0,0,640,480,0, 12030,640,480:waittimer 78536 blt 0,0,640,480,0, 12032,640,480:waittimer 78549 blt 0,0,640,480,0, 12034,640,480:waittimer 78562 blt 0,0,640,480,0, 12036,640,480:waittimer 78576 blt 0,0,640,480,0, 12038,640,480:waittimer 78589 blt 0,0,640,480,0, 12040,640,480:waittimer 78602 blt 0,0,640,480,0, 12042,640,480:waittimer 78615 blt 0,0,640,480,0, 12044,640,480:waittimer 78628 blt 0,0,640,480,0, 12046,640,480:waittimer 78641 blt 0,0,640,480,0, 12048,640,480:waittimer 78654 blt 0,0,640,480,0, 12050,640,480:waittimer 78667 blt 0,0,640,480,0, 12052,640,480:waittimer 78680 blt 0,0,640,480,0, 12054,640,480:waittimer 78693 blt 0,0,640,480,0, 12056,640,480:waittimer 78706 blt 0,0,640,480,0, 12058,640,480:waittimer 78719 blt 0,0,640,480,0, 12060,640,480:waittimer 78732 blt 0,0,640,480,0, 12062,640,480:waittimer 78745 blt 0,0,640,480,0, 12064,640,480:waittimer 78758 blt 0,0,640,480,0, 12066,640,480:waittimer 78771 blt 0,0,640,480,0, 12068,640,480:waittimer 78784 blt 0,0,640,480,0, 12070,640,480:waittimer 78798 blt 0,0,640,480,0, 12072,640,480:waittimer 78811 blt 0,0,640,480,0, 12074,640,480:waittimer 78824 blt 0,0,640,480,0, 12076,640,480:waittimer 78837 blt 0,0,640,480,0, 12078,640,480:waittimer 78850 blt 0,0,640,480,0, 12080,640,480:waittimer 78863 blt 0,0,640,480,0, 12082,640,480:waittimer 78876 blt 0,0,640,480,0, 12084,640,480:waittimer 78889 blt 0,0,640,480,0, 12086,640,480:waittimer 78902 blt 0,0,640,480,0, 12088,640,480:waittimer 78915 blt 0,0,640,480,0, 12090,640,480:waittimer 78928 blt 0,0,640,480,0, 12092,640,480:waittimer 78941 blt 0,0,640,480,0, 12094,640,480:waittimer 78954 blt 0,0,640,480,0, 12096,640,480:waittimer 78967 blt 0,0,640,480,0, 12098,640,480:waittimer 78980 blt 0,0,640,480,0, 12100,640,480:waittimer 78993 blt 0,0,640,480,0, 12102,640,480:waittimer 79006 blt 0,0,640,480,0, 12104,640,480:waittimer 79019 blt 0,0,640,480,0, 12106,640,480:waittimer 79033 blt 0,0,640,480,0, 12108,640,480:waittimer 79046 blt 0,0,640,480,0, 12110,640,480:waittimer 79059 blt 0,0,640,480,0, 12112,640,480:waittimer 79072 blt 0,0,640,480,0, 12114,640,480:waittimer 79085 blt 0,0,640,480,0, 12116,640,480:waittimer 79098 blt 0,0,640,480,0, 12118,640,480:waittimer 79111 blt 0,0,640,480,0, 12120,640,480:waittimer 79124 blt 0,0,640,480,0, 12122,640,480:waittimer 79137 blt 0,0,640,480,0, 12124,640,480:waittimer 79150 blt 0,0,640,480,0, 12126,640,480:waittimer 79163 blt 0,0,640,480,0, 12128,640,480:waittimer 79176 blt 0,0,640,480,0, 12130,640,480:waittimer 79189 blt 0,0,640,480,0, 12132,640,480:waittimer 79202 blt 0,0,640,480,0, 12134,640,480:waittimer 79215 blt 0,0,640,480,0, 12136,640,480:waittimer 79228 blt 0,0,640,480,0, 12138,640,480:waittimer 79241 blt 0,0,640,480,0, 12140,640,480:waittimer 79254 blt 0,0,640,480,0, 12142,640,480:waittimer 79268 blt 0,0,640,480,0, 12144,640,480:waittimer 79281 blt 0,0,640,480,0, 12146,640,480:waittimer 79294 blt 0,0,640,480,0, 12148,640,480:waittimer 79307 blt 0,0,640,480,0, 12150,640,480:waittimer 79320 blt 0,0,640,480,0, 12152,640,480:waittimer 79333 blt 0,0,640,480,0, 12154,640,480:waittimer 79346 blt 0,0,640,480,0, 12156,640,480:waittimer 79359 blt 0,0,640,480,0, 12158,640,480:waittimer 79372 blt 0,0,640,480,0, 12160,640,480:waittimer 79385 blt 0,0,640,480,0, 12162,640,480:waittimer 79398 blt 0,0,640,480,0, 12164,640,480:waittimer 79411 blt 0,0,640,480,0, 12166,640,480:waittimer 79424 blt 0,0,640,480,0, 12168,640,480:waittimer 79437 blt 0,0,640,480,0, 12170,640,480:waittimer 79450 blt 0,0,640,480,0, 12172,640,480:waittimer 79463 blt 0,0,640,480,0, 12174,640,480:waittimer 79476 blt 0,0,640,480,0, 12176,640,480:waittimer 79490 blt 0,0,640,480,0, 12178,640,480:waittimer 79503 blt 0,0,640,480,0, 12180,640,480:waittimer 79516 blt 0,0,640,480,0, 12182,640,480:waittimer 79529 blt 0,0,640,480,0, 12184,640,480:waittimer 79542 blt 0,0,640,480,0, 12186,640,480:waittimer 79555 blt 0,0,640,480,0, 12188,640,480:waittimer 79568 blt 0,0,640,480,0, 12190,640,480:waittimer 79581 blt 0,0,640,480,0, 12192,640,480:waittimer 79594 blt 0,0,640,480,0, 12194,640,480:waittimer 79607 blt 0,0,640,480,0, 12196,640,480:waittimer 79620 blt 0,0,640,480,0, 12198,640,480:waittimer 79633 blt 0,0,640,480,0, 12200,640,480:waittimer 79646 blt 0,0,640,480,0, 12202,640,480:waittimer 79659 blt 0,0,640,480,0, 12204,640,480:waittimer 79672 blt 0,0,640,480,0, 12206,640,480:waittimer 79685 blt 0,0,640,480,0, 12208,640,480:waittimer 79698 blt 0,0,640,480,0, 12210,640,480:waittimer 79711 blt 0,0,640,480,0, 12212,640,480:waittimer 79725 blt 0,0,640,480,0, 12214,640,480:waittimer 79738 blt 0,0,640,480,0, 12216,640,480:waittimer 79751 blt 0,0,640,480,0, 12218,640,480:waittimer 79764 blt 0,0,640,480,0, 12220,640,480:waittimer 79777 blt 0,0,640,480,0, 12222,640,480:waittimer 79790 blt 0,0,640,480,0, 12224,640,480:waittimer 79803 blt 0,0,640,480,0, 12226,640,480:waittimer 79816 blt 0,0,640,480,0, 12228,640,480:waittimer 79829 blt 0,0,640,480,0, 12230,640,480:waittimer 79842 blt 0,0,640,480,0, 12232,640,480:waittimer 79855 blt 0,0,640,480,0, 12234,640,480:waittimer 79868 blt 0,0,640,480,0, 12236,640,480:waittimer 79881 blt 0,0,640,480,0, 12238,640,480:waittimer 79894 blt 0,0,640,480,0, 12240,640,480:waittimer 79907 blt 0,0,640,480,0, 12242,640,480:waittimer 79920 blt 0,0,640,480,0, 12244,640,480:waittimer 79933 blt 0,0,640,480,0, 12246,640,480:waittimer 79947 blt 0,0,640,480,0, 12248,640,480:waittimer 79960 blt 0,0,640,480,0, 12250,640,480:waittimer 79973 blt 0,0,640,480,0, 12252,640,480:waittimer 79986 blt 0,0,640,480,0, 12254,640,480:waittimer 79999 blt 0,0,640,480,0, 12256,640,480:waittimer 80012 blt 0,0,640,480,0, 12258,640,480:waittimer 80025 blt 0,0,640,480,0, 12260,640,480:waittimer 80038 blt 0,0,640,480,0, 12262,640,480:waittimer 80051 blt 0,0,640,480,0, 12264,640,480:waittimer 80064 blt 0,0,640,480,0, 12266,640,480:waittimer 80077 blt 0,0,640,480,0, 12268,640,480:waittimer 80090 blt 0,0,640,480,0, 12270,640,480:waittimer 80103 blt 0,0,640,480,0, 12272,640,480:waittimer 80116 blt 0,0,640,480,0, 12274,640,480:waittimer 80129 blt 0,0,640,480,0, 12276,640,480:waittimer 80142 blt 0,0,640,480,0, 12278,640,480:waittimer 80155 blt 0,0,640,480,0, 12280,640,480:waittimer 80168 blt 0,0,640,480,0, 12282,640,480:waittimer 80182 blt 0,0,640,480,0, 12284,640,480:waittimer 80195 blt 0,0,640,480,0, 12286,640,480:waittimer 80208 blt 0,0,640,480,0, 12288,640,480:waittimer 80221 blt 0,0,640,480,0, 12290,640,480:waittimer 80234 blt 0,0,640,480,0, 12292,640,480:waittimer 80247 blt 0,0,640,480,0, 12294,640,480:waittimer 80260 blt 0,0,640,480,0, 12296,640,480:waittimer 80273 blt 0,0,640,480,0, 12298,640,480:waittimer 80286 blt 0,0,640,480,0, 12300,640,480:waittimer 80299 blt 0,0,640,480,0, 12302,640,480:waittimer 80312 blt 0,0,640,480,0, 12304,640,480:waittimer 80325 blt 0,0,640,480,0, 12306,640,480:waittimer 80338 blt 0,0,640,480,0, 12308,640,480:waittimer 80351 blt 0,0,640,480,0, 12310,640,480:waittimer 80364 blt 0,0,640,480,0, 12312,640,480:waittimer 80377 blt 0,0,640,480,0, 12314,640,480:waittimer 80390 blt 0,0,640,480,0, 12316,640,480:waittimer 80403 blt 0,0,640,480,0, 12318,640,480:waittimer 80417 blt 0,0,640,480,0, 12320,640,480:waittimer 80430 blt 0,0,640,480,0, 12322,640,480:waittimer 80443 blt 0,0,640,480,0, 12324,640,480:waittimer 80456 blt 0,0,640,480,0, 12326,640,480:waittimer 80469 blt 0,0,640,480,0, 12328,640,480:waittimer 80482 blt 0,0,640,480,0, 12330,640,480:waittimer 80495 blt 0,0,640,480,0, 12332,640,480:waittimer 80508 blt 0,0,640,480,0, 12334,640,480:waittimer 80521 blt 0,0,640,480,0, 12336,640,480:waittimer 80534 blt 0,0,640,480,0, 12338,640,480:waittimer 80547 blt 0,0,640,480,0, 12340,640,480:waittimer 80560 blt 0,0,640,480,0, 12342,640,480:waittimer 80573 blt 0,0,640,480,0, 12344,640,480:waittimer 80586 blt 0,0,640,480,0, 12346,640,480:waittimer 80599 blt 0,0,640,480,0, 12348,640,480:waittimer 80612 blt 0,0,640,480,0, 12350,640,480:waittimer 80625 blt 0,0,640,480,0, 12352,640,480:waittimer 80639 blt 0,0,640,480,0, 12354,640,480:waittimer 80652 blt 0,0,640,480,0, 12356,640,480:waittimer 80665 blt 0,0,640,480,0, 12358,640,480:waittimer 80678 blt 0,0,640,480,0, 12360,640,480:waittimer 80691 blt 0,0,640,480,0, 12362,640,480:waittimer 80704 blt 0,0,640,480,0, 12364,640,480:waittimer 80717 blt 0,0,640,480,0, 12366,640,480:waittimer 80730 blt 0,0,640,480,0, 12368,640,480:waittimer 80743 blt 0,0,640,480,0, 12370,640,480:waittimer 80756 blt 0,0,640,480,0, 12372,640,480:waittimer 80769 blt 0,0,640,480,0, 12374,640,480:waittimer 80782 blt 0,0,640,480,0, 12376,640,480:waittimer 80795 blt 0,0,640,480,0, 12378,640,480:waittimer 80808 blt 0,0,640,480,0, 12380,640,480:waittimer 80821 blt 0,0,640,480,0, 12382,640,480:waittimer 80834 blt 0,0,640,480,0, 12384,640,480:waittimer 80847 blt 0,0,640,480,0, 12386,640,480:waittimer 80860 blt 0,0,640,480,0, 12388,640,480:waittimer 80874 blt 0,0,640,480,0, 12390,640,480:waittimer 80887 blt 0,0,640,480,0, 12392,640,480:waittimer 80900 blt 0,0,640,480,0, 12394,640,480:waittimer 80913 blt 0,0,640,480,0, 12396,640,480:waittimer 80926 blt 0,0,640,480,0, 12398,640,480:waittimer 80939 blt 0,0,640,480,0, 12400,640,480:waittimer 80952 blt 0,0,640,480,0, 12402,640,480:waittimer 80965 blt 0,0,640,480,0, 12404,640,480:waittimer 80978 blt 0,0,640,480,0, 12406,640,480:waittimer 80991 blt 0,0,640,480,0, 12408,640,480:waittimer 81004 blt 0,0,640,480,0, 12410,640,480:waittimer 81017 blt 0,0,640,480,0, 12412,640,480:waittimer 81030 blt 0,0,640,480,0, 12414,640,480:waittimer 81043 blt 0,0,640,480,0, 12416,640,480:waittimer 81056 blt 0,0,640,480,0, 12418,640,480:waittimer 81069 blt 0,0,640,480,0, 12420,640,480:waittimer 81082 blt 0,0,640,480,0, 12422,640,480:waittimer 81096 blt 0,0,640,480,0, 12424,640,480:waittimer 81109 blt 0,0,640,480,0, 12426,640,480:waittimer 81122 blt 0,0,640,480,0, 12428,640,480:waittimer 81135 blt 0,0,640,480,0, 12430,640,480:waittimer 81148 blt 0,0,640,480,0, 12432,640,480:waittimer 81161 blt 0,0,640,480,0, 12434,640,480:waittimer 81174 blt 0,0,640,480,0, 12436,640,480:waittimer 81187 blt 0,0,640,480,0, 12438,640,480:waittimer 81200 blt 0,0,640,480,0, 12440,640,480:waittimer 81213 blt 0,0,640,480,0, 12442,640,480:waittimer 81226 blt 0,0,640,480,0, 12444,640,480:waittimer 81239 blt 0,0,640,480,0, 12446,640,480:waittimer 81252 blt 0,0,640,480,0, 12448,640,480:waittimer 81265 blt 0,0,640,480,0, 12450,640,480:waittimer 81278 blt 0,0,640,480,0, 12452,640,480:waittimer 81291 blt 0,0,640,480,0, 12454,640,480:waittimer 81304 blt 0,0,640,480,0, 12456,640,480:waittimer 81317 blt 0,0,640,480,0, 12458,640,480:waittimer 81331 blt 0,0,640,480,0, 12460,640,480:waittimer 81344 blt 0,0,640,480,0, 12462,640,480:waittimer 81357 blt 0,0,640,480,0, 12464,640,480:waittimer 81370 blt 0,0,640,480,0, 12466,640,480:waittimer 81383 blt 0,0,640,480,0, 12468,640,480:waittimer 81396 blt 0,0,640,480,0, 12470,640,480:waittimer 81409 blt 0,0,640,480,0, 12472,640,480:waittimer 81422 blt 0,0,640,480,0, 12474,640,480:waittimer 81435 blt 0,0,640,480,0, 12476,640,480:waittimer 81448 blt 0,0,640,480,0, 12478,640,480:waittimer 81461 blt 0,0,640,480,0, 12480,640,480:waittimer 81474 blt 0,0,640,480,0, 12482,640,480:waittimer 81487 blt 0,0,640,480,0, 12484,640,480:waittimer 81500 blt 0,0,640,480,0, 12486,640,480:waittimer 81513 blt 0,0,640,480,0, 12488,640,480:waittimer 81526 blt 0,0,640,480,0, 12490,640,480:waittimer 81539 blt 0,0,640,480,0, 12492,640,480:waittimer 81552 blt 0,0,640,480,0, 12494,640,480:waittimer 81566 blt 0,0,640,480,0, 12496,640,480:waittimer 81579 blt 0,0,640,480,0, 12498,640,480:waittimer 81592 blt 0,0,640,480,0, 12500,640,480:waittimer 81605 blt 0,0,640,480,0, 12502,640,480:waittimer 81618 blt 0,0,640,480,0, 12504,640,480:waittimer 81631 blt 0,0,640,480,0, 12506,640,480:waittimer 81644 blt 0,0,640,480,0, 12508,640,480:waittimer 81657 blt 0,0,640,480,0, 12510,640,480:waittimer 81670 blt 0,0,640,480,0, 12512,640,480:waittimer 81683 blt 0,0,640,480,0, 12514,640,480:waittimer 81696 blt 0,0,640,480,0, 12516,640,480:waittimer 81709 blt 0,0,640,480,0, 12518,640,480:waittimer 81722 blt 0,0,640,480,0, 12520,640,480:waittimer 81735 blt 0,0,640,480,0, 12522,640,480:waittimer 81748 blt 0,0,640,480,0, 12524,640,480:waittimer 81761 blt 0,0,640,480,0, 12526,640,480:waittimer 81774 blt 0,0,640,480,0, 12528,640,480:waittimer 81788 blt 0,0,640,480,0, 12530,640,480:waittimer 81801 blt 0,0,640,480,0, 12532,640,480:waittimer 81814 blt 0,0,640,480,0, 12534,640,480:waittimer 81827 blt 0,0,640,480,0, 12536,640,480:waittimer 81840 blt 0,0,640,480,0, 12538,640,480:waittimer 81853 blt 0,0,640,480,0, 12540,640,480:waittimer 81866 blt 0,0,640,480,0, 12542,640,480:waittimer 81879 blt 0,0,640,480,0, 12544,640,480:waittimer 81892 blt 0,0,640,480,0, 12546,640,480:waittimer 81905 blt 0,0,640,480,0, 12548,640,480:waittimer 81918 blt 0,0,640,480,0, 12550,640,480:waittimer 81931 blt 0,0,640,480,0, 12552,640,480:waittimer 81944 blt 0,0,640,480,0, 12554,640,480:waittimer 81957 blt 0,0,640,480,0, 12556,640,480:waittimer 81970 blt 0,0,640,480,0, 12558,640,480:waittimer 81983 blt 0,0,640,480,0, 12560,640,480:waittimer 81996 blt 0,0,640,480,0, 12562,640,480:waittimer 82009 blt 0,0,640,480,0, 12564,640,480:waittimer 82023 blt 0,0,640,480,0, 12566,640,480:waittimer 82036 blt 0,0,640,480,0, 12568,640,480:waittimer 82049 blt 0,0,640,480,0, 12570,640,480:waittimer 82062 blt 0,0,640,480,0, 12572,640,480:waittimer 82075 blt 0,0,640,480,0, 12574,640,480:waittimer 82088 blt 0,0,640,480,0, 12576,640,480:waittimer 82101 blt 0,0,640,480,0, 12578,640,480:waittimer 82114 blt 0,0,640,480,0, 12580,640,480:waittimer 82127 blt 0,0,640,480,0, 12582,640,480:waittimer 82140 blt 0,0,640,480,0, 12584,640,480:waittimer 82153 blt 0,0,640,480,0, 12586,640,480:waittimer 82166 blt 0,0,640,480,0, 12588,640,480:waittimer 82179 blt 0,0,640,480,0, 12590,640,480:waittimer 82192 blt 0,0,640,480,0, 12592,640,480:waittimer 82205 blt 0,0,640,480,0, 12594,640,480:waittimer 82218 blt 0,0,640,480,0, 12596,640,480:waittimer 82231 blt 0,0,640,480,0, 12598,640,480:waittimer 82245 blt 0,0,640,480,0, 12600,640,480:waittimer 82258 blt 0,0,640,480,0, 12602,640,480:waittimer 82271 blt 0,0,640,480,0, 12604,640,480:waittimer 82284 blt 0,0,640,480,0, 12606,640,480:waittimer 82297 blt 0,0,640,480,0, 12608,640,480:waittimer 82310 blt 0,0,640,480,0, 12610,640,480:waittimer 82323 blt 0,0,640,480,0, 12612,640,480:waittimer 82336 blt 0,0,640,480,0, 12614,640,480:waittimer 82349 blt 0,0,640,480,0, 12616,640,480:waittimer 82362 blt 0,0,640,480,0, 12618,640,480:waittimer 82375 blt 0,0,640,480,0, 12620,640,480:waittimer 82388 blt 0,0,640,480,0, 12622,640,480:waittimer 82401 blt 0,0,640,480,0, 12624,640,480:waittimer 82414 blt 0,0,640,480,0, 12626,640,480:waittimer 82427 blt 0,0,640,480,0, 12628,640,480:waittimer 82440 blt 0,0,640,480,0, 12630,640,480:waittimer 82453 blt 0,0,640,480,0, 12632,640,480:waittimer 82466 blt 0,0,640,480,0, 12634,640,480:waittimer 82480 blt 0,0,640,480,0, 12636,640,480:waittimer 82493 blt 0,0,640,480,0, 12638,640,480:waittimer 82506 blt 0,0,640,480,0, 12640,640,480:waittimer 82519 blt 0,0,640,480,0, 12642,640,480:waittimer 82532 blt 0,0,640,480,0, 12644,640,480:waittimer 82545 blt 0,0,640,480,0, 12646,640,480:waittimer 82558 blt 0,0,640,480,0, 12648,640,480:waittimer 82571 blt 0,0,640,480,0, 12650,640,480:waittimer 82584 blt 0,0,640,480,0, 12652,640,480:waittimer 82597 blt 0,0,640,480,0, 12654,640,480:waittimer 82610 blt 0,0,640,480,0, 12656,640,480:waittimer 82623 blt 0,0,640,480,0, 12658,640,480:waittimer 82636 blt 0,0,640,480,0, 12660,640,480:waittimer 82649 blt 0,0,640,480,0, 12662,640,480:waittimer 82662 blt 0,0,640,480,0, 12664,640,480:waittimer 82675 blt 0,0,640,480,0, 12666,640,480:waittimer 82688 blt 0,0,640,480,0, 12668,640,480:waittimer 82701 blt 0,0,640,480,0, 12670,640,480:waittimer 82715 blt 0,0,640,480,0, 12672,640,480:waittimer 82728 blt 0,0,640,480,0, 12674,640,480:waittimer 82741 blt 0,0,640,480,0, 12676,640,480:waittimer 82754 blt 0,0,640,480,0, 12678,640,480:waittimer 82767 blt 0,0,640,480,0, 12680,640,480:waittimer 82780 blt 0,0,640,480,0, 12682,640,480:waittimer 82793 blt 0,0,640,480,0, 12684,640,480:waittimer 82806 blt 0,0,640,480,0, 12686,640,480:waittimer 82819 blt 0,0,640,480,0, 12688,640,480:waittimer 82832 blt 0,0,640,480,0, 12690,640,480:waittimer 82845 blt 0,0,640,480,0, 12692,640,480:waittimer 82858 blt 0,0,640,480,0, 12694,640,480:waittimer 82871 blt 0,0,640,480,0, 12696,640,480:waittimer 82884 blt 0,0,640,480,0, 12698,640,480:waittimer 82897 blt 0,0,640,480,0, 12700,640,480:waittimer 82910 blt 0,0,640,480,0, 12702,640,480:waittimer 82923 blt 0,0,640,480,0, 12704,640,480:waittimer 82937 blt 0,0,640,480,0, 12706,640,480:waittimer 82950 blt 0,0,640,480,0, 12708,640,480:waittimer 82963 blt 0,0,640,480,0, 12710,640,480:waittimer 82976 blt 0,0,640,480,0, 12712,640,480:waittimer 82989 blt 0,0,640,480,0, 12714,640,480:waittimer 83002 blt 0,0,640,480,0, 12716,640,480:waittimer 83015 blt 0,0,640,480,0, 12718,640,480:waittimer 83028 blt 0,0,640,480,0, 12720,640,480:waittimer 83041 blt 0,0,640,480,0, 12722,640,480:waittimer 83054 blt 0,0,640,480,0, 12724,640,480:waittimer 83067 blt 0,0,640,480,0, 12726,640,480:waittimer 83080 blt 0,0,640,480,0, 12728,640,480:waittimer 83093 blt 0,0,640,480,0, 12730,640,480:waittimer 83106 blt 0,0,640,480,0, 12732,640,480:waittimer 83119 blt 0,0,640,480,0, 12734,640,480:waittimer 83132 blt 0,0,640,480,0, 12736,640,480:waittimer 83145 blt 0,0,640,480,0, 12738,640,480:waittimer 83158 blt 0,0,640,480,0, 12740,640,480:waittimer 83172 blt 0,0,640,480,0, 12742,640,480:waittimer 83185 blt 0,0,640,480,0, 12744,640,480:waittimer 83198 blt 0,0,640,480,0, 12746,640,480:waittimer 83211 blt 0,0,640,480,0, 12748,640,480:waittimer 83224 blt 0,0,640,480,0, 12750,640,480:waittimer 83237 blt 0,0,640,480,0, 12752,640,480:waittimer 83250 blt 0,0,640,480,0, 12754,640,480:waittimer 83263 blt 0,0,640,480,0, 12756,640,480:waittimer 83276 blt 0,0,640,480,0, 12758,640,480:waittimer 83289 blt 0,0,640,480,0, 12760,640,480:waittimer 83302 blt 0,0,640,480,0, 12762,640,480:waittimer 83315 blt 0,0,640,480,0, 12764,640,480:waittimer 83328 blt 0,0,640,480,0, 12766,640,480:waittimer 83341 blt 0,0,640,480,0, 12768,640,480:waittimer 83354 blt 0,0,640,480,0, 12770,640,480:waittimer 83367 blt 0,0,640,480,0, 12772,640,480:waittimer 83380 blt 0,0,640,480,0, 12774,640,480:waittimer 83394 blt 0,0,640,480,0, 12776,640,480:waittimer 83407 blt 0,0,640,480,0, 12778,640,480:waittimer 83420 blt 0,0,640,480,0, 12780,640,480:waittimer 83433 blt 0,0,640,480,0, 12782,640,480:waittimer 83446 blt 0,0,640,480,0, 12784,640,480:waittimer 83459 blt 0,0,640,480,0, 12786,640,480:waittimer 83472 blt 0,0,640,480,0, 12788,640,480:waittimer 83485 blt 0,0,640,480,0, 12790,640,480:waittimer 83498 blt 0,0,640,480,0, 12792,640,480:waittimer 83511 blt 0,0,640,480,0, 12794,640,480:waittimer 83524 blt 0,0,640,480,0, 12796,640,480:waittimer 83537 blt 0,0,640,480,0, 12798,640,480:waittimer 83550 blt 0,0,640,480,0, 12800,640,480:waittimer 83563 blt 0,0,640,480,0, 12802,640,480:waittimer 83576 blt 0,0,640,480,0, 12804,640,480:waittimer 83589 blt 0,0,640,480,0, 12806,640,480:waittimer 83602 blt 0,0,640,480,0, 12808,640,480:waittimer 83615 blt 0,0,640,480,0, 12810,640,480:waittimer 83629 blt 0,0,640,480,0, 12812,640,480:waittimer 83642 blt 0,0,640,480,0, 12814,640,480:waittimer 83655 blt 0,0,640,480,0, 12816,640,480:waittimer 83668 blt 0,0,640,480,0, 12818,640,480:waittimer 83681 blt 0,0,640,480,0, 12820,640,480:waittimer 83694 blt 0,0,640,480,0, 12822,640,480:waittimer 83707 blt 0,0,640,480,0, 12824,640,480:waittimer 83720 blt 0,0,640,480,0, 12826,640,480:waittimer 83733 blt 0,0,640,480,0, 12828,640,480:waittimer 83746 blt 0,0,640,480,0, 12830,640,480:waittimer 83759 blt 0,0,640,480,0, 12832,640,480:waittimer 83772 blt 0,0,640,480,0, 12834,640,480:waittimer 83785 blt 0,0,640,480,0, 12836,640,480:waittimer 83798 blt 0,0,640,480,0, 12838,640,480:waittimer 83811 blt 0,0,640,480,0, 12840,640,480:waittimer 83824 blt 0,0,640,480,0, 12842,640,480:waittimer 83837 blt 0,0,640,480,0, 12844,640,480:waittimer 83850 blt 0,0,640,480,0, 12846,640,480:waittimer 83864 blt 0,0,640,480,0, 12848,640,480:waittimer 83877 blt 0,0,640,480,0, 12850,640,480:waittimer 83890 blt 0,0,640,480,0, 12852,640,480:waittimer 83903 blt 0,0,640,480,0, 12854,640,480:waittimer 83916 blt 0,0,640,480,0, 12856,640,480:waittimer 83929 blt 0,0,640,480,0, 12858,640,480:waittimer 83942 blt 0,0,640,480,0, 12860,640,480:waittimer 83955 blt 0,0,640,480,0, 12862,640,480:waittimer 83968 blt 0,0,640,480,0, 12864,640,480:waittimer 83981 blt 0,0,640,480,0, 12866,640,480:waittimer 83994 blt 0,0,640,480,0, 12868,640,480:waittimer 84007 blt 0,0,640,480,0, 12870,640,480:waittimer 84020 blt 0,0,640,480,0, 12872,640,480:waittimer 84033 blt 0,0,640,480,0, 12874,640,480:waittimer 84046 blt 0,0,640,480,0, 12876,640,480:waittimer 84059 blt 0,0,640,480,0, 12878,640,480:waittimer 84072 blt 0,0,640,480,0, 12880,640,480:waittimer 84086 blt 0,0,640,480,0, 12882,640,480:waittimer 84099 blt 0,0,640,480,0, 12884,640,480:waittimer 84112 blt 0,0,640,480,0, 12886,640,480:waittimer 84125 blt 0,0,640,480,0, 12888,640,480:waittimer 84138 blt 0,0,640,480,0, 12890,640,480:waittimer 84151 blt 0,0,640,480,0, 12892,640,480:waittimer 84164 blt 0,0,640,480,0, 12894,640,480:waittimer 84177 blt 0,0,640,480,0, 12896,640,480:waittimer 84190 blt 0,0,640,480,0, 12898,640,480:waittimer 84203 blt 0,0,640,480,0, 12900,640,480:waittimer 84216 blt 0,0,640,480,0, 12902,640,480:waittimer 84229 blt 0,0,640,480,0, 12904,640,480:waittimer 84242 blt 0,0,640,480,0, 12906,640,480:waittimer 84255 blt 0,0,640,480,0, 12908,640,480:waittimer 84268 blt 0,0,640,480,0, 12910,640,480:waittimer 84281 blt 0,0,640,480,0, 12912,640,480:waittimer 84294 blt 0,0,640,480,0, 12914,640,480:waittimer 84307 blt 0,0,640,480,0, 12916,640,480:waittimer 84321 blt 0,0,640,480,0, 12918,640,480:waittimer 84334 blt 0,0,640,480,0, 12920,640,480:waittimer 84347 blt 0,0,640,480,0, 12922,640,480:waittimer 84360 blt 0,0,640,480,0, 12924,640,480:waittimer 84373 blt 0,0,640,480,0, 12926,640,480:waittimer 84386 blt 0,0,640,480,0, 12928,640,480:waittimer 84399 blt 0,0,640,480,0, 12930,640,480:waittimer 84412 blt 0,0,640,480,0, 12932,640,480:waittimer 84425 blt 0,0,640,480,0, 12934,640,480:waittimer 84438 blt 0,0,640,480,0, 12936,640,480:waittimer 84451 blt 0,0,640,480,0, 12938,640,480:waittimer 84464 blt 0,0,640,480,0, 12940,640,480:waittimer 84477 blt 0,0,640,480,0, 12942,640,480:waittimer 84490 blt 0,0,640,480,0, 12944,640,480:waittimer 84503 blt 0,0,640,480,0, 12946,640,480:waittimer 84516 blt 0,0,640,480,0, 12948,640,480:waittimer 84529 blt 0,0,640,480,0, 12950,640,480:waittimer 84543 blt 0,0,640,480,0, 12952,640,480:waittimer 84556 blt 0,0,640,480,0, 12954,640,480:waittimer 84569 blt 0,0,640,480,0, 12956,640,480:waittimer 84582 blt 0,0,640,480,0, 12958,640,480:waittimer 84595 blt 0,0,640,480,0, 12960,640,480:waittimer 84608 blt 0,0,640,480,0, 12962,640,480:waittimer 84621 blt 0,0,640,480,0, 12964,640,480:waittimer 84634 blt 0,0,640,480,0, 12966,640,480:waittimer 84647 blt 0,0,640,480,0, 12968,640,480:waittimer 84660 blt 0,0,640,480,0, 12970,640,480:waittimer 84673 blt 0,0,640,480,0, 12972,640,480:waittimer 84686 blt 0,0,640,480,0, 12974,640,480:waittimer 84699 blt 0,0,640,480,0, 12976,640,480:waittimer 84712 blt 0,0,640,480,0, 12978,640,480:waittimer 84725 blt 0,0,640,480,0, 12980,640,480:waittimer 84738 blt 0,0,640,480,0, 12982,640,480:waittimer 84751 blt 0,0,640,480,0, 12984,640,480:waittimer 84764 blt 0,0,640,480,0, 12986,640,480:waittimer 84778 blt 0,0,640,480,0, 12988,640,480:waittimer 84791 blt 0,0,640,480,0, 12990,640,480:waittimer 84804 blt 0,0,640,480,0, 12992,640,480:waittimer 84817 blt 0,0,640,480,0, 12994,640,480:waittimer 84830 blt 0,0,640,480,0, 12996,640,480:waittimer 84843 blt 0,0,640,480,0, 12998,640,480:waittimer 84856 blt 0,0,640,480,0, 13000,640,480:waittimer 84869 blt 0,0,640,480,0, 13002,640,480:waittimer 84882 blt 0,0,640,480,0, 13004,640,480:waittimer 84895 blt 0,0,640,480,0, 13006,640,480:waittimer 84908 blt 0,0,640,480,0, 13008,640,480:waittimer 84921 blt 0,0,640,480,0, 13010,640,480:waittimer 84934 blt 0,0,640,480,0, 13012,640,480:waittimer 84947 blt 0,0,640,480,0, 13014,640,480:waittimer 84960 blt 0,0,640,480,0, 13016,640,480:waittimer 84973 blt 0,0,640,480,0, 13018,640,480:waittimer 84986 blt 0,0,640,480,0, 13020,640,480:waittimer 84999 return *teatime_ep6b_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 13 blt 0,0,640,480,0, 4,640,480:waittimer 26 blt 0,0,640,480,0, 6,640,480:waittimer 40 blt 0,0,640,480,0, 8,640,480:waittimer 53 blt 0,0,640,480,0, 10,640,480:waittimer 67 blt 0,0,640,480,0, 12,640,480:waittimer 80 blt 0,0,640,480,0, 14,640,480:waittimer 93 blt 0,0,640,480,0, 16,640,480:waittimer 107 blt 0,0,640,480,0, 18,640,480:waittimer 120 blt 0,0,640,480,0, 20,640,480:waittimer 134 blt 0,0,640,480,0, 22,640,480:waittimer 147 blt 0,0,640,480,0, 24,640,480:waittimer 160 blt 0,0,640,480,0, 26,640,480:waittimer 174 blt 0,0,640,480,0, 28,640,480:waittimer 187 blt 0,0,640,480,0, 30,640,480:waittimer 201 blt 0,0,640,480,0, 32,640,480:waittimer 214 blt 0,0,640,480,0, 34,640,480:waittimer 228 blt 0,0,640,480,0, 36,640,480:waittimer 241 blt 0,0,640,480,0, 38,640,480:waittimer 254 blt 0,0,640,480,0, 40,640,480:waittimer 268 blt 0,0,640,480,0, 42,640,480:waittimer 281 blt 0,0,640,480,0, 44,640,480:waittimer 295 blt 0,0,640,480,0, 46,640,480:waittimer 308 blt 0,0,640,480,0, 48,640,480:waittimer 321 blt 0,0,640,480,0, 50,640,480:waittimer 335 blt 0,0,640,480,0, 52,640,480:waittimer 348 blt 0,0,640,480,0, 54,640,480:waittimer 362 blt 0,0,640,480,0, 56,640,480:waittimer 375 blt 0,0,640,480,0, 58,640,480:waittimer 388 blt 0,0,640,480,0, 60,640,480:waittimer 402 blt 0,0,640,480,0, 62,640,480:waittimer 415 blt 0,0,640,480,0, 64,640,480:waittimer 429 blt 0,0,640,480,0, 66,640,480:waittimer 442 blt 0,0,640,480,0, 68,640,480:waittimer 456 blt 0,0,640,480,0, 70,640,480:waittimer 469 blt 0,0,640,480,0, 72,640,480:waittimer 482 blt 0,0,640,480,0, 74,640,480:waittimer 496 blt 0,0,640,480,0, 76,640,480:waittimer 509 blt 0,0,640,480,0, 78,640,480:waittimer 523 blt 0,0,640,480,0, 80,640,480:waittimer 536 blt 0,0,640,480,0, 82,640,480:waittimer 549 blt 0,0,640,480,0, 84,640,480:waittimer 563 blt 0,0,640,480,0, 86,640,480:waittimer 576 blt 0,0,640,480,0, 88,640,480:waittimer 590 blt 0,0,640,480,0, 90,640,480:waittimer 603 blt 0,0,640,480,0, 92,640,480:waittimer 616 blt 0,0,640,480,0, 94,640,480:waittimer 630 blt 0,0,640,480,0, 96,640,480:waittimer 643 blt 0,0,640,480,0, 98,640,480:waittimer 657 blt 0,0,640,480,0, 100,640,480:waittimer 670 blt 0,0,640,480,0, 102,640,480:waittimer 684 blt 0,0,640,480,0, 104,640,480:waittimer 697 blt 0,0,640,480,0, 106,640,480:waittimer 710 blt 0,0,640,480,0, 108,640,480:waittimer 724 blt 0,0,640,480,0, 110,640,480:waittimer 737 blt 0,0,640,480,0, 112,640,480:waittimer 751 blt 0,0,640,480,0, 114,640,480:waittimer 764 blt 0,0,640,480,0, 116,640,480:waittimer 777 blt 0,0,640,480,0, 118,640,480:waittimer 791 blt 0,0,640,480,0, 120,640,480:waittimer 804 blt 0,0,640,480,0, 122,640,480:waittimer 818 blt 0,0,640,480,0, 124,640,480:waittimer 831 blt 0,0,640,480,0, 126,640,480:waittimer 845 blt 0,0,640,480,0, 128,640,480:waittimer 858 blt 0,0,640,480,0, 130,640,480:waittimer 871 blt 0,0,640,480,0, 132,640,480:waittimer 885 blt 0,0,640,480,0, 134,640,480:waittimer 898 blt 0,0,640,480,0, 136,640,480:waittimer 912 blt 0,0,640,480,0, 138,640,480:waittimer 925 blt 0,0,640,480,0, 140,640,480:waittimer 938 blt 0,0,640,480,0, 142,640,480:waittimer 952 blt 0,0,640,480,0, 144,640,480:waittimer 965 blt 0,0,640,480,0, 146,640,480:waittimer 979 blt 0,0,640,480,0, 148,640,480:waittimer 992 blt 0,0,640,480,0, 150,640,480:waittimer 1005 blt 0,0,640,480,0, 152,640,480:waittimer 1019 blt 0,0,640,480,0, 154,640,480:waittimer 1032 blt 0,0,640,480,0, 156,640,480:waittimer 1046 blt 0,0,640,480,0, 158,640,480:waittimer 1059 blt 0,0,640,480,0, 160,640,480:waittimer 1073 blt 0,0,640,480,0, 162,640,480:waittimer 1086 blt 0,0,640,480,0, 164,640,480:waittimer 1099 blt 0,0,640,480,0, 166,640,480:waittimer 1113 blt 0,0,640,480,0, 168,640,480:waittimer 1126 blt 0,0,640,480,0, 170,640,480:waittimer 1140 blt 0,0,640,480,0, 172,640,480:waittimer 1153 blt 0,0,640,480,0, 174,640,480:waittimer 1166 blt 0,0,640,480,0, 176,640,480:waittimer 1180 blt 0,0,640,480,0, 178,640,480:waittimer 1193 blt 0,0,640,480,0, 180,640,480:waittimer 1207 blt 0,0,640,480,0, 182,640,480:waittimer 1220 blt 0,0,640,480,0, 184,640,480:waittimer 1233 blt 0,0,640,480,0, 186,640,480:waittimer 1247 blt 0,0,640,480,0, 188,640,480:waittimer 1260 blt 0,0,640,480,0, 190,640,480:waittimer 1274 blt 0,0,640,480,0, 192,640,480:waittimer 1287 blt 0,0,640,480,0, 194,640,480:waittimer 1301 blt 0,0,640,480,0, 196,640,480:waittimer 1314 blt 0,0,640,480,0, 198,640,480:waittimer 1327 blt 0,0,640,480,0, 200,640,480:waittimer 1341 blt 0,0,640,480,0, 202,640,480:waittimer 1354 blt 0,0,640,480,0, 204,640,480:waittimer 1368 blt 0,0,640,480,0, 206,640,480:waittimer 1381 blt 0,0,640,480,0, 208,640,480:waittimer 1394 blt 0,0,640,480,0, 210,640,480:waittimer 1408 blt 0,0,640,480,0, 212,640,480:waittimer 1421 blt 0,0,640,480,0, 214,640,480:waittimer 1435 blt 0,0,640,480,0, 216,640,480:waittimer 1448 blt 0,0,640,480,0, 218,640,480:waittimer 1461 blt 0,0,640,480,0, 220,640,480:waittimer 1475 blt 0,0,640,480,0, 222,640,480:waittimer 1488 blt 0,0,640,480,0, 224,640,480:waittimer 1502 blt 0,0,640,480,0, 226,640,480:waittimer 1515 blt 0,0,640,480,0, 228,640,480:waittimer 1529 blt 0,0,640,480,0, 230,640,480:waittimer 1542 blt 0,0,640,480,0, 232,640,480:waittimer 1555 blt 0,0,640,480,0, 234,640,480:waittimer 1569 blt 0,0,640,480,0, 236,640,480:waittimer 1582 blt 0,0,640,480,0, 238,640,480:waittimer 1596 blt 0,0,640,480,0, 240,640,480:waittimer 1609 blt 0,0,640,480,0, 242,640,480:waittimer 1622 blt 0,0,640,480,0, 244,640,480:waittimer 1636 blt 0,0,640,480,0, 246,640,480:waittimer 1649 blt 0,0,640,480,0, 248,640,480:waittimer 1663 blt 0,0,640,480,0, 250,640,480:waittimer 1676 blt 0,0,640,480,0, 252,640,480:waittimer 1690 blt 0,0,640,480,0, 254,640,480:waittimer 1703 blt 0,0,640,480,0, 256,640,480:waittimer 1716 blt 0,0,640,480,0, 258,640,480:waittimer 1730 blt 0,0,640,480,0, 260,640,480:waittimer 1743 blt 0,0,640,480,0, 262,640,480:waittimer 1757 blt 0,0,640,480,0, 264,640,480:waittimer 1770 blt 0,0,640,480,0, 266,640,480:waittimer 1783 blt 0,0,640,480,0, 268,640,480:waittimer 1797 blt 0,0,640,480,0, 270,640,480:waittimer 1810 blt 0,0,640,480,0, 272,640,480:waittimer 1824 blt 0,0,640,480,0, 274,640,480:waittimer 1837 blt 0,0,640,480,0, 276,640,480:waittimer 1850 blt 0,0,640,480,0, 278,640,480:waittimer 1864 blt 0,0,640,480,0, 280,640,480:waittimer 1877 blt 0,0,640,480,0, 282,640,480:waittimer 1891 blt 0,0,640,480,0, 284,640,480:waittimer 1904 blt 0,0,640,480,0, 286,640,480:waittimer 1918 blt 0,0,640,480,0, 288,640,480:waittimer 1931 blt 0,0,640,480,0, 290,640,480:waittimer 1944 blt 0,0,640,480,0, 292,640,480:waittimer 1958 blt 0,0,640,480,0, 294,640,480:waittimer 1971 blt 0,0,640,480,0, 296,640,480:waittimer 1985 blt 0,0,640,480,0, 298,640,480:waittimer 1998 blt 0,0,640,480,0, 300,640,480:waittimer 2011 blt 0,0,640,480,0, 302,640,480:waittimer 2025 blt 0,0,640,480,0, 304,640,480:waittimer 2038 blt 0,0,640,480,0, 306,640,480:waittimer 2052 blt 0,0,640,480,0, 308,640,480:waittimer 2065 blt 0,0,640,480,0, 310,640,480:waittimer 2078 blt 0,0,640,480,0, 312,640,480:waittimer 2092 blt 0,0,640,480,0, 314,640,480:waittimer 2105 blt 0,0,640,480,0, 316,640,480:waittimer 2119 blt 0,0,640,480,0, 318,640,480:waittimer 2132 blt 0,0,640,480,0, 320,640,480:waittimer 2146 blt 0,0,640,480,0, 322,640,480:waittimer 2159 blt 0,0,640,480,0, 324,640,480:waittimer 2172 blt 0,0,640,480,0, 326,640,480:waittimer 2186 blt 0,0,640,480,0, 328,640,480:waittimer 2199 blt 0,0,640,480,0, 330,640,480:waittimer 2213 blt 0,0,640,480,0, 332,640,480:waittimer 2226 blt 0,0,640,480,0, 334,640,480:waittimer 2239 blt 0,0,640,480,0, 336,640,480:waittimer 2253 blt 0,0,640,480,0, 338,640,480:waittimer 2266 blt 0,0,640,480,0, 340,640,480:waittimer 2280 blt 0,0,640,480,0, 342,640,480:waittimer 2293 blt 0,0,640,480,0, 344,640,480:waittimer 2307 blt 0,0,640,480,0, 346,640,480:waittimer 2320 blt 0,0,640,480,0, 348,640,480:waittimer 2333 blt 0,0,640,480,0, 350,640,480:waittimer 2347 blt 0,0,640,480,0, 352,640,480:waittimer 2360 blt 0,0,640,480,0, 354,640,480:waittimer 2374 blt 0,0,640,480,0, 356,640,480:waittimer 2387 blt 0,0,640,480,0, 358,640,480:waittimer 2400 blt 0,0,640,480,0, 360,640,480:waittimer 2414 blt 0,0,640,480,0, 362,640,480:waittimer 2427 blt 0,0,640,480,0, 364,640,480:waittimer 2441 blt 0,0,640,480,0, 366,640,480:waittimer 2454 blt 0,0,640,480,0, 368,640,480:waittimer 2467 blt 0,0,640,480,0, 370,640,480:waittimer 2481 blt 0,0,640,480,0, 372,640,480:waittimer 2494 blt 0,0,640,480,0, 374,640,480:waittimer 2508 blt 0,0,640,480,0, 376,640,480:waittimer 2521 blt 0,0,640,480,0, 378,640,480:waittimer 2535 blt 0,0,640,480,0, 380,640,480:waittimer 2548 blt 0,0,640,480,0, 382,640,480:waittimer 2561 blt 0,0,640,480,0, 384,640,480:waittimer 2575 blt 0,0,640,480,0, 386,640,480:waittimer 2588 blt 0,0,640,480,0, 388,640,480:waittimer 2602 blt 0,0,640,480,0, 390,640,480:waittimer 2615 blt 0,0,640,480,0, 392,640,480:waittimer 2628 blt 0,0,640,480,0, 394,640,480:waittimer 2642 blt 0,0,640,480,0, 396,640,480:waittimer 2655 blt 0,0,640,480,0, 398,640,480:waittimer 2669 blt 0,0,640,480,0, 400,640,480:waittimer 2682 blt 0,0,640,480,0, 402,640,480:waittimer 2695 blt 0,0,640,480,0, 404,640,480:waittimer 2709 blt 0,0,640,480,0, 406,640,480:waittimer 2722 blt 0,0,640,480,0, 408,640,480:waittimer 2736 blt 0,0,640,480,0, 410,640,480:waittimer 2749 blt 0,0,640,480,0, 412,640,480:waittimer 2763 blt 0,0,640,480,0, 414,640,480:waittimer 2776 blt 0,0,640,480,0, 416,640,480:waittimer 2789 blt 0,0,640,480,0, 418,640,480:waittimer 2803 blt 0,0,640,480,0, 420,640,480:waittimer 2816 blt 0,0,640,480,0, 422,640,480:waittimer 2830 blt 0,0,640,480,0, 424,640,480:waittimer 2843 blt 0,0,640,480,0, 426,640,480:waittimer 2856 blt 0,0,640,480,0, 428,640,480:waittimer 2870 blt 0,0,640,480,0, 430,640,480:waittimer 2883 blt 0,0,640,480,0, 432,640,480:waittimer 2897 blt 0,0,640,480,0, 434,640,480:waittimer 2910 blt 0,0,640,480,0, 436,640,480:waittimer 2923 blt 0,0,640,480,0, 438,640,480:waittimer 2937 blt 0,0,640,480,0, 440,640,480:waittimer 2950 blt 0,0,640,480,0, 442,640,480:waittimer 2964 blt 0,0,640,480,0, 444,640,480:waittimer 2977 blt 0,0,640,480,0, 446,640,480:waittimer 2991 blt 0,0,640,480,0, 448,640,480:waittimer 3004 blt 0,0,640,480,0, 450,640,480:waittimer 3017 blt 0,0,640,480,0, 452,640,480:waittimer 3031 blt 0,0,640,480,0, 454,640,480:waittimer 3044 blt 0,0,640,480,0, 456,640,480:waittimer 3058 blt 0,0,640,480,0, 458,640,480:waittimer 3071 blt 0,0,640,480,0, 460,640,480:waittimer 3084 blt 0,0,640,480,0, 462,640,480:waittimer 3098 blt 0,0,640,480,0, 464,640,480:waittimer 3111 blt 0,0,640,480,0, 466,640,480:waittimer 3125 blt 0,0,640,480,0, 468,640,480:waittimer 3138 blt 0,0,640,480,0, 470,640,480:waittimer 3152 blt 0,0,640,480,0, 472,640,480:waittimer 3165 blt 0,0,640,480,0, 474,640,480:waittimer 3178 blt 0,0,640,480,0, 476,640,480:waittimer 3192 blt 0,0,640,480,0, 478,640,480:waittimer 3205 blt 0,0,640,480,0, 480,640,480:waittimer 3219 blt 0,0,640,480,0, 482,640,480:waittimer 3232 blt 0,0,640,480,0, 484,640,480:waittimer 3245 blt 0,0,640,480,0, 486,640,480:waittimer 3259 blt 0,0,640,480,0, 488,640,480:waittimer 3272 blt 0,0,640,480,0, 490,640,480:waittimer 3286 blt 0,0,640,480,0, 492,640,480:waittimer 3299 blt 0,0,640,480,0, 494,640,480:waittimer 3312 blt 0,0,640,480,0, 496,640,480:waittimer 3326 blt 0,0,640,480,0, 498,640,480:waittimer 3339 blt 0,0,640,480,0, 500,640,480:waittimer 3353 blt 0,0,640,480,0, 502,640,480:waittimer 3366 blt 0,0,640,480,0, 504,640,480:waittimer 3380 blt 0,0,640,480,0, 506,640,480:waittimer 3393 blt 0,0,640,480,0, 508,640,480:waittimer 3406 blt 0,0,640,480,0, 510,640,480:waittimer 3420 blt 0,0,640,480,0, 512,640,480:waittimer 3433 blt 0,0,640,480,0, 514,640,480:waittimer 3447 blt 0,0,640,480,0, 516,640,480:waittimer 3460 blt 0,0,640,480,0, 518,640,480:waittimer 3473 blt 0,0,640,480,0, 520,640,480:waittimer 3487 blt 0,0,640,480,0, 522,640,480:waittimer 3500 blt 0,0,640,480,0, 524,640,480:waittimer 3514 blt 0,0,640,480,0, 526,640,480:waittimer 3527 blt 0,0,640,480,0, 528,640,480:waittimer 3540 blt 0,0,640,480,0, 530,640,480:waittimer 3554 blt 0,0,640,480,0, 532,640,480:waittimer 3567 blt 0,0,640,480,0, 534,640,480:waittimer 3581 blt 0,0,640,480,0, 536,640,480:waittimer 3594 blt 0,0,640,480,0, 538,640,480:waittimer 3608 blt 0,0,640,480,0, 540,640,480:waittimer 3621 blt 0,0,640,480,0, 542,640,480:waittimer 3634 blt 0,0,640,480,0, 544,640,480:waittimer 3648 blt 0,0,640,480,0, 546,640,480:waittimer 3661 blt 0,0,640,480,0, 548,640,480:waittimer 3675 blt 0,0,640,480,0, 550,640,480:waittimer 3688 blt 0,0,640,480,0, 552,640,480:waittimer 3701 blt 0,0,640,480,0, 554,640,480:waittimer 3715 blt 0,0,640,480,0, 556,640,480:waittimer 3728 blt 0,0,640,480,0, 558,640,480:waittimer 3742 blt 0,0,640,480,0, 560,640,480:waittimer 3755 blt 0,0,640,480,0, 562,640,480:waittimer 3769 blt 0,0,640,480,0, 564,640,480:waittimer 3782 blt 0,0,640,480,0, 566,640,480:waittimer 3795 blt 0,0,640,480,0, 568,640,480:waittimer 3809 blt 0,0,640,480,0, 570,640,480:waittimer 3822 blt 0,0,640,480,0, 572,640,480:waittimer 3836 blt 0,0,640,480,0, 574,640,480:waittimer 3849 blt 0,0,640,480,0, 576,640,480:waittimer 3862 blt 0,0,640,480,0, 578,640,480:waittimer 3876 blt 0,0,640,480,0, 580,640,480:waittimer 3889 blt 0,0,640,480,0, 582,640,480:waittimer 3903 blt 0,0,640,480,0, 584,640,480:waittimer 3916 blt 0,0,640,480,0, 586,640,480:waittimer 3929 blt 0,0,640,480,0, 588,640,480:waittimer 3943 blt 0,0,640,480,0, 590,640,480:waittimer 3956 blt 0,0,640,480,0, 592,640,480:waittimer 3970 blt 0,0,640,480,0, 594,640,480:waittimer 3983 blt 0,0,640,480,0, 596,640,480:waittimer 3997 blt 0,0,640,480,0, 598,640,480:waittimer 4010 blt 0,0,640,480,0, 600,640,480:waittimer 4023 blt 0,0,640,480,0, 602,640,480:waittimer 4037 blt 0,0,640,480,0, 604,640,480:waittimer 4050 blt 0,0,640,480,0, 606,640,480:waittimer 4064 blt 0,0,640,480,0, 608,640,480:waittimer 4077 blt 0,0,640,480,0, 610,640,480:waittimer 4090 blt 0,0,640,480,0, 612,640,480:waittimer 4104 blt 0,0,640,480,0, 614,640,480:waittimer 4117 blt 0,0,640,480,0, 616,640,480:waittimer 4131 blt 0,0,640,480,0, 618,640,480:waittimer 4144 blt 0,0,640,480,0, 620,640,480:waittimer 4157 blt 0,0,640,480,0, 622,640,480:waittimer 4171 blt 0,0,640,480,0, 624,640,480:waittimer 4184 blt 0,0,640,480,0, 626,640,480:waittimer 4198 blt 0,0,640,480,0, 628,640,480:waittimer 4211 blt 0,0,640,480,0, 630,640,480:waittimer 4225 blt 0,0,640,480,0, 632,640,480:waittimer 4238 blt 0,0,640,480,0, 634,640,480:waittimer 4251 blt 0,0,640,480,0, 636,640,480:waittimer 4265 blt 0,0,640,480,0, 638,640,480:waittimer 4278 blt 0,0,640,480,0, 640,640,480:waittimer 4292 blt 0,0,640,480,0, 642,640,480:waittimer 4305 blt 0,0,640,480,0, 644,640,480:waittimer 4318 blt 0,0,640,480,0, 646,640,480:waittimer 4332 blt 0,0,640,480,0, 648,640,480:waittimer 4345 blt 0,0,640,480,0, 650,640,480:waittimer 4359 blt 0,0,640,480,0, 652,640,480:waittimer 4372 blt 0,0,640,480,0, 654,640,480:waittimer 4385 blt 0,0,640,480,0, 656,640,480:waittimer 4399 blt 0,0,640,480,0, 658,640,480:waittimer 4412 blt 0,0,640,480,0, 660,640,480:waittimer 4426 blt 0,0,640,480,0, 662,640,480:waittimer 4439 blt 0,0,640,480,0, 664,640,480:waittimer 4453 blt 0,0,640,480,0, 666,640,480:waittimer 4466 blt 0,0,640,480,0, 668,640,480:waittimer 4479 blt 0,0,640,480,0, 670,640,480:waittimer 4493 blt 0,0,640,480,0, 672,640,480:waittimer 4506 blt 0,0,640,480,0, 674,640,480:waittimer 4520 blt 0,0,640,480,0, 676,640,480:waittimer 4533 blt 0,0,640,480,0, 678,640,480:waittimer 4546 blt 0,0,640,480,0, 680,640,480:waittimer 4560 blt 0,0,640,480,0, 682,640,480:waittimer 4573 blt 0,0,640,480,0, 684,640,480:waittimer 4587 blt 0,0,640,480,0, 686,640,480:waittimer 4600 blt 0,0,640,480,0, 688,640,480:waittimer 4614 blt 0,0,640,480,0, 690,640,480:waittimer 4627 blt 0,0,640,480,0, 692,640,480:waittimer 4640 blt 0,0,640,480,0, 694,640,480:waittimer 4654 blt 0,0,640,480,0, 696,640,480:waittimer 4667 blt 0,0,640,480,0, 698,640,480:waittimer 4681 blt 0,0,640,480,0, 700,640,480:waittimer 4694 blt 0,0,640,480,0, 702,640,480:waittimer 4707 blt 0,0,640,480,0, 704,640,480:waittimer 4721 blt 0,0,640,480,0, 706,640,480:waittimer 4734 blt 0,0,640,480,0, 708,640,480:waittimer 4748 blt 0,0,640,480,0, 710,640,480:waittimer 4761 blt 0,0,640,480,0, 712,640,480:waittimer 4774 blt 0,0,640,480,0, 714,640,480:waittimer 4788 blt 0,0,640,480,0, 716,640,480:waittimer 4801 blt 0,0,640,480,0, 718,640,480:waittimer 4815 blt 0,0,640,480,0, 720,640,480:waittimer 4828 blt 0,0,640,480,0, 722,640,480:waittimer 4842 blt 0,0,640,480,0, 724,640,480:waittimer 4855 blt 0,0,640,480,0, 726,640,480:waittimer 4868 blt 0,0,640,480,0, 728,640,480:waittimer 4882 blt 0,0,640,480,0, 730,640,480:waittimer 4895 blt 0,0,640,480,0, 732,640,480:waittimer 4909 blt 0,0,640,480,0, 734,640,480:waittimer 4922 blt 0,0,640,480,0, 736,640,480:waittimer 4935 blt 0,0,640,480,0, 738,640,480:waittimer 4949 blt 0,0,640,480,0, 740,640,480:waittimer 4962 blt 0,0,640,480,0, 742,640,480:waittimer 4976 blt 0,0,640,480,0, 744,640,480:waittimer 4989 blt 0,0,640,480,0, 746,640,480:waittimer 5002 blt 0,0,640,480,0, 748,640,480:waittimer 5016 blt 0,0,640,480,0, 750,640,480:waittimer 5029 blt 0,0,640,480,0, 752,640,480:waittimer 5043 blt 0,0,640,480,0, 754,640,480:waittimer 5056 blt 0,0,640,480,0, 756,640,480:waittimer 5070 blt 0,0,640,480,0, 758,640,480:waittimer 5083 blt 0,0,640,480,0, 760,640,480:waittimer 5096 blt 0,0,640,480,0, 762,640,480:waittimer 5110 blt 0,0,640,480,0, 764,640,480:waittimer 5123 blt 0,0,640,480,0, 766,640,480:waittimer 5137 blt 0,0,640,480,0, 768,640,480:waittimer 5150 blt 0,0,640,480,0, 770,640,480:waittimer 5163 blt 0,0,640,480,0, 772,640,480:waittimer 5177 blt 0,0,640,480,0, 774,640,480:waittimer 5190 blt 0,0,640,480,0, 776,640,480:waittimer 5204 blt 0,0,640,480,0, 778,640,480:waittimer 5217 blt 0,0,640,480,0, 780,640,480:waittimer 5230 blt 0,0,640,480,0, 782,640,480:waittimer 5244 blt 0,0,640,480,0, 784,640,480:waittimer 5257 blt 0,0,640,480,0, 786,640,480:waittimer 5271 blt 0,0,640,480,0, 788,640,480:waittimer 5284 blt 0,0,640,480,0, 790,640,480:waittimer 5298 blt 0,0,640,480,0, 792,640,480:waittimer 5311 blt 0,0,640,480,0, 794,640,480:waittimer 5324 blt 0,0,640,480,0, 796,640,480:waittimer 5338 blt 0,0,640,480,0, 798,640,480:waittimer 5351 blt 0,0,640,480,0, 800,640,480:waittimer 5365 blt 0,0,640,480,0, 802,640,480:waittimer 5378 blt 0,0,640,480,0, 804,640,480:waittimer 5391 blt 0,0,640,480,0, 806,640,480:waittimer 5405 blt 0,0,640,480,0, 808,640,480:waittimer 5418 blt 0,0,640,480,0, 810,640,480:waittimer 5432 blt 0,0,640,480,0, 812,640,480:waittimer 5445 blt 0,0,640,480,0, 814,640,480:waittimer 5459 blt 0,0,640,480,0, 816,640,480:waittimer 5472 blt 0,0,640,480,0, 818,640,480:waittimer 5485 blt 0,0,640,480,0, 820,640,480:waittimer 5499 blt 0,0,640,480,0, 822,640,480:waittimer 5512 blt 0,0,640,480,0, 824,640,480:waittimer 5526 blt 0,0,640,480,0, 826,640,480:waittimer 5539 blt 0,0,640,480,0, 828,640,480:waittimer 5552 blt 0,0,640,480,0, 830,640,480:waittimer 5566 blt 0,0,640,480,0, 832,640,480:waittimer 5579 blt 0,0,640,480,0, 834,640,480:waittimer 5593 blt 0,0,640,480,0, 836,640,480:waittimer 5606 blt 0,0,640,480,0, 838,640,480:waittimer 5619 blt 0,0,640,480,0, 840,640,480:waittimer 5633 blt 0,0,640,480,0, 842,640,480:waittimer 5646 blt 0,0,640,480,0, 844,640,480:waittimer 5660 blt 0,0,640,480,0, 846,640,480:waittimer 5673 blt 0,0,640,480,0, 848,640,480:waittimer 5687 blt 0,0,640,480,0, 850,640,480:waittimer 5700 blt 0,0,640,480,0, 852,640,480:waittimer 5713 blt 0,0,640,480,0, 854,640,480:waittimer 5727 blt 0,0,640,480,0, 856,640,480:waittimer 5740 blt 0,0,640,480,0, 858,640,480:waittimer 5754 blt 0,0,640,480,0, 860,640,480:waittimer 5767 blt 0,0,640,480,0, 862,640,480:waittimer 5780 blt 0,0,640,480,0, 864,640,480:waittimer 5794 blt 0,0,640,480,0, 866,640,480:waittimer 5807 blt 0,0,640,480,0, 868,640,480:waittimer 5821 blt 0,0,640,480,0, 870,640,480:waittimer 5834 blt 0,0,640,480,0, 872,640,480:waittimer 5847 blt 0,0,640,480,0, 874,640,480:waittimer 5861 blt 0,0,640,480,0, 876,640,480:waittimer 5874 blt 0,0,640,480,0, 878,640,480:waittimer 5888 blt 0,0,640,480,0, 880,640,480:waittimer 5901 blt 0,0,640,480,0, 882,640,480:waittimer 5915 blt 0,0,640,480,0, 884,640,480:waittimer 5928 blt 0,0,640,480,0, 886,640,480:waittimer 5941 blt 0,0,640,480,0, 888,640,480:waittimer 5955 blt 0,0,640,480,0, 890,640,480:waittimer 5968 blt 0,0,640,480,0, 892,640,480:waittimer 5982 blt 0,0,640,480,0, 894,640,480:waittimer 5995 blt 0,0,640,480,0, 896,640,480:waittimer 6008 blt 0,0,640,480,0, 898,640,480:waittimer 6022 blt 0,0,640,480,0, 900,640,480:waittimer 6035 blt 0,0,640,480,0, 902,640,480:waittimer 6049 blt 0,0,640,480,0, 904,640,480:waittimer 6062 blt 0,0,640,480,0, 906,640,480:waittimer 6076 blt 0,0,640,480,0, 908,640,480:waittimer 6089 blt 0,0,640,480,0, 910,640,480:waittimer 6102 blt 0,0,640,480,0, 912,640,480:waittimer 6116 blt 0,0,640,480,0, 914,640,480:waittimer 6129 blt 0,0,640,480,0, 916,640,480:waittimer 6143 blt 0,0,640,480,0, 918,640,480:waittimer 6156 blt 0,0,640,480,0, 920,640,480:waittimer 6169 blt 0,0,640,480,0, 922,640,480:waittimer 6183 blt 0,0,640,480,0, 924,640,480:waittimer 6196 blt 0,0,640,480,0, 926,640,480:waittimer 6210 blt 0,0,640,480,0, 928,640,480:waittimer 6223 blt 0,0,640,480,0, 930,640,480:waittimer 6236 blt 0,0,640,480,0, 932,640,480:waittimer 6250 blt 0,0,640,480,0, 934,640,480:waittimer 6263 blt 0,0,640,480,0, 936,640,480:waittimer 6277 blt 0,0,640,480,0, 938,640,480:waittimer 6290 blt 0,0,640,480,0, 940,640,480:waittimer 6304 blt 0,0,640,480,0, 942,640,480:waittimer 6317 blt 0,0,640,480,0, 944,640,480:waittimer 6330 blt 0,0,640,480,0, 946,640,480:waittimer 6344 blt 0,0,640,480,0, 948,640,480:waittimer 6357 blt 0,0,640,480,0, 950,640,480:waittimer 6371 blt 0,0,640,480,0, 952,640,480:waittimer 6384 blt 0,0,640,480,0, 954,640,480:waittimer 6397 blt 0,0,640,480,0, 956,640,480:waittimer 6411 blt 0,0,640,480,0, 958,640,480:waittimer 6424 blt 0,0,640,480,0, 960,640,480:waittimer 6438 blt 0,0,640,480,0, 962,640,480:waittimer 6451 blt 0,0,640,480,0, 964,640,480:waittimer 6464 blt 0,0,640,480,0, 966,640,480:waittimer 6478 blt 0,0,640,480,0, 968,640,480:waittimer 6491 blt 0,0,640,480,0, 970,640,480:waittimer 6505 blt 0,0,640,480,0, 972,640,480:waittimer 6518 blt 0,0,640,480,0, 974,640,480:waittimer 6532 blt 0,0,640,480,0, 976,640,480:waittimer 6545 blt 0,0,640,480,0, 978,640,480:waittimer 6558 blt 0,0,640,480,0, 980,640,480:waittimer 6572 blt 0,0,640,480,0, 982,640,480:waittimer 6585 blt 0,0,640,480,0, 984,640,480:waittimer 6599 blt 0,0,640,480,0, 986,640,480:waittimer 6612 blt 0,0,640,480,0, 988,640,480:waittimer 6625 blt 0,0,640,480,0, 990,640,480:waittimer 6639 blt 0,0,640,480,0, 992,640,480:waittimer 6652 blt 0,0,640,480,0, 994,640,480:waittimer 6666 blt 0,0,640,480,0, 996,640,480:waittimer 6679 blt 0,0,640,480,0, 998,640,480:waittimer 6692 blt 0,0,640,480,0, 1000,640,480:waittimer 6706 blt 0,0,640,480,0, 1002,640,480:waittimer 6719 blt 0,0,640,480,0, 1004,640,480:waittimer 6733 blt 0,0,640,480,0, 1006,640,480:waittimer 6746 blt 0,0,640,480,0, 1008,640,480:waittimer 6760 blt 0,0,640,480,0, 1010,640,480:waittimer 6773 blt 0,0,640,480,0, 1012,640,480:waittimer 6786 blt 0,0,640,480,0, 1014,640,480:waittimer 6800 blt 0,0,640,480,0, 1016,640,480:waittimer 6813 blt 0,0,640,480,0, 1018,640,480:waittimer 6827 blt 0,0,640,480,0, 1020,640,480:waittimer 6840 blt 0,0,640,480,0, 1022,640,480:waittimer 6853 blt 0,0,640,480,0, 1024,640,480:waittimer 6867 blt 0,0,640,480,0, 1026,640,480:waittimer 6880 blt 0,0,640,480,0, 1028,640,480:waittimer 6894 blt 0,0,640,480,0, 1030,640,480:waittimer 6907 blt 0,0,640,480,0, 1032,640,480:waittimer 6921 blt 0,0,640,480,0, 1034,640,480:waittimer 6934 blt 0,0,640,480,0, 1036,640,480:waittimer 6947 blt 0,0,640,480,0, 1038,640,480:waittimer 6961 blt 0,0,640,480,0, 1040,640,480:waittimer 6974 blt 0,0,640,480,0, 1042,640,480:waittimer 6988 blt 0,0,640,480,0, 1044,640,480:waittimer 7001 blt 0,0,640,480,0, 1046,640,480:waittimer 7014 blt 0,0,640,480,0, 1048,640,480:waittimer 7028 blt 0,0,640,480,0, 1050,640,480:waittimer 7041 blt 0,0,640,480,0, 1052,640,480:waittimer 7055 blt 0,0,640,480,0, 1054,640,480:waittimer 7068 blt 0,0,640,480,0, 1056,640,480:waittimer 7081 blt 0,0,640,480,0, 1058,640,480:waittimer 7095 blt 0,0,640,480,0, 1060,640,480:waittimer 7108 blt 0,0,640,480,0, 1062,640,480:waittimer 7122 blt 0,0,640,480,0, 1064,640,480:waittimer 7135 blt 0,0,640,480,0, 1066,640,480:waittimer 7149 blt 0,0,640,480,0, 1068,640,480:waittimer 7162 blt 0,0,640,480,0, 1070,640,480:waittimer 7175 blt 0,0,640,480,0, 1072,640,480:waittimer 7189 blt 0,0,640,480,0, 1074,640,480:waittimer 7202 blt 0,0,640,480,0, 1076,640,480:waittimer 7216 blt 0,0,640,480,0, 1078,640,480:waittimer 7229 blt 0,0,640,480,0, 1080,640,480:waittimer 7242 blt 0,0,640,480,0, 1082,640,480:waittimer 7256 blt 0,0,640,480,0, 1084,640,480:waittimer 7269 blt 0,0,640,480,0, 1086,640,480:waittimer 7283 blt 0,0,640,480,0, 1088,640,480:waittimer 7296 blt 0,0,640,480,0, 1090,640,480:waittimer 7309 blt 0,0,640,480,0, 1092,640,480:waittimer 7323 blt 0,0,640,480,0, 1094,640,480:waittimer 7336 blt 0,0,640,480,0, 1096,640,480:waittimer 7350 blt 0,0,640,480,0, 1098,640,480:waittimer 7363 blt 0,0,640,480,0, 1100,640,480:waittimer 7377 blt 0,0,640,480,0, 1102,640,480:waittimer 7390 blt 0,0,640,480,0, 1104,640,480:waittimer 7403 blt 0,0,640,480,0, 1106,640,480:waittimer 7417 blt 0,0,640,480,0, 1108,640,480:waittimer 7430 blt 0,0,640,480,0, 1110,640,480:waittimer 7444 blt 0,0,640,480,0, 1112,640,480:waittimer 7457 blt 0,0,640,480,0, 1114,640,480:waittimer 7470 blt 0,0,640,480,0, 1116,640,480:waittimer 7484 blt 0,0,640,480,0, 1118,640,480:waittimer 7497 blt 0,0,640,480,0, 1120,640,480:waittimer 7511 blt 0,0,640,480,0, 1122,640,480:waittimer 7524 blt 0,0,640,480,0, 1124,640,480:waittimer 7538 blt 0,0,640,480,0, 1126,640,480:waittimer 7551 blt 0,0,640,480,0, 1128,640,480:waittimer 7564 blt 0,0,640,480,0, 1130,640,480:waittimer 7578 blt 0,0,640,480,0, 1132,640,480:waittimer 7591 blt 0,0,640,480,0, 1134,640,480:waittimer 7605 blt 0,0,640,480,0, 1136,640,480:waittimer 7618 blt 0,0,640,480,0, 1138,640,480:waittimer 7631 blt 0,0,640,480,0, 1140,640,480:waittimer 7645 blt 0,0,640,480,0, 1142,640,480:waittimer 7658 blt 0,0,640,480,0, 1144,640,480:waittimer 7672 blt 0,0,640,480,0, 1146,640,480:waittimer 7685 blt 0,0,640,480,0, 1148,640,480:waittimer 7698 blt 0,0,640,480,0, 1150,640,480:waittimer 7712 blt 0,0,640,480,0, 1152,640,480:waittimer 7725 blt 0,0,640,480,0, 1154,640,480:waittimer 7739 blt 0,0,640,480,0, 1156,640,480:waittimer 7752 blt 0,0,640,480,0, 1158,640,480:waittimer 7766 blt 0,0,640,480,0, 1160,640,480:waittimer 7779 blt 0,0,640,480,0, 1162,640,480:waittimer 7792 blt 0,0,640,480,0, 1164,640,480:waittimer 7806 blt 0,0,640,480,0, 1166,640,480:waittimer 7819 blt 0,0,640,480,0, 1168,640,480:waittimer 7833 blt 0,0,640,480,0, 1170,640,480:waittimer 7846 blt 0,0,640,480,0, 1172,640,480:waittimer 7859 blt 0,0,640,480,0, 1174,640,480:waittimer 7873 blt 0,0,640,480,0, 1176,640,480:waittimer 7886 blt 0,0,640,480,0, 1178,640,480:waittimer 7900 blt 0,0,640,480,0, 1180,640,480:waittimer 7913 blt 0,0,640,480,0, 1182,640,480:waittimer 7926 blt 0,0,640,480,0, 1184,640,480:waittimer 7940 blt 0,0,640,480,0, 1186,640,480:waittimer 7953 blt 0,0,640,480,0, 1188,640,480:waittimer 7967 blt 0,0,640,480,0, 1190,640,480:waittimer 7980 blt 0,0,640,480,0, 1192,640,480:waittimer 7994 blt 0,0,640,480,0, 1194,640,480:waittimer 8007 blt 0,0,640,480,0, 1196,640,480:waittimer 8020 blt 0,0,640,480,0, 1198,640,480:waittimer 8034 blt 0,0,640,480,0, 1200,640,480:waittimer 8047 blt 0,0,640,480,0, 1202,640,480:waittimer 8061 blt 0,0,640,480,0, 1204,640,480:waittimer 8074 blt 0,0,640,480,0, 1206,640,480:waittimer 8087 blt 0,0,640,480,0, 1208,640,480:waittimer 8101 blt 0,0,640,480,0, 1210,640,480:waittimer 8114 blt 0,0,640,480,0, 1212,640,480:waittimer 8128 blt 0,0,640,480,0, 1214,640,480:waittimer 8141 blt 0,0,640,480,0, 1216,640,480:waittimer 8154 blt 0,0,640,480,0, 1218,640,480:waittimer 8168 blt 0,0,640,480,0, 1220,640,480:waittimer 8181 blt 0,0,640,480,0, 1222,640,480:waittimer 8195 blt 0,0,640,480,0, 1224,640,480:waittimer 8208 blt 0,0,640,480,0, 1226,640,480:waittimer 8222 blt 0,0,640,480,0, 1228,640,480:waittimer 8235 blt 0,0,640,480,0, 1230,640,480:waittimer 8248 blt 0,0,640,480,0, 1232,640,480:waittimer 8262 blt 0,0,640,480,0, 1234,640,480:waittimer 8275 blt 0,0,640,480,0, 1236,640,480:waittimer 8289 blt 0,0,640,480,0, 1238,640,480:waittimer 8302 blt 0,0,640,480,0, 1240,640,480:waittimer 8315 blt 0,0,640,480,0, 1242,640,480:waittimer 8329 blt 0,0,640,480,0, 1244,640,480:waittimer 8342 blt 0,0,640,480,0, 1246,640,480:waittimer 8356 blt 0,0,640,480,0, 1248,640,480:waittimer 8369 blt 0,0,640,480,0, 1250,640,480:waittimer 8383 blt 0,0,640,480,0, 1252,640,480:waittimer 8396 blt 0,0,640,480,0, 1254,640,480:waittimer 8409 blt 0,0,640,480,0, 1256,640,480:waittimer 8423 blt 0,0,640,480,0, 1258,640,480:waittimer 8436 blt 0,0,640,480,0, 1260,640,480:waittimer 8450 blt 0,0,640,480,0, 1262,640,480:waittimer 8463 blt 0,0,640,480,0, 1264,640,480:waittimer 8476 blt 0,0,640,480,0, 1266,640,480:waittimer 8490 blt 0,0,640,480,0, 1268,640,480:waittimer 8503 blt 0,0,640,480,0, 1270,640,480:waittimer 8517 blt 0,0,640,480,0, 1272,640,480:waittimer 8530 blt 0,0,640,480,0, 1274,640,480:waittimer 8543 blt 0,0,640,480,0, 1276,640,480:waittimer 8557 blt 0,0,640,480,0, 1278,640,480:waittimer 8570 blt 0,0,640,480,0, 1280,640,480:waittimer 8584 blt 0,0,640,480,0, 1282,640,480:waittimer 8597 blt 0,0,640,480,0, 1284,640,480:waittimer 8611 blt 0,0,640,480,0, 1286,640,480:waittimer 8624 blt 0,0,640,480,0, 1288,640,480:waittimer 8637 blt 0,0,640,480,0, 1290,640,480:waittimer 8651 blt 0,0,640,480,0, 1292,640,480:waittimer 8664 blt 0,0,640,480,0, 1294,640,480:waittimer 8678 blt 0,0,640,480,0, 1296,640,480:waittimer 8691 blt 0,0,640,480,0, 1298,640,480:waittimer 8704 blt 0,0,640,480,0, 1300,640,480:waittimer 8718 blt 0,0,640,480,0, 1302,640,480:waittimer 8731 blt 0,0,640,480,0, 1304,640,480:waittimer 8745 blt 0,0,640,480,0, 1306,640,480:waittimer 8758 blt 0,0,640,480,0, 1308,640,480:waittimer 8771 blt 0,0,640,480,0, 1310,640,480:waittimer 8785 blt 0,0,640,480,0, 1312,640,480:waittimer 8798 blt 0,0,640,480,0, 1314,640,480:waittimer 8812 blt 0,0,640,480,0, 1316,640,480:waittimer 8825 blt 0,0,640,480,0, 1318,640,480:waittimer 8839 blt 0,0,640,480,0, 1320,640,480:waittimer 8852 blt 0,0,640,480,0, 1322,640,480:waittimer 8865 blt 0,0,640,480,0, 1324,640,480:waittimer 8879 blt 0,0,640,480,0, 1326,640,480:waittimer 8892 blt 0,0,640,480,0, 1328,640,480:waittimer 8906 blt 0,0,640,480,0, 1330,640,480:waittimer 8919 blt 0,0,640,480,0, 1332,640,480:waittimer 8932 blt 0,0,640,480,0, 1334,640,480:waittimer 8946 blt 0,0,640,480,0, 1336,640,480:waittimer 8959 blt 0,0,640,480,0, 1338,640,480:waittimer 8973 blt 0,0,640,480,0, 1340,640,480:waittimer 8986 blt 0,0,640,480,0, 1342,640,480:waittimer 9000 blt 0,0,640,480,0, 1344,640,480:waittimer 9013 blt 0,0,640,480,0, 1346,640,480:waittimer 9026 blt 0,0,640,480,0, 1348,640,480:waittimer 9040 blt 0,0,640,480,0, 1350,640,480:waittimer 9053 blt 0,0,640,480,0, 1352,640,480:waittimer 9067 blt 0,0,640,480,0, 1354,640,480:waittimer 9080 blt 0,0,640,480,0, 1356,640,480:waittimer 9093 blt 0,0,640,480,0, 1358,640,480:waittimer 9107 blt 0,0,640,480,0, 1360,640,480:waittimer 9120 blt 0,0,640,480,0, 1362,640,480:waittimer 9134 blt 0,0,640,480,0, 1364,640,480:waittimer 9147 blt 0,0,640,480,0, 1366,640,480:waittimer 9160 blt 0,0,640,480,0, 1368,640,480:waittimer 9174 blt 0,0,640,480,0, 1370,640,480:waittimer 9187 blt 0,0,640,480,0, 1372,640,480:waittimer 9201 blt 0,0,640,480,0, 1374,640,480:waittimer 9214 blt 0,0,640,480,0, 1376,640,480:waittimer 9228 blt 0,0,640,480,0, 1378,640,480:waittimer 9241 blt 0,0,640,480,0, 1380,640,480:waittimer 9254 blt 0,0,640,480,0, 1382,640,480:waittimer 9268 blt 0,0,640,480,0, 1384,640,480:waittimer 9281 blt 0,0,640,480,0, 1386,640,480:waittimer 9295 blt 0,0,640,480,0, 1388,640,480:waittimer 9308 blt 0,0,640,480,0, 1390,640,480:waittimer 9321 blt 0,0,640,480,0, 1392,640,480:waittimer 9335 blt 0,0,640,480,0, 1394,640,480:waittimer 9348 blt 0,0,640,480,0, 1396,640,480:waittimer 9362 blt 0,0,640,480,0, 1398,640,480:waittimer 9375 blt 0,0,640,480,0, 1400,640,480:waittimer 9388 blt 0,0,640,480,0, 1402,640,480:waittimer 9402 blt 0,0,640,480,0, 1404,640,480:waittimer 9415 blt 0,0,640,480,0, 1406,640,480:waittimer 9429 blt 0,0,640,480,0, 1408,640,480:waittimer 9442 blt 0,0,640,480,0, 1410,640,480:waittimer 9456 blt 0,0,640,480,0, 1412,640,480:waittimer 9469 blt 0,0,640,480,0, 1414,640,480:waittimer 9482 blt 0,0,640,480,0, 1416,640,480:waittimer 9496 blt 0,0,640,480,0, 1418,640,480:waittimer 9509 blt 0,0,640,480,0, 1420,640,480:waittimer 9523 blt 0,0,640,480,0, 1422,640,480:waittimer 9536 blt 0,0,640,480,0, 1424,640,480:waittimer 9549 blt 0,0,640,480,0, 1426,640,480:waittimer 9563 blt 0,0,640,480,0, 1428,640,480:waittimer 9576 blt 0,0,640,480,0, 1430,640,480:waittimer 9590 blt 0,0,640,480,0, 1432,640,480:waittimer 9603 blt 0,0,640,480,0, 1434,640,480:waittimer 9616 blt 0,0,640,480,0, 1436,640,480:waittimer 9630 blt 0,0,640,480,0, 1438,640,480:waittimer 9643 blt 0,0,640,480,0, 1440,640,480:waittimer 9657 blt 0,0,640,480,0, 1442,640,480:waittimer 9670 blt 0,0,640,480,0, 1444,640,480:waittimer 9684 blt 0,0,640,480,0, 1446,640,480:waittimer 9697 blt 0,0,640,480,0, 1448,640,480:waittimer 9710 blt 0,0,640,480,0, 1450,640,480:waittimer 9724 blt 0,0,640,480,0, 1452,640,480:waittimer 9737 blt 0,0,640,480,0, 1454,640,480:waittimer 9751 blt 0,0,640,480,0, 1456,640,480:waittimer 9764 blt 0,0,640,480,0, 1458,640,480:waittimer 9777 blt 0,0,640,480,0, 1460,640,480:waittimer 9791 blt 0,0,640,480,0, 1462,640,480:waittimer 9804 blt 0,0,640,480,0, 1464,640,480:waittimer 9818 blt 0,0,640,480,0, 1466,640,480:waittimer 9831 blt 0,0,640,480,0, 1468,640,480:waittimer 9845 blt 0,0,640,480,0, 1470,640,480:waittimer 9858 blt 0,0,640,480,0, 1472,640,480:waittimer 9871 blt 0,0,640,480,0, 1474,640,480:waittimer 9885 blt 0,0,640,480,0, 1476,640,480:waittimer 9898 blt 0,0,640,480,0, 1478,640,480:waittimer 9912 blt 0,0,640,480,0, 1480,640,480:waittimer 9925 blt 0,0,640,480,0, 1482,640,480:waittimer 9938 blt 0,0,640,480,0, 1484,640,480:waittimer 9952 blt 0,0,640,480,0, 1486,640,480:waittimer 9965 blt 0,0,640,480,0, 1488,640,480:waittimer 9979 blt 0,0,640,480,0, 1490,640,480:waittimer 9992 blt 0,0,640,480,0, 1492,640,480:waittimer 10005 blt 0,0,640,480,0, 1494,640,480:waittimer 10019 blt 0,0,640,480,0, 1496,640,480:waittimer 10032 blt 0,0,640,480,0, 1498,640,480:waittimer 10046 blt 0,0,640,480,0, 1500,640,480:waittimer 10059 blt 0,0,640,480,0, 1502,640,480:waittimer 10073 blt 0,0,640,480,0, 1504,640,480:waittimer 10086 blt 0,0,640,480,0, 1506,640,480:waittimer 10099 blt 0,0,640,480,0, 1508,640,480:waittimer 10113 blt 0,0,640,480,0, 1510,640,480:waittimer 10126 blt 0,0,640,480,0, 1512,640,480:waittimer 10140 blt 0,0,640,480,0, 1514,640,480:waittimer 10153 blt 0,0,640,480,0, 1516,640,480:waittimer 10166 blt 0,0,640,480,0, 1518,640,480:waittimer 10180 blt 0,0,640,480,0, 1520,640,480:waittimer 10193 blt 0,0,640,480,0, 1522,640,480:waittimer 10207 blt 0,0,640,480,0, 1524,640,480:waittimer 10220 blt 0,0,640,480,0, 1526,640,480:waittimer 10233 blt 0,0,640,480,0, 1528,640,480:waittimer 10247 blt 0,0,640,480,0, 1530,640,480:waittimer 10260 blt 0,0,640,480,0, 1532,640,480:waittimer 10274 blt 0,0,640,480,0, 1534,640,480:waittimer 10287 blt 0,0,640,480,0, 1536,640,480:waittimer 10301 blt 0,0,640,480,0, 1538,640,480:waittimer 10314 blt 0,0,640,480,0, 1540,640,480:waittimer 10327 blt 0,0,640,480,0, 1542,640,480:waittimer 10341 blt 0,0,640,480,0, 1544,640,480:waittimer 10354 blt 0,0,640,480,0, 1546,640,480:waittimer 10368 blt 0,0,640,480,0, 1548,640,480:waittimer 10381 blt 0,0,640,480,0, 1550,640,480:waittimer 10394 blt 0,0,640,480,0, 1552,640,480:waittimer 10408 blt 0,0,640,480,0, 1554,640,480:waittimer 10421 blt 0,0,640,480,0, 1556,640,480:waittimer 10435 blt 0,0,640,480,0, 1558,640,480:waittimer 10448 blt 0,0,640,480,0, 1560,640,480:waittimer 10461 blt 0,0,640,480,0, 1562,640,480:waittimer 10475 blt 0,0,640,480,0, 1564,640,480:waittimer 10488 blt 0,0,640,480,0, 1566,640,480:waittimer 10502 blt 0,0,640,480,0, 1568,640,480:waittimer 10515 blt 0,0,640,480,0, 1570,640,480:waittimer 10529 blt 0,0,640,480,0, 1572,640,480:waittimer 10542 blt 0,0,640,480,0, 1574,640,480:waittimer 10555 blt 0,0,640,480,0, 1576,640,480:waittimer 10569 blt 0,0,640,480,0, 1578,640,480:waittimer 10582 blt 0,0,640,480,0, 1580,640,480:waittimer 10596 blt 0,0,640,480,0, 1582,640,480:waittimer 10609 blt 0,0,640,480,0, 1584,640,480:waittimer 10622 blt 0,0,640,480,0, 1586,640,480:waittimer 10636 blt 0,0,640,480,0, 1588,640,480:waittimer 10649 blt 0,0,640,480,0, 1590,640,480:waittimer 10663 blt 0,0,640,480,0, 1592,640,480:waittimer 10676 blt 0,0,640,480,0, 1594,640,480:waittimer 10690 blt 0,0,640,480,0, 1596,640,480:waittimer 10703 blt 0,0,640,480,0, 1598,640,480:waittimer 10716 blt 0,0,640,480,0, 1600,640,480:waittimer 10730 blt 0,0,640,480,0, 1602,640,480:waittimer 10743 blt 0,0,640,480,0, 1604,640,480:waittimer 10757 blt 0,0,640,480,0, 1606,640,480:waittimer 10770 blt 0,0,640,480,0, 1608,640,480:waittimer 10783 blt 0,0,640,480,0, 1610,640,480:waittimer 10797 blt 0,0,640,480,0, 1612,640,480:waittimer 10810 blt 0,0,640,480,0, 1614,640,480:waittimer 10824 blt 0,0,640,480,0, 1616,640,480:waittimer 10837 blt 0,0,640,480,0, 1618,640,480:waittimer 10850 blt 0,0,640,480,0, 1620,640,480:waittimer 10864 blt 0,0,640,480,0, 1622,640,480:waittimer 10877 blt 0,0,640,480,0, 1624,640,480:waittimer 10891 blt 0,0,640,480,0, 1626,640,480:waittimer 10904 blt 0,0,640,480,0, 1628,640,480:waittimer 10918 blt 0,0,640,480,0, 1630,640,480:waittimer 10931 blt 0,0,640,480,0, 1632,640,480:waittimer 10944 blt 0,0,640,480,0, 1634,640,480:waittimer 10958 blt 0,0,640,480,0, 1636,640,480:waittimer 10971 blt 0,0,640,480,0, 1638,640,480:waittimer 10985 blt 0,0,640,480,0, 1640,640,480:waittimer 10998 blt 0,0,640,480,0, 1642,640,480:waittimer 11011 blt 0,0,640,480,0, 1644,640,480:waittimer 11025 blt 0,0,640,480,0, 1646,640,480:waittimer 11038 blt 0,0,640,480,0, 1648,640,480:waittimer 11052 blt 0,0,640,480,0, 1650,640,480:waittimer 11065 blt 0,0,640,480,0, 1652,640,480:waittimer 11078 blt 0,0,640,480,0, 1654,640,480:waittimer 11092 blt 0,0,640,480,0, 1656,640,480:waittimer 11105 blt 0,0,640,480,0, 1658,640,480:waittimer 11119 blt 0,0,640,480,0, 1660,640,480:waittimer 11132 blt 0,0,640,480,0, 1662,640,480:waittimer 11146 blt 0,0,640,480,0, 1664,640,480:waittimer 11159 blt 0,0,640,480,0, 1666,640,480:waittimer 11172 blt 0,0,640,480,0, 1668,640,480:waittimer 11186 blt 0,0,640,480,0, 1670,640,480:waittimer 11199 blt 0,0,640,480,0, 1672,640,480:waittimer 11213 blt 0,0,640,480,0, 1674,640,480:waittimer 11226 blt 0,0,640,480,0, 1676,640,480:waittimer 11239 blt 0,0,640,480,0, 1678,640,480:waittimer 11253 blt 0,0,640,480,0, 1680,640,480:waittimer 11266 blt 0,0,640,480,0, 1682,640,480:waittimer 11280 blt 0,0,640,480,0, 1684,640,480:waittimer 11293 blt 0,0,640,480,0, 1686,640,480:waittimer 11307 blt 0,0,640,480,0, 1688,640,480:waittimer 11320 blt 0,0,640,480,0, 1690,640,480:waittimer 11333 blt 0,0,640,480,0, 1692,640,480:waittimer 11347 blt 0,0,640,480,0, 1694,640,480:waittimer 11360 blt 0,0,640,480,0, 1696,640,480:waittimer 11374 blt 0,0,640,480,0, 1698,640,480:waittimer 11387 blt 0,0,640,480,0, 1700,640,480:waittimer 11400 blt 0,0,640,480,0, 1702,640,480:waittimer 11414 blt 0,0,640,480,0, 1704,640,480:waittimer 11427 blt 0,0,640,480,0, 1706,640,480:waittimer 11441 blt 0,0,640,480,0, 1708,640,480:waittimer 11454 blt 0,0,640,480,0, 1710,640,480:waittimer 11467 blt 0,0,640,480,0, 1712,640,480:waittimer 11481 blt 0,0,640,480,0, 1714,640,480:waittimer 11494 blt 0,0,640,480,0, 1716,640,480:waittimer 11508 blt 0,0,640,480,0, 1718,640,480:waittimer 11521 blt 0,0,640,480,0, 1720,640,480:waittimer 11535 blt 0,0,640,480,0, 1722,640,480:waittimer 11548 blt 0,0,640,480,0, 1724,640,480:waittimer 11561 blt 0,0,640,480,0, 1726,640,480:waittimer 11575 blt 0,0,640,480,0, 1728,640,480:waittimer 11588 blt 0,0,640,480,0, 1730,640,480:waittimer 11602 blt 0,0,640,480,0, 1732,640,480:waittimer 11615 blt 0,0,640,480,0, 1734,640,480:waittimer 11628 blt 0,0,640,480,0, 1736,640,480:waittimer 11642 blt 0,0,640,480,0, 1738,640,480:waittimer 11655 blt 0,0,640,480,0, 1740,640,480:waittimer 11669 blt 0,0,640,480,0, 1742,640,480:waittimer 11682 blt 0,0,640,480,0, 1744,640,480:waittimer 11695 blt 0,0,640,480,0, 1746,640,480:waittimer 11709 blt 0,0,640,480,0, 1748,640,480:waittimer 11722 blt 0,0,640,480,0, 1750,640,480:waittimer 11736 blt 0,0,640,480,0, 1752,640,480:waittimer 11749 blt 0,0,640,480,0, 1754,640,480:waittimer 11763 blt 0,0,640,480,0, 1756,640,480:waittimer 11776 blt 0,0,640,480,0, 1758,640,480:waittimer 11789 blt 0,0,640,480,0, 1760,640,480:waittimer 11803 blt 0,0,640,480,0, 1762,640,480:waittimer 11816 blt 0,0,640,480,0, 1764,640,480:waittimer 11830 blt 0,0,640,480,0, 1766,640,480:waittimer 11843 blt 0,0,640,480,0, 1768,640,480:waittimer 11856 blt 0,0,640,480,0, 1770,640,480:waittimer 11870 blt 0,0,640,480,0, 1772,640,480:waittimer 11883 blt 0,0,640,480,0, 1774,640,480:waittimer 11897 blt 0,0,640,480,0, 1776,640,480:waittimer 11910 blt 0,0,640,480,0, 1778,640,480:waittimer 11923 blt 0,0,640,480,0, 1780,640,480:waittimer 11937 blt 0,0,640,480,0, 1782,640,480:waittimer 11950 blt 0,0,640,480,0, 1784,640,480:waittimer 11964 blt 0,0,640,480,0, 1786,640,480:waittimer 11977 blt 0,0,640,480,0, 1788,640,480:waittimer 11991 blt 0,0,640,480,0, 1790,640,480:waittimer 12004 blt 0,0,640,480,0, 1792,640,480:waittimer 12017 blt 0,0,640,480,0, 1794,640,480:waittimer 12031 blt 0,0,640,480,0, 1796,640,480:waittimer 12044 blt 0,0,640,480,0, 1798,640,480:waittimer 12058 blt 0,0,640,480,0, 1800,640,480:waittimer 12071 blt 0,0,640,480,0, 1802,640,480:waittimer 12084 blt 0,0,640,480,0, 1804,640,480:waittimer 12098 blt 0,0,640,480,0, 1806,640,480:waittimer 12111 blt 0,0,640,480,0, 1808,640,480:waittimer 12125 blt 0,0,640,480,0, 1810,640,480:waittimer 12138 blt 0,0,640,480,0, 1812,640,480:waittimer 12152 blt 0,0,640,480,0, 1814,640,480:waittimer 12165 blt 0,0,640,480,0, 1816,640,480:waittimer 12178 blt 0,0,640,480,0, 1818,640,480:waittimer 12192 blt 0,0,640,480,0, 1820,640,480:waittimer 12205 blt 0,0,640,480,0, 1822,640,480:waittimer 12219 blt 0,0,640,480,0, 1824,640,480:waittimer 12232 blt 0,0,640,480,0, 1826,640,480:waittimer 12245 blt 0,0,640,480,0, 1828,640,480:waittimer 12259 blt 0,0,640,480,0, 1830,640,480:waittimer 12272 blt 0,0,640,480,0, 1832,640,480:waittimer 12286 blt 0,0,640,480,0, 1834,640,480:waittimer 12299 blt 0,0,640,480,0, 1836,640,480:waittimer 12312 blt 0,0,640,480,0, 1838,640,480:waittimer 12326 blt 0,0,640,480,0, 1840,640,480:waittimer 12339 blt 0,0,640,480,0, 1842,640,480:waittimer 12353 blt 0,0,640,480,0, 1844,640,480:waittimer 12366 blt 0,0,640,480,0, 1846,640,480:waittimer 12380 blt 0,0,640,480,0, 1848,640,480:waittimer 12393 blt 0,0,640,480,0, 1850,640,480:waittimer 12406 blt 0,0,640,480,0, 1852,640,480:waittimer 12420 blt 0,0,640,480,0, 1854,640,480:waittimer 12433 blt 0,0,640,480,0, 1856,640,480:waittimer 12447 blt 0,0,640,480,0, 1858,640,480:waittimer 12460 blt 0,0,640,480,0, 1860,640,480:waittimer 12473 blt 0,0,640,480,0, 1862,640,480:waittimer 12487 blt 0,0,640,480,0, 1864,640,480:waittimer 12500 blt 0,0,640,480,0, 1866,640,480:waittimer 12514 blt 0,0,640,480,0, 1868,640,480:waittimer 12527 blt 0,0,640,480,0, 1870,640,480:waittimer 12540 blt 0,0,640,480,0, 1872,640,480:waittimer 12554 blt 0,0,640,480,0, 1874,640,480:waittimer 12567 blt 0,0,640,480,0, 1876,640,480:waittimer 12581 blt 0,0,640,480,0, 1878,640,480:waittimer 12594 blt 0,0,640,480,0, 1880,640,480:waittimer 12608 blt 0,0,640,480,0, 1882,640,480:waittimer 12621 blt 0,0,640,480,0, 1884,640,480:waittimer 12634 blt 0,0,640,480,0, 1886,640,480:waittimer 12648 blt 0,0,640,480,0, 1888,640,480:waittimer 12661 blt 0,0,640,480,0, 1890,640,480:waittimer 12675 blt 0,0,640,480,0, 1892,640,480:waittimer 12688 blt 0,0,640,480,0, 1894,640,480:waittimer 12701 blt 0,0,640,480,0, 1896,640,480:waittimer 12715 blt 0,0,640,480,0, 1898,640,480:waittimer 12728 blt 0,0,640,480,0, 1900,640,480:waittimer 12742 blt 0,0,640,480,0, 1902,640,480:waittimer 12755 blt 0,0,640,480,0, 1904,640,480:waittimer 12769 blt 0,0,640,480,0, 1906,640,480:waittimer 12782 blt 0,0,640,480,0, 1908,640,480:waittimer 12795 blt 0,0,640,480,0, 1910,640,480:waittimer 12809 blt 0,0,640,480,0, 1912,640,480:waittimer 12822 blt 0,0,640,480,0, 1914,640,480:waittimer 12836 blt 0,0,640,480,0, 1916,640,480:waittimer 12849 blt 0,0,640,480,0, 1918,640,480:waittimer 12862 blt 0,0,640,480,0, 1920,640,480:waittimer 12876 blt 0,0,640,480,0, 1922,640,480:waittimer 12889 blt 0,0,640,480,0, 1924,640,480:waittimer 12903 blt 0,0,640,480,0, 1926,640,480:waittimer 12916 blt 0,0,640,480,0, 1928,640,480:waittimer 12929 blt 0,0,640,480,0, 1930,640,480:waittimer 12943 blt 0,0,640,480,0, 1932,640,480:waittimer 12956 blt 0,0,640,480,0, 1934,640,480:waittimer 12970 blt 0,0,640,480,0, 1936,640,480:waittimer 12983 blt 0,0,640,480,0, 1938,640,480:waittimer 12997 blt 0,0,640,480,0, 1940,640,480:waittimer 13010 blt 0,0,640,480,0, 1942,640,480:waittimer 13023 blt 0,0,640,480,0, 1944,640,480:waittimer 13037 blt 0,0,640,480,0, 1946,640,480:waittimer 13050 blt 0,0,640,480,0, 1948,640,480:waittimer 13064 blt 0,0,640,480,0, 1950,640,480:waittimer 13077 blt 0,0,640,480,0, 1952,640,480:waittimer 13090 blt 0,0,640,480,0, 1954,640,480:waittimer 13104 blt 0,0,640,480,0, 1956,640,480:waittimer 13117 blt 0,0,640,480,0, 1958,640,480:waittimer 13131 blt 0,0,640,480,0, 1960,640,480:waittimer 13144 blt 0,0,640,480,0, 1962,640,480:waittimer 13157 blt 0,0,640,480,0, 1964,640,480:waittimer 13171 blt 0,0,640,480,0, 1966,640,480:waittimer 13184 blt 0,0,640,480,0, 1968,640,480:waittimer 13198 blt 0,0,640,480,0, 1970,640,480:waittimer 13211 blt 0,0,640,480,0, 1972,640,480:waittimer 13225 blt 0,0,640,480,0, 1974,640,480:waittimer 13238 blt 0,0,640,480,0, 1976,640,480:waittimer 13251 blt 0,0,640,480,0, 1978,640,480:waittimer 13265 blt 0,0,640,480,0, 1980,640,480:waittimer 13278 blt 0,0,640,480,0, 1982,640,480:waittimer 13292 blt 0,0,640,480,0, 1984,640,480:waittimer 13305 blt 0,0,640,480,0, 1986,640,480:waittimer 13318 blt 0,0,640,480,0, 1988,640,480:waittimer 13332 blt 0,0,640,480,0, 1990,640,480:waittimer 13345 blt 0,0,640,480,0, 1992,640,480:waittimer 13359 blt 0,0,640,480,0, 1994,640,480:waittimer 13372 blt 0,0,640,480,0, 1996,640,480:waittimer 13385 blt 0,0,640,480,0, 1998,640,480:waittimer 13399 blt 0,0,640,480,0, 2000,640,480:waittimer 13412 blt 0,0,640,480,0, 2002,640,480:waittimer 13426 blt 0,0,640,480,0, 2004,640,480:waittimer 13439 blt 0,0,640,480,0, 2006,640,480:waittimer 13453 blt 0,0,640,480,0, 2008,640,480:waittimer 13466 blt 0,0,640,480,0, 2010,640,480:waittimer 13479 blt 0,0,640,480,0, 2012,640,480:waittimer 13493 blt 0,0,640,480,0, 2014,640,480:waittimer 13506 blt 0,0,640,480,0, 2016,640,480:waittimer 13520 blt 0,0,640,480,0, 2018,640,480:waittimer 13533 blt 0,0,640,480,0, 2020,640,480:waittimer 13546 blt 0,0,640,480,0, 2022,640,480:waittimer 13560 blt 0,0,640,480,0, 2024,640,480:waittimer 13573 blt 0,0,640,480,0, 2026,640,480:waittimer 13587 blt 0,0,640,480,0, 2028,640,480:waittimer 13600 blt 0,0,640,480,0, 2030,640,480:waittimer 13614 blt 0,0,640,480,0, 2032,640,480:waittimer 13627 blt 0,0,640,480,0, 2034,640,480:waittimer 13640 blt 0,0,640,480,0, 2036,640,480:waittimer 13654 blt 0,0,640,480,0, 2038,640,480:waittimer 13667 blt 0,0,640,480,0, 2040,640,480:waittimer 13681 blt 0,0,640,480,0, 2042,640,480:waittimer 13694 blt 0,0,640,480,0, 2044,640,480:waittimer 13707 blt 0,0,640,480,0, 2046,640,480:waittimer 13721 blt 0,0,640,480,0, 2048,640,480:waittimer 13734 blt 0,0,640,480,0, 2050,640,480:waittimer 13748 blt 0,0,640,480,0, 2052,640,480:waittimer 13761 blt 0,0,640,480,0, 2054,640,480:waittimer 13774 blt 0,0,640,480,0, 2056,640,480:waittimer 13788 blt 0,0,640,480,0, 2058,640,480:waittimer 13801 blt 0,0,640,480,0, 2060,640,480:waittimer 13815 blt 0,0,640,480,0, 2062,640,480:waittimer 13828 blt 0,0,640,480,0, 2064,640,480:waittimer 13842 blt 0,0,640,480,0, 2066,640,480:waittimer 13855 blt 0,0,640,480,0, 2068,640,480:waittimer 13868 blt 0,0,640,480,0, 2070,640,480:waittimer 13882 blt 0,0,640,480,0, 2072,640,480:waittimer 13895 blt 0,0,640,480,0, 2074,640,480:waittimer 13909 blt 0,0,640,480,0, 2076,640,480:waittimer 13922 blt 0,0,640,480,0, 2078,640,480:waittimer 13935 blt 0,0,640,480,0, 2080,640,480:waittimer 13949 blt 0,0,640,480,0, 2082,640,480:waittimer 13962 blt 0,0,640,480,0, 2084,640,480:waittimer 13976 blt 0,0,640,480,0, 2086,640,480:waittimer 13989 blt 0,0,640,480,0, 2088,640,480:waittimer 14002 blt 0,0,640,480,0, 2090,640,480:waittimer 14016 blt 0,0,640,480,0, 2092,640,480:waittimer 14029 blt 0,0,640,480,0, 2094,640,480:waittimer 14043 blt 0,0,640,480,0, 2096,640,480:waittimer 14056 blt 0,0,640,480,0, 2098,640,480:waittimer 14070 blt 0,0,640,480,0, 2100,640,480:waittimer 14083 blt 0,0,640,480,0, 2102,640,480:waittimer 14096 blt 0,0,640,480,0, 2104,640,480:waittimer 14110 blt 0,0,640,480,0, 2106,640,480:waittimer 14123 blt 0,0,640,480,0, 2108,640,480:waittimer 14137 blt 0,0,640,480,0, 2110,640,480:waittimer 14150 blt 0,0,640,480,0, 2112,640,480:waittimer 14163 blt 0,0,640,480,0, 2114,640,480:waittimer 14177 blt 0,0,640,480,0, 2116,640,480:waittimer 14190 blt 0,0,640,480,0, 2118,640,480:waittimer 14204 blt 0,0,640,480,0, 2120,640,480:waittimer 14217 blt 0,0,640,480,0, 2122,640,480:waittimer 14230 blt 0,0,640,480,0, 2124,640,480:waittimer 14244 blt 0,0,640,480,0, 2126,640,480:waittimer 14257 blt 0,0,640,480,0, 2128,640,480:waittimer 14271 blt 0,0,640,480,0, 2130,640,480:waittimer 14284 blt 0,0,640,480,0, 2132,640,480:waittimer 14298 blt 0,0,640,480,0, 2134,640,480:waittimer 14311 blt 0,0,640,480,0, 2136,640,480:waittimer 14324 blt 0,0,640,480,0, 2138,640,480:waittimer 14338 blt 0,0,640,480,0, 2140,640,480:waittimer 14351 blt 0,0,640,480,0, 2142,640,480:waittimer 14365 blt 0,0,640,480,0, 2144,640,480:waittimer 14378 blt 0,0,640,480,0, 2146,640,480:waittimer 14391 blt 0,0,640,480,0, 2148,640,480:waittimer 14405 blt 0,0,640,480,0, 2150,640,480:waittimer 14418 blt 0,0,640,480,0, 2152,640,480:waittimer 14432 blt 0,0,640,480,0, 2154,640,480:waittimer 14445 blt 0,0,640,480,0, 2156,640,480:waittimer 14459 blt 0,0,640,480,0, 2158,640,480:waittimer 14472 blt 0,0,640,480,0, 2160,640,480:waittimer 14485 blt 0,0,640,480,0, 2162,640,480:waittimer 14499 blt 0,0,640,480,0, 2164,640,480:waittimer 14512 blt 0,0,640,480,0, 2166,640,480:waittimer 14526 blt 0,0,640,480,0, 2168,640,480:waittimer 14539 blt 0,0,640,480,0, 2170,640,480:waittimer 14552 blt 0,0,640,480,0, 2172,640,480:waittimer 14566 blt 0,0,640,480,0, 2174,640,480:waittimer 14579 blt 0,0,640,480,0, 2176,640,480:waittimer 14593 blt 0,0,640,480,0, 2178,640,480:waittimer 14606 blt 0,0,640,480,0, 2180,640,480:waittimer 14619 blt 0,0,640,480,0, 2182,640,480:waittimer 14633 blt 0,0,640,480,0, 2184,640,480:waittimer 14646 blt 0,0,640,480,0, 2186,640,480:waittimer 14660 blt 0,0,640,480,0, 2188,640,480:waittimer 14673 blt 0,0,640,480,0, 2190,640,480:waittimer 14687 blt 0,0,640,480,0, 2192,640,480:waittimer 14700 blt 0,0,640,480,0, 2194,640,480:waittimer 14713 blt 0,0,640,480,0, 2196,640,480:waittimer 14727 blt 0,0,640,480,0, 2198,640,480:waittimer 14740 blt 0,0,640,480,0, 2200,640,480:waittimer 14754 blt 0,0,640,480,0, 2202,640,480:waittimer 14767 blt 0,0,640,480,0, 2204,640,480:waittimer 14780 blt 0,0,640,480,0, 2206,640,480:waittimer 14794 blt 0,0,640,480,0, 2208,640,480:waittimer 14807 blt 0,0,640,480,0, 2210,640,480:waittimer 14821 blt 0,0,640,480,0, 2212,640,480:waittimer 14834 blt 0,0,640,480,0, 2214,640,480:waittimer 14847 blt 0,0,640,480,0, 2216,640,480:waittimer 14861 blt 0,0,640,480,0, 2218,640,480:waittimer 14874 blt 0,0,640,480,0, 2220,640,480:waittimer 14888 blt 0,0,640,480,0, 2222,640,480:waittimer 14901 blt 0,0,640,480,0, 2224,640,480:waittimer 14915 blt 0,0,640,480,0, 2226,640,480:waittimer 14928 blt 0,0,640,480,0, 2228,640,480:waittimer 14941 blt 0,0,640,480,0, 2230,640,480:waittimer 14955 blt 0,0,640,480,0, 2232,640,480:waittimer 14968 blt 0,0,640,480,0, 2234,640,480:waittimer 14982 blt 0,0,640,480,0, 2236,640,480:waittimer 14995 blt 0,0,640,480,0, 2238,640,480:waittimer 15008 blt 0,0,640,480,0, 2240,640,480:waittimer 15022 blt 0,0,640,480,0, 2242,640,480:waittimer 15035 blt 0,0,640,480,0, 2244,640,480:waittimer 15049 blt 0,0,640,480,0, 2246,640,480:waittimer 15062 blt 0,0,640,480,0, 2248,640,480:waittimer 15076 blt 0,0,640,480,0, 2250,640,480:waittimer 15089 blt 0,0,640,480,0, 2252,640,480:waittimer 15102 blt 0,0,640,480,0, 2254,640,480:waittimer 15116 blt 0,0,640,480,0, 2256,640,480:waittimer 15129 blt 0,0,640,480,0, 2258,640,480:waittimer 15143 blt 0,0,640,480,0, 2260,640,480:waittimer 15156 blt 0,0,640,480,0, 2262,640,480:waittimer 15169 blt 0,0,640,480,0, 2264,640,480:waittimer 15183 blt 0,0,640,480,0, 2266,640,480:waittimer 15196 blt 0,0,640,480,0, 2268,640,480:waittimer 15210 blt 0,0,640,480,0, 2270,640,480:waittimer 15223 blt 0,0,640,480,0, 2272,640,480:waittimer 15236 blt 0,0,640,480,0, 2274,640,480:waittimer 15250 blt 0,0,640,480,0, 2276,640,480:waittimer 15263 blt 0,0,640,480,0, 2278,640,480:waittimer 15277 blt 0,0,640,480,0, 2280,640,480:waittimer 15290 blt 0,0,640,480,0, 2282,640,480:waittimer 15304 blt 0,0,640,480,0, 2284,640,480:waittimer 15317 blt 0,0,640,480,0, 2286,640,480:waittimer 15330 blt 0,0,640,480,0, 2288,640,480:waittimer 15344 blt 0,0,640,480,0, 2290,640,480:waittimer 15357 blt 0,0,640,480,0, 2292,640,480:waittimer 15371 blt 0,0,640,480,0, 2294,640,480:waittimer 15384 blt 0,0,640,480,0, 2296,640,480:waittimer 15397 blt 0,0,640,480,0, 2298,640,480:waittimer 15411 blt 0,0,640,480,0, 2300,640,480:waittimer 15424 blt 0,0,640,480,0, 2302,640,480:waittimer 15438 blt 0,0,640,480,0, 2304,640,480:waittimer 15451 blt 0,0,640,480,0, 2306,640,480:waittimer 15464 blt 0,0,640,480,0, 2308,640,480:waittimer 15478 blt 0,0,640,480,0, 2310,640,480:waittimer 15491 blt 0,0,640,480,0, 2312,640,480:waittimer 15505 blt 0,0,640,480,0, 2314,640,480:waittimer 15518 blt 0,0,640,480,0, 2316,640,480:waittimer 15532 blt 0,0,640,480,0, 2318,640,480:waittimer 15545 blt 0,0,640,480,0, 2320,640,480:waittimer 15558 blt 0,0,640,480,0, 2322,640,480:waittimer 15572 blt 0,0,640,480,0, 2324,640,480:waittimer 15585 blt 0,0,640,480,0, 2326,640,480:waittimer 15599 blt 0,0,640,480,0, 2328,640,480:waittimer 15612 blt 0,0,640,480,0, 2330,640,480:waittimer 15625 blt 0,0,640,480,0, 2332,640,480:waittimer 15639 blt 0,0,640,480,0, 2334,640,480:waittimer 15652 blt 0,0,640,480,0, 2336,640,480:waittimer 15666 blt 0,0,640,480,0, 2338,640,480:waittimer 15679 blt 0,0,640,480,0, 2340,640,480:waittimer 15692 blt 0,0,640,480,0, 2342,640,480:waittimer 15706 blt 0,0,640,480,0, 2344,640,480:waittimer 15719 blt 0,0,640,480,0, 2346,640,480:waittimer 15733 blt 0,0,640,480,0, 2348,640,480:waittimer 15746 blt 0,0,640,480,0, 2350,640,480:waittimer 15760 blt 0,0,640,480,0, 2352,640,480:waittimer 15773 blt 0,0,640,480,0, 2354,640,480:waittimer 15786 blt 0,0,640,480,0, 2356,640,480:waittimer 15800 blt 0,0,640,480,0, 2358,640,480:waittimer 15813 blt 0,0,640,480,0, 2360,640,480:waittimer 15827 blt 0,0,640,480,0, 2362,640,480:waittimer 15840 blt 0,0,640,480,0, 2364,640,480:waittimer 15853 blt 0,0,640,480,0, 2366,640,480:waittimer 15867 blt 0,0,640,480,0, 2368,640,480:waittimer 15880 blt 0,0,640,480,0, 2370,640,480:waittimer 15894 blt 0,0,640,480,0, 2372,640,480:waittimer 15907 blt 0,0,640,480,0, 2374,640,480:waittimer 15921 blt 0,0,640,480,0, 2376,640,480:waittimer 15934 blt 0,0,640,480,0, 2378,640,480:waittimer 15947 blt 0,0,640,480,0, 2380,640,480:waittimer 15961 blt 0,0,640,480,0, 2382,640,480:waittimer 15974 blt 0,0,640,480,0, 2384,640,480:waittimer 15988 blt 0,0,640,480,0, 2386,640,480:waittimer 16001 blt 0,0,640,480,0, 2388,640,480:waittimer 16014 blt 0,0,640,480,0, 2390,640,480:waittimer 16028 blt 0,0,640,480,0, 2392,640,480:waittimer 16041 blt 0,0,640,480,0, 2394,640,480:waittimer 16055 blt 0,0,640,480,0, 2396,640,480:waittimer 16068 blt 0,0,640,480,0, 2398,640,480:waittimer 16081 blt 0,0,640,480,0, 2400,640,480:waittimer 16095 blt 0,0,640,480,0, 2402,640,480:waittimer 16108 blt 0,0,640,480,0, 2404,640,480:waittimer 16122 blt 0,0,640,480,0, 2406,640,480:waittimer 16135 blt 0,0,640,480,0, 2408,640,480:waittimer 16149 blt 0,0,640,480,0, 2410,640,480:waittimer 16162 blt 0,0,640,480,0, 2412,640,480:waittimer 16175 blt 0,0,640,480,0, 2414,640,480:waittimer 16189 blt 0,0,640,480,0, 2416,640,480:waittimer 16202 blt 0,0,640,480,0, 2418,640,480:waittimer 16216 blt 0,0,640,480,0, 2420,640,480:waittimer 16229 blt 0,0,640,480,0, 2422,640,480:waittimer 16242 blt 0,0,640,480,0, 2424,640,480:waittimer 16256 blt 0,0,640,480,0, 2426,640,480:waittimer 16269 blt 0,0,640,480,0, 2428,640,480:waittimer 16283 blt 0,0,640,480,0, 2430,640,480:waittimer 16296 blt 0,0,640,480,0, 2432,640,480:waittimer 16309 blt 0,0,640,480,0, 2434,640,480:waittimer 16323 blt 0,0,640,480,0, 2436,640,480:waittimer 16336 blt 0,0,640,480,0, 2438,640,480:waittimer 16350 blt 0,0,640,480,0, 2440,640,480:waittimer 16363 blt 0,0,640,480,0, 2442,640,480:waittimer 16377 blt 0,0,640,480,0, 2444,640,480:waittimer 16390 blt 0,0,640,480,0, 2446,640,480:waittimer 16403 blt 0,0,640,480,0, 2448,640,480:waittimer 16417 blt 0,0,640,480,0, 2450,640,480:waittimer 16430 blt 0,0,640,480,0, 2452,640,480:waittimer 16444 blt 0,0,640,480,0, 2454,640,480:waittimer 16457 blt 0,0,640,480,0, 2456,640,480:waittimer 16470 blt 0,0,640,480,0, 2458,640,480:waittimer 16484 blt 0,0,640,480,0, 2460,640,480:waittimer 16497 blt 0,0,640,480,0, 2462,640,480:waittimer 16511 blt 0,0,640,480,0, 2464,640,480:waittimer 16524 blt 0,0,640,480,0, 2466,640,480:waittimer 16538 blt 0,0,640,480,0, 2468,640,480:waittimer 16551 blt 0,0,640,480,0, 2470,640,480:waittimer 16564 blt 0,0,640,480,0, 2472,640,480:waittimer 16578 blt 0,0,640,480,0, 2474,640,480:waittimer 16591 blt 0,0,640,480,0, 2476,640,480:waittimer 16605 blt 0,0,640,480,0, 2478,640,480:waittimer 16618 blt 0,0,640,480,0, 2480,640,480:waittimer 16631 blt 0,0,640,480,0, 2482,640,480:waittimer 16645 blt 0,0,640,480,0, 2484,640,480:waittimer 16658 blt 0,0,640,480,0, 2486,640,480:waittimer 16672 blt 0,0,640,480,0, 2488,640,480:waittimer 16685 blt 0,0,640,480,0, 2490,640,480:waittimer 16698 blt 0,0,640,480,0, 2492,640,480:waittimer 16712 blt 0,0,640,480,0, 2494,640,480:waittimer 16725 blt 0,0,640,480,0, 2496,640,480:waittimer 16739 blt 0,0,640,480,0, 2498,640,480:waittimer 16752 blt 0,0,640,480,0, 2500,640,480:waittimer 16766 blt 0,0,640,480,0, 2502,640,480:waittimer 16779 blt 0,0,640,480,0, 2504,640,480:waittimer 16792 blt 0,0,640,480,0, 2506,640,480:waittimer 16806 blt 0,0,640,480,0, 2508,640,480:waittimer 16819 blt 0,0,640,480,0, 2510,640,480:waittimer 16833 blt 0,0,640,480,0, 2512,640,480:waittimer 16846 blt 0,0,640,480,0, 2514,640,480:waittimer 16859 blt 0,0,640,480,0, 2516,640,480:waittimer 16873 blt 0,0,640,480,0, 2518,640,480:waittimer 16886 blt 0,0,640,480,0, 2520,640,480:waittimer 16900 blt 0,0,640,480,0, 2522,640,480:waittimer 16913 blt 0,0,640,480,0, 2524,640,480:waittimer 16926 blt 0,0,640,480,0, 2526,640,480:waittimer 16940 blt 0,0,640,480,0, 2528,640,480:waittimer 16953 blt 0,0,640,480,0, 2530,640,480:waittimer 16967 blt 0,0,640,480,0, 2532,640,480:waittimer 16980 blt 0,0,640,480,0, 2534,640,480:waittimer 16994 blt 0,0,640,480,0, 2536,640,480:waittimer 17007 blt 0,0,640,480,0, 2538,640,480:waittimer 17020 blt 0,0,640,480,0, 2540,640,480:waittimer 17034 blt 0,0,640,480,0, 2542,640,480:waittimer 17047 blt 0,0,640,480,0, 2544,640,480:waittimer 17061 blt 0,0,640,480,0, 2546,640,480:waittimer 17074 blt 0,0,640,480,0, 2548,640,480:waittimer 17087 blt 0,0,640,480,0, 2550,640,480:waittimer 17101 blt 0,0,640,480,0, 2552,640,480:waittimer 17114 blt 0,0,640,480,0, 2554,640,480:waittimer 17128 blt 0,0,640,480,0, 2556,640,480:waittimer 17141 blt 0,0,640,480,0, 2558,640,480:waittimer 17154 blt 0,0,640,480,0, 2560,640,480:waittimer 17168 blt 0,0,640,480,0, 2562,640,480:waittimer 17181 blt 0,0,640,480,0, 2564,640,480:waittimer 17195 blt 0,0,640,480,0, 2566,640,480:waittimer 17208 blt 0,0,640,480,0, 2568,640,480:waittimer 17222 blt 0,0,640,480,0, 2570,640,480:waittimer 17235 blt 0,0,640,480,0, 2572,640,480:waittimer 17248 blt 0,0,640,480,0, 2574,640,480:waittimer 17262 blt 0,0,640,480,0, 2576,640,480:waittimer 17275 blt 0,0,640,480,0, 2578,640,480:waittimer 17289 blt 0,0,640,480,0, 2580,640,480:waittimer 17302 blt 0,0,640,480,0, 2582,640,480:waittimer 17315 blt 0,0,640,480,0, 2584,640,480:waittimer 17329 blt 0,0,640,480,0, 2586,640,480:waittimer 17342 blt 0,0,640,480,0, 2588,640,480:waittimer 17356 blt 0,0,640,480,0, 2590,640,480:waittimer 17369 blt 0,0,640,480,0, 2592,640,480:waittimer 17383 blt 0,0,640,480,0, 2594,640,480:waittimer 17396 blt 0,0,640,480,0, 2596,640,480:waittimer 17409 blt 0,0,640,480,0, 2598,640,480:waittimer 17423 blt 0,0,640,480,0, 2600,640,480:waittimer 17436 blt 0,0,640,480,0, 2602,640,480:waittimer 17450 blt 0,0,640,480,0, 2604,640,480:waittimer 17463 blt 0,0,640,480,0, 2606,640,480:waittimer 17476 blt 0,0,640,480,0, 2608,640,480:waittimer 17490 blt 0,0,640,480,0, 2610,640,480:waittimer 17503 blt 0,0,640,480,0, 2612,640,480:waittimer 17517 blt 0,0,640,480,0, 2614,640,480:waittimer 17530 blt 0,0,640,480,0, 2616,640,480:waittimer 17543 blt 0,0,640,480,0, 2618,640,480:waittimer 17557 blt 0,0,640,480,0, 2620,640,480:waittimer 17570 blt 0,0,640,480,0, 2622,640,480:waittimer 17584 blt 0,0,640,480,0, 2624,640,480:waittimer 17597 blt 0,0,640,480,0, 2626,640,480:waittimer 17611 blt 0,0,640,480,0, 2628,640,480:waittimer 17624 blt 0,0,640,480,0, 2630,640,480:waittimer 17637 blt 0,0,640,480,0, 2632,640,480:waittimer 17651 blt 0,0,640,480,0, 2634,640,480:waittimer 17664 blt 0,0,640,480,0, 2636,640,480:waittimer 17678 blt 0,0,640,480,0, 2638,640,480:waittimer 17691 blt 0,0,640,480,0, 2640,640,480:waittimer 17704 blt 0,0,640,480,0, 2642,640,480:waittimer 17718 blt 0,0,640,480,0, 2644,640,480:waittimer 17731 blt 0,0,640,480,0, 2646,640,480:waittimer 17745 blt 0,0,640,480,0, 2648,640,480:waittimer 17758 blt 0,0,640,480,0, 2650,640,480:waittimer 17771 blt 0,0,640,480,0, 2652,640,480:waittimer 17785 blt 0,0,640,480,0, 2654,640,480:waittimer 17798 blt 0,0,640,480,0, 2656,640,480:waittimer 17812 blt 0,0,640,480,0, 2658,640,480:waittimer 17825 blt 0,0,640,480,0, 2660,640,480:waittimer 17839 blt 0,0,640,480,0, 2662,640,480:waittimer 17852 blt 0,0,640,480,0, 2664,640,480:waittimer 17865 blt 0,0,640,480,0, 2666,640,480:waittimer 17879 blt 0,0,640,480,0, 2668,640,480:waittimer 17892 blt 0,0,640,480,0, 2670,640,480:waittimer 17906 blt 0,0,640,480,0, 2672,640,480:waittimer 17919 blt 0,0,640,480,0, 2674,640,480:waittimer 17932 blt 0,0,640,480,0, 2676,640,480:waittimer 17946 blt 0,0,640,480,0, 2678,640,480:waittimer 17959 blt 0,0,640,480,0, 2680,640,480:waittimer 17973 blt 0,0,640,480,0, 2682,640,480:waittimer 17986 blt 0,0,640,480,0, 2684,640,480:waittimer 18000 blt 0,0,640,480,0, 2686,640,480:waittimer 18013 blt 0,0,640,480,0, 2688,640,480:waittimer 18026 blt 0,0,640,480,0, 2690,640,480:waittimer 18040 blt 0,0,640,480,0, 2692,640,480:waittimer 18053 blt 0,0,640,480,0, 2694,640,480:waittimer 18067 blt 0,0,640,480,0, 2696,640,480:waittimer 18080 blt 0,0,640,480,0, 2698,640,480:waittimer 18093 blt 0,0,640,480,0, 2700,640,480:waittimer 18107 blt 0,0,640,480,0, 2702,640,480:waittimer 18120 blt 0,0,640,480,0, 2704,640,480:waittimer 18134 blt 0,0,640,480,0, 2706,640,480:waittimer 18147 blt 0,0,640,480,0, 2708,640,480:waittimer 18160 blt 0,0,640,480,0, 2710,640,480:waittimer 18174 blt 0,0,640,480,0, 2712,640,480:waittimer 18187 blt 0,0,640,480,0, 2714,640,480:waittimer 18201 blt 0,0,640,480,0, 2716,640,480:waittimer 18214 blt 0,0,640,480,0, 2718,640,480:waittimer 18228 blt 0,0,640,480,0, 2720,640,480:waittimer 18241 blt 0,0,640,480,0, 2722,640,480:waittimer 18254 blt 0,0,640,480,0, 2724,640,480:waittimer 18268 blt 0,0,640,480,0, 2726,640,480:waittimer 18281 blt 0,0,640,480,0, 2728,640,480:waittimer 18295 blt 0,0,640,480,0, 2730,640,480:waittimer 18308 blt 0,0,640,480,0, 2732,640,480:waittimer 18321 blt 0,0,640,480,0, 2734,640,480:waittimer 18335 blt 0,0,640,480,0, 2736,640,480:waittimer 18348 blt 0,0,640,480,0, 2738,640,480:waittimer 18362 blt 0,0,640,480,0, 2740,640,480:waittimer 18375 blt 0,0,640,480,0, 2742,640,480:waittimer 18388 blt 0,0,640,480,0, 2744,640,480:waittimer 18402 blt 0,0,640,480,0, 2746,640,480:waittimer 18415 blt 0,0,640,480,0, 2748,640,480:waittimer 18429 blt 0,0,640,480,0, 2750,640,480:waittimer 18442 blt 0,0,640,480,0, 2752,640,480:waittimer 18456 blt 0,0,640,480,0, 2754,640,480:waittimer 18469 blt 0,0,640,480,0, 2756,640,480:waittimer 18482 blt 0,0,640,480,0, 2758,640,480:waittimer 18496 blt 0,0,640,480,0, 2760,640,480:waittimer 18509 blt 0,0,640,480,0, 2762,640,480:waittimer 18523 blt 0,0,640,480,0, 2764,640,480:waittimer 18536 blt 0,0,640,480,0, 2766,640,480:waittimer 18549 blt 0,0,640,480,0, 2768,640,480:waittimer 18563 blt 0,0,640,480,0, 2770,640,480:waittimer 18576 blt 0,0,640,480,0, 2772,640,480:waittimer 18590 blt 0,0,640,480,0, 2774,640,480:waittimer 18603 blt 0,0,640,480,0, 2776,640,480:waittimer 18616 blt 0,0,640,480,0, 2778,640,480:waittimer 18630 blt 0,0,640,480,0, 2780,640,480:waittimer 18643 blt 0,0,640,480,0, 2782,640,480:waittimer 18657 blt 0,0,640,480,0, 2784,640,480:waittimer 18670 blt 0,0,640,480,0, 2786,640,480:waittimer 18684 blt 0,0,640,480,0, 2788,640,480:waittimer 18697 blt 0,0,640,480,0, 2790,640,480:waittimer 18710 blt 0,0,640,480,0, 2792,640,480:waittimer 18724 blt 0,0,640,480,0, 2794,640,480:waittimer 18737 blt 0,0,640,480,0, 2796,640,480:waittimer 18751 blt 0,0,640,480,0, 2798,640,480:waittimer 18764 blt 0,0,640,480,0, 2800,640,480:waittimer 18777 blt 0,0,640,480,0, 2802,640,480:waittimer 18791 blt 0,0,640,480,0, 2804,640,480:waittimer 18804 blt 0,0,640,480,0, 2806,640,480:waittimer 18818 blt 0,0,640,480,0, 2808,640,480:waittimer 18831 blt 0,0,640,480,0, 2810,640,480:waittimer 18845 blt 0,0,640,480,0, 2812,640,480:waittimer 18858 blt 0,0,640,480,0, 2814,640,480:waittimer 18871 blt 0,0,640,480,0, 2816,640,480:waittimer 18885 blt 0,0,640,480,0, 2818,640,480:waittimer 18898 blt 0,0,640,480,0, 2820,640,480:waittimer 18912 blt 0,0,640,480,0, 2822,640,480:waittimer 18925 blt 0,0,640,480,0, 2824,640,480:waittimer 18938 blt 0,0,640,480,0, 2826,640,480:waittimer 18952 blt 0,0,640,480,0, 2828,640,480:waittimer 18965 blt 0,0,640,480,0, 2830,640,480:waittimer 18979 blt 0,0,640,480,0, 2832,640,480:waittimer 18992 blt 0,0,640,480,0, 2834,640,480:waittimer 19005 blt 0,0,640,480,0, 2836,640,480:waittimer 19019 blt 0,0,640,480,0, 2838,640,480:waittimer 19032 blt 0,0,640,480,0, 2840,640,480:waittimer 19046 blt 0,0,640,480,0, 2842,640,480:waittimer 19059 blt 0,0,640,480,0, 2844,640,480:waittimer 19073 blt 0,0,640,480,0, 2846,640,480:waittimer 19086 blt 0,0,640,480,0, 2848,640,480:waittimer 19099 blt 0,0,640,480,0, 2850,640,480:waittimer 19113 blt 0,0,640,480,0, 2852,640,480:waittimer 19126 blt 0,0,640,480,0, 2854,640,480:waittimer 19140 blt 0,0,640,480,0, 2856,640,480:waittimer 19153 blt 0,0,640,480,0, 2858,640,480:waittimer 19166 blt 0,0,640,480,0, 2860,640,480:waittimer 19180 blt 0,0,640,480,0, 2862,640,480:waittimer 19193 blt 0,0,640,480,0, 2864,640,480:waittimer 19207 blt 0,0,640,480,0, 2866,640,480:waittimer 19220 blt 0,0,640,480,0, 2868,640,480:waittimer 19233 blt 0,0,640,480,0, 2870,640,480:waittimer 19247 blt 0,0,640,480,0, 2872,640,480:waittimer 19260 blt 0,0,640,480,0, 2874,640,480:waittimer 19274 blt 0,0,640,480,0, 2876,640,480:waittimer 19287 blt 0,0,640,480,0, 2878,640,480:waittimer 19301 blt 0,0,640,480,0, 2880,640,480:waittimer 19314 blt 0,0,640,480,0, 2882,640,480:waittimer 19327 blt 0,0,640,480,0, 2884,640,480:waittimer 19341 blt 0,0,640,480,0, 2886,640,480:waittimer 19354 blt 0,0,640,480,0, 2888,640,480:waittimer 19368 blt 0,0,640,480,0, 2890,640,480:waittimer 19381 blt 0,0,640,480,0, 2892,640,480:waittimer 19394 blt 0,0,640,480,0, 2894,640,480:waittimer 19408 blt 0,0,640,480,0, 2896,640,480:waittimer 19421 blt 0,0,640,480,0, 2898,640,480:waittimer 19435 blt 0,0,640,480,0, 2900,640,480:waittimer 19448 blt 0,0,640,480,0, 2902,640,480:waittimer 19461 blt 0,0,640,480,0, 2904,640,480:waittimer 19475 blt 0,0,640,480,0, 2906,640,480:waittimer 19488 blt 0,0,640,480,0, 2908,640,480:waittimer 19502 blt 0,0,640,480,0, 2910,640,480:waittimer 19515 blt 0,0,640,480,0, 2912,640,480:waittimer 19529 blt 0,0,640,480,0, 2914,640,480:waittimer 19542 blt 0,0,640,480,0, 2916,640,480:waittimer 19555 blt 0,0,640,480,0, 2918,640,480:waittimer 19569 blt 0,0,640,480,0, 2920,640,480:waittimer 19582 blt 0,0,640,480,0, 2922,640,480:waittimer 19596 blt 0,0,640,480,0, 2924,640,480:waittimer 19609 blt 0,0,640,480,0, 2926,640,480:waittimer 19622 blt 0,0,640,480,0, 2928,640,480:waittimer 19636 blt 0,0,640,480,0, 2930,640,480:waittimer 19649 blt 0,0,640,480,0, 2932,640,480:waittimer 19663 blt 0,0,640,480,0, 2934,640,480:waittimer 19676 blt 0,0,640,480,0, 2936,640,480:waittimer 19690 blt 0,0,640,480,0, 2938,640,480:waittimer 19703 blt 0,0,640,480,0, 2940,640,480:waittimer 19716 blt 0,0,640,480,0, 2942,640,480:waittimer 19730 blt 0,0,640,480,0, 2944,640,480:waittimer 19743 blt 0,0,640,480,0, 2946,640,480:waittimer 19757 blt 0,0,640,480,0, 2948,640,480:waittimer 19770 blt 0,0,640,480,0, 2950,640,480:waittimer 19783 blt 0,0,640,480,0, 2952,640,480:waittimer 19797 blt 0,0,640,480,0, 2954,640,480:waittimer 19810 blt 0,0,640,480,0, 2956,640,480:waittimer 19824 blt 0,0,640,480,0, 2958,640,480:waittimer 19837 blt 0,0,640,480,0, 2960,640,480:waittimer 19850 blt 0,0,640,480,0, 2962,640,480:waittimer 19864 blt 0,0,640,480,0, 2964,640,480:waittimer 19877 blt 0,0,640,480,0, 2966,640,480:waittimer 19891 blt 0,0,640,480,0, 2968,640,480:waittimer 19904 blt 0,0,640,480,0, 2970,640,480:waittimer 19918 blt 0,0,640,480,0, 2972,640,480:waittimer 19931 blt 0,0,640,480,0, 2974,640,480:waittimer 19944 blt 0,0,640,480,0, 2976,640,480:waittimer 19958 blt 0,0,640,480,0, 2978,640,480:waittimer 19971 blt 0,0,640,480,0, 2980,640,480:waittimer 19985 blt 0,0,640,480,0, 2982,640,480:waittimer 19998 blt 0,0,640,480,0, 2984,640,480:waittimer 20011 blt 0,0,640,480,0, 2986,640,480:waittimer 20025 blt 0,0,640,480,0, 2988,640,480:waittimer 20038 blt 0,0,640,480,0, 2990,640,480:waittimer 20052 blt 0,0,640,480,0, 2992,640,480:waittimer 20065 blt 0,0,640,480,0, 2994,640,480:waittimer 20078 blt 0,0,640,480,0, 2996,640,480:waittimer 20092 blt 0,0,640,480,0, 2998,640,480:waittimer 20105 blt 0,0,640,480,0, 3000,640,480:waittimer 20119 blt 0,0,640,480,0, 3002,640,480:waittimer 20132 blt 0,0,640,480,0, 3004,640,480:waittimer 20146 blt 0,0,640,480,0, 3006,640,480:waittimer 20159 blt 0,0,640,480,0, 3008,640,480:waittimer 20172 blt 0,0,640,480,0, 3010,640,480:waittimer 20186 blt 0,0,640,480,0, 3012,640,480:waittimer 20199 blt 0,0,640,480,0, 3014,640,480:waittimer 20213 blt 0,0,640,480,0, 3016,640,480:waittimer 20226 blt 0,0,640,480,0, 3018,640,480:waittimer 20239 blt 0,0,640,480,0, 3020,640,480:waittimer 20253 blt 0,0,640,480,0, 3022,640,480:waittimer 20266 blt 0,0,640,480,0, 3024,640,480:waittimer 20280 blt 0,0,640,480,0, 3026,640,480:waittimer 20293 blt 0,0,640,480,0, 3028,640,480:waittimer 20307 blt 0,0,640,480,0, 3030,640,480:waittimer 20320 blt 0,0,640,480,0, 3032,640,480:waittimer 20333 blt 0,0,640,480,0, 3034,640,480:waittimer 20347 blt 0,0,640,480,0, 3036,640,480:waittimer 20360 blt 0,0,640,480,0, 3038,640,480:waittimer 20374 blt 0,0,640,480,0, 3040,640,480:waittimer 20387 blt 0,0,640,480,0, 3042,640,480:waittimer 20400 blt 0,0,640,480,0, 3044,640,480:waittimer 20414 blt 0,0,640,480,0, 3046,640,480:waittimer 20427 blt 0,0,640,480,0, 3048,640,480:waittimer 20441 blt 0,0,640,480,0, 3050,640,480:waittimer 20454 blt 0,0,640,480,0, 3052,640,480:waittimer 20467 blt 0,0,640,480,0, 3054,640,480:waittimer 20481 blt 0,0,640,480,0, 3056,640,480:waittimer 20494 blt 0,0,640,480,0, 3058,640,480:waittimer 20508 blt 0,0,640,480,0, 3060,640,480:waittimer 20521 blt 0,0,640,480,0, 3062,640,480:waittimer 20535 blt 0,0,640,480,0, 3064,640,480:waittimer 20548 blt 0,0,640,480,0, 3066,640,480:waittimer 20561 blt 0,0,640,480,0, 3068,640,480:waittimer 20575 blt 0,0,640,480,0, 3070,640,480:waittimer 20588 blt 0,0,640,480,0, 3072,640,480:waittimer 20602 blt 0,0,640,480,0, 3074,640,480:waittimer 20615 blt 0,0,640,480,0, 3076,640,480:waittimer 20628 blt 0,0,640,480,0, 3078,640,480:waittimer 20642 blt 0,0,640,480,0, 3080,640,480:waittimer 20655 blt 0,0,640,480,0, 3082,640,480:waittimer 20669 blt 0,0,640,480,0, 3084,640,480:waittimer 20682 blt 0,0,640,480,0, 3086,640,480:waittimer 20695 blt 0,0,640,480,0, 3088,640,480:waittimer 20709 blt 0,0,640,480,0, 3090,640,480:waittimer 20722 blt 0,0,640,480,0, 3092,640,480:waittimer 20736 blt 0,0,640,480,0, 3094,640,480:waittimer 20749 blt 0,0,640,480,0, 3096,640,480:waittimer 20763 blt 0,0,640,480,0, 3098,640,480:waittimer 20776 blt 0,0,640,480,0, 3100,640,480:waittimer 20789 blt 0,0,640,480,0, 3102,640,480:waittimer 20803 blt 0,0,640,480,0, 3104,640,480:waittimer 20816 blt 0,0,640,480,0, 3106,640,480:waittimer 20830 blt 0,0,640,480,0, 3108,640,480:waittimer 20843 blt 0,0,640,480,0, 3110,640,480:waittimer 20856 blt 0,0,640,480,0, 3112,640,480:waittimer 20870 blt 0,0,640,480,0, 3114,640,480:waittimer 20883 blt 0,0,640,480,0, 3116,640,480:waittimer 20897 blt 0,0,640,480,0, 3118,640,480:waittimer 20910 blt 0,0,640,480,0, 3120,640,480:waittimer 20923 blt 0,0,640,480,0, 3122,640,480:waittimer 20937 blt 0,0,640,480,0, 3124,640,480:waittimer 20950 blt 0,0,640,480,0, 3126,640,480:waittimer 20964 blt 0,0,640,480,0, 3128,640,480:waittimer 20977 blt 0,0,640,480,0, 3130,640,480:waittimer 20991 blt 0,0,640,480,0, 3132,640,480:waittimer 21004 blt 0,0,640,480,0, 3134,640,480:waittimer 21017 blt 0,0,640,480,0, 3136,640,480:waittimer 21031 blt 0,0,640,480,0, 3138,640,480:waittimer 21044 blt 0,0,640,480,0, 3140,640,480:waittimer 21058 blt 0,0,640,480,0, 3142,640,480:waittimer 21071 blt 0,0,640,480,0, 3144,640,480:waittimer 21084 blt 0,0,640,480,0, 3146,640,480:waittimer 21098 blt 0,0,640,480,0, 3148,640,480:waittimer 21111 blt 0,0,640,480,0, 3150,640,480:waittimer 21125 blt 0,0,640,480,0, 3152,640,480:waittimer 21138 blt 0,0,640,480,0, 3154,640,480:waittimer 21152 blt 0,0,640,480,0, 3156,640,480:waittimer 21165 blt 0,0,640,480,0, 3158,640,480:waittimer 21178 blt 0,0,640,480,0, 3160,640,480:waittimer 21192 blt 0,0,640,480,0, 3162,640,480:waittimer 21205 blt 0,0,640,480,0, 3164,640,480:waittimer 21219 blt 0,0,640,480,0, 3166,640,480:waittimer 21232 blt 0,0,640,480,0, 3168,640,480:waittimer 21245 blt 0,0,640,480,0, 3170,640,480:waittimer 21259 blt 0,0,640,480,0, 3172,640,480:waittimer 21272 blt 0,0,640,480,0, 3174,640,480:waittimer 21286 blt 0,0,640,480,0, 3176,640,480:waittimer 21299 blt 0,0,640,480,0, 3178,640,480:waittimer 21312 blt 0,0,640,480,0, 3180,640,480:waittimer 21326 blt 0,0,640,480,0, 3182,640,480:waittimer 21339 blt 0,0,640,480,0, 3184,640,480:waittimer 21353 blt 0,0,640,480,0, 3186,640,480:waittimer 21366 blt 0,0,640,480,0, 3188,640,480:waittimer 21380 blt 0,0,640,480,0, 3190,640,480:waittimer 21393 blt 0,0,640,480,0, 3192,640,480:waittimer 21406 blt 0,0,640,480,0, 3194,640,480:waittimer 21420 blt 0,0,640,480,0, 3196,640,480:waittimer 21433 blt 0,0,640,480,0, 3198,640,480:waittimer 21447 blt 0,0,640,480,0, 3200,640,480:waittimer 21460 blt 0,0,640,480,0, 3202,640,480:waittimer 21473 blt 0,0,640,480,0, 3204,640,480:waittimer 21487 blt 0,0,640,480,0, 3206,640,480:waittimer 21500 blt 0,0,640,480,0, 3208,640,480:waittimer 21514 blt 0,0,640,480,0, 3210,640,480:waittimer 21527 blt 0,0,640,480,0, 3212,640,480:waittimer 21540 blt 0,0,640,480,0, 3214,640,480:waittimer 21554 blt 0,0,640,480,0, 3216,640,480:waittimer 21567 blt 0,0,640,480,0, 3218,640,480:waittimer 21581 blt 0,0,640,480,0, 3220,640,480:waittimer 21594 blt 0,0,640,480,0, 3222,640,480:waittimer 21608 blt 0,0,640,480,0, 3224,640,480:waittimer 21621 blt 0,0,640,480,0, 3226,640,480:waittimer 21634 blt 0,0,640,480,0, 3228,640,480:waittimer 21648 blt 0,0,640,480,0, 3230,640,480:waittimer 21661 blt 0,0,640,480,0, 3232,640,480:waittimer 21675 blt 0,0,640,480,0, 3234,640,480:waittimer 21688 blt 0,0,640,480,0, 3236,640,480:waittimer 21701 blt 0,0,640,480,0, 3238,640,480:waittimer 21715 blt 0,0,640,480,0, 3240,640,480:waittimer 21728 blt 0,0,640,480,0, 3242,640,480:waittimer 21742 blt 0,0,640,480,0, 3244,640,480:waittimer 21755 blt 0,0,640,480,0, 3246,640,480:waittimer 21769 blt 0,0,640,480,0, 3248,640,480:waittimer 21782 blt 0,0,640,480,0, 3250,640,480:waittimer 21795 blt 0,0,640,480,0, 3252,640,480:waittimer 21809 blt 0,0,640,480,0, 3254,640,480:waittimer 21822 blt 0,0,640,480,0, 3256,640,480:waittimer 21836 blt 0,0,640,480,0, 3258,640,480:waittimer 21849 blt 0,0,640,480,0, 3260,640,480:waittimer 21862 blt 0,0,640,480,0, 3262,640,480:waittimer 21876 blt 0,0,640,480,0, 3264,640,480:waittimer 21889 blt 0,0,640,480,0, 3266,640,480:waittimer 21903 blt 0,0,640,480,0, 3268,640,480:waittimer 21916 blt 0,0,640,480,0, 3270,640,480:waittimer 21929 blt 0,0,640,480,0, 3272,640,480:waittimer 21943 blt 0,0,640,480,0, 3274,640,480:waittimer 21956 blt 0,0,640,480,0, 3276,640,480:waittimer 21970 blt 0,0,640,480,0, 3278,640,480:waittimer 21983 blt 0,0,640,480,0, 3280,640,480:waittimer 21997 blt 0,0,640,480,0, 3282,640,480:waittimer 22010 blt 0,0,640,480,0, 3284,640,480:waittimer 22023 blt 0,0,640,480,0, 3286,640,480:waittimer 22037 blt 0,0,640,480,0, 3288,640,480:waittimer 22050 blt 0,0,640,480,0, 3290,640,480:waittimer 22064 blt 0,0,640,480,0, 3292,640,480:waittimer 22077 blt 0,0,640,480,0, 3294,640,480:waittimer 22090 blt 0,0,640,480,0, 3296,640,480:waittimer 22104 blt 0,0,640,480,0, 3298,640,480:waittimer 22117 blt 0,0,640,480,0, 3300,640,480:waittimer 22131 blt 0,0,640,480,0, 3302,640,480:waittimer 22144 blt 0,0,640,480,0, 3304,640,480:waittimer 22157 blt 0,0,640,480,0, 3306,640,480:waittimer 22171 blt 0,0,640,480,0, 3308,640,480:waittimer 22184 blt 0,0,640,480,0, 3310,640,480:waittimer 22198 blt 0,0,640,480,0, 3312,640,480:waittimer 22211 blt 0,0,640,480,0, 3314,640,480:waittimer 22225 blt 0,0,640,480,0, 3316,640,480:waittimer 22238 blt 0,0,640,480,0, 3318,640,480:waittimer 22251 blt 0,0,640,480,0, 3320,640,480:waittimer 22265 blt 0,0,640,480,0, 3322,640,480:waittimer 22278 blt 0,0,640,480,0, 3324,640,480:waittimer 22292 blt 0,0,640,480,0, 3326,640,480:waittimer 22305 blt 0,0,640,480,0, 3328,640,480:waittimer 22318 blt 0,0,640,480,0, 3330,640,480:waittimer 22332 blt 0,0,640,480,0, 3332,640,480:waittimer 22345 blt 0,0,640,480,0, 3334,640,480:waittimer 22359 blt 0,0,640,480,0, 3336,640,480:waittimer 22372 blt 0,0,640,480,0, 3338,640,480:waittimer 22385 blt 0,0,640,480,0, 3340,640,480:waittimer 22399 blt 0,0,640,480,0, 3342,640,480:waittimer 22412 blt 0,0,640,480,0, 3344,640,480:waittimer 22426 blt 0,0,640,480,0, 3346,640,480:waittimer 22439 blt 0,0,640,480,0, 3348,640,480:waittimer 22453 blt 0,0,640,480,0, 3350,640,480:waittimer 22466 blt 0,0,640,480,0, 3352,640,480:waittimer 22479 blt 0,0,640,480,0, 3354,640,480:waittimer 22493 blt 0,0,640,480,0, 3356,640,480:waittimer 22506 blt 0,0,640,480,0, 3358,640,480:waittimer 22520 blt 0,0,640,480,0, 3360,640,480:waittimer 22533 blt 0,0,640,480,0, 3362,640,480:waittimer 22546 blt 0,0,640,480,0, 3364,640,480:waittimer 22560 blt 0,0,640,480,0, 3366,640,480:waittimer 22573 blt 0,0,640,480,0, 3368,640,480:waittimer 22587 blt 0,0,640,480,0, 3370,640,480:waittimer 22600 blt 0,0,640,480,0, 3372,640,480:waittimer 22614 blt 0,0,640,480,0, 3374,640,480:waittimer 22627 blt 0,0,640,480,0, 3376,640,480:waittimer 22640 blt 0,0,640,480,0, 3378,640,480:waittimer 22654 blt 0,0,640,480,0, 3380,640,480:waittimer 22667 blt 0,0,640,480,0, 3382,640,480:waittimer 22681 blt 0,0,640,480,0, 3384,640,480:waittimer 22694 blt 0,0,640,480,0, 3386,640,480:waittimer 22707 blt 0,0,640,480,0, 3388,640,480:waittimer 22721 blt 0,0,640,480,0, 3390,640,480:waittimer 22734 blt 0,0,640,480,0, 3392,640,480:waittimer 22748 blt 0,0,640,480,0, 3394,640,480:waittimer 22761 blt 0,0,640,480,0, 3396,640,480:waittimer 22774 blt 0,0,640,480,0, 3398,640,480:waittimer 22788 blt 0,0,640,480,0, 3400,640,480:waittimer 22801 blt 0,0,640,480,0, 3402,640,480:waittimer 22815 blt 0,0,640,480,0, 3404,640,480:waittimer 22828 blt 0,0,640,480,0, 3406,640,480:waittimer 22842 blt 0,0,640,480,0, 3408,640,480:waittimer 22855 blt 0,0,640,480,0, 3410,640,480:waittimer 22868 blt 0,0,640,480,0, 3412,640,480:waittimer 22882 blt 0,0,640,480,0, 3414,640,480:waittimer 22895 blt 0,0,640,480,0, 3416,640,480:waittimer 22909 blt 0,0,640,480,0, 3418,640,480:waittimer 22922 blt 0,0,640,480,0, 3420,640,480:waittimer 22935 blt 0,0,640,480,0, 3422,640,480:waittimer 22949 blt 0,0,640,480,0, 3424,640,480:waittimer 22962 blt 0,0,640,480,0, 3426,640,480:waittimer 22976 blt 0,0,640,480,0, 3428,640,480:waittimer 22989 blt 0,0,640,480,0, 3430,640,480:waittimer 23002 blt 0,0,640,480,0, 3432,640,480:waittimer 23016 blt 0,0,640,480,0, 3434,640,480:waittimer 23029 blt 0,0,640,480,0, 3436,640,480:waittimer 23043 blt 0,0,640,480,0, 3438,640,480:waittimer 23056 blt 0,0,640,480,0, 3440,640,480:waittimer 23070 blt 0,0,640,480,0, 3442,640,480:waittimer 23083 blt 0,0,640,480,0, 3444,640,480:waittimer 23096 blt 0,0,640,480,0, 3446,640,480:waittimer 23110 blt 0,0,640,480,0, 3448,640,480:waittimer 23123 blt 0,0,640,480,0, 3450,640,480:waittimer 23137 blt 0,0,640,480,0, 3452,640,480:waittimer 23150 blt 0,0,640,480,0, 3454,640,480:waittimer 23163 blt 0,0,640,480,0, 3456,640,480:waittimer 23177 blt 0,0,640,480,0, 3458,640,480:waittimer 23190 blt 0,0,640,480,0, 3460,640,480:waittimer 23204 blt 0,0,640,480,0, 3462,640,480:waittimer 23217 blt 0,0,640,480,0, 3464,640,480:waittimer 23230 blt 0,0,640,480,0, 3466,640,480:waittimer 23244 blt 0,0,640,480,0, 3468,640,480:waittimer 23257 blt 0,0,640,480,0, 3470,640,480:waittimer 23271 blt 0,0,640,480,0, 3472,640,480:waittimer 23284 blt 0,0,640,480,0, 3474,640,480:waittimer 23298 blt 0,0,640,480,0, 3476,640,480:waittimer 23311 blt 0,0,640,480,0, 3478,640,480:waittimer 23324 blt 0,0,640,480,0, 3480,640,480:waittimer 23338 blt 0,0,640,480,0, 3482,640,480:waittimer 23351 blt 0,0,640,480,0, 3484,640,480:waittimer 23365 blt 0,0,640,480,0, 3486,640,480:waittimer 23378 blt 0,0,640,480,0, 3488,640,480:waittimer 23391 blt 0,0,640,480,0, 3490,640,480:waittimer 23405 blt 0,0,640,480,0, 3492,640,480:waittimer 23418 blt 0,0,640,480,0, 3494,640,480:waittimer 23432 blt 0,0,640,480,0, 3496,640,480:waittimer 23445 blt 0,0,640,480,0, 3498,640,480:waittimer 23459 blt 0,0,640,480,0, 3500,640,480:waittimer 23472 blt 0,0,640,480,0, 3502,640,480:waittimer 23485 blt 0,0,640,480,0, 3504,640,480:waittimer 23499 blt 0,0,640,480,0, 3506,640,480:waittimer 23512 blt 0,0,640,480,0, 3508,640,480:waittimer 23526 blt 0,0,640,480,0, 3510,640,480:waittimer 23539 blt 0,0,640,480,0, 3512,640,480:waittimer 23552 blt 0,0,640,480,0, 3514,640,480:waittimer 23566 blt 0,0,640,480,0, 3516,640,480:waittimer 23579 blt 0,0,640,480,0, 3518,640,480:waittimer 23593 blt 0,0,640,480,0, 3520,640,480:waittimer 23606 blt 0,0,640,480,0, 3522,640,480:waittimer 23619 blt 0,0,640,480,0, 3524,640,480:waittimer 23633 blt 0,0,640,480,0, 3526,640,480:waittimer 23646 blt 0,0,640,480,0, 3528,640,480:waittimer 23660 blt 0,0,640,480,0, 3530,640,480:waittimer 23673 blt 0,0,640,480,0, 3532,640,480:waittimer 23687 blt 0,0,640,480,0, 3534,640,480:waittimer 23700 blt 0,0,640,480,0, 3536,640,480:waittimer 23713 blt 0,0,640,480,0, 3538,640,480:waittimer 23727 blt 0,0,640,480,0, 3540,640,480:waittimer 23740 blt 0,0,640,480,0, 3542,640,480:waittimer 23754 blt 0,0,640,480,0, 3544,640,480:waittimer 23767 blt 0,0,640,480,0, 3546,640,480:waittimer 23780 blt 0,0,640,480,0, 3548,640,480:waittimer 23794 blt 0,0,640,480,0, 3550,640,480:waittimer 23807 blt 0,0,640,480,0, 3552,640,480:waittimer 23821 blt 0,0,640,480,0, 3554,640,480:waittimer 23834 blt 0,0,640,480,0, 3556,640,480:waittimer 23847 blt 0,0,640,480,0, 3558,640,480:waittimer 23861 blt 0,0,640,480,0, 3560,640,480:waittimer 23874 blt 0,0,640,480,0, 3562,640,480:waittimer 23888 blt 0,0,640,480,0, 3564,640,480:waittimer 23901 blt 0,0,640,480,0, 3566,640,480:waittimer 23915 blt 0,0,640,480,0, 3568,640,480:waittimer 23928 blt 0,0,640,480,0, 3570,640,480:waittimer 23941 blt 0,0,640,480,0, 3572,640,480:waittimer 23955 blt 0,0,640,480,0, 3574,640,480:waittimer 23968 blt 0,0,640,480,0, 3576,640,480:waittimer 23982 blt 0,0,640,480,0, 3578,640,480:waittimer 23995 blt 0,0,640,480,0, 3580,640,480:waittimer 24008 blt 0,0,640,480,0, 3582,640,480:waittimer 24022 blt 0,0,640,480,0, 3584,640,480:waittimer 24035 blt 0,0,640,480,0, 3586,640,480:waittimer 24049 blt 0,0,640,480,0, 3588,640,480:waittimer 24062 blt 0,0,640,480,0, 3590,640,480:waittimer 24076 blt 0,0,640,480,0, 3592,640,480:waittimer 24089 blt 0,0,640,480,0, 3594,640,480:waittimer 24102 blt 0,0,640,480,0, 3596,640,480:waittimer 24116 blt 0,0,640,480,0, 3598,640,480:waittimer 24129 blt 0,0,640,480,0, 3600,640,480:waittimer 24143 blt 0,0,640,480,0, 3602,640,480:waittimer 24156 blt 0,0,640,480,0, 3604,640,480:waittimer 24169 blt 0,0,640,480,0, 3606,640,480:waittimer 24183 blt 0,0,640,480,0, 3608,640,480:waittimer 24196 blt 0,0,640,480,0, 3610,640,480:waittimer 24210 blt 0,0,640,480,0, 3612,640,480:waittimer 24223 blt 0,0,640,480,0, 3614,640,480:waittimer 24236 blt 0,0,640,480,0, 3616,640,480:waittimer 24250 blt 0,0,640,480,0, 3618,640,480:waittimer 24263 blt 0,0,640,480,0, 3620,640,480:waittimer 24277 blt 0,0,640,480,0, 3622,640,480:waittimer 24290 blt 0,0,640,480,0, 3624,640,480:waittimer 24304 blt 0,0,640,480,0, 3626,640,480:waittimer 24317 blt 0,0,640,480,0, 3628,640,480:waittimer 24330 blt 0,0,640,480,0, 3630,640,480:waittimer 24344 blt 0,0,640,480,0, 3632,640,480:waittimer 24357 blt 0,0,640,480,0, 3634,640,480:waittimer 24371 blt 0,0,640,480,0, 3636,640,480:waittimer 24384 blt 0,0,640,480,0, 3638,640,480:waittimer 24397 blt 0,0,640,480,0, 3640,640,480:waittimer 24411 blt 0,0,640,480,0, 3642,640,480:waittimer 24424 blt 0,0,640,480,0, 3644,640,480:waittimer 24438 blt 0,0,640,480,0, 3646,640,480:waittimer 24451 blt 0,0,640,480,0, 3648,640,480:waittimer 24464 blt 0,0,640,480,0, 3650,640,480:waittimer 24478 blt 0,0,640,480,0, 3652,640,480:waittimer 24491 blt 0,0,640,480,0, 3654,640,480:waittimer 24505 blt 0,0,640,480,0, 3656,640,480:waittimer 24518 blt 0,0,640,480,0, 3658,640,480:waittimer 24532 blt 0,0,640,480,0, 3660,640,480:waittimer 24545 blt 0,0,640,480,0, 3662,640,480:waittimer 24558 blt 0,0,640,480,0, 3664,640,480:waittimer 24572 blt 0,0,640,480,0, 3666,640,480:waittimer 24585 blt 0,0,640,480,0, 3668,640,480:waittimer 24599 blt 0,0,640,480,0, 3670,640,480:waittimer 24612 blt 0,0,640,480,0, 3672,640,480:waittimer 24625 blt 0,0,640,480,0, 3674,640,480:waittimer 24639 blt 0,0,640,480,0, 3676,640,480:waittimer 24652 blt 0,0,640,480,0, 3678,640,480:waittimer 24666 blt 0,0,640,480,0, 3680,640,480:waittimer 24679 blt 0,0,640,480,0, 3682,640,480:waittimer 24692 blt 0,0,640,480,0, 3684,640,480:waittimer 24706 blt 0,0,640,480,0, 3686,640,480:waittimer 24719 blt 0,0,640,480,0, 3688,640,480:waittimer 24733 blt 0,0,640,480,0, 3690,640,480:waittimer 24746 blt 0,0,640,480,0, 3692,640,480:waittimer 24760 blt 0,0,640,480,0, 3694,640,480:waittimer 24773 blt 0,0,640,480,0, 3696,640,480:waittimer 24786 blt 0,0,640,480,0, 3698,640,480:waittimer 24800 blt 0,0,640,480,0, 3700,640,480:waittimer 24813 blt 0,0,640,480,0, 3702,640,480:waittimer 24827 blt 0,0,640,480,0, 3704,640,480:waittimer 24840 blt 0,0,640,480,0, 3706,640,480:waittimer 24853 blt 0,0,640,480,0, 3708,640,480:waittimer 24867 blt 0,0,640,480,0, 3710,640,480:waittimer 24880 blt 0,0,640,480,0, 3712,640,480:waittimer 24894 blt 0,0,640,480,0, 3714,640,480:waittimer 24907 blt 0,0,640,480,0, 3716,640,480:waittimer 24921 blt 0,0,640,480,0, 3718,640,480:waittimer 24934 blt 0,0,640,480,0, 3720,640,480:waittimer 24947 blt 0,0,640,480,0, 3722,640,480:waittimer 24961 blt 0,0,640,480,0, 3724,640,480:waittimer 24974 blt 0,0,640,480,0, 3726,640,480:waittimer 24988 blt 0,0,640,480,0, 3728,640,480:waittimer 25001 blt 0,0,640,480,0, 3730,640,480:waittimer 25014 blt 0,0,640,480,0, 3732,640,480:waittimer 25028 blt 0,0,640,480,0, 3734,640,480:waittimer 25041 blt 0,0,640,480,0, 3736,640,480:waittimer 25055 blt 0,0,640,480,0, 3738,640,480:waittimer 25068 blt 0,0,640,480,0, 3740,640,480:waittimer 25081 blt 0,0,640,480,0, 3742,640,480:waittimer 25095 blt 0,0,640,480,0, 3744,640,480:waittimer 25108 blt 0,0,640,480,0, 3746,640,480:waittimer 25122 blt 0,0,640,480,0, 3748,640,480:waittimer 25135 blt 0,0,640,480,0, 3750,640,480:waittimer 25149 blt 0,0,640,480,0, 3752,640,480:waittimer 25162 blt 0,0,640,480,0, 3754,640,480:waittimer 25175 blt 0,0,640,480,0, 3756,640,480:waittimer 25189 blt 0,0,640,480,0, 3758,640,480:waittimer 25202 blt 0,0,640,480,0, 3760,640,480:waittimer 25216 blt 0,0,640,480,0, 3762,640,480:waittimer 25229 blt 0,0,640,480,0, 3764,640,480:waittimer 25242 blt 0,0,640,480,0, 3766,640,480:waittimer 25256 blt 0,0,640,480,0, 3768,640,480:waittimer 25269 blt 0,0,640,480,0, 3770,640,480:waittimer 25283 blt 0,0,640,480,0, 3772,640,480:waittimer 25296 blt 0,0,640,480,0, 3774,640,480:waittimer 25309 blt 0,0,640,480,0, 3776,640,480:waittimer 25323 blt 0,0,640,480,0, 3778,640,480:waittimer 25336 blt 0,0,640,480,0, 3780,640,480:waittimer 25350 blt 0,0,640,480,0, 3782,640,480:waittimer 25363 blt 0,0,640,480,0, 3784,640,480:waittimer 25377 blt 0,0,640,480,0, 3786,640,480:waittimer 25390 blt 0,0,640,480,0, 3788,640,480:waittimer 25403 blt 0,0,640,480,0, 3790,640,480:waittimer 25417 blt 0,0,640,480,0, 3792,640,480:waittimer 25430 blt 0,0,640,480,0, 3794,640,480:waittimer 25444 blt 0,0,640,480,0, 3796,640,480:waittimer 25457 blt 0,0,640,480,0, 3798,640,480:waittimer 25470 blt 0,0,640,480,0, 3800,640,480:waittimer 25484 blt 0,0,640,480,0, 3802,640,480:waittimer 25497 blt 0,0,640,480,0, 3804,640,480:waittimer 25511 blt 0,0,640,480,0, 3806,640,480:waittimer 25524 blt 0,0,640,480,0, 3808,640,480:waittimer 25538 blt 0,0,640,480,0, 3810,640,480:waittimer 25551 blt 0,0,640,480,0, 3812,640,480:waittimer 25564 blt 0,0,640,480,0, 3814,640,480:waittimer 25578 blt 0,0,640,480,0, 3816,640,480:waittimer 25591 blt 0,0,640,480,0, 3818,640,480:waittimer 25605 blt 0,0,640,480,0, 3820,640,480:waittimer 25618 blt 0,0,640,480,0, 3822,640,480:waittimer 25631 blt 0,0,640,480,0, 3824,640,480:waittimer 25645 blt 0,0,640,480,0, 3826,640,480:waittimer 25658 blt 0,0,640,480,0, 3828,640,480:waittimer 25672 blt 0,0,640,480,0, 3830,640,480:waittimer 25685 blt 0,0,640,480,0, 3832,640,480:waittimer 25698 blt 0,0,640,480,0, 3834,640,480:waittimer 25712 blt 0,0,640,480,0, 3836,640,480:waittimer 25725 blt 0,0,640,480,0, 3838,640,480:waittimer 25739 blt 0,0,640,480,0, 3840,640,480:waittimer 25752 blt 0,0,640,480,0, 3842,640,480:waittimer 25766 blt 0,0,640,480,0, 3844,640,480:waittimer 25779 blt 0,0,640,480,0, 3846,640,480:waittimer 25792 blt 0,0,640,480,0, 3848,640,480:waittimer 25806 blt 0,0,640,480,0, 3850,640,480:waittimer 25819 blt 0,0,640,480,0, 3852,640,480:waittimer 25833 blt 0,0,640,480,0, 3854,640,480:waittimer 25846 blt 0,0,640,480,0, 3856,640,480:waittimer 25859 blt 0,0,640,480,0, 3858,640,480:waittimer 25873 blt 0,0,640,480,0, 3860,640,480:waittimer 25886 blt 0,0,640,480,0, 3862,640,480:waittimer 25900 blt 0,0,640,480,0, 3864,640,480:waittimer 25913 blt 0,0,640,480,0, 3866,640,480:waittimer 25926 blt 0,0,640,480,0, 3868,640,480:waittimer 25940 blt 0,0,640,480,0, 3870,640,480:waittimer 25953 blt 0,0,640,480,0, 3872,640,480:waittimer 25967 blt 0,0,640,480,0, 3874,640,480:waittimer 25980 blt 0,0,640,480,0, 3876,640,480:waittimer 25994 blt 0,0,640,480,0, 3878,640,480:waittimer 26007 blt 0,0,640,480,0, 3880,640,480:waittimer 26020 blt 0,0,640,480,0, 3882,640,480:waittimer 26034 blt 0,0,640,480,0, 3884,640,480:waittimer 26047 blt 0,0,640,480,0, 3886,640,480:waittimer 26061 blt 0,0,640,480,0, 3888,640,480:waittimer 26074 blt 0,0,640,480,0, 3890,640,480:waittimer 26087 blt 0,0,640,480,0, 3892,640,480:waittimer 26101 blt 0,0,640,480,0, 3894,640,480:waittimer 26114 blt 0,0,640,480,0, 3896,640,480:waittimer 26128 blt 0,0,640,480,0, 3898,640,480:waittimer 26141 blt 0,0,640,480,0, 3900,640,480:waittimer 26154 blt 0,0,640,480,0, 3902,640,480:waittimer 26168 blt 0,0,640,480,0, 3904,640,480:waittimer 26181 blt 0,0,640,480,0, 3906,640,480:waittimer 26195 blt 0,0,640,480,0, 3908,640,480:waittimer 26208 blt 0,0,640,480,0, 3910,640,480:waittimer 26222 blt 0,0,640,480,0, 3912,640,480:waittimer 26235 blt 0,0,640,480,0, 3914,640,480:waittimer 26248 blt 0,0,640,480,0, 3916,640,480:waittimer 26262 blt 0,0,640,480,0, 3918,640,480:waittimer 26275 blt 0,0,640,480,0, 3920,640,480:waittimer 26289 blt 0,0,640,480,0, 3922,640,480:waittimer 26302 blt 0,0,640,480,0, 3924,640,480:waittimer 26315 blt 0,0,640,480,0, 3926,640,480:waittimer 26329 blt 0,0,640,480,0, 3928,640,480:waittimer 26342 blt 0,0,640,480,0, 3930,640,480:waittimer 26356 blt 0,0,640,480,0, 3932,640,480:waittimer 26369 blt 0,0,640,480,0, 3934,640,480:waittimer 26383 blt 0,0,640,480,0, 3936,640,480:waittimer 26396 blt 0,0,640,480,0, 3938,640,480:waittimer 26409 blt 0,0,640,480,0, 3940,640,480:waittimer 26423 blt 0,0,640,480,0, 3942,640,480:waittimer 26436 blt 0,0,640,480,0, 3944,640,480:waittimer 26450 blt 0,0,640,480,0, 3946,640,480:waittimer 26463 blt 0,0,640,480,0, 3948,640,480:waittimer 26476 blt 0,0,640,480,0, 3950,640,480:waittimer 26490 blt 0,0,640,480,0, 3952,640,480:waittimer 26503 blt 0,0,640,480,0, 3954,640,480:waittimer 26517 blt 0,0,640,480,0, 3956,640,480:waittimer 26530 blt 0,0,640,480,0, 3958,640,480:waittimer 26543 blt 0,0,640,480,0, 3960,640,480:waittimer 26557 blt 0,0,640,480,0, 3962,640,480:waittimer 26570 blt 0,0,640,480,0, 3964,640,480:waittimer 26584 blt 0,0,640,480,0, 3966,640,480:waittimer 26597 blt 0,0,640,480,0, 3968,640,480:waittimer 26611 blt 0,0,640,480,0, 3970,640,480:waittimer 26624 blt 0,0,640,480,0, 3972,640,480:waittimer 26637 blt 0,0,640,480,0, 3974,640,480:waittimer 26651 blt 0,0,640,480,0, 3976,640,480:waittimer 26664 blt 0,0,640,480,0, 3978,640,480:waittimer 26678 blt 0,0,640,480,0, 3980,640,480:waittimer 26691 blt 0,0,640,480,0, 3982,640,480:waittimer 26704 blt 0,0,640,480,0, 3984,640,480:waittimer 26718 blt 0,0,640,480,0, 3986,640,480:waittimer 26731 blt 0,0,640,480,0, 3988,640,480:waittimer 26745 blt 0,0,640,480,0, 3990,640,480:waittimer 26758 blt 0,0,640,480,0, 3992,640,480:waittimer 26771 blt 0,0,640,480,0, 3994,640,480:waittimer 26785 blt 0,0,640,480,0, 3996,640,480:waittimer 26798 blt 0,0,640,480,0, 3998,640,480:waittimer 26812 blt 0,0,640,480,0, 4000,640,480:waittimer 26825 blt 0,0,640,480,0, 4002,640,480:waittimer 26839 blt 0,0,640,480,0, 4004,640,480:waittimer 26852 blt 0,0,640,480,0, 4006,640,480:waittimer 26865 blt 0,0,640,480,0, 4008,640,480:waittimer 26879 blt 0,0,640,480,0, 4010,640,480:waittimer 26892 blt 0,0,640,480,0, 4012,640,480:waittimer 26906 blt 0,0,640,480,0, 4014,640,480:waittimer 26919 blt 0,0,640,480,0, 4016,640,480:waittimer 26932 blt 0,0,640,480,0, 4018,640,480:waittimer 26946 blt 0,0,640,480,0, 4020,640,480:waittimer 26959 blt 0,0,640,480,0, 4022,640,480:waittimer 26973 blt 0,0,640,480,0, 4024,640,480:waittimer 26986 blt 0,0,640,480,0, 4026,640,480:waittimer 27000 blt 0,0,640,480,0, 4028,640,480:waittimer 27013 blt 0,0,640,480,0, 4030,640,480:waittimer 27026 blt 0,0,640,480,0, 4032,640,480:waittimer 27040 blt 0,0,640,480,0, 4034,640,480:waittimer 27053 blt 0,0,640,480,0, 4036,640,480:waittimer 27067 blt 0,0,640,480,0, 4038,640,480:waittimer 27080 blt 0,0,640,480,0, 4040,640,480:waittimer 27093 blt 0,0,640,480,0, 4042,640,480:waittimer 27107 blt 0,0,640,480,0, 4044,640,480:waittimer 27120 blt 0,0,640,480,0, 4046,640,480:waittimer 27134 blt 0,0,640,480,0, 4048,640,480:waittimer 27147 blt 0,0,640,480,0, 4050,640,480:waittimer 27160 blt 0,0,640,480,0, 4052,640,480:waittimer 27174 blt 0,0,640,480,0, 4054,640,480:waittimer 27187 blt 0,0,640,480,0, 4056,640,480:waittimer 27201 blt 0,0,640,480,0, 4058,640,480:waittimer 27214 blt 0,0,640,480,0, 4060,640,480:waittimer 27228 blt 0,0,640,480,0, 4062,640,480:waittimer 27241 blt 0,0,640,480,0, 4064,640,480:waittimer 27254 blt 0,0,640,480,0, 4066,640,480:waittimer 27268 blt 0,0,640,480,0, 4068,640,480:waittimer 27281 blt 0,0,640,480,0, 4070,640,480:waittimer 27295 blt 0,0,640,480,0, 4072,640,480:waittimer 27308 blt 0,0,640,480,0, 4074,640,480:waittimer 27321 blt 0,0,640,480,0, 4076,640,480:waittimer 27335 blt 0,0,640,480,0, 4078,640,480:waittimer 27348 blt 0,0,640,480,0, 4080,640,480:waittimer 27362 blt 0,0,640,480,0, 4082,640,480:waittimer 27375 blt 0,0,640,480,0, 4084,640,480:waittimer 27388 blt 0,0,640,480,0, 4086,640,480:waittimer 27402 blt 0,0,640,480,0, 4088,640,480:waittimer 27415 blt 0,0,640,480,0, 4090,640,480:waittimer 27429 blt 0,0,640,480,0, 4092,640,480:waittimer 27442 blt 0,0,640,480,0, 4094,640,480:waittimer 27456 blt 0,0,640,480,0, 4096,640,480:waittimer 27469 blt 0,0,640,480,0, 4098,640,480:waittimer 27482 blt 0,0,640,480,0, 4100,640,480:waittimer 27496 blt 0,0,640,480,0, 4102,640,480:waittimer 27509 blt 0,0,640,480,0, 4104,640,480:waittimer 27523 blt 0,0,640,480,0, 4106,640,480:waittimer 27536 blt 0,0,640,480,0, 4108,640,480:waittimer 27549 blt 0,0,640,480,0, 4110,640,480:waittimer 27563 blt 0,0,640,480,0, 4112,640,480:waittimer 27576 blt 0,0,640,480,0, 4114,640,480:waittimer 27590 blt 0,0,640,480,0, 4116,640,480:waittimer 27603 blt 0,0,640,480,0, 4118,640,480:waittimer 27616 blt 0,0,640,480,0, 4120,640,480:waittimer 27630 blt 0,0,640,480,0, 4122,640,480:waittimer 27643 blt 0,0,640,480,0, 4124,640,480:waittimer 27657 blt 0,0,640,480,0, 4126,640,480:waittimer 27670 blt 0,0,640,480,0, 4128,640,480:waittimer 27684 blt 0,0,640,480,0, 4130,640,480:waittimer 27697 blt 0,0,640,480,0, 4132,640,480:waittimer 27710 blt 0,0,640,480,0, 4134,640,480:waittimer 27724 blt 0,0,640,480,0, 4136,640,480:waittimer 27737 blt 0,0,640,480,0, 4138,640,480:waittimer 27751 blt 0,0,640,480,0, 4140,640,480:waittimer 27764 blt 0,0,640,480,0, 4142,640,480:waittimer 27777 blt 0,0,640,480,0, 4144,640,480:waittimer 27791 blt 0,0,640,480,0, 4146,640,480:waittimer 27804 blt 0,0,640,480,0, 4148,640,480:waittimer 27818 blt 0,0,640,480,0, 4150,640,480:waittimer 27831 blt 0,0,640,480,0, 4152,640,480:waittimer 27845 blt 0,0,640,480,0, 4154,640,480:waittimer 27858 blt 0,0,640,480,0, 4156,640,480:waittimer 27871 blt 0,0,640,480,0, 4158,640,480:waittimer 27885 blt 0,0,640,480,0, 4160,640,480:waittimer 27898 blt 0,0,640,480,0, 4162,640,480:waittimer 27912 blt 0,0,640,480,0, 4164,640,480:waittimer 27925 blt 0,0,640,480,0, 4166,640,480:waittimer 27938 blt 0,0,640,480,0, 4168,640,480:waittimer 27952 blt 0,0,640,480,0, 4170,640,480:waittimer 27965 blt 0,0,640,480,0, 4172,640,480:waittimer 27979 blt 0,0,640,480,0, 4174,640,480:waittimer 27992 blt 0,0,640,480,0, 4176,640,480:waittimer 28005 blt 0,0,640,480,0, 4178,640,480:waittimer 28019 blt 0,0,640,480,0, 4180,640,480:waittimer 28032 blt 0,0,640,480,0, 4182,640,480:waittimer 28046 blt 0,0,640,480,0, 4184,640,480:waittimer 28059 blt 0,0,640,480,0, 4186,640,480:waittimer 28073 blt 0,0,640,480,0, 4188,640,480:waittimer 28086 blt 0,0,640,480,0, 4190,640,480:waittimer 28099 blt 0,0,640,480,0, 4192,640,480:waittimer 28113 blt 0,0,640,480,0, 4194,640,480:waittimer 28126 blt 0,0,640,480,0, 4196,640,480:waittimer 28140 blt 0,0,640,480,0, 4198,640,480:waittimer 28153 blt 0,0,640,480,0, 4200,640,480:waittimer 28166 blt 0,0,640,480,0, 4202,640,480:waittimer 28180 blt 0,0,640,480,0, 4204,640,480:waittimer 28193 blt 0,0,640,480,0, 4206,640,480:waittimer 28207 blt 0,0,640,480,0, 4208,640,480:waittimer 28220 blt 0,0,640,480,0, 4210,640,480:waittimer 28233 blt 0,0,640,480,0, 4212,640,480:waittimer 28247 blt 0,0,640,480,0, 4214,640,480:waittimer 28260 blt 0,0,640,480,0, 4216,640,480:waittimer 28274 blt 0,0,640,480,0, 4218,640,480:waittimer 28287 blt 0,0,640,480,0, 4220,640,480:waittimer 28301 blt 0,0,640,480,0, 4222,640,480:waittimer 28314 blt 0,0,640,480,0, 4224,640,480:waittimer 28327 blt 0,0,640,480,0, 4226,640,480:waittimer 28341 blt 0,0,640,480,0, 4228,640,480:waittimer 28354 blt 0,0,640,480,0, 4230,640,480:waittimer 28368 blt 0,0,640,480,0, 4232,640,480:waittimer 28381 blt 0,0,640,480,0, 4234,640,480:waittimer 28394 blt 0,0,640,480,0, 4236,640,480:waittimer 28408 blt 0,0,640,480,0, 4238,640,480:waittimer 28421 blt 0,0,640,480,0, 4240,640,480:waittimer 28435 blt 0,0,640,480,0, 4242,640,480:waittimer 28448 blt 0,0,640,480,0, 4244,640,480:waittimer 28461 blt 0,0,640,480,0, 4246,640,480:waittimer 28475 blt 0,0,640,480,0, 4248,640,480:waittimer 28488 blt 0,0,640,480,0, 4250,640,480:waittimer 28502 blt 0,0,640,480,0, 4252,640,480:waittimer 28515 blt 0,0,640,480,0, 4254,640,480:waittimer 28529 blt 0,0,640,480,0, 4256,640,480:waittimer 28542 blt 0,0,640,480,0, 4258,640,480:waittimer 28555 blt 0,0,640,480,0, 4260,640,480:waittimer 28569 blt 0,0,640,480,0, 4262,640,480:waittimer 28582 blt 0,0,640,480,0, 4264,640,480:waittimer 28596 blt 0,0,640,480,0, 4266,640,480:waittimer 28609 blt 0,0,640,480,0, 4268,640,480:waittimer 28622 blt 0,0,640,480,0, 4270,640,480:waittimer 28636 blt 0,0,640,480,0, 4272,640,480:waittimer 28649 blt 0,0,640,480,0, 4274,640,480:waittimer 28663 blt 0,0,640,480,0, 4276,640,480:waittimer 28676 blt 0,0,640,480,0, 4278,640,480:waittimer 28690 blt 0,0,640,480,0, 4280,640,480:waittimer 28703 blt 0,0,640,480,0, 4282,640,480:waittimer 28716 blt 0,0,640,480,0, 4284,640,480:waittimer 28730 blt 0,0,640,480,0, 4286,640,480:waittimer 28743 blt 0,0,640,480,0, 4288,640,480:waittimer 28757 blt 0,0,640,480,0, 4290,640,480:waittimer 28770 blt 0,0,640,480,0, 4292,640,480:waittimer 28783 blt 0,0,640,480,0, 4294,640,480:waittimer 28797 blt 0,0,640,480,0, 4296,640,480:waittimer 28810 blt 0,0,640,480,0, 4298,640,480:waittimer 28824 blt 0,0,640,480,0, 4300,640,480:waittimer 28837 blt 0,0,640,480,0, 4302,640,480:waittimer 28850 blt 0,0,640,480,0, 4304,640,480:waittimer 28864 blt 0,0,640,480,0, 4306,640,480:waittimer 28877 blt 0,0,640,480,0, 4308,640,480:waittimer 28891 blt 0,0,640,480,0, 4310,640,480:waittimer 28904 blt 0,0,640,480,0, 4312,640,480:waittimer 28918 blt 0,0,640,480,0, 4314,640,480:waittimer 28931 blt 0,0,640,480,0, 4316,640,480:waittimer 28944 blt 0,0,640,480,0, 4318,640,480:waittimer 28958 blt 0,0,640,480,0, 4320,640,480:waittimer 28971 blt 0,0,640,480,0, 4322,640,480:waittimer 28985 blt 0,0,640,480,0, 4324,640,480:waittimer 28998 blt 0,0,640,480,0, 4326,640,480:waittimer 29011 blt 0,0,640,480,0, 4328,640,480:waittimer 29025 blt 0,0,640,480,0, 4330,640,480:waittimer 29038 blt 0,0,640,480,0, 4332,640,480:waittimer 29052 blt 0,0,640,480,0, 4334,640,480:waittimer 29065 blt 0,0,640,480,0, 4336,640,480:waittimer 29078 blt 0,0,640,480,0, 4338,640,480:waittimer 29092 blt 0,0,640,480,0, 4340,640,480:waittimer 29105 blt 0,0,640,480,0, 4342,640,480:waittimer 29119 blt 0,0,640,480,0, 4344,640,480:waittimer 29132 blt 0,0,640,480,0, 4346,640,480:waittimer 29146 blt 0,0,640,480,0, 4348,640,480:waittimer 29159 blt 0,0,640,480,0, 4350,640,480:waittimer 29172 blt 0,0,640,480,0, 4352,640,480:waittimer 29186 blt 0,0,640,480,0, 4354,640,480:waittimer 29199 blt 0,0,640,480,0, 4356,640,480:waittimer 29213 blt 0,0,640,480,0, 4358,640,480:waittimer 29226 blt 0,0,640,480,0, 4360,640,480:waittimer 29239 blt 0,0,640,480,0, 4362,640,480:waittimer 29253 blt 0,0,640,480,0, 4364,640,480:waittimer 29266 blt 0,0,640,480,0, 4366,640,480:waittimer 29280 blt 0,0,640,480,0, 4368,640,480:waittimer 29293 blt 0,0,640,480,0, 4370,640,480:waittimer 29307 blt 0,0,640,480,0, 4372,640,480:waittimer 29320 blt 0,0,640,480,0, 4374,640,480:waittimer 29333 blt 0,0,640,480,0, 4376,640,480:waittimer 29347 blt 0,0,640,480,0, 4378,640,480:waittimer 29360 blt 0,0,640,480,0, 4380,640,480:waittimer 29374 blt 0,0,640,480,0, 4382,640,480:waittimer 29387 blt 0,0,640,480,0, 4384,640,480:waittimer 29400 blt 0,0,640,480,0, 4386,640,480:waittimer 29414 blt 0,0,640,480,0, 4388,640,480:waittimer 29427 blt 0,0,640,480,0, 4390,640,480:waittimer 29441 blt 0,0,640,480,0, 4392,640,480:waittimer 29454 blt 0,0,640,480,0, 4394,640,480:waittimer 29467 blt 0,0,640,480,0, 4396,640,480:waittimer 29481 blt 0,0,640,480,0, 4398,640,480:waittimer 29494 blt 0,0,640,480,0, 4400,640,480:waittimer 29508 blt 0,0,640,480,0, 4402,640,480:waittimer 29521 blt 0,0,640,480,0, 4404,640,480:waittimer 29535 blt 0,0,640,480,0, 4406,640,480:waittimer 29548 blt 0,0,640,480,0, 4408,640,480:waittimer 29561 blt 0,0,640,480,0, 4410,640,480:waittimer 29575 blt 0,0,640,480,0, 4412,640,480:waittimer 29588 blt 0,0,640,480,0, 4414,640,480:waittimer 29602 blt 0,0,640,480,0, 4416,640,480:waittimer 29615 blt 0,0,640,480,0, 4418,640,480:waittimer 29628 blt 0,0,640,480,0, 4420,640,480:waittimer 29642 blt 0,0,640,480,0, 4422,640,480:waittimer 29655 blt 0,0,640,480,0, 4424,640,480:waittimer 29669 blt 0,0,640,480,0, 4426,640,480:waittimer 29682 blt 0,0,640,480,0, 4428,640,480:waittimer 29695 blt 0,0,640,480,0, 4430,640,480:waittimer 29709 blt 0,0,640,480,0, 4432,640,480:waittimer 29722 blt 0,0,640,480,0, 4434,640,480:waittimer 29736 blt 0,0,640,480,0, 4436,640,480:waittimer 29749 blt 0,0,640,480,0, 4438,640,480:waittimer 29763 blt 0,0,640,480,0, 4440,640,480:waittimer 29776 blt 0,0,640,480,0, 4442,640,480:waittimer 29789 blt 0,0,640,480,0, 4444,640,480:waittimer 29803 blt 0,0,640,480,0, 4446,640,480:waittimer 29816 blt 0,0,640,480,0, 4448,640,480:waittimer 29830 blt 0,0,640,480,0, 4450,640,480:waittimer 29843 blt 0,0,640,480,0, 4452,640,480:waittimer 29856 blt 0,0,640,480,0, 4454,640,480:waittimer 29870 blt 0,0,640,480,0, 4456,640,480:waittimer 29883 blt 0,0,640,480,0, 4458,640,480:waittimer 29897 blt 0,0,640,480,0, 4460,640,480:waittimer 29910 blt 0,0,640,480,0, 4462,640,480:waittimer 29923 blt 0,0,640,480,0, 4464,640,480:waittimer 29937 blt 0,0,640,480,0, 4466,640,480:waittimer 29950 blt 0,0,640,480,0, 4468,640,480:waittimer 29964 blt 0,0,640,480,0, 4470,640,480:waittimer 29977 blt 0,0,640,480,0, 4472,640,480:waittimer 29991 blt 0,0,640,480,0, 4474,640,480:waittimer 30004 blt 0,0,640,480,0, 4476,640,480:waittimer 30017 blt 0,0,640,480,0, 4478,640,480:waittimer 30031 blt 0,0,640,480,0, 4480,640,480:waittimer 30044 blt 0,0,640,480,0, 4482,640,480:waittimer 30058 blt 0,0,640,480,0, 4484,640,480:waittimer 30071 blt 0,0,640,480,0, 4486,640,480:waittimer 30084 blt 0,0,640,480,0, 4488,640,480:waittimer 30098 blt 0,0,640,480,0, 4490,640,480:waittimer 30111 blt 0,0,640,480,0, 4492,640,480:waittimer 30125 blt 0,0,640,480,0, 4494,640,480:waittimer 30138 blt 0,0,640,480,0, 4496,640,480:waittimer 30152 blt 0,0,640,480,0, 4498,640,480:waittimer 30165 blt 0,0,640,480,0, 4500,640,480:waittimer 30178 blt 0,0,640,480,0, 4502,640,480:waittimer 30192 blt 0,0,640,480,0, 4504,640,480:waittimer 30205 blt 0,0,640,480,0, 4506,640,480:waittimer 30219 blt 0,0,640,480,0, 4508,640,480:waittimer 30232 blt 0,0,640,480,0, 4510,640,480:waittimer 30245 blt 0,0,640,480,0, 4512,640,480:waittimer 30259 blt 0,0,640,480,0, 4514,640,480:waittimer 30272 blt 0,0,640,480,0, 4516,640,480:waittimer 30286 blt 0,0,640,480,0, 4518,640,480:waittimer 30299 blt 0,0,640,480,0, 4520,640,480:waittimer 30312 blt 0,0,640,480,0, 4522,640,480:waittimer 30326 blt 0,0,640,480,0, 4524,640,480:waittimer 30339 blt 0,0,640,480,0, 4526,640,480:waittimer 30353 blt 0,0,640,480,0, 4528,640,480:waittimer 30366 blt 0,0,640,480,0, 4530,640,480:waittimer 30380 blt 0,0,640,480,0, 4532,640,480:waittimer 30393 blt 0,0,640,480,0, 4534,640,480:waittimer 30406 blt 0,0,640,480,0, 4536,640,480:waittimer 30420 blt 0,0,640,480,0, 4538,640,480:waittimer 30433 blt 0,0,640,480,0, 4540,640,480:waittimer 30447 blt 0,0,640,480,0, 4542,640,480:waittimer 30460 blt 0,0,640,480,0, 4544,640,480:waittimer 30473 blt 0,0,640,480,0, 4546,640,480:waittimer 30487 blt 0,0,640,480,0, 4548,640,480:waittimer 30500 blt 0,0,640,480,0, 4550,640,480:waittimer 30514 blt 0,0,640,480,0, 4552,640,480:waittimer 30527 blt 0,0,640,480,0, 4554,640,480:waittimer 30540 blt 0,0,640,480,0, 4556,640,480:waittimer 30554 blt 0,0,640,480,0, 4558,640,480:waittimer 30567 blt 0,0,640,480,0, 4560,640,480:waittimer 30581 blt 0,0,640,480,0, 4562,640,480:waittimer 30594 blt 0,0,640,480,0, 4564,640,480:waittimer 30608 blt 0,0,640,480,0, 4566,640,480:waittimer 30621 blt 0,0,640,480,0, 4568,640,480:waittimer 30634 blt 0,0,640,480,0, 4570,640,480:waittimer 30648 blt 0,0,640,480,0, 4572,640,480:waittimer 30661 blt 0,0,640,480,0, 4574,640,480:waittimer 30675 blt 0,0,640,480,0, 4576,640,480:waittimer 30688 blt 0,0,640,480,0, 4578,640,480:waittimer 30701 blt 0,0,640,480,0, 4580,640,480:waittimer 30715 blt 0,0,640,480,0, 4582,640,480:waittimer 30728 blt 0,0,640,480,0, 4584,640,480:waittimer 30742 blt 0,0,640,480,0, 4586,640,480:waittimer 30755 blt 0,0,640,480,0, 4588,640,480:waittimer 30769 blt 0,0,640,480,0, 4590,640,480:waittimer 30782 blt 0,0,640,480,0, 4592,640,480:waittimer 30795 blt 0,0,640,480,0, 4594,640,480:waittimer 30809 blt 0,0,640,480,0, 4596,640,480:waittimer 30822 blt 0,0,640,480,0, 4598,640,480:waittimer 30836 blt 0,0,640,480,0, 4600,640,480:waittimer 30849 blt 0,0,640,480,0, 4602,640,480:waittimer 30862 blt 0,0,640,480,0, 4604,640,480:waittimer 30876 blt 0,0,640,480,0, 4606,640,480:waittimer 30889 blt 0,0,640,480,0, 4608,640,480:waittimer 30903 blt 0,0,640,480,0, 4610,640,480:waittimer 30916 blt 0,0,640,480,0, 4612,640,480:waittimer 30929 blt 0,0,640,480,0, 4614,640,480:waittimer 30943 blt 0,0,640,480,0, 4616,640,480:waittimer 30956 blt 0,0,640,480,0, 4618,640,480:waittimer 30970 blt 0,0,640,480,0, 4620,640,480:waittimer 30983 blt 0,0,640,480,0, 4622,640,480:waittimer 30997 blt 0,0,640,480,0, 4624,640,480:waittimer 31010 blt 0,0,640,480,0, 4626,640,480:waittimer 31023 blt 0,0,640,480,0, 4628,640,480:waittimer 31037 blt 0,0,640,480,0, 4630,640,480:waittimer 31050 blt 0,0,640,480,0, 4632,640,480:waittimer 31064 blt 0,0,640,480,0, 4634,640,480:waittimer 31077 blt 0,0,640,480,0, 4636,640,480:waittimer 31090 blt 0,0,640,480,0, 4638,640,480:waittimer 31104 blt 0,0,640,480,0, 4640,640,480:waittimer 31117 blt 0,0,640,480,0, 4642,640,480:waittimer 31131 blt 0,0,640,480,0, 4644,640,480:waittimer 31144 blt 0,0,640,480,0, 4646,640,480:waittimer 31157 blt 0,0,640,480,0, 4648,640,480:waittimer 31171 blt 0,0,640,480,0, 4650,640,480:waittimer 31184 blt 0,0,640,480,0, 4652,640,480:waittimer 31198 blt 0,0,640,480,0, 4654,640,480:waittimer 31211 blt 0,0,640,480,0, 4656,640,480:waittimer 31225 blt 0,0,640,480,0, 4658,640,480:waittimer 31238 blt 0,0,640,480,0, 4660,640,480:waittimer 31251 blt 0,0,640,480,0, 4662,640,480:waittimer 31265 blt 0,0,640,480,0, 4664,640,480:waittimer 31278 blt 0,0,640,480,0, 4666,640,480:waittimer 31292 blt 0,0,640,480,0, 4668,640,480:waittimer 31305 blt 0,0,640,480,0, 4670,640,480:waittimer 31318 blt 0,0,640,480,0, 4672,640,480:waittimer 31332 blt 0,0,640,480,0, 4674,640,480:waittimer 31345 blt 0,0,640,480,0, 4676,640,480:waittimer 31359 blt 0,0,640,480,0, 4678,640,480:waittimer 31372 blt 0,0,640,480,0, 4680,640,480:waittimer 31385 blt 0,0,640,480,0, 4682,640,480:waittimer 31399 blt 0,0,640,480,0, 4684,640,480:waittimer 31412 blt 0,0,640,480,0, 4686,640,480:waittimer 31426 blt 0,0,640,480,0, 4688,640,480:waittimer 31439 blt 0,0,640,480,0, 4690,640,480:waittimer 31453 blt 0,0,640,480,0, 4692,640,480:waittimer 31466 blt 0,0,640,480,0, 4694,640,480:waittimer 31479 blt 0,0,640,480,0, 4696,640,480:waittimer 31493 blt 0,0,640,480,0, 4698,640,480:waittimer 31506 blt 0,0,640,480,0, 4700,640,480:waittimer 31520 blt 0,0,640,480,0, 4702,640,480:waittimer 31533 blt 0,0,640,480,0, 4704,640,480:waittimer 31546 blt 0,0,640,480,0, 4706,640,480:waittimer 31560 blt 0,0,640,480,0, 4708,640,480:waittimer 31573 blt 0,0,640,480,0, 4710,640,480:waittimer 31587 blt 0,0,640,480,0, 4712,640,480:waittimer 31600 blt 0,0,640,480,0, 4714,640,480:waittimer 31614 blt 0,0,640,480,0, 4716,640,480:waittimer 31627 blt 0,0,640,480,0, 4718,640,480:waittimer 31640 blt 0,0,640,480,0, 4720,640,480:waittimer 31654 blt 0,0,640,480,0, 4722,640,480:waittimer 31667 blt 0,0,640,480,0, 4724,640,480:waittimer 31681 blt 0,0,640,480,0, 4726,640,480:waittimer 31694 blt 0,0,640,480,0, 4728,640,480:waittimer 31707 blt 0,0,640,480,0, 4730,640,480:waittimer 31721 blt 0,0,640,480,0, 4732,640,480:waittimer 31734 blt 0,0,640,480,0, 4734,640,480:waittimer 31748 blt 0,0,640,480,0, 4736,640,480:waittimer 31761 blt 0,0,640,480,0, 4738,640,480:waittimer 31774 blt 0,0,640,480,0, 4740,640,480:waittimer 31788 blt 0,0,640,480,0, 4742,640,480:waittimer 31801 blt 0,0,640,480,0, 4744,640,480:waittimer 31815 blt 0,0,640,480,0, 4746,640,480:waittimer 31828 blt 0,0,640,480,0, 4748,640,480:waittimer 31842 blt 0,0,640,480,0, 4750,640,480:waittimer 31855 blt 0,0,640,480,0, 4752,640,480:waittimer 31868 blt 0,0,640,480,0, 4754,640,480:waittimer 31882 blt 0,0,640,480,0, 4756,640,480:waittimer 31895 blt 0,0,640,480,0, 4758,640,480:waittimer 31909 blt 0,0,640,480,0, 4760,640,480:waittimer 31922 blt 0,0,640,480,0, 4762,640,480:waittimer 31935 blt 0,0,640,480,0, 4764,640,480:waittimer 31949 blt 0,0,640,480,0, 4766,640,480:waittimer 31962 blt 0,0,640,480,0, 4768,640,480:waittimer 31976 blt 0,0,640,480,0, 4770,640,480:waittimer 31989 blt 0,0,640,480,0, 4772,640,480:waittimer 32002 blt 0,0,640,480,0, 4774,640,480:waittimer 32016 blt 0,0,640,480,0, 4776,640,480:waittimer 32029 blt 0,0,640,480,0, 4778,640,480:waittimer 32043 blt 0,0,640,480,0, 4780,640,480:waittimer 32056 blt 0,0,640,480,0, 4782,640,480:waittimer 32070 blt 0,0,640,480,0, 4784,640,480:waittimer 32083 blt 0,0,640,480,0, 4786,640,480:waittimer 32096 blt 0,0,640,480,0, 4788,640,480:waittimer 32110 blt 0,0,640,480,0, 4790,640,480:waittimer 32123 blt 0,0,640,480,0, 4792,640,480:waittimer 32137 blt 0,0,640,480,0, 4794,640,480:waittimer 32150 blt 0,0,640,480,0, 4796,640,480:waittimer 32163 blt 0,0,640,480,0, 4798,640,480:waittimer 32177 blt 0,0,640,480,0, 4800,640,480:waittimer 32190 blt 0,0,640,480,0, 4802,640,480:waittimer 32204 blt 0,0,640,480,0, 4804,640,480:waittimer 32217 blt 0,0,640,480,0, 4806,640,480:waittimer 32230 blt 0,0,640,480,0, 4808,640,480:waittimer 32244 blt 0,0,640,480,0, 4810,640,480:waittimer 32257 blt 0,0,640,480,0, 4812,640,480:waittimer 32271 blt 0,0,640,480,0, 4814,640,480:waittimer 32284 blt 0,0,640,480,0, 4816,640,480:waittimer 32298 blt 0,0,640,480,0, 4818,640,480:waittimer 32311 blt 0,0,640,480,0, 4820,640,480:waittimer 32324 blt 0,0,640,480,0, 4822,640,480:waittimer 32338 blt 0,0,640,480,0, 4824,640,480:waittimer 32351 blt 0,0,640,480,0, 4826,640,480:waittimer 32365 blt 0,0,640,480,0, 4828,640,480:waittimer 32378 blt 0,0,640,480,0, 4830,640,480:waittimer 32391 blt 0,0,640,480,0, 4832,640,480:waittimer 32405 blt 0,0,640,480,0, 4834,640,480:waittimer 32418 blt 0,0,640,480,0, 4836,640,480:waittimer 32432 blt 0,0,640,480,0, 4838,640,480:waittimer 32445 blt 0,0,640,480,0, 4840,640,480:waittimer 32459 blt 0,0,640,480,0, 4842,640,480:waittimer 32472 blt 0,0,640,480,0, 4844,640,480:waittimer 32485 blt 0,0,640,480,0, 4846,640,480:waittimer 32499 blt 0,0,640,480,0, 4848,640,480:waittimer 32512 blt 0,0,640,480,0, 4850,640,480:waittimer 32526 blt 0,0,640,480,0, 4852,640,480:waittimer 32539 blt 0,0,640,480,0, 4854,640,480:waittimer 32552 blt 0,0,640,480,0, 4856,640,480:waittimer 32566 blt 0,0,640,480,0, 4858,640,480:waittimer 32579 blt 0,0,640,480,0, 4860,640,480:waittimer 32593 blt 0,0,640,480,0, 4862,640,480:waittimer 32606 blt 0,0,640,480,0, 4864,640,480:waittimer 32619 blt 0,0,640,480,0, 4866,640,480:waittimer 32633 blt 0,0,640,480,0, 4868,640,480:waittimer 32646 blt 0,0,640,480,0, 4870,640,480:waittimer 32660 blt 0,0,640,480,0, 4872,640,480:waittimer 32673 blt 0,0,640,480,0, 4874,640,480:waittimer 32687 blt 0,0,640,480,0, 4876,640,480:waittimer 32700 blt 0,0,640,480,0, 4878,640,480:waittimer 32713 blt 0,0,640,480,0, 4880,640,480:waittimer 32727 blt 0,0,640,480,0, 4882,640,480:waittimer 32740 blt 0,0,640,480,0, 4884,640,480:waittimer 32754 blt 0,0,640,480,0, 4886,640,480:waittimer 32767 blt 0,0,640,480,0, 4888,640,480:waittimer 32780 blt 0,0,640,480,0, 4890,640,480:waittimer 32794 blt 0,0,640,480,0, 4892,640,480:waittimer 32807 blt 0,0,640,480,0, 4894,640,480:waittimer 32821 blt 0,0,640,480,0, 4896,640,480:waittimer 32834 blt 0,0,640,480,0, 4898,640,480:waittimer 32847 blt 0,0,640,480,0, 4900,640,480:waittimer 32861 blt 0,0,640,480,0, 4902,640,480:waittimer 32874 blt 0,0,640,480,0, 4904,640,480:waittimer 32888 blt 0,0,640,480,0, 4906,640,480:waittimer 32901 blt 0,0,640,480,0, 4908,640,480:waittimer 32915 blt 0,0,640,480,0, 4910,640,480:waittimer 32928 blt 0,0,640,480,0, 4912,640,480:waittimer 32941 blt 0,0,640,480,0, 4914,640,480:waittimer 32955 blt 0,0,640,480,0, 4916,640,480:waittimer 32968 blt 0,0,640,480,0, 4918,640,480:waittimer 32982 blt 0,0,640,480,0, 4920,640,480:waittimer 32995 blt 0,0,640,480,0, 4922,640,480:waittimer 33008 blt 0,0,640,480,0, 4924,640,480:waittimer 33022 blt 0,0,640,480,0, 4926,640,480:waittimer 33035 blt 0,0,640,480,0, 4928,640,480:waittimer 33049 blt 0,0,640,480,0, 4930,640,480:waittimer 33062 blt 0,0,640,480,0, 4932,640,480:waittimer 33076 blt 0,0,640,480,0, 4934,640,480:waittimer 33089 blt 0,0,640,480,0, 4936,640,480:waittimer 33102 blt 0,0,640,480,0, 4938,640,480:waittimer 33116 blt 0,0,640,480,0, 4940,640,480:waittimer 33129 blt 0,0,640,480,0, 4942,640,480:waittimer 33143 blt 0,0,640,480,0, 4944,640,480:waittimer 33156 blt 0,0,640,480,0, 4946,640,480:waittimer 33169 blt 0,0,640,480,0, 4948,640,480:waittimer 33183 blt 0,0,640,480,0, 4950,640,480:waittimer 33196 blt 0,0,640,480,0, 4952,640,480:waittimer 33210 blt 0,0,640,480,0, 4954,640,480:waittimer 33223 blt 0,0,640,480,0, 4956,640,480:waittimer 33236 blt 0,0,640,480,0, 4958,640,480:waittimer 33250 blt 0,0,640,480,0, 4960,640,480:waittimer 33263 blt 0,0,640,480,0, 4962,640,480:waittimer 33277 blt 0,0,640,480,0, 4964,640,480:waittimer 33290 blt 0,0,640,480,0, 4966,640,480:waittimer 33304 blt 0,0,640,480,0, 4968,640,480:waittimer 33317 blt 0,0,640,480,0, 4970,640,480:waittimer 33330 blt 0,0,640,480,0, 4972,640,480:waittimer 33344 blt 0,0,640,480,0, 4974,640,480:waittimer 33357 blt 0,0,640,480,0, 4976,640,480:waittimer 33371 blt 0,0,640,480,0, 4978,640,480:waittimer 33384 blt 0,0,640,480,0, 4980,640,480:waittimer 33397 blt 0,0,640,480,0, 4982,640,480:waittimer 33411 blt 0,0,640,480,0, 4984,640,480:waittimer 33424 blt 0,0,640,480,0, 4986,640,480:waittimer 33438 blt 0,0,640,480,0, 4988,640,480:waittimer 33451 blt 0,0,640,480,0, 4990,640,480:waittimer 33464 blt 0,0,640,480,0, 4992,640,480:waittimer 33478 blt 0,0,640,480,0, 4994,640,480:waittimer 33491 blt 0,0,640,480,0, 4996,640,480:waittimer 33505 blt 0,0,640,480,0, 4998,640,480:waittimer 33518 blt 0,0,640,480,0, 5000,640,480:waittimer 33532 blt 0,0,640,480,0, 5002,640,480:waittimer 33545 blt 0,0,640,480,0, 5004,640,480:waittimer 33558 blt 0,0,640,480,0, 5006,640,480:waittimer 33572 blt 0,0,640,480,0, 5008,640,480:waittimer 33585 blt 0,0,640,480,0, 5010,640,480:waittimer 33599 blt 0,0,640,480,0, 5012,640,480:waittimer 33612 blt 0,0,640,480,0, 5014,640,480:waittimer 33625 blt 0,0,640,480,0, 5016,640,480:waittimer 33639 blt 0,0,640,480,0, 5018,640,480:waittimer 33652 blt 0,0,640,480,0, 5020,640,480:waittimer 33666 blt 0,0,640,480,0, 5022,640,480:waittimer 33679 blt 0,0,640,480,0, 5024,640,480:waittimer 33692 blt 0,0,640,480,0, 5026,640,480:waittimer 33706 blt 0,0,640,480,0, 5028,640,480:waittimer 33719 blt 0,0,640,480,0, 5030,640,480:waittimer 33733 blt 0,0,640,480,0, 5032,640,480:waittimer 33746 blt 0,0,640,480,0, 5034,640,480:waittimer 33760 blt 0,0,640,480,0, 5036,640,480:waittimer 33773 blt 0,0,640,480,0, 5038,640,480:waittimer 33786 blt 0,0,640,480,0, 5040,640,480:waittimer 33800 blt 0,0,640,480,0, 5042,640,480:waittimer 33813 blt 0,0,640,480,0, 5044,640,480:waittimer 33827 blt 0,0,640,480,0, 5046,640,480:waittimer 33840 blt 0,0,640,480,0, 5048,640,480:waittimer 33853 blt 0,0,640,480,0, 5050,640,480:waittimer 33867 blt 0,0,640,480,0, 5052,640,480:waittimer 33880 blt 0,0,640,480,0, 5054,640,480:waittimer 33894 blt 0,0,640,480,0, 5056,640,480:waittimer 33907 blt 0,0,640,480,0, 5058,640,480:waittimer 33921 blt 0,0,640,480,0, 5060,640,480:waittimer 33934 blt 0,0,640,480,0, 5062,640,480:waittimer 33947 blt 0,0,640,480,0, 5064,640,480:waittimer 33961 blt 0,0,640,480,0, 5066,640,480:waittimer 33974 blt 0,0,640,480,0, 5068,640,480:waittimer 33988 blt 0,0,640,480,0, 5070,640,480:waittimer 34001 blt 0,0,640,480,0, 5072,640,480:waittimer 34014 blt 0,0,640,480,0, 5074,640,480:waittimer 34028 blt 0,0,640,480,0, 5076,640,480:waittimer 34041 blt 0,0,640,480,0, 5078,640,480:waittimer 34055 blt 0,0,640,480,0, 5080,640,480:waittimer 34068 blt 0,0,640,480,0, 5082,640,480:waittimer 34081 blt 0,0,640,480,0, 5084,640,480:waittimer 34095 blt 0,0,640,480,0, 5086,640,480:waittimer 34108 blt 0,0,640,480,0, 5088,640,480:waittimer 34122 blt 0,0,640,480,0, 5090,640,480:waittimer 34135 blt 0,0,640,480,0, 5092,640,480:waittimer 34149 blt 0,0,640,480,0, 5094,640,480:waittimer 34162 blt 0,0,640,480,0, 5096,640,480:waittimer 34175 blt 0,0,640,480,0, 5098,640,480:waittimer 34189 blt 0,0,640,480,0, 5100,640,480:waittimer 34202 blt 0,0,640,480,0, 5102,640,480:waittimer 34216 blt 0,0,640,480,0, 5104,640,480:waittimer 34229 blt 0,0,640,480,0, 5106,640,480:waittimer 34242 blt 0,0,640,480,0, 5108,640,480:waittimer 34256 blt 0,0,640,480,0, 5110,640,480:waittimer 34269 blt 0,0,640,480,0, 5112,640,480:waittimer 34283 blt 0,0,640,480,0, 5114,640,480:waittimer 34296 blt 0,0,640,480,0, 5116,640,480:waittimer 34309 blt 0,0,640,480,0, 5118,640,480:waittimer 34323 blt 0,0,640,480,0, 5120,640,480:waittimer 34336 blt 0,0,640,480,0, 5122,640,480:waittimer 34350 blt 0,0,640,480,0, 5124,640,480:waittimer 34363 blt 0,0,640,480,0, 5126,640,480:waittimer 34377 blt 0,0,640,480,0, 5128,640,480:waittimer 34390 blt 0,0,640,480,0, 5130,640,480:waittimer 34403 blt 0,0,640,480,0, 5132,640,480:waittimer 34417 blt 0,0,640,480,0, 5134,640,480:waittimer 34430 blt 0,0,640,480,0, 5136,640,480:waittimer 34444 blt 0,0,640,480,0, 5138,640,480:waittimer 34457 blt 0,0,640,480,0, 5140,640,480:waittimer 34470 blt 0,0,640,480,0, 5142,640,480:waittimer 34484 blt 0,0,640,480,0, 5144,640,480:waittimer 34497 blt 0,0,640,480,0, 5146,640,480:waittimer 34511 blt 0,0,640,480,0, 5148,640,480:waittimer 34524 blt 0,0,640,480,0, 5150,640,480:waittimer 34538 blt 0,0,640,480,0, 5152,640,480:waittimer 34551 blt 0,0,640,480,0, 5154,640,480:waittimer 34564 blt 0,0,640,480,0, 5156,640,480:waittimer 34578 blt 0,0,640,480,0, 5158,640,480:waittimer 34591 blt 0,0,640,480,0, 5160,640,480:waittimer 34605 blt 0,0,640,480,0, 5162,640,480:waittimer 34618 blt 0,0,640,480,0, 5164,640,480:waittimer 34631 blt 0,0,640,480,0, 5166,640,480:waittimer 34645 blt 0,0,640,480,0, 5168,640,480:waittimer 34658 blt 0,0,640,480,0, 5170,640,480:waittimer 34672 blt 0,0,640,480,0, 5172,640,480:waittimer 34685 blt 0,0,640,480,0, 5174,640,480:waittimer 34698 blt 0,0,640,480,0, 5176,640,480:waittimer 34712 blt 0,0,640,480,0, 5178,640,480:waittimer 34725 blt 0,0,640,480,0, 5180,640,480:waittimer 34739 blt 0,0,640,480,0, 5182,640,480:waittimer 34752 blt 0,0,640,480,0, 5184,640,480:waittimer 34766 blt 0,0,640,480,0, 5186,640,480:waittimer 34779 blt 0,0,640,480,0, 5188,640,480:waittimer 34792 blt 0,0,640,480,0, 5190,640,480:waittimer 34806 blt 0,0,640,480,0, 5192,640,480:waittimer 34819 blt 0,0,640,480,0, 5194,640,480:waittimer 34833 blt 0,0,640,480,0, 5196,640,480:waittimer 34846 blt 0,0,640,480,0, 5198,640,480:waittimer 34859 blt 0,0,640,480,0, 5200,640,480:waittimer 34873 blt 0,0,640,480,0, 5202,640,480:waittimer 34886 blt 0,0,640,480,0, 5204,640,480:waittimer 34900 blt 0,0,640,480,0, 5206,640,480:waittimer 34913 blt 0,0,640,480,0, 5208,640,480:waittimer 34926 blt 0,0,640,480,0, 5210,640,480:waittimer 34940 blt 0,0,640,480,0, 5212,640,480:waittimer 34953 blt 0,0,640,480,0, 5214,640,480:waittimer 34967 blt 0,0,640,480,0, 5216,640,480:waittimer 34980 blt 0,0,640,480,0, 5218,640,480:waittimer 34994 blt 0,0,640,480,0, 5220,640,480:waittimer 35007 blt 0,0,640,480,0, 5222,640,480:waittimer 35020 blt 0,0,640,480,0, 5224,640,480:waittimer 35034 blt 0,0,640,480,0, 5226,640,480:waittimer 35047 blt 0,0,640,480,0, 5228,640,480:waittimer 35061 blt 0,0,640,480,0, 5230,640,480:waittimer 35074 blt 0,0,640,480,0, 5232,640,480:waittimer 35087 blt 0,0,640,480,0, 5234,640,480:waittimer 35101 blt 0,0,640,480,0, 5236,640,480:waittimer 35114 blt 0,0,640,480,0, 5238,640,480:waittimer 35128 blt 0,0,640,480,0, 5240,640,480:waittimer 35141 blt 0,0,640,480,0, 5242,640,480:waittimer 35154 blt 0,0,640,480,0, 5244,640,480:waittimer 35168 blt 0,0,640,480,0, 5246,640,480:waittimer 35181 blt 0,0,640,480,0, 5248,640,480:waittimer 35195 blt 0,0,640,480,0, 5250,640,480:waittimer 35208 blt 0,0,640,480,0, 5252,640,480:waittimer 35222 blt 0,0,640,480,0, 5254,640,480:waittimer 35235 blt 0,0,640,480,0, 5256,640,480:waittimer 35248 blt 0,0,640,480,0, 5258,640,480:waittimer 35262 blt 0,0,640,480,0, 5260,640,480:waittimer 35275 blt 0,0,640,480,0, 5262,640,480:waittimer 35289 blt 0,0,640,480,0, 5264,640,480:waittimer 35302 blt 0,0,640,480,0, 5266,640,480:waittimer 35315 blt 0,0,640,480,0, 5268,640,480:waittimer 35329 blt 0,0,640,480,0, 5270,640,480:waittimer 35342 blt 0,0,640,480,0, 5272,640,480:waittimer 35356 blt 0,0,640,480,0, 5274,640,480:waittimer 35369 blt 0,0,640,480,0, 5276,640,480:waittimer 35383 blt 0,0,640,480,0, 5278,640,480:waittimer 35396 blt 0,0,640,480,0, 5280,640,480:waittimer 35409 blt 0,0,640,480,0, 5282,640,480:waittimer 35423 blt 0,0,640,480,0, 5284,640,480:waittimer 35436 blt 0,0,640,480,0, 5286,640,480:waittimer 35450 blt 0,0,640,480,0, 5288,640,480:waittimer 35463 blt 0,0,640,480,0, 5290,640,480:waittimer 35476 blt 0,0,640,480,0, 5292,640,480:waittimer 35490 blt 0,0,640,480,0, 5294,640,480:waittimer 35503 blt 0,0,640,480,0, 5296,640,480:waittimer 35517 blt 0,0,640,480,0, 5298,640,480:waittimer 35530 blt 0,0,640,480,0, 5300,640,480:waittimer 35543 blt 0,0,640,480,0, 5302,640,480:waittimer 35557 blt 0,0,640,480,0, 5304,640,480:waittimer 35570 blt 0,0,640,480,0, 5306,640,480:waittimer 35584 blt 0,0,640,480,0, 5308,640,480:waittimer 35597 blt 0,0,640,480,0, 5310,640,480:waittimer 35611 blt 0,0,640,480,0, 5312,640,480:waittimer 35624 blt 0,0,640,480,0, 5314,640,480:waittimer 35637 blt 0,0,640,480,0, 5316,640,480:waittimer 35651 blt 0,0,640,480,0, 5318,640,480:waittimer 35664 blt 0,0,640,480,0, 5320,640,480:waittimer 35678 blt 0,0,640,480,0, 5322,640,480:waittimer 35691 blt 0,0,640,480,0, 5324,640,480:waittimer 35704 blt 0,0,640,480,0, 5326,640,480:waittimer 35718 blt 0,0,640,480,0, 5328,640,480:waittimer 35731 blt 0,0,640,480,0, 5330,640,480:waittimer 35745 blt 0,0,640,480,0, 5332,640,480:waittimer 35758 blt 0,0,640,480,0, 5334,640,480:waittimer 35771 blt 0,0,640,480,0, 5336,640,480:waittimer 35785 blt 0,0,640,480,0, 5338,640,480:waittimer 35798 blt 0,0,640,480,0, 5340,640,480:waittimer 35812 blt 0,0,640,480,0, 5342,640,480:waittimer 35825 blt 0,0,640,480,0, 5344,640,480:waittimer 35839 blt 0,0,640,480,0, 5346,640,480:waittimer 35852 blt 0,0,640,480,0, 5348,640,480:waittimer 35865 blt 0,0,640,480,0, 5350,640,480:waittimer 35879 blt 0,0,640,480,0, 5352,640,480:waittimer 35892 blt 0,0,640,480,0, 5354,640,480:waittimer 35906 blt 0,0,640,480,0, 5356,640,480:waittimer 35919 blt 0,0,640,480,0, 5358,640,480:waittimer 35932 blt 0,0,640,480,0, 5360,640,480:waittimer 35946 blt 0,0,640,480,0, 5362,640,480:waittimer 35959 blt 0,0,640,480,0, 5364,640,480:waittimer 35973 blt 0,0,640,480,0, 5366,640,480:waittimer 35986 blt 0,0,640,480,0, 5368,640,480:waittimer 36000 blt 0,0,640,480,0, 5370,640,480:waittimer 36013 blt 0,0,640,480,0, 5372,640,480:waittimer 36026 blt 0,0,640,480,0, 5374,640,480:waittimer 36040 blt 0,0,640,480,0, 5376,640,480:waittimer 36053 blt 0,0,640,480,0, 5378,640,480:waittimer 36067 blt 0,0,640,480,0, 5380,640,480:waittimer 36080 blt 0,0,640,480,0, 5382,640,480:waittimer 36093 blt 0,0,640,480,0, 5384,640,480:waittimer 36107 blt 0,0,640,480,0, 5386,640,480:waittimer 36120 blt 0,0,640,480,0, 5388,640,480:waittimer 36134 blt 0,0,640,480,0, 5390,640,480:waittimer 36147 blt 0,0,640,480,0, 5392,640,480:waittimer 36160 blt 0,0,640,480,0, 5394,640,480:waittimer 36174 blt 0,0,640,480,0, 5396,640,480:waittimer 36187 blt 0,0,640,480,0, 5398,640,480:waittimer 36201 blt 0,0,640,480,0, 5400,640,480:waittimer 36214 blt 0,0,640,480,0, 5402,640,480:waittimer 36228 blt 0,0,640,480,0, 5404,640,480:waittimer 36241 blt 0,0,640,480,0, 5406,640,480:waittimer 36254 blt 0,0,640,480,0, 5408,640,480:waittimer 36268 blt 0,0,640,480,0, 5410,640,480:waittimer 36281 blt 0,0,640,480,0, 5412,640,480:waittimer 36295 blt 0,0,640,480,0, 5414,640,480:waittimer 36308 blt 0,0,640,480,0, 5416,640,480:waittimer 36321 blt 0,0,640,480,0, 5418,640,480:waittimer 36335 blt 0,0,640,480,0, 5420,640,480:waittimer 36348 blt 0,0,640,480,0, 5422,640,480:waittimer 36362 blt 0,0,640,480,0, 5424,640,480:waittimer 36375 blt 0,0,640,480,0, 5426,640,480:waittimer 36388 blt 0,0,640,480,0, 5428,640,480:waittimer 36402 blt 0,0,640,480,0, 5430,640,480:waittimer 36415 blt 0,0,640,480,0, 5432,640,480:waittimer 36429 blt 0,0,640,480,0, 5434,640,480:waittimer 36442 blt 0,0,640,480,0, 5436,640,480:waittimer 36456 blt 0,0,640,480,0, 5438,640,480:waittimer 36469 blt 0,0,640,480,0, 5440,640,480:waittimer 36482 blt 0,0,640,480,0, 5442,640,480:waittimer 36496 blt 0,0,640,480,0, 5444,640,480:waittimer 36509 blt 0,0,640,480,0, 5446,640,480:waittimer 36523 blt 0,0,640,480,0, 5448,640,480:waittimer 36536 blt 0,0,640,480,0, 5450,640,480:waittimer 36549 blt 0,0,640,480,0, 5452,640,480:waittimer 36563 blt 0,0,640,480,0, 5454,640,480:waittimer 36576 blt 0,0,640,480,0, 5456,640,480:waittimer 36590 blt 0,0,640,480,0, 5458,640,480:waittimer 36603 blt 0,0,640,480,0, 5460,640,480:waittimer 36616 blt 0,0,640,480,0, 5462,640,480:waittimer 36630 blt 0,0,640,480,0, 5464,640,480:waittimer 36643 blt 0,0,640,480,0, 5466,640,480:waittimer 36657 blt 0,0,640,480,0, 5468,640,480:waittimer 36670 blt 0,0,640,480,0, 5470,640,480:waittimer 36684 blt 0,0,640,480,0, 5472,640,480:waittimer 36697 blt 0,0,640,480,0, 5474,640,480:waittimer 36710 blt 0,0,640,480,0, 5476,640,480:waittimer 36724 blt 0,0,640,480,0, 5478,640,480:waittimer 36737 blt 0,0,640,480,0, 5480,640,480:waittimer 36751 blt 0,0,640,480,0, 5482,640,480:waittimer 36764 blt 0,0,640,480,0, 5484,640,480:waittimer 36777 blt 0,0,640,480,0, 5486,640,480:waittimer 36791 blt 0,0,640,480,0, 5488,640,480:waittimer 36804 blt 0,0,640,480,0, 5490,640,480:waittimer 36818 blt 0,0,640,480,0, 5492,640,480:waittimer 36831 blt 0,0,640,480,0, 5494,640,480:waittimer 36845 blt 0,0,640,480,0, 5496,640,480:waittimer 36858 blt 0,0,640,480,0, 5498,640,480:waittimer 36871 blt 0,0,640,480,0, 5500,640,480:waittimer 36885 blt 0,0,640,480,0, 5502,640,480:waittimer 36898 blt 0,0,640,480,0, 5504,640,480:waittimer 36912 blt 0,0,640,480,0, 5506,640,480:waittimer 36925 blt 0,0,640,480,0, 5508,640,480:waittimer 36938 blt 0,0,640,480,0, 5510,640,480:waittimer 36952 blt 0,0,640,480,0, 5512,640,480:waittimer 36965 blt 0,0,640,480,0, 5514,640,480:waittimer 36979 blt 0,0,640,480,0, 5516,640,480:waittimer 36992 blt 0,0,640,480,0, 5518,640,480:waittimer 37005 blt 0,0,640,480,0, 5520,640,480:waittimer 37019 blt 0,0,640,480,0, 5522,640,480:waittimer 37032 blt 0,0,640,480,0, 5524,640,480:waittimer 37046 blt 0,0,640,480,0, 5526,640,480:waittimer 37059 blt 0,0,640,480,0, 5528,640,480:waittimer 37073 blt 0,0,640,480,0, 5530,640,480:waittimer 37086 blt 0,0,640,480,0, 5532,640,480:waittimer 37099 blt 0,0,640,480,0, 5534,640,480:waittimer 37113 blt 0,0,640,480,0, 5536,640,480:waittimer 37126 blt 0,0,640,480,0, 5538,640,480:waittimer 37140 blt 0,0,640,480,0, 5540,640,480:waittimer 37153 blt 0,0,640,480,0, 5542,640,480:waittimer 37166 blt 0,0,640,480,0, 5544,640,480:waittimer 37180 blt 0,0,640,480,0, 5546,640,480:waittimer 37193 blt 0,0,640,480,0, 5548,640,480:waittimer 37207 blt 0,0,640,480,0, 5550,640,480:waittimer 37220 blt 0,0,640,480,0, 5552,640,480:waittimer 37233 blt 0,0,640,480,0, 5554,640,480:waittimer 37247 blt 0,0,640,480,0, 5556,640,480:waittimer 37260 blt 0,0,640,480,0, 5558,640,480:waittimer 37274 blt 0,0,640,480,0, 5560,640,480:waittimer 37287 blt 0,0,640,480,0, 5562,640,480:waittimer 37301 blt 0,0,640,480,0, 5564,640,480:waittimer 37314 blt 0,0,640,480,0, 5566,640,480:waittimer 37327 blt 0,0,640,480,0, 5568,640,480:waittimer 37341 blt 0,0,640,480,0, 5570,640,480:waittimer 37354 blt 0,0,640,480,0, 5572,640,480:waittimer 37368 blt 0,0,640,480,0, 5574,640,480:waittimer 37381 blt 0,0,640,480,0, 5576,640,480:waittimer 37394 blt 0,0,640,480,0, 5578,640,480:waittimer 37408 blt 0,0,640,480,0, 5580,640,480:waittimer 37421 blt 0,0,640,480,0, 5582,640,480:waittimer 37435 blt 0,0,640,480,0, 5584,640,480:waittimer 37448 blt 0,0,640,480,0, 5586,640,480:waittimer 37461 blt 0,0,640,480,0, 5588,640,480:waittimer 37475 blt 0,0,640,480,0, 5590,640,480:waittimer 37488 blt 0,0,640,480,0, 5592,640,480:waittimer 37502 blt 0,0,640,480,0, 5594,640,480:waittimer 37515 blt 0,0,640,480,0, 5596,640,480:waittimer 37529 blt 0,0,640,480,0, 5598,640,480:waittimer 37542 blt 0,0,640,480,0, 5600,640,480:waittimer 37555 blt 0,0,640,480,0, 5602,640,480:waittimer 37569 blt 0,0,640,480,0, 5604,640,480:waittimer 37582 blt 0,0,640,480,0, 5606,640,480:waittimer 37596 blt 0,0,640,480,0, 5608,640,480:waittimer 37609 blt 0,0,640,480,0, 5610,640,480:waittimer 37622 blt 0,0,640,480,0, 5612,640,480:waittimer 37636 blt 0,0,640,480,0, 5614,640,480:waittimer 37649 blt 0,0,640,480,0, 5616,640,480:waittimer 37663 blt 0,0,640,480,0, 5618,640,480:waittimer 37676 blt 0,0,640,480,0, 5620,640,480:waittimer 37690 blt 0,0,640,480,0, 5622,640,480:waittimer 37703 blt 0,0,640,480,0, 5624,640,480:waittimer 37716 blt 0,0,640,480,0, 5626,640,480:waittimer 37730 blt 0,0,640,480,0, 5628,640,480:waittimer 37743 blt 0,0,640,480,0, 5630,640,480:waittimer 37757 blt 0,0,640,480,0, 5632,640,480:waittimer 37770 blt 0,0,640,480,0, 5634,640,480:waittimer 37783 blt 0,0,640,480,0, 5636,640,480:waittimer 37797 blt 0,0,640,480,0, 5638,640,480:waittimer 37810 blt 0,0,640,480,0, 5640,640,480:waittimer 37824 blt 0,0,640,480,0, 5642,640,480:waittimer 37837 blt 0,0,640,480,0, 5644,640,480:waittimer 37850 blt 0,0,640,480,0, 5646,640,480:waittimer 37864 blt 0,0,640,480,0, 5648,640,480:waittimer 37877 blt 0,0,640,480,0, 5650,640,480:waittimer 37891 blt 0,0,640,480,0, 5652,640,480:waittimer 37904 blt 0,0,640,480,0, 5654,640,480:waittimer 37918 blt 0,0,640,480,0, 5656,640,480:waittimer 37931 blt 0,0,640,480,0, 5658,640,480:waittimer 37944 blt 0,0,640,480,0, 5660,640,480:waittimer 37958 blt 0,0,640,480,0, 5662,640,480:waittimer 37971 blt 0,0,640,480,0, 5664,640,480:waittimer 37985 blt 0,0,640,480,0, 5666,640,480:waittimer 37998 blt 0,0,640,480,0, 5668,640,480:waittimer 38011 blt 0,0,640,480,0, 5670,640,480:waittimer 38025 blt 0,0,640,480,0, 5672,640,480:waittimer 38038 blt 0,0,640,480,0, 5674,640,480:waittimer 38052 blt 0,0,640,480,0, 5676,640,480:waittimer 38065 blt 0,0,640,480,0, 5678,640,480:waittimer 38078 blt 0,0,640,480,0, 5680,640,480:waittimer 38092 blt 0,0,640,480,0, 5682,640,480:waittimer 38105 blt 0,0,640,480,0, 5684,640,480:waittimer 38119 blt 0,0,640,480,0, 5686,640,480:waittimer 38132 blt 0,0,640,480,0, 5688,640,480:waittimer 38146 blt 0,0,640,480,0, 5690,640,480:waittimer 38159 blt 0,0,640,480,0, 5692,640,480:waittimer 38172 blt 0,0,640,480,0, 5694,640,480:waittimer 38186 blt 0,0,640,480,0, 5696,640,480:waittimer 38199 blt 0,0,640,480,0, 5698,640,480:waittimer 38213 blt 0,0,640,480,0, 5700,640,480:waittimer 38226 blt 0,0,640,480,0, 5702,640,480:waittimer 38239 blt 0,0,640,480,0, 5704,640,480:waittimer 38253 blt 0,0,640,480,0, 5706,640,480:waittimer 38266 blt 0,0,640,480,0, 5708,640,480:waittimer 38280 blt 0,0,640,480,0, 5710,640,480:waittimer 38293 blt 0,0,640,480,0, 5712,640,480:waittimer 38307 blt 0,0,640,480,0, 5714,640,480:waittimer 38320 blt 0,0,640,480,0, 5716,640,480:waittimer 38333 blt 0,0,640,480,0, 5718,640,480:waittimer 38347 blt 0,0,640,480,0, 5720,640,480:waittimer 38360 blt 0,0,640,480,0, 5722,640,480:waittimer 38374 blt 0,0,640,480,0, 5724,640,480:waittimer 38387 blt 0,0,640,480,0, 5726,640,480:waittimer 38400 blt 0,0,640,480,0, 5728,640,480:waittimer 38414 blt 0,0,640,480,0, 5730,640,480:waittimer 38427 blt 0,0,640,480,0, 5732,640,480:waittimer 38441 blt 0,0,640,480,0, 5734,640,480:waittimer 38454 blt 0,0,640,480,0, 5736,640,480:waittimer 38467 blt 0,0,640,480,0, 5738,640,480:waittimer 38481 blt 0,0,640,480,0, 5740,640,480:waittimer 38494 blt 0,0,640,480,0, 5742,640,480:waittimer 38508 blt 0,0,640,480,0, 5744,640,480:waittimer 38521 blt 0,0,640,480,0, 5746,640,480:waittimer 38535 blt 0,0,640,480,0, 5748,640,480:waittimer 38548 blt 0,0,640,480,0, 5750,640,480:waittimer 38561 blt 0,0,640,480,0, 5752,640,480:waittimer 38575 blt 0,0,640,480,0, 5754,640,480:waittimer 38588 blt 0,0,640,480,0, 5756,640,480:waittimer 38602 blt 0,0,640,480,0, 5758,640,480:waittimer 38615 blt 0,0,640,480,0, 5760,640,480:waittimer 38628 blt 0,0,640,480,0, 5762,640,480:waittimer 38642 blt 0,0,640,480,0, 5764,640,480:waittimer 38655 blt 0,0,640,480,0, 5766,640,480:waittimer 38669 blt 0,0,640,480,0, 5768,640,480:waittimer 38682 blt 0,0,640,480,0, 5770,640,480:waittimer 38695 blt 0,0,640,480,0, 5772,640,480:waittimer 38709 blt 0,0,640,480,0, 5774,640,480:waittimer 38722 blt 0,0,640,480,0, 5776,640,480:waittimer 38736 blt 0,0,640,480,0, 5778,640,480:waittimer 38749 blt 0,0,640,480,0, 5780,640,480:waittimer 38763 blt 0,0,640,480,0, 5782,640,480:waittimer 38776 blt 0,0,640,480,0, 5784,640,480:waittimer 38789 blt 0,0,640,480,0, 5786,640,480:waittimer 38803 blt 0,0,640,480,0, 5788,640,480:waittimer 38816 blt 0,0,640,480,0, 5790,640,480:waittimer 38830 blt 0,0,640,480,0, 5792,640,480:waittimer 38843 blt 0,0,640,480,0, 5794,640,480:waittimer 38856 blt 0,0,640,480,0, 5796,640,480:waittimer 38870 blt 0,0,640,480,0, 5798,640,480:waittimer 38883 blt 0,0,640,480,0, 5800,640,480:waittimer 38897 blt 0,0,640,480,0, 5802,640,480:waittimer 38910 blt 0,0,640,480,0, 5804,640,480:waittimer 38923 blt 0,0,640,480,0, 5806,640,480:waittimer 38937 blt 0,0,640,480,0, 5808,640,480:waittimer 38950 blt 0,0,640,480,0, 5810,640,480:waittimer 38964 blt 0,0,640,480,0, 5812,640,480:waittimer 38977 blt 0,0,640,480,0, 5814,640,480:waittimer 38991 blt 0,0,640,480,0, 5816,640,480:waittimer 39004 blt 0,0,640,480,0, 5818,640,480:waittimer 39017 blt 0,0,640,480,0, 5820,640,480:waittimer 39031 blt 0,0,640,480,0, 5822,640,480:waittimer 39044 blt 0,0,640,480,0, 5824,640,480:waittimer 39058 blt 0,0,640,480,0, 5826,640,480:waittimer 39071 blt 0,0,640,480,0, 5828,640,480:waittimer 39084 blt 0,0,640,480,0, 5830,640,480:waittimer 39098 blt 0,0,640,480,0, 5832,640,480:waittimer 39111 blt 0,0,640,480,0, 5834,640,480:waittimer 39125 blt 0,0,640,480,0, 5836,640,480:waittimer 39138 blt 0,0,640,480,0, 5838,640,480:waittimer 39152 blt 0,0,640,480,0, 5840,640,480:waittimer 39165 blt 0,0,640,480,0, 5842,640,480:waittimer 39178 blt 0,0,640,480,0, 5844,640,480:waittimer 39192 blt 0,0,640,480,0, 5846,640,480:waittimer 39205 blt 0,0,640,480,0, 5848,640,480:waittimer 39219 blt 0,0,640,480,0, 5850,640,480:waittimer 39232 blt 0,0,640,480,0, 5852,640,480:waittimer 39245 blt 0,0,640,480,0, 5854,640,480:waittimer 39259 blt 0,0,640,480,0, 5856,640,480:waittimer 39272 blt 0,0,640,480,0, 5858,640,480:waittimer 39286 blt 0,0,640,480,0, 5860,640,480:waittimer 39299 blt 0,0,640,480,0, 5862,640,480:waittimer 39312 blt 0,0,640,480,0, 5864,640,480:waittimer 39326 blt 0,0,640,480,0, 5866,640,480:waittimer 39339 blt 0,0,640,480,0, 5868,640,480:waittimer 39353 blt 0,0,640,480,0, 5870,640,480:waittimer 39366 blt 0,0,640,480,0, 5872,640,480:waittimer 39380 blt 0,0,640,480,0, 5874,640,480:waittimer 39393 blt 0,0,640,480,0, 5876,640,480:waittimer 39406 blt 0,0,640,480,0, 5878,640,480:waittimer 39420 blt 0,0,640,480,0, 5880,640,480:waittimer 39433 blt 0,0,640,480,0, 5882,640,480:waittimer 39447 blt 0,0,640,480,0, 5884,640,480:waittimer 39460 blt 0,0,640,480,0, 5886,640,480:waittimer 39473 blt 0,0,640,480,0, 5888,640,480:waittimer 39487 blt 0,0,640,480,0, 5890,640,480:waittimer 39500 blt 0,0,640,480,0, 5892,640,480:waittimer 39514 blt 0,0,640,480,0, 5894,640,480:waittimer 39527 blt 0,0,640,480,0, 5896,640,480:waittimer 39540 blt 0,0,640,480,0, 5898,640,480:waittimer 39554 blt 0,0,640,480,0, 5900,640,480:waittimer 39567 blt 0,0,640,480,0, 5902,640,480:waittimer 39581 blt 0,0,640,480,0, 5904,640,480:waittimer 39594 blt 0,0,640,480,0, 5906,640,480:waittimer 39608 blt 0,0,640,480,0, 5908,640,480:waittimer 39621 blt 0,0,640,480,0, 5910,640,480:waittimer 39634 blt 0,0,640,480,0, 5912,640,480:waittimer 39648 blt 0,0,640,480,0, 5914,640,480:waittimer 39661 blt 0,0,640,480,0, 5916,640,480:waittimer 39675 blt 0,0,640,480,0, 5918,640,480:waittimer 39688 blt 0,0,640,480,0, 5920,640,480:waittimer 39701 blt 0,0,640,480,0, 5922,640,480:waittimer 39715 blt 0,0,640,480,0, 5924,640,480:waittimer 39728 blt 0,0,640,480,0, 5926,640,480:waittimer 39742 blt 0,0,640,480,0, 5928,640,480:waittimer 39755 blt 0,0,640,480,0, 5930,640,480:waittimer 39769 blt 0,0,640,480,0, 5932,640,480:waittimer 39782 blt 0,0,640,480,0, 5934,640,480:waittimer 39795 blt 0,0,640,480,0, 5936,640,480:waittimer 39809 blt 0,0,640,480,0, 5938,640,480:waittimer 39822 blt 0,0,640,480,0, 5940,640,480:waittimer 39836 blt 0,0,640,480,0, 5942,640,480:waittimer 39849 blt 0,0,640,480,0, 5944,640,480:waittimer 39862 blt 0,0,640,480,0, 5946,640,480:waittimer 39876 blt 0,0,640,480,0, 5948,640,480:waittimer 39889 blt 0,0,640,480,0, 5950,640,480:waittimer 39903 blt 0,0,640,480,0, 5952,640,480:waittimer 39916 blt 0,0,640,480,0, 5954,640,480:waittimer 39929 blt 0,0,640,480,0, 5956,640,480:waittimer 39943 blt 0,0,640,480,0, 5958,640,480:waittimer 39956 blt 0,0,640,480,0, 5960,640,480:waittimer 39970 blt 0,0,640,480,0, 5962,640,480:waittimer 39983 blt 0,0,640,480,0, 5964,640,480:waittimer 39997 blt 0,0,640,480,0, 5966,640,480:waittimer 40010 blt 0,0,640,480,0, 5968,640,480:waittimer 40023 blt 0,0,640,480,0, 5970,640,480:waittimer 40037 blt 0,0,640,480,0, 5972,640,480:waittimer 40050 blt 0,0,640,480,0, 5974,640,480:waittimer 40064 blt 0,0,640,480,0, 5976,640,480:waittimer 40077 blt 0,0,640,480,0, 5978,640,480:waittimer 40090 blt 0,0,640,480,0, 5980,640,480:waittimer 40104 blt 0,0,640,480,0, 5982,640,480:waittimer 40117 blt 0,0,640,480,0, 5984,640,480:waittimer 40131 blt 0,0,640,480,0, 5986,640,480:waittimer 40144 blt 0,0,640,480,0, 5988,640,480:waittimer 40157 blt 0,0,640,480,0, 5990,640,480:waittimer 40171 blt 0,0,640,480,0, 5992,640,480:waittimer 40184 blt 0,0,640,480,0, 5994,640,480:waittimer 40198 blt 0,0,640,480,0, 5996,640,480:waittimer 40211 blt 0,0,640,480,0, 5998,640,480:waittimer 40225 blt 0,0,640,480,0, 6000,640,480:waittimer 40238 blt 0,0,640,480,0, 6002,640,480:waittimer 40251 blt 0,0,640,480,0, 6004,640,480:waittimer 40265 blt 0,0,640,480,0, 6006,640,480:waittimer 40278 blt 0,0,640,480,0, 6008,640,480:waittimer 40292 blt 0,0,640,480,0, 6010,640,480:waittimer 40305 blt 0,0,640,480,0, 6012,640,480:waittimer 40318 blt 0,0,640,480,0, 6014,640,480:waittimer 40332 blt 0,0,640,480,0, 6016,640,480:waittimer 40345 blt 0,0,640,480,0, 6018,640,480:waittimer 40359 blt 0,0,640,480,0, 6020,640,480:waittimer 40372 blt 0,0,640,480,0, 6022,640,480:waittimer 40385 blt 0,0,640,480,0, 6024,640,480:waittimer 40399 blt 0,0,640,480,0, 6026,640,480:waittimer 40412 blt 0,0,640,480,0, 6028,640,480:waittimer 40426 blt 0,0,640,480,0, 6030,640,480:waittimer 40439 blt 0,0,640,480,0, 6032,640,480:waittimer 40453 blt 0,0,640,480,0, 6034,640,480:waittimer 40466 blt 0,0,640,480,0, 6036,640,480:waittimer 40479 blt 0,0,640,480,0, 6038,640,480:waittimer 40493 blt 0,0,640,480,0, 6040,640,480:waittimer 40506 blt 0,0,640,480,0, 6042,640,480:waittimer 40520 blt 0,0,640,480,0, 6044,640,480:waittimer 40533 blt 0,0,640,480,0, 6046,640,480:waittimer 40546 blt 0,0,640,480,0, 6048,640,480:waittimer 40560 blt 0,0,640,480,0, 6050,640,480:waittimer 40573 blt 0,0,640,480,0, 6052,640,480:waittimer 40587 blt 0,0,640,480,0, 6054,640,480:waittimer 40600 blt 0,0,640,480,0, 6056,640,480:waittimer 40614 blt 0,0,640,480,0, 6058,640,480:waittimer 40627 blt 0,0,640,480,0, 6060,640,480:waittimer 40640 blt 0,0,640,480,0, 6062,640,480:waittimer 40654 blt 0,0,640,480,0, 6064,640,480:waittimer 40667 blt 0,0,640,480,0, 6066,640,480:waittimer 40681 blt 0,0,640,480,0, 6068,640,480:waittimer 40694 blt 0,0,640,480,0, 6070,640,480:waittimer 40707 blt 0,0,640,480,0, 6072,640,480:waittimer 40721 blt 0,0,640,480,0, 6074,640,480:waittimer 40734 blt 0,0,640,480,0, 6076,640,480:waittimer 40748 blt 0,0,640,480,0, 6078,640,480:waittimer 40761 blt 0,0,640,480,0, 6080,640,480:waittimer 40774 blt 0,0,640,480,0, 6082,640,480:waittimer 40788 blt 0,0,640,480,0, 6084,640,480:waittimer 40801 blt 0,0,640,480,0, 6086,640,480:waittimer 40815 blt 0,0,640,480,0, 6088,640,480:waittimer 40828 blt 0,0,640,480,0, 6090,640,480:waittimer 40842 blt 0,0,640,480,0, 6092,640,480:waittimer 40855 blt 0,0,640,480,0, 6094,640,480:waittimer 40868 blt 0,0,640,480,0, 6096,640,480:waittimer 40882 blt 0,0,640,480,0, 6098,640,480:waittimer 40895 blt 0,0,640,480,0, 6100,640,480:waittimer 40909 blt 0,0,640,480,0, 6102,640,480:waittimer 40922 blt 0,0,640,480,0, 6104,640,480:waittimer 40935 blt 0,0,640,480,0, 6106,640,480:waittimer 40949 blt 0,0,640,480,0, 6108,640,480:waittimer 40962 blt 0,0,640,480,0, 6110,640,480:waittimer 40976 blt 0,0,640,480,0, 6112,640,480:waittimer 40989 blt 0,0,640,480,0, 6114,640,480:waittimer 41002 blt 0,0,640,480,0, 6116,640,480:waittimer 41016 blt 0,0,640,480,0, 6118,640,480:waittimer 41029 blt 0,0,640,480,0, 6120,640,480:waittimer 41043 blt 0,0,640,480,0, 6122,640,480:waittimer 41056 blt 0,0,640,480,0, 6124,640,480:waittimer 41070 blt 0,0,640,480,0, 6126,640,480:waittimer 41083 blt 0,0,640,480,0, 6128,640,480:waittimer 41096 blt 0,0,640,480,0, 6130,640,480:waittimer 41110 blt 0,0,640,480,0, 6132,640,480:waittimer 41123 blt 0,0,640,480,0, 6134,640,480:waittimer 41137 blt 0,0,640,480,0, 6136,640,480:waittimer 41150 blt 0,0,640,480,0, 6138,640,480:waittimer 41163 blt 0,0,640,480,0, 6140,640,480:waittimer 41177 blt 0,0,640,480,0, 6142,640,480:waittimer 41190 blt 0,0,640,480,0, 6144,640,480:waittimer 41204 blt 0,0,640,480,0, 6146,640,480:waittimer 41217 blt 0,0,640,480,0, 6148,640,480:waittimer 41230 blt 0,0,640,480,0, 6150,640,480:waittimer 41244 blt 0,0,640,480,0, 6152,640,480:waittimer 41257 blt 0,0,640,480,0, 6154,640,480:waittimer 41271 blt 0,0,640,480,0, 6156,640,480:waittimer 41284 blt 0,0,640,480,0, 6158,640,480:waittimer 41298 blt 0,0,640,480,0, 6160,640,480:waittimer 41311 blt 0,0,640,480,0, 6162,640,480:waittimer 41324 blt 0,0,640,480,0, 6164,640,480:waittimer 41338 blt 0,0,640,480,0, 6166,640,480:waittimer 41351 blt 0,0,640,480,0, 6168,640,480:waittimer 41365 blt 0,0,640,480,0, 6170,640,480:waittimer 41378 blt 0,0,640,480,0, 6172,640,480:waittimer 41391 blt 0,0,640,480,0, 6174,640,480:waittimer 41405 blt 0,0,640,480,0, 6176,640,480:waittimer 41418 blt 0,0,640,480,0, 6178,640,480:waittimer 41432 blt 0,0,640,480,0, 6180,640,480:waittimer 41445 blt 0,0,640,480,0, 6182,640,480:waittimer 41459 blt 0,0,640,480,0, 6184,640,480:waittimer 41472 blt 0,0,640,480,0, 6186,640,480:waittimer 41485 blt 0,0,640,480,0, 6188,640,480:waittimer 41499 blt 0,0,640,480,0, 6190,640,480:waittimer 41512 blt 0,0,640,480,0, 6192,640,480:waittimer 41526 blt 0,0,640,480,0, 6194,640,480:waittimer 41539 blt 0,0,640,480,0, 6196,640,480:waittimer 41552 blt 0,0,640,480,0, 6198,640,480:waittimer 41566 blt 0,0,640,480,0, 6200,640,480:waittimer 41579 blt 0,0,640,480,0, 6202,640,480:waittimer 41593 blt 0,0,640,480,0, 6204,640,480:waittimer 41606 blt 0,0,640,480,0, 6206,640,480:waittimer 41619 blt 0,0,640,480,0, 6208,640,480:waittimer 41633 blt 0,0,640,480,0, 6210,640,480:waittimer 41646 blt 0,0,640,480,0, 6212,640,480:waittimer 41660 blt 0,0,640,480,0, 6214,640,480:waittimer 41673 blt 0,0,640,480,0, 6216,640,480:waittimer 41687 blt 0,0,640,480,0, 6218,640,480:waittimer 41700 blt 0,0,640,480,0, 6220,640,480:waittimer 41713 blt 0,0,640,480,0, 6222,640,480:waittimer 41727 blt 0,0,640,480,0, 6224,640,480:waittimer 41740 blt 0,0,640,480,0, 6226,640,480:waittimer 41754 blt 0,0,640,480,0, 6228,640,480:waittimer 41767 blt 0,0,640,480,0, 6230,640,480:waittimer 41780 blt 0,0,640,480,0, 6232,640,480:waittimer 41794 blt 0,0,640,480,0, 6234,640,480:waittimer 41807 blt 0,0,640,480,0, 6236,640,480:waittimer 41821 blt 0,0,640,480,0, 6238,640,480:waittimer 41834 blt 0,0,640,480,0, 6240,640,480:waittimer 41847 blt 0,0,640,480,0, 6242,640,480:waittimer 41861 blt 0,0,640,480,0, 6244,640,480:waittimer 41874 blt 0,0,640,480,0, 6246,640,480:waittimer 41888 blt 0,0,640,480,0, 6248,640,480:waittimer 41901 blt 0,0,640,480,0, 6250,640,480:waittimer 41915 blt 0,0,640,480,0, 6252,640,480:waittimer 41928 blt 0,0,640,480,0, 6254,640,480:waittimer 41941 blt 0,0,640,480,0, 6256,640,480:waittimer 41955 blt 0,0,640,480,0, 6258,640,480:waittimer 41968 blt 0,0,640,480,0, 6260,640,480:waittimer 41982 blt 0,0,640,480,0, 6262,640,480:waittimer 41995 blt 0,0,640,480,0, 6264,640,480:waittimer 42008 blt 0,0,640,480,0, 6266,640,480:waittimer 42022 blt 0,0,640,480,0, 6268,640,480:waittimer 42035 blt 0,0,640,480,0, 6270,640,480:waittimer 42049 blt 0,0,640,480,0, 6272,640,480:waittimer 42062 blt 0,0,640,480,0, 6274,640,480:waittimer 42076 blt 0,0,640,480,0, 6276,640,480:waittimer 42089 blt 0,0,640,480,0, 6278,640,480:waittimer 42102 blt 0,0,640,480,0, 6280,640,480:waittimer 42116 blt 0,0,640,480,0, 6282,640,480:waittimer 42129 blt 0,0,640,480,0, 6284,640,480:waittimer 42143 blt 0,0,640,480,0, 6286,640,480:waittimer 42156 blt 0,0,640,480,0, 6288,640,480:waittimer 42169 blt 0,0,640,480,0, 6290,640,480:waittimer 42183 blt 0,0,640,480,0, 6292,640,480:waittimer 42196 blt 0,0,640,480,0, 6294,640,480:waittimer 42210 blt 0,0,640,480,0, 6296,640,480:waittimer 42223 blt 0,0,640,480,0, 6298,640,480:waittimer 42236 blt 0,0,640,480,0, 6300,640,480:waittimer 42250 blt 0,0,640,480,0, 6302,640,480:waittimer 42263 blt 0,0,640,480,0, 6304,640,480:waittimer 42277 blt 0,0,640,480,0, 6306,640,480:waittimer 42290 blt 0,0,640,480,0, 6308,640,480:waittimer 42304 blt 0,0,640,480,0, 6310,640,480:waittimer 42317 blt 0,0,640,480,0, 6312,640,480:waittimer 42330 blt 0,0,640,480,0, 6314,640,480:waittimer 42344 blt 0,0,640,480,0, 6316,640,480:waittimer 42357 blt 0,0,640,480,0, 6318,640,480:waittimer 42371 blt 0,0,640,480,0, 6320,640,480:waittimer 42384 blt 0,0,640,480,0, 6322,640,480:waittimer 42397 blt 0,0,640,480,0, 6324,640,480:waittimer 42411 blt 0,0,640,480,0, 6326,640,480:waittimer 42424 blt 0,0,640,480,0, 6328,640,480:waittimer 42438 blt 0,0,640,480,0, 6330,640,480:waittimer 42451 blt 0,0,640,480,0, 6332,640,480:waittimer 42464 blt 0,0,640,480,0, 6334,640,480:waittimer 42478 blt 0,0,640,480,0, 6336,640,480:waittimer 42491 blt 0,0,640,480,0, 6338,640,480:waittimer 42505 blt 0,0,640,480,0, 6340,640,480:waittimer 42518 blt 0,0,640,480,0, 6342,640,480:waittimer 42532 blt 0,0,640,480,0, 6344,640,480:waittimer 42545 blt 0,0,640,480,0, 6346,640,480:waittimer 42558 blt 0,0,640,480,0, 6348,640,480:waittimer 42572 blt 0,0,640,480,0, 6350,640,480:waittimer 42585 blt 0,0,640,480,0, 6352,640,480:waittimer 42599 blt 0,0,640,480,0, 6354,640,480:waittimer 42612 blt 0,0,640,480,0, 6356,640,480:waittimer 42625 blt 0,0,640,480,0, 6358,640,480:waittimer 42639 blt 0,0,640,480,0, 6360,640,480:waittimer 42652 blt 0,0,640,480,0, 6362,640,480:waittimer 42666 blt 0,0,640,480,0, 6364,640,480:waittimer 42679 blt 0,0,640,480,0, 6366,640,480:waittimer 42692 blt 0,0,640,480,0, 6368,640,480:waittimer 42706 blt 0,0,640,480,0, 6370,640,480:waittimer 42719 blt 0,0,640,480,0, 6372,640,480:waittimer 42733 blt 0,0,640,480,0, 6374,640,480:waittimer 42746 blt 0,0,640,480,0, 6376,640,480:waittimer 42760 blt 0,0,640,480,0, 6378,640,480:waittimer 42773 blt 0,0,640,480,0, 6380,640,480:waittimer 42786 blt 0,0,640,480,0, 6382,640,480:waittimer 42800 blt 0,0,640,480,0, 6384,640,480:waittimer 42813 blt 0,0,640,480,0, 6386,640,480:waittimer 42827 blt 0,0,640,480,0, 6388,640,480:waittimer 42840 blt 0,0,640,480,0, 6390,640,480:waittimer 42853 blt 0,0,640,480,0, 6392,640,480:waittimer 42867 blt 0,0,640,480,0, 6394,640,480:waittimer 42880 blt 0,0,640,480,0, 6396,640,480:waittimer 42894 blt 0,0,640,480,0, 6398,640,480:waittimer 42907 blt 0,0,640,480,0, 6400,640,480:waittimer 42921 blt 0,0,640,480,0, 6402,640,480:waittimer 42934 blt 0,0,640,480,0, 6404,640,480:waittimer 42947 blt 0,0,640,480,0, 6406,640,480:waittimer 42961 blt 0,0,640,480,0, 6408,640,480:waittimer 42974 blt 0,0,640,480,0, 6410,640,480:waittimer 42988 blt 0,0,640,480,0, 6412,640,480:waittimer 43001 blt 0,0,640,480,0, 6414,640,480:waittimer 43014 blt 0,0,640,480,0, 6416,640,480:waittimer 43028 blt 0,0,640,480,0, 6418,640,480:waittimer 43041 blt 0,0,640,480,0, 6420,640,480:waittimer 43055 blt 0,0,640,480,0, 6422,640,480:waittimer 43068 blt 0,0,640,480,0, 6424,640,480:waittimer 43081 blt 0,0,640,480,0, 6426,640,480:waittimer 43095 blt 0,0,640,480,0, 6428,640,480:waittimer 43108 blt 0,0,640,480,0, 6430,640,480:waittimer 43122 blt 0,0,640,480,0, 6432,640,480:waittimer 43135 blt 0,0,640,480,0, 6434,640,480:waittimer 43149 blt 0,0,640,480,0, 6436,640,480:waittimer 43162 blt 0,0,640,480,0, 6438,640,480:waittimer 43175 blt 0,0,640,480,0, 6440,640,480:waittimer 43189 blt 0,0,640,480,0, 6442,640,480:waittimer 43202 blt 0,0,640,480,0, 6444,640,480:waittimer 43216 blt 0,0,640,480,0, 6446,640,480:waittimer 43229 blt 0,0,640,480,0, 6448,640,480:waittimer 43242 blt 0,0,640,480,0, 6450,640,480:waittimer 43256 blt 0,0,640,480,0, 6452,640,480:waittimer 43269 blt 0,0,640,480,0, 6454,640,480:waittimer 43283 blt 0,0,640,480,0, 6456,640,480:waittimer 43296 blt 0,0,640,480,0, 6458,640,480:waittimer 43309 blt 0,0,640,480,0, 6460,640,480:waittimer 43323 blt 0,0,640,480,0, 6462,640,480:waittimer 43336 blt 0,0,640,480,0, 6464,640,480:waittimer 43350 blt 0,0,640,480,0, 6466,640,480:waittimer 43363 blt 0,0,640,480,0, 6468,640,480:waittimer 43377 blt 0,0,640,480,0, 6470,640,480:waittimer 43390 blt 0,0,640,480,0, 6472,640,480:waittimer 43403 blt 0,0,640,480,0, 6474,640,480:waittimer 43417 blt 0,0,640,480,0, 6476,640,480:waittimer 43430 blt 0,0,640,480,0, 6478,640,480:waittimer 43444 blt 0,0,640,480,0, 6480,640,480:waittimer 43457 blt 0,0,640,480,0, 6482,640,480:waittimer 43470 blt 0,0,640,480,0, 6484,640,480:waittimer 43484 blt 0,0,640,480,0, 6486,640,480:waittimer 43497 blt 0,0,640,480,0, 6488,640,480:waittimer 43511 blt 0,0,640,480,0, 6490,640,480:waittimer 43524 blt 0,0,640,480,0, 6492,640,480:waittimer 43538 blt 0,0,640,480,0, 6494,640,480:waittimer 43551 blt 0,0,640,480,0, 6496,640,480:waittimer 43564 blt 0,0,640,480,0, 6498,640,480:waittimer 43578 blt 0,0,640,480,0, 6500,640,480:waittimer 43591 blt 0,0,640,480,0, 6502,640,480:waittimer 43605 blt 0,0,640,480,0, 6504,640,480:waittimer 43618 blt 0,0,640,480,0, 6506,640,480:waittimer 43631 blt 0,0,640,480,0, 6508,640,480:waittimer 43645 blt 0,0,640,480,0, 6510,640,480:waittimer 43658 blt 0,0,640,480,0, 6512,640,480:waittimer 43672 blt 0,0,640,480,0, 6514,640,480:waittimer 43685 blt 0,0,640,480,0, 6516,640,480:waittimer 43698 blt 0,0,640,480,0, 6518,640,480:waittimer 43712 blt 0,0,640,480,0, 6520,640,480:waittimer 43725 blt 0,0,640,480,0, 6522,640,480:waittimer 43739 blt 0,0,640,480,0, 6524,640,480:waittimer 43752 blt 0,0,640,480,0, 6526,640,480:waittimer 43766 blt 0,0,640,480,0, 6528,640,480:waittimer 43779 blt 0,0,640,480,0, 6530,640,480:waittimer 43792 blt 0,0,640,480,0, 6532,640,480:waittimer 43806 blt 0,0,640,480,0, 6534,640,480:waittimer 43819 blt 0,0,640,480,0, 6536,640,480:waittimer 43833 blt 0,0,640,480,0, 6538,640,480:waittimer 43846 blt 0,0,640,480,0, 6540,640,480:waittimer 43859 blt 0,0,640,480,0, 6542,640,480:waittimer 43873 blt 0,0,640,480,0, 6544,640,480:waittimer 43886 blt 0,0,640,480,0, 6546,640,480:waittimer 43900 blt 0,0,640,480,0, 6548,640,480:waittimer 43913 blt 0,0,640,480,0, 6550,640,480:waittimer 43926 blt 0,0,640,480,0, 6552,640,480:waittimer 43940 blt 0,0,640,480,0, 6554,640,480:waittimer 43953 blt 0,0,640,480,0, 6556,640,480:waittimer 43967 blt 0,0,640,480,0, 6558,640,480:waittimer 43980 blt 0,0,640,480,0, 6560,640,480:waittimer 43994 blt 0,0,640,480,0, 6562,640,480:waittimer 44007 blt 0,0,640,480,0, 6564,640,480:waittimer 44020 blt 0,0,640,480,0, 6566,640,480:waittimer 44034 blt 0,0,640,480,0, 6568,640,480:waittimer 44047 blt 0,0,640,480,0, 6570,640,480:waittimer 44061 blt 0,0,640,480,0, 6572,640,480:waittimer 44074 blt 0,0,640,480,0, 6574,640,480:waittimer 44087 blt 0,0,640,480,0, 6576,640,480:waittimer 44101 blt 0,0,640,480,0, 6578,640,480:waittimer 44114 blt 0,0,640,480,0, 6580,640,480:waittimer 44128 blt 0,0,640,480,0, 6582,640,480:waittimer 44141 blt 0,0,640,480,0, 6584,640,480:waittimer 44154 blt 0,0,640,480,0, 6586,640,480:waittimer 44168 blt 0,0,640,480,0, 6588,640,480:waittimer 44181 blt 0,0,640,480,0, 6590,640,480:waittimer 44195 blt 0,0,640,480,0, 6592,640,480:waittimer 44208 blt 0,0,640,480,0, 6594,640,480:waittimer 44222 blt 0,0,640,480,0, 6596,640,480:waittimer 44235 blt 0,0,640,480,0, 6598,640,480:waittimer 44248 blt 0,0,640,480,0, 6600,640,480:waittimer 44262 blt 0,0,640,480,0, 6602,640,480:waittimer 44275 blt 0,0,640,480,0, 6604,640,480:waittimer 44289 blt 0,0,640,480,0, 6606,640,480:waittimer 44302 blt 0,0,640,480,0, 6608,640,480:waittimer 44315 blt 0,0,640,480,0, 6610,640,480:waittimer 44329 blt 0,0,640,480,0, 6612,640,480:waittimer 44342 blt 0,0,640,480,0, 6614,640,480:waittimer 44356 blt 0,0,640,480,0, 6616,640,480:waittimer 44369 blt 0,0,640,480,0, 6618,640,480:waittimer 44383 blt 0,0,640,480,0, 6620,640,480:waittimer 44396 blt 0,0,640,480,0, 6622,640,480:waittimer 44409 blt 0,0,640,480,0, 6624,640,480:waittimer 44423 blt 0,0,640,480,0, 6626,640,480:waittimer 44436 blt 0,0,640,480,0, 6628,640,480:waittimer 44450 blt 0,0,640,480,0, 6630,640,480:waittimer 44463 blt 0,0,640,480,0, 6632,640,480:waittimer 44476 blt 0,0,640,480,0, 6634,640,480:waittimer 44490 blt 0,0,640,480,0, 6636,640,480:waittimer 44503 blt 0,0,640,480,0, 6638,640,480:waittimer 44517 blt 0,0,640,480,0, 6640,640,480:waittimer 44530 blt 0,0,640,480,0, 6642,640,480:waittimer 44543 blt 0,0,640,480,0, 6644,640,480:waittimer 44557 blt 0,0,640,480,0, 6646,640,480:waittimer 44570 blt 0,0,640,480,0, 6648,640,480:waittimer 44584 blt 0,0,640,480,0, 6650,640,480:waittimer 44597 blt 0,0,640,480,0, 6652,640,480:waittimer 44611 blt 0,0,640,480,0, 6654,640,480:waittimer 44624 blt 0,0,640,480,0, 6656,640,480:waittimer 44637 blt 0,0,640,480,0, 6658,640,480:waittimer 44651 blt 0,0,640,480,0, 6660,640,480:waittimer 44664 blt 0,0,640,480,0, 6662,640,480:waittimer 44678 blt 0,0,640,480,0, 6664,640,480:waittimer 44691 blt 0,0,640,480,0, 6666,640,480:waittimer 44704 blt 0,0,640,480,0, 6668,640,480:waittimer 44718 blt 0,0,640,480,0, 6670,640,480:waittimer 44731 blt 0,0,640,480,0, 6672,640,480:waittimer 44745 blt 0,0,640,480,0, 6674,640,480:waittimer 44758 blt 0,0,640,480,0, 6676,640,480:waittimer 44771 blt 0,0,640,480,0, 6678,640,480:waittimer 44785 blt 0,0,640,480,0, 6680,640,480:waittimer 44798 blt 0,0,640,480,0, 6682,640,480:waittimer 44812 blt 0,0,640,480,0, 6684,640,480:waittimer 44825 blt 0,0,640,480,0, 6686,640,480:waittimer 44839 blt 0,0,640,480,0, 6688,640,480:waittimer 44852 blt 0,0,640,480,0, 6690,640,480:waittimer 44865 blt 0,0,640,480,0, 6692,640,480:waittimer 44879 blt 0,0,640,480,0, 6694,640,480:waittimer 44892 blt 0,0,640,480,0, 6696,640,480:waittimer 44906 blt 0,0,640,480,0, 6698,640,480:waittimer 44919 blt 0,0,640,480,0, 6700,640,480:waittimer 44932 blt 0,0,640,480,0, 6702,640,480:waittimer 44946 blt 0,0,640,480,0, 6704,640,480:waittimer 44959 blt 0,0,640,480,0, 6706,640,480:waittimer 44973 blt 0,0,640,480,0, 6708,640,480:waittimer 44986 blt 0,0,640,480,0, 6710,640,480:waittimer 45000 blt 0,0,640,480,0, 6712,640,480:waittimer 45013 blt 0,0,640,480,0, 6714,640,480:waittimer 45026 blt 0,0,640,480,0, 6716,640,480:waittimer 45040 blt 0,0,640,480,0, 6718,640,480:waittimer 45053 blt 0,0,640,480,0, 6720,640,480:waittimer 45067 blt 0,0,640,480,0, 6722,640,480:waittimer 45080 blt 0,0,640,480,0, 6724,640,480:waittimer 45093 blt 0,0,640,480,0, 6726,640,480:waittimer 45107 blt 0,0,640,480,0, 6728,640,480:waittimer 45120 blt 0,0,640,480,0, 6730,640,480:waittimer 45134 blt 0,0,640,480,0, 6732,640,480:waittimer 45147 blt 0,0,640,480,0, 6734,640,480:waittimer 45160 blt 0,0,640,480,0, 6736,640,480:waittimer 45174 blt 0,0,640,480,0, 6738,640,480:waittimer 45187 blt 0,0,640,480,0, 6740,640,480:waittimer 45201 blt 0,0,640,480,0, 6742,640,480:waittimer 45214 blt 0,0,640,480,0, 6744,640,480:waittimer 45228 blt 0,0,640,480,0, 6746,640,480:waittimer 45241 blt 0,0,640,480,0, 6748,640,480:waittimer 45254 blt 0,0,640,480,0, 6750,640,480:waittimer 45268 blt 0,0,640,480,0, 6752,640,480:waittimer 45281 blt 0,0,640,480,0, 6754,640,480:waittimer 45295 blt 0,0,640,480,0, 6756,640,480:waittimer 45308 blt 0,0,640,480,0, 6758,640,480:waittimer 45321 blt 0,0,640,480,0, 6760,640,480:waittimer 45335 blt 0,0,640,480,0, 6762,640,480:waittimer 45348 blt 0,0,640,480,0, 6764,640,480:waittimer 45362 blt 0,0,640,480,0, 6766,640,480:waittimer 45375 blt 0,0,640,480,0, 6768,640,480:waittimer 45388 blt 0,0,640,480,0, 6770,640,480:waittimer 45402 blt 0,0,640,480,0, 6772,640,480:waittimer 45415 blt 0,0,640,480,0, 6774,640,480:waittimer 45429 blt 0,0,640,480,0, 6776,640,480:waittimer 45442 blt 0,0,640,480,0, 6778,640,480:waittimer 45456 blt 0,0,640,480,0, 6780,640,480:waittimer 45469 blt 0,0,640,480,0, 6782,640,480:waittimer 45482 blt 0,0,640,480,0, 6784,640,480:waittimer 45496 blt 0,0,640,480,0, 6786,640,480:waittimer 45509 blt 0,0,640,480,0, 6788,640,480:waittimer 45523 blt 0,0,640,480,0, 6790,640,480:waittimer 45536 blt 0,0,640,480,0, 6792,640,480:waittimer 45549 blt 0,0,640,480,0, 6794,640,480:waittimer 45563 blt 0,0,640,480,0, 6796,640,480:waittimer 45576 blt 0,0,640,480,0, 6798,640,480:waittimer 45590 blt 0,0,640,480,0, 6800,640,480:waittimer 45603 blt 0,0,640,480,0, 6802,640,480:waittimer 45616 blt 0,0,640,480,0, 6804,640,480:waittimer 45630 blt 0,0,640,480,0, 6806,640,480:waittimer 45643 blt 0,0,640,480,0, 6808,640,480:waittimer 45657 blt 0,0,640,480,0, 6810,640,480:waittimer 45670 blt 0,0,640,480,0, 6812,640,480:waittimer 45684 blt 0,0,640,480,0, 6814,640,480:waittimer 45697 blt 0,0,640,480,0, 6816,640,480:waittimer 45710 blt 0,0,640,480,0, 6818,640,480:waittimer 45724 blt 0,0,640,480,0, 6820,640,480:waittimer 45737 blt 0,0,640,480,0, 6822,640,480:waittimer 45751 blt 0,0,640,480,0, 6824,640,480:waittimer 45764 blt 0,0,640,480,0, 6826,640,480:waittimer 45777 blt 0,0,640,480,0, 6828,640,480:waittimer 45791 blt 0,0,640,480,0, 6830,640,480:waittimer 45804 blt 0,0,640,480,0, 6832,640,480:waittimer 45818 blt 0,0,640,480,0, 6834,640,480:waittimer 45831 blt 0,0,640,480,0, 6836,640,480:waittimer 45845 blt 0,0,640,480,0, 6838,640,480:waittimer 45858 blt 0,0,640,480,0, 6840,640,480:waittimer 45871 blt 0,0,640,480,0, 6842,640,480:waittimer 45885 blt 0,0,640,480,0, 6844,640,480:waittimer 45898 blt 0,0,640,480,0, 6846,640,480:waittimer 45912 blt 0,0,640,480,0, 6848,640,480:waittimer 45925 blt 0,0,640,480,0, 6850,640,480:waittimer 45938 blt 0,0,640,480,0, 6852,640,480:waittimer 45952 blt 0,0,640,480,0, 6854,640,480:waittimer 45965 blt 0,0,640,480,0, 6856,640,480:waittimer 45979 blt 0,0,640,480,0, 6858,640,480:waittimer 45992 blt 0,0,640,480,0, 6860,640,480:waittimer 46005 blt 0,0,640,480,0, 6862,640,480:waittimer 46019 blt 0,0,640,480,0, 6864,640,480:waittimer 46032 blt 0,0,640,480,0, 6866,640,480:waittimer 46046 blt 0,0,640,480,0, 6868,640,480:waittimer 46059 blt 0,0,640,480,0, 6870,640,480:waittimer 46073 blt 0,0,640,480,0, 6872,640,480:waittimer 46086 blt 0,0,640,480,0, 6874,640,480:waittimer 46099 blt 0,0,640,480,0, 6876,640,480:waittimer 46113 blt 0,0,640,480,0, 6878,640,480:waittimer 46126 blt 0,0,640,480,0, 6880,640,480:waittimer 46140 blt 0,0,640,480,0, 6882,640,480:waittimer 46153 blt 0,0,640,480,0, 6884,640,480:waittimer 46166 blt 0,0,640,480,0, 6886,640,480:waittimer 46180 blt 0,0,640,480,0, 6888,640,480:waittimer 46193 blt 0,0,640,480,0, 6890,640,480:waittimer 46207 blt 0,0,640,480,0, 6892,640,480:waittimer 46220 blt 0,0,640,480,0, 6894,640,480:waittimer 46233 blt 0,0,640,480,0, 6896,640,480:waittimer 46247 blt 0,0,640,480,0, 6898,640,480:waittimer 46260 blt 0,0,640,480,0, 6900,640,480:waittimer 46274 blt 0,0,640,480,0, 6902,640,480:waittimer 46287 blt 0,0,640,480,0, 6904,640,480:waittimer 46301 blt 0,0,640,480,0, 6906,640,480:waittimer 46314 blt 0,0,640,480,0, 6908,640,480:waittimer 46327 blt 0,0,640,480,0, 6910,640,480:waittimer 46341 blt 0,0,640,480,0, 6912,640,480:waittimer 46354 blt 0,0,640,480,0, 6914,640,480:waittimer 46368 blt 0,0,640,480,0, 6916,640,480:waittimer 46381 blt 0,0,640,480,0, 6918,640,480:waittimer 46394 blt 0,0,640,480,0, 6920,640,480:waittimer 46408 blt 0,0,640,480,0, 6922,640,480:waittimer 46421 blt 0,0,640,480,0, 6924,640,480:waittimer 46435 blt 0,0,640,480,0, 6926,640,480:waittimer 46448 blt 0,0,640,480,0, 6928,640,480:waittimer 46461 blt 0,0,640,480,0, 6930,640,480:waittimer 46475 blt 0,0,640,480,0, 6932,640,480:waittimer 46488 blt 0,0,640,480,0, 6934,640,480:waittimer 46502 blt 0,0,640,480,0, 6936,640,480:waittimer 46515 blt 0,0,640,480,0, 6938,640,480:waittimer 46529 blt 0,0,640,480,0, 6940,640,480:waittimer 46542 blt 0,0,640,480,0, 6942,640,480:waittimer 46555 blt 0,0,640,480,0, 6944,640,480:waittimer 46569 blt 0,0,640,480,0, 6946,640,480:waittimer 46582 blt 0,0,640,480,0, 6948,640,480:waittimer 46596 blt 0,0,640,480,0, 6950,640,480:waittimer 46609 blt 0,0,640,480,0, 6952,640,480:waittimer 46622 blt 0,0,640,480,0, 6954,640,480:waittimer 46636 blt 0,0,640,480,0, 6956,640,480:waittimer 46649 blt 0,0,640,480,0, 6958,640,480:waittimer 46663 blt 0,0,640,480,0, 6960,640,480:waittimer 46676 blt 0,0,640,480,0, 6962,640,480:waittimer 46690 blt 0,0,640,480,0, 6964,640,480:waittimer 46703 blt 0,0,640,480,0, 6966,640,480:waittimer 46716 blt 0,0,640,480,0, 6968,640,480:waittimer 46730 blt 0,0,640,480,0, 6970,640,480:waittimer 46743 blt 0,0,640,480,0, 6972,640,480:waittimer 46757 blt 0,0,640,480,0, 6974,640,480:waittimer 46770 blt 0,0,640,480,0, 6976,640,480:waittimer 46783 blt 0,0,640,480,0, 6978,640,480:waittimer 46797 blt 0,0,640,480,0, 6980,640,480:waittimer 46810 blt 0,0,640,480,0, 6982,640,480:waittimer 46824 blt 0,0,640,480,0, 6984,640,480:waittimer 46837 blt 0,0,640,480,0, 6986,640,480:waittimer 46850 blt 0,0,640,480,0, 6988,640,480:waittimer 46864 blt 0,0,640,480,0, 6990,640,480:waittimer 46877 blt 0,0,640,480,0, 6992,640,480:waittimer 46891 blt 0,0,640,480,0, 6994,640,480:waittimer 46904 blt 0,0,640,480,0, 6996,640,480:waittimer 46918 blt 0,0,640,480,0, 6998,640,480:waittimer 46931 blt 0,0,640,480,0, 7000,640,480:waittimer 46944 blt 0,0,640,480,0, 7002,640,480:waittimer 46958 blt 0,0,640,480,0, 7004,640,480:waittimer 46971 blt 0,0,640,480,0, 7006,640,480:waittimer 46985 blt 0,0,640,480,0, 7008,640,480:waittimer 46998 blt 0,0,640,480,0, 7010,640,480:waittimer 47011 blt 0,0,640,480,0, 7012,640,480:waittimer 47025 blt 0,0,640,480,0, 7014,640,480:waittimer 47038 blt 0,0,640,480,0, 7016,640,480:waittimer 47052 blt 0,0,640,480,0, 7018,640,480:waittimer 47065 blt 0,0,640,480,0, 7020,640,480:waittimer 47078 blt 0,0,640,480,0, 7022,640,480:waittimer 47092 blt 0,0,640,480,0, 7024,640,480:waittimer 47105 blt 0,0,640,480,0, 7026,640,480:waittimer 47119 blt 0,0,640,480,0, 7028,640,480:waittimer 47132 blt 0,0,640,480,0, 7030,640,480:waittimer 47146 blt 0,0,640,480,0, 7032,640,480:waittimer 47159 blt 0,0,640,480,0, 7034,640,480:waittimer 47172 blt 0,0,640,480,0, 7036,640,480:waittimer 47186 blt 0,0,640,480,0, 7038,640,480:waittimer 47199 blt 0,0,640,480,0, 7040,640,480:waittimer 47213 blt 0,0,640,480,0, 7042,640,480:waittimer 47226 blt 0,0,640,480,0, 7044,640,480:waittimer 47239 blt 0,0,640,480,0, 7046,640,480:waittimer 47253 blt 0,0,640,480,0, 7048,640,480:waittimer 47266 blt 0,0,640,480,0, 7050,640,480:waittimer 47280 blt 0,0,640,480,0, 7052,640,480:waittimer 47293 blt 0,0,640,480,0, 7054,640,480:waittimer 47307 blt 0,0,640,480,0, 7056,640,480:waittimer 47320 blt 0,0,640,480,0, 7058,640,480:waittimer 47333 blt 0,0,640,480,0, 7060,640,480:waittimer 47347 blt 0,0,640,480,0, 7062,640,480:waittimer 47360 blt 0,0,640,480,0, 7064,640,480:waittimer 47374 blt 0,0,640,480,0, 7066,640,480:waittimer 47387 blt 0,0,640,480,0, 7068,640,480:waittimer 47400 blt 0,0,640,480,0, 7070,640,480:waittimer 47414 blt 0,0,640,480,0, 7072,640,480:waittimer 47427 blt 0,0,640,480,0, 7074,640,480:waittimer 47441 blt 0,0,640,480,0, 7076,640,480:waittimer 47454 blt 0,0,640,480,0, 7078,640,480:waittimer 47467 blt 0,0,640,480,0, 7080,640,480:waittimer 47481 blt 0,0,640,480,0, 7082,640,480:waittimer 47494 blt 0,0,640,480,0, 7084,640,480:waittimer 47508 blt 0,0,640,480,0, 7086,640,480:waittimer 47521 blt 0,0,640,480,0, 7088,640,480:waittimer 47535 blt 0,0,640,480,0, 7090,640,480:waittimer 47548 blt 0,0,640,480,0, 7092,640,480:waittimer 47561 blt 0,0,640,480,0, 7094,640,480:waittimer 47575 blt 0,0,640,480,0, 7096,640,480:waittimer 47588 blt 0,0,640,480,0, 7098,640,480:waittimer 47602 blt 0,0,640,480,0, 7100,640,480:waittimer 47615 blt 0,0,640,480,0, 7102,640,480:waittimer 47628 blt 0,0,640,480,0, 7104,640,480:waittimer 47642 blt 0,0,640,480,0, 7106,640,480:waittimer 47655 blt 0,0,640,480,0, 7108,640,480:waittimer 47669 blt 0,0,640,480,0, 7110,640,480:waittimer 47682 blt 0,0,640,480,0, 7112,640,480:waittimer 47695 blt 0,0,640,480,0, 7114,640,480:waittimer 47709 blt 0,0,640,480,0, 7116,640,480:waittimer 47722 blt 0,0,640,480,0, 7118,640,480:waittimer 47736 blt 0,0,640,480,0, 7120,640,480:waittimer 47749 blt 0,0,640,480,0, 7122,640,480:waittimer 47763 blt 0,0,640,480,0, 7124,640,480:waittimer 47776 blt 0,0,640,480,0, 7126,640,480:waittimer 47789 blt 0,0,640,480,0, 7128,640,480:waittimer 47803 blt 0,0,640,480,0, 7130,640,480:waittimer 47816 blt 0,0,640,480,0, 7132,640,480:waittimer 47830 blt 0,0,640,480,0, 7134,640,480:waittimer 47843 blt 0,0,640,480,0, 7136,640,480:waittimer 47856 blt 0,0,640,480,0, 7138,640,480:waittimer 47870 blt 0,0,640,480,0, 7140,640,480:waittimer 47883 blt 0,0,640,480,0, 7142,640,480:waittimer 47897 blt 0,0,640,480,0, 7144,640,480:waittimer 47910 blt 0,0,640,480,0, 7146,640,480:waittimer 47923 blt 0,0,640,480,0, 7148,640,480:waittimer 47937 blt 0,0,640,480,0, 7150,640,480:waittimer 47950 blt 0,0,640,480,0, 7152,640,480:waittimer 47964 blt 0,0,640,480,0, 7154,640,480:waittimer 47977 blt 0,0,640,480,0, 7156,640,480:waittimer 47991 blt 0,0,640,480,0, 7158,640,480:waittimer 48004 blt 0,0,640,480,0, 7160,640,480:waittimer 48017 blt 0,0,640,480,0, 7162,640,480:waittimer 48031 blt 0,0,640,480,0, 7164,640,480:waittimer 48044 blt 0,0,640,480,0, 7166,640,480:waittimer 48058 blt 0,0,640,480,0, 7168,640,480:waittimer 48071 blt 0,0,640,480,0, 7170,640,480:waittimer 48084 blt 0,0,640,480,0, 7172,640,480:waittimer 48098 blt 0,0,640,480,0, 7174,640,480:waittimer 48111 blt 0,0,640,480,0, 7176,640,480:waittimer 48125 blt 0,0,640,480,0, 7178,640,480:waittimer 48138 blt 0,0,640,480,0, 7180,640,480:waittimer 48152 blt 0,0,640,480,0, 7182,640,480:waittimer 48165 blt 0,0,640,480,0, 7184,640,480:waittimer 48178 blt 0,0,640,480,0, 7186,640,480:waittimer 48192 blt 0,0,640,480,0, 7188,640,480:waittimer 48205 blt 0,0,640,480,0, 7190,640,480:waittimer 48219 blt 0,0,640,480,0, 7192,640,480:waittimer 48232 blt 0,0,640,480,0, 7194,640,480:waittimer 48245 blt 0,0,640,480,0, 7196,640,480:waittimer 48259 blt 0,0,640,480,0, 7198,640,480:waittimer 48272 blt 0,0,640,480,0, 7200,640,480:waittimer 48286 blt 0,0,640,480,0, 7202,640,480:waittimer 48299 blt 0,0,640,480,0, 7204,640,480:waittimer 48312 blt 0,0,640,480,0, 7206,640,480:waittimer 48326 blt 0,0,640,480,0, 7208,640,480:waittimer 48339 blt 0,0,640,480,0, 7210,640,480:waittimer 48353 blt 0,0,640,480,0, 7212,640,480:waittimer 48366 blt 0,0,640,480,0, 7214,640,480:waittimer 48380 blt 0,0,640,480,0, 7216,640,480:waittimer 48393 blt 0,0,640,480,0, 7218,640,480:waittimer 48406 blt 0,0,640,480,0, 7220,640,480:waittimer 48420 blt 0,0,640,480,0, 7222,640,480:waittimer 48433 blt 0,0,640,480,0, 7224,640,480:waittimer 48447 blt 0,0,640,480,0, 7226,640,480:waittimer 48460 blt 0,0,640,480,0, 7228,640,480:waittimer 48473 blt 0,0,640,480,0, 7230,640,480:waittimer 48487 blt 0,0,640,480,0, 7232,640,480:waittimer 48500 blt 0,0,640,480,0, 7234,640,480:waittimer 48514 blt 0,0,640,480,0, 7236,640,480:waittimer 48527 blt 0,0,640,480,0, 7238,640,480:waittimer 48540 blt 0,0,640,480,0, 7240,640,480:waittimer 48554 blt 0,0,640,480,0, 7242,640,480:waittimer 48567 blt 0,0,640,480,0, 7244,640,480:waittimer 48581 blt 0,0,640,480,0, 7246,640,480:waittimer 48594 blt 0,0,640,480,0, 7248,640,480:waittimer 48608 blt 0,0,640,480,0, 7250,640,480:waittimer 48621 blt 0,0,640,480,0, 7252,640,480:waittimer 48634 blt 0,0,640,480,0, 7254,640,480:waittimer 48648 blt 0,0,640,480,0, 7256,640,480:waittimer 48661 blt 0,0,640,480,0, 7258,640,480:waittimer 48675 blt 0,0,640,480,0, 7260,640,480:waittimer 48688 blt 0,0,640,480,0, 7262,640,480:waittimer 48701 blt 0,0,640,480,0, 7264,640,480:waittimer 48715 blt 0,0,640,480,0, 7266,640,480:waittimer 48728 blt 0,0,640,480,0, 7268,640,480:waittimer 48742 blt 0,0,640,480,0, 7270,640,480:waittimer 48755 blt 0,0,640,480,0, 7272,640,480:waittimer 48769 blt 0,0,640,480,0, 7274,640,480:waittimer 48782 blt 0,0,640,480,0, 7276,640,480:waittimer 48795 blt 0,0,640,480,0, 7278,640,480:waittimer 48809 blt 0,0,640,480,0, 7280,640,480:waittimer 48822 blt 0,0,640,480,0, 7282,640,480:waittimer 48836 blt 0,0,640,480,0, 7284,640,480:waittimer 48849 blt 0,0,640,480,0, 7286,640,480:waittimer 48862 blt 0,0,640,480,0, 7288,640,480:waittimer 48876 blt 0,0,640,480,0, 7290,640,480:waittimer 48889 blt 0,0,640,480,0, 7292,640,480:waittimer 48903 blt 0,0,640,480,0, 7294,640,480:waittimer 48916 blt 0,0,640,480,0, 7296,640,480:waittimer 48929 blt 0,0,640,480,0, 7298,640,480:waittimer 48943 blt 0,0,640,480,0, 7300,640,480:waittimer 48956 blt 0,0,640,480,0, 7302,640,480:waittimer 48970 blt 0,0,640,480,0, 7304,640,480:waittimer 48983 blt 0,0,640,480,0, 7306,640,480:waittimer 48997 blt 0,0,640,480,0, 7308,640,480:waittimer 49010 blt 0,0,640,480,0, 7310,640,480:waittimer 49023 blt 0,0,640,480,0, 7312,640,480:waittimer 49037 blt 0,0,640,480,0, 7314,640,480:waittimer 49050 blt 0,0,640,480,0, 7316,640,480:waittimer 49064 blt 0,0,640,480,0, 7318,640,480:waittimer 49077 blt 0,0,640,480,0, 7320,640,480:waittimer 49090 blt 0,0,640,480,0, 7322,640,480:waittimer 49104 blt 0,0,640,480,0, 7324,640,480:waittimer 49117 blt 0,0,640,480,0, 7326,640,480:waittimer 49131 blt 0,0,640,480,0, 7328,640,480:waittimer 49144 blt 0,0,640,480,0, 7330,640,480:waittimer 49157 blt 0,0,640,480,0, 7332,640,480:waittimer 49171 blt 0,0,640,480,0, 7334,640,480:waittimer 49184 blt 0,0,640,480,0, 7336,640,480:waittimer 49198 blt 0,0,640,480,0, 7338,640,480:waittimer 49211 blt 0,0,640,480,0, 7340,640,480:waittimer 49225 blt 0,0,640,480,0, 7342,640,480:waittimer 49238 blt 0,0,640,480,0, 7344,640,480:waittimer 49251 blt 0,0,640,480,0, 7346,640,480:waittimer 49265 blt 0,0,640,480,0, 7348,640,480:waittimer 49278 blt 0,0,640,480,0, 7350,640,480:waittimer 49292 blt 0,0,640,480,0, 7352,640,480:waittimer 49305 blt 0,0,640,480,0, 7354,640,480:waittimer 49318 blt 0,0,640,480,0, 7356,640,480:waittimer 49332 blt 0,0,640,480,0, 7358,640,480:waittimer 49345 blt 0,0,640,480,0, 7360,640,480:waittimer 49359 blt 0,0,640,480,0, 7362,640,480:waittimer 49372 blt 0,0,640,480,0, 7364,640,480:waittimer 49385 blt 0,0,640,480,0, 7366,640,480:waittimer 49399 blt 0,0,640,480,0, 7368,640,480:waittimer 49412 blt 0,0,640,480,0, 7370,640,480:waittimer 49426 blt 0,0,640,480,0, 7372,640,480:waittimer 49439 blt 0,0,640,480,0, 7374,640,480:waittimer 49453 blt 0,0,640,480,0, 7376,640,480:waittimer 49466 blt 0,0,640,480,0, 7378,640,480:waittimer 49479 blt 0,0,640,480,0, 7380,640,480:waittimer 49493 blt 0,0,640,480,0, 7382,640,480:waittimer 49506 blt 0,0,640,480,0, 7384,640,480:waittimer 49520 blt 0,0,640,480,0, 7386,640,480:waittimer 49533 blt 0,0,640,480,0, 7388,640,480:waittimer 49546 blt 0,0,640,480,0, 7390,640,480:waittimer 49560 blt 0,0,640,480,0, 7392,640,480:waittimer 49573 blt 0,0,640,480,0, 7394,640,480:waittimer 49587 blt 0,0,640,480,0, 7396,640,480:waittimer 49600 blt 0,0,640,480,0, 7398,640,480:waittimer 49614 blt 0,0,640,480,0, 7400,640,480:waittimer 49627 blt 0,0,640,480,0, 7402,640,480:waittimer 49640 blt 0,0,640,480,0, 7404,640,480:waittimer 49654 blt 0,0,640,480,0, 7406,640,480:waittimer 49667 blt 0,0,640,480,0, 7408,640,480:waittimer 49681 blt 0,0,640,480,0, 7410,640,480:waittimer 49694 blt 0,0,640,480,0, 7412,640,480:waittimer 49707 blt 0,0,640,480,0, 7414,640,480:waittimer 49721 blt 0,0,640,480,0, 7416,640,480:waittimer 49734 blt 0,0,640,480,0, 7418,640,480:waittimer 49748 blt 0,0,640,480,0, 7420,640,480:waittimer 49761 blt 0,0,640,480,0, 7422,640,480:waittimer 49774 blt 0,0,640,480,0, 7424,640,480:waittimer 49788 blt 0,0,640,480,0, 7426,640,480:waittimer 49801 blt 0,0,640,480,0, 7428,640,480:waittimer 49815 blt 0,0,640,480,0, 7430,640,480:waittimer 49828 blt 0,0,640,480,0, 7432,640,480:waittimer 49842 blt 0,0,640,480,0, 7434,640,480:waittimer 49855 blt 0,0,640,480,0, 7436,640,480:waittimer 49868 blt 0,0,640,480,0, 7438,640,480:waittimer 49882 blt 0,0,640,480,0, 7440,640,480:waittimer 49895 blt 0,0,640,480,0, 7442,640,480:waittimer 49909 blt 0,0,640,480,0, 7444,640,480:waittimer 49922 blt 0,0,640,480,0, 7446,640,480:waittimer 49935 blt 0,0,640,480,0, 7448,640,480:waittimer 49949 blt 0,0,640,480,0, 7450,640,480:waittimer 49962 blt 0,0,640,480,0, 7452,640,480:waittimer 49976 blt 0,0,640,480,0, 7454,640,480:waittimer 49989 blt 0,0,640,480,0, 7456,640,480:waittimer 50002 blt 0,0,640,480,0, 7458,640,480:waittimer 50016 blt 0,0,640,480,0, 7460,640,480:waittimer 50029 blt 0,0,640,480,0, 7462,640,480:waittimer 50043 blt 0,0,640,480,0, 7464,640,480:waittimer 50056 blt 0,0,640,480,0, 7466,640,480:waittimer 50070 blt 0,0,640,480,0, 7468,640,480:waittimer 50083 blt 0,0,640,480,0, 7470,640,480:waittimer 50096 blt 0,0,640,480,0, 7472,640,480:waittimer 50110 blt 0,0,640,480,0, 7474,640,480:waittimer 50123 blt 0,0,640,480,0, 7476,640,480:waittimer 50137 blt 0,0,640,480,0, 7478,640,480:waittimer 50150 blt 0,0,640,480,0, 7480,640,480:waittimer 50163 blt 0,0,640,480,0, 7482,640,480:waittimer 50177 blt 0,0,640,480,0, 7484,640,480:waittimer 50190 blt 0,0,640,480,0, 7486,640,480:waittimer 50204 blt 0,0,640,480,0, 7488,640,480:waittimer 50217 blt 0,0,640,480,0, 7490,640,480:waittimer 50230 blt 0,0,640,480,0, 7492,640,480:waittimer 50244 blt 0,0,640,480,0, 7494,640,480:waittimer 50257 blt 0,0,640,480,0, 7496,640,480:waittimer 50271 blt 0,0,640,480,0, 7498,640,480:waittimer 50284 blt 0,0,640,480,0, 7500,640,480:waittimer 50298 blt 0,0,640,480,0, 7502,640,480:waittimer 50311 blt 0,0,640,480,0, 7504,640,480:waittimer 50324 blt 0,0,640,480,0, 7506,640,480:waittimer 50338 blt 0,0,640,480,0, 7508,640,480:waittimer 50351 blt 0,0,640,480,0, 7510,640,480:waittimer 50365 blt 0,0,640,480,0, 7512,640,480:waittimer 50378 blt 0,0,640,480,0, 7514,640,480:waittimer 50391 blt 0,0,640,480,0, 7516,640,480:waittimer 50405 blt 0,0,640,480,0, 7518,640,480:waittimer 50418 blt 0,0,640,480,0, 7520,640,480:waittimer 50432 blt 0,0,640,480,0, 7522,640,480:waittimer 50445 blt 0,0,640,480,0, 7524,640,480:waittimer 50459 blt 0,0,640,480,0, 7526,640,480:waittimer 50472 blt 0,0,640,480,0, 7528,640,480:waittimer 50485 blt 0,0,640,480,0, 7530,640,480:waittimer 50499 blt 0,0,640,480,0, 7532,640,480:waittimer 50512 blt 0,0,640,480,0, 7534,640,480:waittimer 50526 blt 0,0,640,480,0, 7536,640,480:waittimer 50539 blt 0,0,640,480,0, 7538,640,480:waittimer 50552 blt 0,0,640,480,0, 7540,640,480:waittimer 50566 blt 0,0,640,480,0, 7542,640,480:waittimer 50579 blt 0,0,640,480,0, 7544,640,480:waittimer 50593 blt 0,0,640,480,0, 7546,640,480:waittimer 50606 blt 0,0,640,480,0, 7548,640,480:waittimer 50619 blt 0,0,640,480,0, 7550,640,480:waittimer 50633 blt 0,0,640,480,0, 7552,640,480:waittimer 50646 blt 0,0,640,480,0, 7554,640,480:waittimer 50660 blt 0,0,640,480,0, 7556,640,480:waittimer 50673 blt 0,0,640,480,0, 7558,640,480:waittimer 50687 blt 0,0,640,480,0, 7560,640,480:waittimer 50700 blt 0,0,640,480,0, 7562,640,480:waittimer 50713 blt 0,0,640,480,0, 7564,640,480:waittimer 50727 blt 0,0,640,480,0, 7566,640,480:waittimer 50740 blt 0,0,640,480,0, 7568,640,480:waittimer 50754 blt 0,0,640,480,0, 7570,640,480:waittimer 50767 blt 0,0,640,480,0, 7572,640,480:waittimer 50780 blt 0,0,640,480,0, 7574,640,480:waittimer 50794 blt 0,0,640,480,0, 7576,640,480:waittimer 50807 blt 0,0,640,480,0, 7578,640,480:waittimer 50821 blt 0,0,640,480,0, 7580,640,480:waittimer 50834 blt 0,0,640,480,0, 7582,640,480:waittimer 50847 blt 0,0,640,480,0, 7584,640,480:waittimer 50861 blt 0,0,640,480,0, 7586,640,480:waittimer 50874 blt 0,0,640,480,0, 7588,640,480:waittimer 50888 blt 0,0,640,480,0, 7590,640,480:waittimer 50901 blt 0,0,640,480,0, 7592,640,480:waittimer 50915 blt 0,0,640,480,0, 7594,640,480:waittimer 50928 blt 0,0,640,480,0, 7596,640,480:waittimer 50941 blt 0,0,640,480,0, 7598,640,480:waittimer 50955 blt 0,0,640,480,0, 7600,640,480:waittimer 50968 blt 0,0,640,480,0, 7602,640,480:waittimer 50982 blt 0,0,640,480,0, 7604,640,480:waittimer 50995 blt 0,0,640,480,0, 7606,640,480:waittimer 51008 blt 0,0,640,480,0, 7608,640,480:waittimer 51022 blt 0,0,640,480,0, 7610,640,480:waittimer 51035 blt 0,0,640,480,0, 7612,640,480:waittimer 51049 blt 0,0,640,480,0, 7614,640,480:waittimer 51062 blt 0,0,640,480,0, 7616,640,480:waittimer 51076 blt 0,0,640,480,0, 7618,640,480:waittimer 51089 blt 0,0,640,480,0, 7620,640,480:waittimer 51102 blt 0,0,640,480,0, 7622,640,480:waittimer 51116 blt 0,0,640,480,0, 7624,640,480:waittimer 51129 blt 0,0,640,480,0, 7626,640,480:waittimer 51143 blt 0,0,640,480,0, 7628,640,480:waittimer 51156 blt 0,0,640,480,0, 7630,640,480:waittimer 51169 blt 0,0,640,480,0, 7632,640,480:waittimer 51183 blt 0,0,640,480,0, 7634,640,480:waittimer 51196 blt 0,0,640,480,0, 7636,640,480:waittimer 51210 blt 0,0,640,480,0, 7638,640,480:waittimer 51223 blt 0,0,640,480,0, 7640,640,480:waittimer 51236 blt 0,0,640,480,0, 7642,640,480:waittimer 51250 blt 0,0,640,480,0, 7644,640,480:waittimer 51263 blt 0,0,640,480,0, 7646,640,480:waittimer 51277 blt 0,0,640,480,0, 7648,640,480:waittimer 51290 blt 0,0,640,480,0, 7650,640,480:waittimer 51304 blt 0,0,640,480,0, 7652,640,480:waittimer 51317 blt 0,0,640,480,0, 7654,640,480:waittimer 51330 blt 0,0,640,480,0, 7656,640,480:waittimer 51344 blt 0,0,640,480,0, 7658,640,480:waittimer 51357 blt 0,0,640,480,0, 7660,640,480:waittimer 51371 blt 0,0,640,480,0, 7662,640,480:waittimer 51384 blt 0,0,640,480,0, 7664,640,480:waittimer 51397 blt 0,0,640,480,0, 7666,640,480:waittimer 51411 blt 0,0,640,480,0, 7668,640,480:waittimer 51424 blt 0,0,640,480,0, 7670,640,480:waittimer 51438 blt 0,0,640,480,0, 7672,640,480:waittimer 51451 blt 0,0,640,480,0, 7674,640,480:waittimer 51464 blt 0,0,640,480,0, 7676,640,480:waittimer 51478 blt 0,0,640,480,0, 7678,640,480:waittimer 51491 blt 0,0,640,480,0, 7680,640,480:waittimer 51505 blt 0,0,640,480,0, 7682,640,480:waittimer 51518 blt 0,0,640,480,0, 7684,640,480:waittimer 51532 blt 0,0,640,480,0, 7686,640,480:waittimer 51545 blt 0,0,640,480,0, 7688,640,480:waittimer 51558 blt 0,0,640,480,0, 7690,640,480:waittimer 51572 blt 0,0,640,480,0, 7692,640,480:waittimer 51585 blt 0,0,640,480,0, 7694,640,480:waittimer 51599 blt 0,0,640,480,0, 7696,640,480:waittimer 51612 blt 0,0,640,480,0, 7698,640,480:waittimer 51625 blt 0,0,640,480,0, 7700,640,480:waittimer 51639 blt 0,0,640,480,0, 7702,640,480:waittimer 51652 blt 0,0,640,480,0, 7704,640,480:waittimer 51666 blt 0,0,640,480,0, 7706,640,480:waittimer 51679 blt 0,0,640,480,0, 7708,640,480:waittimer 51692 blt 0,0,640,480,0, 7710,640,480:waittimer 51706 blt 0,0,640,480,0, 7712,640,480:waittimer 51719 blt 0,0,640,480,0, 7714,640,480:waittimer 51733 blt 0,0,640,480,0, 7716,640,480:waittimer 51746 blt 0,0,640,480,0, 7718,640,480:waittimer 51760 blt 0,0,640,480,0, 7720,640,480:waittimer 51773 blt 0,0,640,480,0, 7722,640,480:waittimer 51786 blt 0,0,640,480,0, 7724,640,480:waittimer 51800 blt 0,0,640,480,0, 7726,640,480:waittimer 51813 blt 0,0,640,480,0, 7728,640,480:waittimer 51827 blt 0,0,640,480,0, 7730,640,480:waittimer 51840 blt 0,0,640,480,0, 7732,640,480:waittimer 51853 blt 0,0,640,480,0, 7734,640,480:waittimer 51867 blt 0,0,640,480,0, 7736,640,480:waittimer 51880 blt 0,0,640,480,0, 7738,640,480:waittimer 51894 blt 0,0,640,480,0, 7740,640,480:waittimer 51907 blt 0,0,640,480,0, 7742,640,480:waittimer 51921 blt 0,0,640,480,0, 7744,640,480:waittimer 51934 blt 0,0,640,480,0, 7746,640,480:waittimer 51947 blt 0,0,640,480,0, 7748,640,480:waittimer 51961 blt 0,0,640,480,0, 7750,640,480:waittimer 51974 blt 0,0,640,480,0, 7752,640,480:waittimer 51988 blt 0,0,640,480,0, 7754,640,480:waittimer 52001 blt 0,0,640,480,0, 7756,640,480:waittimer 52014 blt 0,0,640,480,0, 7758,640,480:waittimer 52028 blt 0,0,640,480,0, 7760,640,480:waittimer 52041 blt 0,0,640,480,0, 7762,640,480:waittimer 52055 blt 0,0,640,480,0, 7764,640,480:waittimer 52068 blt 0,0,640,480,0, 7766,640,480:waittimer 52081 blt 0,0,640,480,0, 7768,640,480:waittimer 52095 blt 0,0,640,480,0, 7770,640,480:waittimer 52108 blt 0,0,640,480,0, 7772,640,480:waittimer 52122 blt 0,0,640,480,0, 7774,640,480:waittimer 52135 blt 0,0,640,480,0, 7776,640,480:waittimer 52149 blt 0,0,640,480,0, 7778,640,480:waittimer 52162 blt 0,0,640,480,0, 7780,640,480:waittimer 52175 blt 0,0,640,480,0, 7782,640,480:waittimer 52189 blt 0,0,640,480,0, 7784,640,480:waittimer 52202 blt 0,0,640,480,0, 7786,640,480:waittimer 52216 blt 0,0,640,480,0, 7788,640,480:waittimer 52229 blt 0,0,640,480,0, 7790,640,480:waittimer 52242 blt 0,0,640,480,0, 7792,640,480:waittimer 52256 blt 0,0,640,480,0, 7794,640,480:waittimer 52269 blt 0,0,640,480,0, 7796,640,480:waittimer 52283 blt 0,0,640,480,0, 7798,640,480:waittimer 52296 blt 0,0,640,480,0, 7800,640,480:waittimer 52309 blt 0,0,640,480,0, 7802,640,480:waittimer 52323 blt 0,0,640,480,0, 7804,640,480:waittimer 52336 blt 0,0,640,480,0, 7806,640,480:waittimer 52350 blt 0,0,640,480,0, 7808,640,480:waittimer 52363 blt 0,0,640,480,0, 7810,640,480:waittimer 52377 blt 0,0,640,480,0, 7812,640,480:waittimer 52390 blt 0,0,640,480,0, 7814,640,480:waittimer 52403 blt 0,0,640,480,0, 7816,640,480:waittimer 52417 blt 0,0,640,480,0, 7818,640,480:waittimer 52430 blt 0,0,640,480,0, 7820,640,480:waittimer 52444 blt 0,0,640,480,0, 7822,640,480:waittimer 52457 blt 0,0,640,480,0, 7824,640,480:waittimer 52470 blt 0,0,640,480,0, 7826,640,480:waittimer 52484 blt 0,0,640,480,0, 7828,640,480:waittimer 52497 blt 0,0,640,480,0, 7830,640,480:waittimer 52511 blt 0,0,640,480,0, 7832,640,480:waittimer 52524 blt 0,0,640,480,0, 7834,640,480:waittimer 52538 blt 0,0,640,480,0, 7836,640,480:waittimer 52551 blt 0,0,640,480,0, 7838,640,480:waittimer 52564 blt 0,0,640,480,0, 7840,640,480:waittimer 52578 blt 0,0,640,480,0, 7842,640,480:waittimer 52591 blt 0,0,640,480,0, 7844,640,480:waittimer 52605 blt 0,0,640,480,0, 7846,640,480:waittimer 52618 blt 0,0,640,480,0, 7848,640,480:waittimer 52631 blt 0,0,640,480,0, 7850,640,480:waittimer 52645 blt 0,0,640,480,0, 7852,640,480:waittimer 52658 blt 0,0,640,480,0, 7854,640,480:waittimer 52672 blt 0,0,640,480,0, 7856,640,480:waittimer 52685 blt 0,0,640,480,0, 7858,640,480:waittimer 52698 blt 0,0,640,480,0, 7860,640,480:waittimer 52712 blt 0,0,640,480,0, 7862,640,480:waittimer 52725 blt 0,0,640,480,0, 7864,640,480:waittimer 52739 blt 0,0,640,480,0, 7866,640,480:waittimer 52752 blt 0,0,640,480,0, 7868,640,480:waittimer 52766 blt 0,0,640,480,0, 7870,640,480:waittimer 52779 blt 0,0,640,480,0, 7872,640,480:waittimer 52792 blt 0,0,640,480,0, 7874,640,480:waittimer 52806 blt 0,0,640,480,0, 7876,640,480:waittimer 52819 blt 0,0,640,480,0, 7878,640,480:waittimer 52833 blt 0,0,640,480,0, 7880,640,480:waittimer 52846 blt 0,0,640,480,0, 7882,640,480:waittimer 52859 blt 0,0,640,480,0, 7884,640,480:waittimer 52873 blt 0,0,640,480,0, 7886,640,480:waittimer 52886 blt 0,0,640,480,0, 7888,640,480:waittimer 52900 blt 0,0,640,480,0, 7890,640,480:waittimer 52913 blt 0,0,640,480,0, 7892,640,480:waittimer 52926 blt 0,0,640,480,0, 7894,640,480:waittimer 52940 blt 0,0,640,480,0, 7896,640,480:waittimer 52953 blt 0,0,640,480,0, 7898,640,480:waittimer 52967 blt 0,0,640,480,0, 7900,640,480:waittimer 52980 blt 0,0,640,480,0, 7902,640,480:waittimer 52994 blt 0,0,640,480,0, 7904,640,480:waittimer 53007 blt 0,0,640,480,0, 7906,640,480:waittimer 53020 blt 0,0,640,480,0, 7908,640,480:waittimer 53034 blt 0,0,640,480,0, 7910,640,480:waittimer 53047 blt 0,0,640,480,0, 7912,640,480:waittimer 53061 blt 0,0,640,480,0, 7914,640,480:waittimer 53074 blt 0,0,640,480,0, 7916,640,480:waittimer 53087 blt 0,0,640,480,0, 7918,640,480:waittimer 53101 blt 0,0,640,480,0, 7920,640,480:waittimer 53114 blt 0,0,640,480,0, 7922,640,480:waittimer 53128 blt 0,0,640,480,0, 7924,640,480:waittimer 53141 blt 0,0,640,480,0, 7926,640,480:waittimer 53154 blt 0,0,640,480,0, 7928,640,480:waittimer 53168 blt 0,0,640,480,0, 7930,640,480:waittimer 53181 blt 0,0,640,480,0, 7932,640,480:waittimer 53195 blt 0,0,640,480,0, 7934,640,480:waittimer 53208 blt 0,0,640,480,0, 7936,640,480:waittimer 53222 blt 0,0,640,480,0, 7938,640,480:waittimer 53235 blt 0,0,640,480,0, 7940,640,480:waittimer 53248 blt 0,0,640,480,0, 7942,640,480:waittimer 53262 blt 0,0,640,480,0, 7944,640,480:waittimer 53275 blt 0,0,640,480,0, 7946,640,480:waittimer 53289 blt 0,0,640,480,0, 7948,640,480:waittimer 53302 blt 0,0,640,480,0, 7950,640,480:waittimer 53315 blt 0,0,640,480,0, 7952,640,480:waittimer 53329 blt 0,0,640,480,0, 7954,640,480:waittimer 53342 blt 0,0,640,480,0, 7956,640,480:waittimer 53356 blt 0,0,640,480,0, 7958,640,480:waittimer 53369 blt 0,0,640,480,0, 7960,640,480:waittimer 53383 blt 0,0,640,480,0, 7962,640,480:waittimer 53396 blt 0,0,640,480,0, 7964,640,480:waittimer 53409 blt 0,0,640,480,0, 7966,640,480:waittimer 53423 blt 0,0,640,480,0, 7968,640,480:waittimer 53436 blt 0,0,640,480,0, 7970,640,480:waittimer 53450 blt 0,0,640,480,0, 7972,640,480:waittimer 53463 blt 0,0,640,480,0, 7974,640,480:waittimer 53476 blt 0,0,640,480,0, 7976,640,480:waittimer 53490 blt 0,0,640,480,0, 7978,640,480:waittimer 53503 blt 0,0,640,480,0, 7980,640,480:waittimer 53517 blt 0,0,640,480,0, 7982,640,480:waittimer 53530 blt 0,0,640,480,0, 7984,640,480:waittimer 53543 blt 0,0,640,480,0, 7986,640,480:waittimer 53557 blt 0,0,640,480,0, 7988,640,480:waittimer 53570 blt 0,0,640,480,0, 7990,640,480:waittimer 53584 blt 0,0,640,480,0, 7992,640,480:waittimer 53597 blt 0,0,640,480,0, 7994,640,480:waittimer 53611 blt 0,0,640,480,0, 7996,640,480:waittimer 53624 blt 0,0,640,480,0, 7998,640,480:waittimer 53637 blt 0,0,640,480,0, 8000,640,480:waittimer 53651 blt 0,0,640,480,0, 8002,640,480:waittimer 53664 blt 0,0,640,480,0, 8004,640,480:waittimer 53678 blt 0,0,640,480,0, 8006,640,480:waittimer 53691 blt 0,0,640,480,0, 8008,640,480:waittimer 53704 blt 0,0,640,480,0, 8010,640,480:waittimer 53718 blt 0,0,640,480,0, 8012,640,480:waittimer 53731 blt 0,0,640,480,0, 8014,640,480:waittimer 53745 blt 0,0,640,480,0, 8016,640,480:waittimer 53758 blt 0,0,640,480,0, 8018,640,480:waittimer 53771 blt 0,0,640,480,0, 8020,640,480:waittimer 53785 blt 0,0,640,480,0, 8022,640,480:waittimer 53798 blt 0,0,640,480,0, 8024,640,480:waittimer 53812 blt 0,0,640,480,0, 8026,640,480:waittimer 53825 blt 0,0,640,480,0, 8028,640,480:waittimer 53839 blt 0,0,640,480,0, 8030,640,480:waittimer 53852 blt 0,0,640,480,0, 8032,640,480:waittimer 53865 blt 0,0,640,480,0, 8034,640,480:waittimer 53879 blt 0,0,640,480,0, 8036,640,480:waittimer 53892 blt 0,0,640,480,0, 8038,640,480:waittimer 53906 blt 0,0,640,480,0, 8040,640,480:waittimer 53919 blt 0,0,640,480,0, 8042,640,480:waittimer 53932 blt 0,0,640,480,0, 8044,640,480:waittimer 53946 blt 0,0,640,480,0, 8046,640,480:waittimer 53959 blt 0,0,640,480,0, 8048,640,480:waittimer 53973 blt 0,0,640,480,0, 8050,640,480:waittimer 53986 blt 0,0,640,480,0, 8052,640,480:waittimer 54000 blt 0,0,640,480,0, 8054,640,480:waittimer 54013 blt 0,0,640,480,0, 8056,640,480:waittimer 54026 blt 0,0,640,480,0, 8058,640,480:waittimer 54040 blt 0,0,640,480,0, 8060,640,480:waittimer 54053 blt 0,0,640,480,0, 8062,640,480:waittimer 54067 blt 0,0,640,480,0, 8064,640,480:waittimer 54080 blt 0,0,640,480,0, 8066,640,480:waittimer 54093 blt 0,0,640,480,0, 8068,640,480:waittimer 54107 blt 0,0,640,480,0, 8070,640,480:waittimer 54120 blt 0,0,640,480,0, 8072,640,480:waittimer 54134 blt 0,0,640,480,0, 8074,640,480:waittimer 54147 blt 0,0,640,480,0, 8076,640,480:waittimer 54160 blt 0,0,640,480,0, 8078,640,480:waittimer 54174 blt 0,0,640,480,0, 8080,640,480:waittimer 54187 blt 0,0,640,480,0, 8082,640,480:waittimer 54201 blt 0,0,640,480,0, 8084,640,480:waittimer 54214 blt 0,0,640,480,0, 8086,640,480:waittimer 54228 blt 0,0,640,480,0, 8088,640,480:waittimer 54241 blt 0,0,640,480,0, 8090,640,480:waittimer 54254 blt 0,0,640,480,0, 8092,640,480:waittimer 54268 blt 0,0,640,480,0, 8094,640,480:waittimer 54281 blt 0,0,640,480,0, 8096,640,480:waittimer 54295 blt 0,0,640,480,0, 8098,640,480:waittimer 54308 blt 0,0,640,480,0, 8100,640,480:waittimer 54321 blt 0,0,640,480,0, 8102,640,480:waittimer 54335 blt 0,0,640,480,0, 8104,640,480:waittimer 54348 blt 0,0,640,480,0, 8106,640,480:waittimer 54362 blt 0,0,640,480,0, 8108,640,480:waittimer 54375 blt 0,0,640,480,0, 8110,640,480:waittimer 54388 blt 0,0,640,480,0, 8112,640,480:waittimer 54402 blt 0,0,640,480,0, 8114,640,480:waittimer 54415 blt 0,0,640,480,0, 8116,640,480:waittimer 54429 blt 0,0,640,480,0, 8118,640,480:waittimer 54442 blt 0,0,640,480,0, 8120,640,480:waittimer 54456 blt 0,0,640,480,0, 8122,640,480:waittimer 54469 blt 0,0,640,480,0, 8124,640,480:waittimer 54482 blt 0,0,640,480,0, 8126,640,480:waittimer 54496 blt 0,0,640,480,0, 8128,640,480:waittimer 54509 blt 0,0,640,480,0, 8130,640,480:waittimer 54523 blt 0,0,640,480,0, 8132,640,480:waittimer 54536 blt 0,0,640,480,0, 8134,640,480:waittimer 54549 blt 0,0,640,480,0, 8136,640,480:waittimer 54563 blt 0,0,640,480,0, 8138,640,480:waittimer 54576 blt 0,0,640,480,0, 8140,640,480:waittimer 54590 blt 0,0,640,480,0, 8142,640,480:waittimer 54603 blt 0,0,640,480,0, 8144,640,480:waittimer 54616 blt 0,0,640,480,0, 8146,640,480:waittimer 54630 blt 0,0,640,480,0, 8148,640,480:waittimer 54643 blt 0,0,640,480,0, 8150,640,480:waittimer 54657 blt 0,0,640,480,0, 8152,640,480:waittimer 54670 blt 0,0,640,480,0, 8154,640,480:waittimer 54684 blt 0,0,640,480,0, 8156,640,480:waittimer 54697 blt 0,0,640,480,0, 8158,640,480:waittimer 54710 blt 0,0,640,480,0, 8160,640,480:waittimer 54724 blt 0,0,640,480,0, 8162,640,480:waittimer 54737 blt 0,0,640,480,0, 8164,640,480:waittimer 54751 blt 0,0,640,480,0, 8166,640,480:waittimer 54764 blt 0,0,640,480,0, 8168,640,480:waittimer 54777 blt 0,0,640,480,0, 8170,640,480:waittimer 54791 blt 0,0,640,480,0, 8172,640,480:waittimer 54804 blt 0,0,640,480,0, 8174,640,480:waittimer 54818 blt 0,0,640,480,0, 8176,640,480:waittimer 54831 blt 0,0,640,480,0, 8178,640,480:waittimer 54845 blt 0,0,640,480,0, 8180,640,480:waittimer 54858 blt 0,0,640,480,0, 8182,640,480:waittimer 54871 blt 0,0,640,480,0, 8184,640,480:waittimer 54885 blt 0,0,640,480,0, 8186,640,480:waittimer 54898 blt 0,0,640,480,0, 8188,640,480:waittimer 54912 blt 0,0,640,480,0, 8190,640,480:waittimer 54925 blt 0,0,640,480,0, 8192,640,480:waittimer 54938 blt 0,0,640,480,0, 8194,640,480:waittimer 54952 blt 0,0,640,480,0, 8196,640,480:waittimer 54965 blt 0,0,640,480,0, 8198,640,480:waittimer 54979 blt 0,0,640,480,0, 8200,640,480:waittimer 54992 blt 0,0,640,480,0, 8202,640,480:waittimer 55005 blt 0,0,640,480,0, 8204,640,480:waittimer 55019 blt 0,0,640,480,0, 8206,640,480:waittimer 55032 blt 0,0,640,480,0, 8208,640,480:waittimer 55046 blt 0,0,640,480,0, 8210,640,480:waittimer 55059 blt 0,0,640,480,0, 8212,640,480:waittimer 55073 blt 0,0,640,480,0, 8214,640,480:waittimer 55086 blt 0,0,640,480,0, 8216,640,480:waittimer 55099 blt 0,0,640,480,0, 8218,640,480:waittimer 55113 blt 0,0,640,480,0, 8220,640,480:waittimer 55126 blt 0,0,640,480,0, 8222,640,480:waittimer 55140 blt 0,0,640,480,0, 8224,640,480:waittimer 55153 blt 0,0,640,480,0, 8226,640,480:waittimer 55166 blt 0,0,640,480,0, 8228,640,480:waittimer 55180 blt 0,0,640,480,0, 8230,640,480:waittimer 55193 blt 0,0,640,480,0, 8232,640,480:waittimer 55207 blt 0,0,640,480,0, 8234,640,480:waittimer 55220 blt 0,0,640,480,0, 8236,640,480:waittimer 55233 blt 0,0,640,480,0, 8238,640,480:waittimer 55247 blt 0,0,640,480,0, 8240,640,480:waittimer 55260 blt 0,0,640,480,0, 8242,640,480:waittimer 55274 blt 0,0,640,480,0, 8244,640,480:waittimer 55287 blt 0,0,640,480,0, 8246,640,480:waittimer 55301 blt 0,0,640,480,0, 8248,640,480:waittimer 55314 blt 0,0,640,480,0, 8250,640,480:waittimer 55327 blt 0,0,640,480,0, 8252,640,480:waittimer 55341 blt 0,0,640,480,0, 8254,640,480:waittimer 55354 blt 0,0,640,480,0, 8256,640,480:waittimer 55368 blt 0,0,640,480,0, 8258,640,480:waittimer 55381 blt 0,0,640,480,0, 8260,640,480:waittimer 55394 blt 0,0,640,480,0, 8262,640,480:waittimer 55408 blt 0,0,640,480,0, 8264,640,480:waittimer 55421 blt 0,0,640,480,0, 8266,640,480:waittimer 55435 blt 0,0,640,480,0, 8268,640,480:waittimer 55448 blt 0,0,640,480,0, 8270,640,480:waittimer 55461 blt 0,0,640,480,0, 8272,640,480:waittimer 55475 blt 0,0,640,480,0, 8274,640,480:waittimer 55488 blt 0,0,640,480,0, 8276,640,480:waittimer 55502 blt 0,0,640,480,0, 8278,640,480:waittimer 55515 blt 0,0,640,480,0, 8280,640,480:waittimer 55529 blt 0,0,640,480,0, 8282,640,480:waittimer 55542 blt 0,0,640,480,0, 8284,640,480:waittimer 55555 blt 0,0,640,480,0, 8286,640,480:waittimer 55569 blt 0,0,640,480,0, 8288,640,480:waittimer 55582 blt 0,0,640,480,0, 8290,640,480:waittimer 55596 blt 0,0,640,480,0, 8292,640,480:waittimer 55609 blt 0,0,640,480,0, 8294,640,480:waittimer 55622 blt 0,0,640,480,0, 8296,640,480:waittimer 55636 blt 0,0,640,480,0, 8298,640,480:waittimer 55649 blt 0,0,640,480,0, 8300,640,480:waittimer 55663 blt 0,0,640,480,0, 8302,640,480:waittimer 55676 blt 0,0,640,480,0, 8304,640,480:waittimer 55690 blt 0,0,640,480,0, 8306,640,480:waittimer 55703 blt 0,0,640,480,0, 8308,640,480:waittimer 55716 blt 0,0,640,480,0, 8310,640,480:waittimer 55730 blt 0,0,640,480,0, 8312,640,480:waittimer 55743 blt 0,0,640,480,0, 8314,640,480:waittimer 55757 blt 0,0,640,480,0, 8316,640,480:waittimer 55770 blt 0,0,640,480,0, 8318,640,480:waittimer 55783 blt 0,0,640,480,0, 8320,640,480:waittimer 55797 blt 0,0,640,480,0, 8322,640,480:waittimer 55810 blt 0,0,640,480,0, 8324,640,480:waittimer 55824 blt 0,0,640,480,0, 8326,640,480:waittimer 55837 blt 0,0,640,480,0, 8328,640,480:waittimer 55850 blt 0,0,640,480,0, 8330,640,480:waittimer 55864 blt 0,0,640,480,0, 8332,640,480:waittimer 55877 blt 0,0,640,480,0, 8334,640,480:waittimer 55891 blt 0,0,640,480,0, 8336,640,480:waittimer 55904 blt 0,0,640,480,0, 8338,640,480:waittimer 55918 blt 0,0,640,480,0, 8340,640,480:waittimer 55931 blt 0,0,640,480,0, 8342,640,480:waittimer 55944 blt 0,0,640,480,0, 8344,640,480:waittimer 55958 blt 0,0,640,480,0, 8346,640,480:waittimer 55971 blt 0,0,640,480,0, 8348,640,480:waittimer 55985 blt 0,0,640,480,0, 8350,640,480:waittimer 55998 blt 0,0,640,480,0, 8352,640,480:waittimer 56011 blt 0,0,640,480,0, 8354,640,480:waittimer 56025 blt 0,0,640,480,0, 8356,640,480:waittimer 56038 blt 0,0,640,480,0, 8358,640,480:waittimer 56052 blt 0,0,640,480,0, 8360,640,480:waittimer 56065 blt 0,0,640,480,0, 8362,640,480:waittimer 56078 blt 0,0,640,480,0, 8364,640,480:waittimer 56092 blt 0,0,640,480,0, 8366,640,480:waittimer 56105 blt 0,0,640,480,0, 8368,640,480:waittimer 56119 blt 0,0,640,480,0, 8370,640,480:waittimer 56132 blt 0,0,640,480,0, 8372,640,480:waittimer 56146 blt 0,0,640,480,0, 8374,640,480:waittimer 56159 blt 0,0,640,480,0, 8376,640,480:waittimer 56172 blt 0,0,640,480,0, 8378,640,480:waittimer 56186 blt 0,0,640,480,0, 8380,640,480:waittimer 56199 blt 0,0,640,480,0, 8382,640,480:waittimer 56213 blt 0,0,640,480,0, 8384,640,480:waittimer 56226 blt 0,0,640,480,0, 8386,640,480:waittimer 56239 blt 0,0,640,480,0, 8388,640,480:waittimer 56253 blt 0,0,640,480,0, 8390,640,480:waittimer 56266 blt 0,0,640,480,0, 8392,640,480:waittimer 56280 blt 0,0,640,480,0, 8394,640,480:waittimer 56293 blt 0,0,640,480,0, 8396,640,480:waittimer 56307 blt 0,0,640,480,0, 8398,640,480:waittimer 56320 blt 0,0,640,480,0, 8400,640,480:waittimer 56333 blt 0,0,640,480,0, 8402,640,480:waittimer 56347 blt 0,0,640,480,0, 8404,640,480:waittimer 56360 blt 0,0,640,480,0, 8406,640,480:waittimer 56374 blt 0,0,640,480,0, 8408,640,480:waittimer 56387 blt 0,0,640,480,0, 8410,640,480:waittimer 56400 blt 0,0,640,480,0, 8412,640,480:waittimer 56414 blt 0,0,640,480,0, 8414,640,480:waittimer 56427 blt 0,0,640,480,0, 8416,640,480:waittimer 56441 blt 0,0,640,480,0, 8418,640,480:waittimer 56454 blt 0,0,640,480,0, 8420,640,480:waittimer 56467 blt 0,0,640,480,0, 8422,640,480:waittimer 56481 blt 0,0,640,480,0, 8424,640,480:waittimer 56494 blt 0,0,640,480,0, 8426,640,480:waittimer 56508 blt 0,0,640,480,0, 8428,640,480:waittimer 56521 blt 0,0,640,480,0, 8430,640,480:waittimer 56535 blt 0,0,640,480,0, 8432,640,480:waittimer 56548 blt 0,0,640,480,0, 8434,640,480:waittimer 56561 blt 0,0,640,480,0, 8436,640,480:waittimer 56575 blt 0,0,640,480,0, 8438,640,480:waittimer 56588 blt 0,0,640,480,0, 8440,640,480:waittimer 56602 blt 0,0,640,480,0, 8442,640,480:waittimer 56615 blt 0,0,640,480,0, 8444,640,480:waittimer 56628 blt 0,0,640,480,0, 8446,640,480:waittimer 56642 blt 0,0,640,480,0, 8448,640,480:waittimer 56655 blt 0,0,640,480,0, 8450,640,480:waittimer 56669 blt 0,0,640,480,0, 8452,640,480:waittimer 56682 blt 0,0,640,480,0, 8454,640,480:waittimer 56695 blt 0,0,640,480,0, 8456,640,480:waittimer 56709 blt 0,0,640,480,0, 8458,640,480:waittimer 56722 blt 0,0,640,480,0, 8460,640,480:waittimer 56736 blt 0,0,640,480,0, 8462,640,480:waittimer 56749 blt 0,0,640,480,0, 8464,640,480:waittimer 56763 blt 0,0,640,480,0, 8466,640,480:waittimer 56776 blt 0,0,640,480,0, 8468,640,480:waittimer 56789 blt 0,0,640,480,0, 8470,640,480:waittimer 56803 blt 0,0,640,480,0, 8472,640,480:waittimer 56816 blt 0,0,640,480,0, 8474,640,480:waittimer 56830 blt 0,0,640,480,0, 8476,640,480:waittimer 56843 blt 0,0,640,480,0, 8478,640,480:waittimer 56856 blt 0,0,640,480,0, 8480,640,480:waittimer 56870 blt 0,0,640,480,0, 8482,640,480:waittimer 56883 blt 0,0,640,480,0, 8484,640,480:waittimer 56897 blt 0,0,640,480,0, 8486,640,480:waittimer 56910 blt 0,0,640,480,0, 8488,640,480:waittimer 56923 blt 0,0,640,480,0, 8490,640,480:waittimer 56937 blt 0,0,640,480,0, 8492,640,480:waittimer 56950 blt 0,0,640,480,0, 8494,640,480:waittimer 56964 blt 0,0,640,480,0, 8496,640,480:waittimer 56977 blt 0,0,640,480,0, 8498,640,480:waittimer 56991 blt 0,0,640,480,0, 8500,640,480:waittimer 57004 blt 0,0,640,480,0, 8502,640,480:waittimer 57017 blt 0,0,640,480,0, 8504,640,480:waittimer 57031 blt 0,0,640,480,0, 8506,640,480:waittimer 57044 blt 0,0,640,480,0, 8508,640,480:waittimer 57058 blt 0,0,640,480,0, 8510,640,480:waittimer 57071 blt 0,0,640,480,0, 8512,640,480:waittimer 57084 blt 0,0,640,480,0, 8514,640,480:waittimer 57098 blt 0,0,640,480,0, 8516,640,480:waittimer 57111 blt 0,0,640,480,0, 8518,640,480:waittimer 57125 blt 0,0,640,480,0, 8520,640,480:waittimer 57138 blt 0,0,640,480,0, 8522,640,480:waittimer 57152 blt 0,0,640,480,0, 8524,640,480:waittimer 57165 blt 0,0,640,480,0, 8526,640,480:waittimer 57178 blt 0,0,640,480,0, 8528,640,480:waittimer 57192 blt 0,0,640,480,0, 8530,640,480:waittimer 57205 blt 0,0,640,480,0, 8532,640,480:waittimer 57219 blt 0,0,640,480,0, 8534,640,480:waittimer 57232 blt 0,0,640,480,0, 8536,640,480:waittimer 57245 blt 0,0,640,480,0, 8538,640,480:waittimer 57259 blt 0,0,640,480,0, 8540,640,480:waittimer 57272 blt 0,0,640,480,0, 8542,640,480:waittimer 57286 blt 0,0,640,480,0, 8544,640,480:waittimer 57299 blt 0,0,640,480,0, 8546,640,480:waittimer 57312 blt 0,0,640,480,0, 8548,640,480:waittimer 57326 blt 0,0,640,480,0, 8550,640,480:waittimer 57339 blt 0,0,640,480,0, 8552,640,480:waittimer 57353 blt 0,0,640,480,0, 8554,640,480:waittimer 57366 blt 0,0,640,480,0, 8556,640,480:waittimer 57380 blt 0,0,640,480,0, 8558,640,480:waittimer 57393 blt 0,0,640,480,0, 8560,640,480:waittimer 57406 blt 0,0,640,480,0, 8562,640,480:waittimer 57420 blt 0,0,640,480,0, 8564,640,480:waittimer 57433 blt 0,0,640,480,0, 8566,640,480:waittimer 57447 blt 0,0,640,480,0, 8568,640,480:waittimer 57460 blt 0,0,640,480,0, 8570,640,480:waittimer 57473 blt 0,0,640,480,0, 8572,640,480:waittimer 57487 blt 0,0,640,480,0, 8574,640,480:waittimer 57500 blt 0,0,640,480,0, 8576,640,480:waittimer 57514 blt 0,0,640,480,0, 8578,640,480:waittimer 57527 blt 0,0,640,480,0, 8580,640,480:waittimer 57540 blt 0,0,640,480,0, 8582,640,480:waittimer 57554 blt 0,0,640,480,0, 8584,640,480:waittimer 57567 blt 0,0,640,480,0, 8586,640,480:waittimer 57581 blt 0,0,640,480,0, 8588,640,480:waittimer 57594 blt 0,0,640,480,0, 8590,640,480:waittimer 57608 blt 0,0,640,480,0, 8592,640,480:waittimer 57621 blt 0,0,640,480,0, 8594,640,480:waittimer 57634 blt 0,0,640,480,0, 8596,640,480:waittimer 57648 blt 0,0,640,480,0, 8598,640,480:waittimer 57661 blt 0,0,640,480,0, 8600,640,480:waittimer 57675 blt 0,0,640,480,0, 8602,640,480:waittimer 57688 blt 0,0,640,480,0, 8604,640,480:waittimer 57701 blt 0,0,640,480,0, 8606,640,480:waittimer 57715 blt 0,0,640,480,0, 8608,640,480:waittimer 57728 blt 0,0,640,480,0, 8610,640,480:waittimer 57742 blt 0,0,640,480,0, 8612,640,480:waittimer 57755 blt 0,0,640,480,0, 8614,640,480:waittimer 57769 blt 0,0,640,480,0, 8616,640,480:waittimer 57782 blt 0,0,640,480,0, 8618,640,480:waittimer 57795 blt 0,0,640,480,0, 8620,640,480:waittimer 57809 blt 0,0,640,480,0, 8622,640,480:waittimer 57822 blt 0,0,640,480,0, 8624,640,480:waittimer 57836 blt 0,0,640,480,0, 8626,640,480:waittimer 57849 blt 0,0,640,480,0, 8628,640,480:waittimer 57862 blt 0,0,640,480,0, 8630,640,480:waittimer 57876 blt 0,0,640,480,0, 8632,640,480:waittimer 57889 blt 0,0,640,480,0, 8634,640,480:waittimer 57903 blt 0,0,640,480,0, 8636,640,480:waittimer 57916 blt 0,0,640,480,0, 8638,640,480:waittimer 57929 blt 0,0,640,480,0, 8640,640,480:waittimer 57943 blt 0,0,640,480,0, 8642,640,480:waittimer 57956 blt 0,0,640,480,0, 8644,640,480:waittimer 57970 blt 0,0,640,480,0, 8646,640,480:waittimer 57983 blt 0,0,640,480,0, 8648,640,480:waittimer 57997 blt 0,0,640,480,0, 8650,640,480:waittimer 58010 blt 0,0,640,480,0, 8652,640,480:waittimer 58023 blt 0,0,640,480,0, 8654,640,480:waittimer 58037 blt 0,0,640,480,0, 8656,640,480:waittimer 58050 blt 0,0,640,480,0, 8658,640,480:waittimer 58064 blt 0,0,640,480,0, 8660,640,480:waittimer 58077 blt 0,0,640,480,0, 8662,640,480:waittimer 58090 blt 0,0,640,480,0, 8664,640,480:waittimer 58104 blt 0,0,640,480,0, 8666,640,480:waittimer 58117 blt 0,0,640,480,0, 8668,640,480:waittimer 58131 blt 0,0,640,480,0, 8670,640,480:waittimer 58144 blt 0,0,640,480,0, 8672,640,480:waittimer 58157 blt 0,0,640,480,0, 8674,640,480:waittimer 58171 blt 0,0,640,480,0, 8676,640,480:waittimer 58184 blt 0,0,640,480,0, 8678,640,480:waittimer 58198 blt 0,0,640,480,0, 8680,640,480:waittimer 58211 blt 0,0,640,480,0, 8682,640,480:waittimer 58225 blt 0,0,640,480,0, 8684,640,480:waittimer 58238 blt 0,0,640,480,0, 8686,640,480:waittimer 58251 blt 0,0,640,480,0, 8688,640,480:waittimer 58265 blt 0,0,640,480,0, 8690,640,480:waittimer 58278 blt 0,0,640,480,0, 8692,640,480:waittimer 58292 blt 0,0,640,480,0, 8694,640,480:waittimer 58305 blt 0,0,640,480,0, 8696,640,480:waittimer 58318 blt 0,0,640,480,0, 8698,640,480:waittimer 58332 blt 0,0,640,480,0, 8700,640,480:waittimer 58345 blt 0,0,640,480,0, 8702,640,480:waittimer 58359 blt 0,0,640,480,0, 8704,640,480:waittimer 58372 blt 0,0,640,480,0, 8706,640,480:waittimer 58385 blt 0,0,640,480,0, 8708,640,480:waittimer 58399 blt 0,0,640,480,0, 8710,640,480:waittimer 58412 blt 0,0,640,480,0, 8712,640,480:waittimer 58426 blt 0,0,640,480,0, 8714,640,480:waittimer 58439 blt 0,0,640,480,0, 8716,640,480:waittimer 58453 blt 0,0,640,480,0, 8718,640,480:waittimer 58466 blt 0,0,640,480,0, 8720,640,480:waittimer 58479 blt 0,0,640,480,0, 8722,640,480:waittimer 58493 blt 0,0,640,480,0, 8724,640,480:waittimer 58506 blt 0,0,640,480,0, 8726,640,480:waittimer 58520 blt 0,0,640,480,0, 8728,640,480:waittimer 58533 blt 0,0,640,480,0, 8730,640,480:waittimer 58546 blt 0,0,640,480,0, 8732,640,480:waittimer 58560 blt 0,0,640,480,0, 8734,640,480:waittimer 58573 blt 0,0,640,480,0, 8736,640,480:waittimer 58587 blt 0,0,640,480,0, 8738,640,480:waittimer 58600 blt 0,0,640,480,0, 8740,640,480:waittimer 58614 blt 0,0,640,480,0, 8742,640,480:waittimer 58627 blt 0,0,640,480,0, 8744,640,480:waittimer 58640 blt 0,0,640,480,0, 8746,640,480:waittimer 58654 blt 0,0,640,480,0, 8748,640,480:waittimer 58667 blt 0,0,640,480,0, 8750,640,480:waittimer 58681 blt 0,0,640,480,0, 8752,640,480:waittimer 58694 blt 0,0,640,480,0, 8754,640,480:waittimer 58707 blt 0,0,640,480,0, 8756,640,480:waittimer 58721 blt 0,0,640,480,0, 8758,640,480:waittimer 58734 blt 0,0,640,480,0, 8760,640,480:waittimer 58748 blt 0,0,640,480,0, 8762,640,480:waittimer 58761 blt 0,0,640,480,0, 8764,640,480:waittimer 58774 blt 0,0,640,480,0, 8766,640,480:waittimer 58788 blt 0,0,640,480,0, 8768,640,480:waittimer 58801 blt 0,0,640,480,0, 8770,640,480:waittimer 58815 blt 0,0,640,480,0, 8772,640,480:waittimer 58828 blt 0,0,640,480,0, 8774,640,480:waittimer 58842 blt 0,0,640,480,0, 8776,640,480:waittimer 58855 blt 0,0,640,480,0, 8778,640,480:waittimer 58868 blt 0,0,640,480,0, 8780,640,480:waittimer 58882 blt 0,0,640,480,0, 8782,640,480:waittimer 58895 blt 0,0,640,480,0, 8784,640,480:waittimer 58909 blt 0,0,640,480,0, 8786,640,480:waittimer 58922 blt 0,0,640,480,0, 8788,640,480:waittimer 58935 blt 0,0,640,480,0, 8790,640,480:waittimer 58949 blt 0,0,640,480,0, 8792,640,480:waittimer 58962 blt 0,0,640,480,0, 8794,640,480:waittimer 58976 blt 0,0,640,480,0, 8796,640,480:waittimer 58989 blt 0,0,640,480,0, 8798,640,480:waittimer 59002 blt 0,0,640,480,0, 8800,640,480:waittimer 59016 blt 0,0,640,480,0, 8802,640,480:waittimer 59029 blt 0,0,640,480,0, 8804,640,480:waittimer 59043 blt 0,0,640,480,0, 8806,640,480:waittimer 59056 blt 0,0,640,480,0, 8808,640,480:waittimer 59070 blt 0,0,640,480,0, 8810,640,480:waittimer 59083 blt 0,0,640,480,0, 8812,640,480:waittimer 59096 blt 0,0,640,480,0, 8814,640,480:waittimer 59110 blt 0,0,640,480,0, 8816,640,480:waittimer 59123 blt 0,0,640,480,0, 8818,640,480:waittimer 59137 blt 0,0,640,480,0, 8820,640,480:waittimer 59150 blt 0,0,640,480,0, 8822,640,480:waittimer 59163 blt 0,0,640,480,0, 8824,640,480:waittimer 59177 blt 0,0,640,480,0, 8826,640,480:waittimer 59190 blt 0,0,640,480,0, 8828,640,480:waittimer 59204 blt 0,0,640,480,0, 8830,640,480:waittimer 59217 blt 0,0,640,480,0, 8832,640,480:waittimer 59230 blt 0,0,640,480,0, 8834,640,480:waittimer 59244 blt 0,0,640,480,0, 8836,640,480:waittimer 59257 blt 0,0,640,480,0, 8838,640,480:waittimer 59271 blt 0,0,640,480,0, 8840,640,480:waittimer 59284 blt 0,0,640,480,0, 8842,640,480:waittimer 59298 blt 0,0,640,480,0, 8844,640,480:waittimer 59311 blt 0,0,640,480,0, 8846,640,480:waittimer 59324 blt 0,0,640,480,0, 8848,640,480:waittimer 59338 blt 0,0,640,480,0, 8850,640,480:waittimer 59351 blt 0,0,640,480,0, 8852,640,480:waittimer 59365 blt 0,0,640,480,0, 8854,640,480:waittimer 59378 blt 0,0,640,480,0, 8856,640,480:waittimer 59391 blt 0,0,640,480,0, 8858,640,480:waittimer 59405 blt 0,0,640,480,0, 8860,640,480:waittimer 59418 blt 0,0,640,480,0, 8862,640,480:waittimer 59432 blt 0,0,640,480,0, 8864,640,480:waittimer 59445 blt 0,0,640,480,0, 8866,640,480:waittimer 59459 blt 0,0,640,480,0, 8868,640,480:waittimer 59472 blt 0,0,640,480,0, 8870,640,480:waittimer 59485 blt 0,0,640,480,0, 8872,640,480:waittimer 59499 blt 0,0,640,480,0, 8874,640,480:waittimer 59512 blt 0,0,640,480,0, 8876,640,480:waittimer 59526 blt 0,0,640,480,0, 8878,640,480:waittimer 59539 blt 0,0,640,480,0, 8880,640,480:waittimer 59552 blt 0,0,640,480,0, 8882,640,480:waittimer 59566 blt 0,0,640,480,0, 8884,640,480:waittimer 59579 blt 0,0,640,480,0, 8886,640,480:waittimer 59593 blt 0,0,640,480,0, 8888,640,480:waittimer 59606 blt 0,0,640,480,0, 8890,640,480:waittimer 59619 blt 0,0,640,480,0, 8892,640,480:waittimer 59633 blt 0,0,640,480,0, 8894,640,480:waittimer 59646 blt 0,0,640,480,0, 8896,640,480:waittimer 59660 blt 0,0,640,480,0, 8898,640,480:waittimer 59673 blt 0,0,640,480,0, 8900,640,480:waittimer 59687 blt 0,0,640,480,0, 8902,640,480:waittimer 59700 blt 0,0,640,480,0, 8904,640,480:waittimer 59713 blt 0,0,640,480,0, 8906,640,480:waittimer 59727 blt 0,0,640,480,0, 8908,640,480:waittimer 59740 blt 0,0,640,480,0, 8910,640,480:waittimer 59754 blt 0,0,640,480,0, 8912,640,480:waittimer 59767 blt 0,0,640,480,0, 8914,640,480:waittimer 59780 blt 0,0,640,480,0, 8916,640,480:waittimer 59794 blt 0,0,640,480,0, 8918,640,480:waittimer 59807 blt 0,0,640,480,0, 8920,640,480:waittimer 59821 blt 0,0,640,480,0, 8922,640,480:waittimer 59834 blt 0,0,640,480,0, 8924,640,480:waittimer 59847 blt 0,0,640,480,0, 8926,640,480:waittimer 59861 blt 0,0,640,480,0, 8928,640,480:waittimer 59874 blt 0,0,640,480,0, 8930,640,480:waittimer 59888 blt 0,0,640,480,0, 8932,640,480:waittimer 59901 blt 0,0,640,480,0, 8934,640,480:waittimer 59915 blt 0,0,640,480,0, 8936,640,480:waittimer 59928 blt 0,0,640,480,0, 8938,640,480:waittimer 59941 blt 0,0,640,480,0, 8940,640,480:waittimer 59955 blt 0,0,640,480,0, 8942,640,480:waittimer 59968 blt 0,0,640,480,0, 8944,640,480:waittimer 59982 blt 0,0,640,480,0, 8946,640,480:waittimer 59995 blt 0,0,640,480,0, 8948,640,480:waittimer 60008 blt 0,0,640,480,0, 8950,640,480:waittimer 60022 blt 0,0,640,480,0, 8952,640,480:waittimer 60035 blt 0,0,640,480,0, 8954,640,480:waittimer 60049 blt 0,0,640,480,0, 8956,640,480:waittimer 60062 blt 0,0,640,480,0, 8958,640,480:waittimer 60076 blt 0,0,640,480,0, 8960,640,480:waittimer 60089 blt 0,0,640,480,0, 8962,640,480:waittimer 60102 blt 0,0,640,480,0, 8964,640,480:waittimer 60116 blt 0,0,640,480,0, 8966,640,480:waittimer 60129 blt 0,0,640,480,0, 8968,640,480:waittimer 60143 blt 0,0,640,480,0, 8970,640,480:waittimer 60156 blt 0,0,640,480,0, 8972,640,480:waittimer 60169 blt 0,0,640,480,0, 8974,640,480:waittimer 60183 blt 0,0,640,480,0, 8976,640,480:waittimer 60196 blt 0,0,640,480,0, 8978,640,480:waittimer 60210 blt 0,0,640,480,0, 8980,640,480:waittimer 60223 blt 0,0,640,480,0, 8982,640,480:waittimer 60236 blt 0,0,640,480,0, 8984,640,480:waittimer 60250 blt 0,0,640,480,0, 8986,640,480:waittimer 60263 blt 0,0,640,480,0, 8988,640,480:waittimer 60277 blt 0,0,640,480,0, 8990,640,480:waittimer 60290 blt 0,0,640,480,0, 8992,640,480:waittimer 60304 blt 0,0,640,480,0, 8994,640,480:waittimer 60317 blt 0,0,640,480,0, 8996,640,480:waittimer 60330 blt 0,0,640,480,0, 8998,640,480:waittimer 60344 blt 0,0,640,480,0, 9000,640,480:waittimer 60357 blt 0,0,640,480,0, 9002,640,480:waittimer 60371 blt 0,0,640,480,0, 9004,640,480:waittimer 60384 blt 0,0,640,480,0, 9006,640,480:waittimer 60397 blt 0,0,640,480,0, 9008,640,480:waittimer 60411 blt 0,0,640,480,0, 9010,640,480:waittimer 60424 blt 0,0,640,480,0, 9012,640,480:waittimer 60438 blt 0,0,640,480,0, 9014,640,480:waittimer 60451 blt 0,0,640,480,0, 9016,640,480:waittimer 60464 blt 0,0,640,480,0, 9018,640,480:waittimer 60478 blt 0,0,640,480,0, 9020,640,480:waittimer 60491 blt 0,0,640,480,0, 9022,640,480:waittimer 60505 blt 0,0,640,480,0, 9024,640,480:waittimer 60518 blt 0,0,640,480,0, 9026,640,480:waittimer 60532 blt 0,0,640,480,0, 9028,640,480:waittimer 60545 blt 0,0,640,480,0, 9030,640,480:waittimer 60558 blt 0,0,640,480,0, 9032,640,480:waittimer 60572 blt 0,0,640,480,0, 9034,640,480:waittimer 60585 blt 0,0,640,480,0, 9036,640,480:waittimer 60599 blt 0,0,640,480,0, 9038,640,480:waittimer 60612 blt 0,0,640,480,0, 9040,640,480:waittimer 60625 blt 0,0,640,480,0, 9042,640,480:waittimer 60639 blt 0,0,640,480,0, 9044,640,480:waittimer 60652 blt 0,0,640,480,0, 9046,640,480:waittimer 60666 blt 0,0,640,480,0, 9048,640,480:waittimer 60679 blt 0,0,640,480,0, 9050,640,480:waittimer 60692 blt 0,0,640,480,0, 9052,640,480:waittimer 60706 blt 0,0,640,480,0, 9054,640,480:waittimer 60719 blt 0,0,640,480,0, 9056,640,480:waittimer 60733 blt 0,0,640,480,0, 9058,640,480:waittimer 60746 blt 0,0,640,480,0, 9060,640,480:waittimer 60760 blt 0,0,640,480,0, 9062,640,480:waittimer 60773 blt 0,0,640,480,0, 9064,640,480:waittimer 60786 blt 0,0,640,480,0, 9066,640,480:waittimer 60800 blt 0,0,640,480,0, 9068,640,480:waittimer 60813 blt 0,0,640,480,0, 9070,640,480:waittimer 60827 blt 0,0,640,480,0, 9072,640,480:waittimer 60840 blt 0,0,640,480,0, 9074,640,480:waittimer 60853 blt 0,0,640,480,0, 9076,640,480:waittimer 60867 blt 0,0,640,480,0, 9078,640,480:waittimer 60880 blt 0,0,640,480,0, 9080,640,480:waittimer 60894 blt 0,0,640,480,0, 9082,640,480:waittimer 60907 blt 0,0,640,480,0, 9084,640,480:waittimer 60921 blt 0,0,640,480,0, 9086,640,480:waittimer 60934 blt 0,0,640,480,0, 9088,640,480:waittimer 60947 blt 0,0,640,480,0, 9090,640,480:waittimer 60961 blt 0,0,640,480,0, 9092,640,480:waittimer 60974 blt 0,0,640,480,0, 9094,640,480:waittimer 60988 blt 0,0,640,480,0, 9096,640,480:waittimer 61001 blt 0,0,640,480,0, 9098,640,480:waittimer 61014 blt 0,0,640,480,0, 9100,640,480:waittimer 61028 blt 0,0,640,480,0, 9102,640,480:waittimer 61041 blt 0,0,640,480,0, 9104,640,480:waittimer 61055 blt 0,0,640,480,0, 9106,640,480:waittimer 61068 blt 0,0,640,480,0, 9108,640,480:waittimer 61081 blt 0,0,640,480,0, 9110,640,480:waittimer 61095 blt 0,0,640,480,0, 9112,640,480:waittimer 61108 blt 0,0,640,480,0, 9114,640,480:waittimer 61122 blt 0,0,640,480,0, 9116,640,480:waittimer 61135 blt 0,0,640,480,0, 9118,640,480:waittimer 61149 blt 0,0,640,480,0, 9120,640,480:waittimer 61162 blt 0,0,640,480,0, 9122,640,480:waittimer 61175 blt 0,0,640,480,0, 9124,640,480:waittimer 61189 blt 0,0,640,480,0, 9126,640,480:waittimer 61202 blt 0,0,640,480,0, 9128,640,480:waittimer 61216 blt 0,0,640,480,0, 9130,640,480:waittimer 61229 blt 0,0,640,480,0, 9132,640,480:waittimer 61242 blt 0,0,640,480,0, 9134,640,480:waittimer 61256 blt 0,0,640,480,0, 9136,640,480:waittimer 61269 blt 0,0,640,480,0, 9138,640,480:waittimer 61283 blt 0,0,640,480,0, 9140,640,480:waittimer 61296 blt 0,0,640,480,0, 9142,640,480:waittimer 61309 blt 0,0,640,480,0, 9144,640,480:waittimer 61323 blt 0,0,640,480,0, 9146,640,480:waittimer 61336 blt 0,0,640,480,0, 9148,640,480:waittimer 61350 blt 0,0,640,480,0, 9150,640,480:waittimer 61363 blt 0,0,640,480,0, 9152,640,480:waittimer 61377 blt 0,0,640,480,0, 9154,640,480:waittimer 61390 blt 0,0,640,480,0, 9156,640,480:waittimer 61403 blt 0,0,640,480,0, 9158,640,480:waittimer 61417 blt 0,0,640,480,0, 9160,640,480:waittimer 61430 blt 0,0,640,480,0, 9162,640,480:waittimer 61444 blt 0,0,640,480,0, 9164,640,480:waittimer 61457 blt 0,0,640,480,0, 9166,640,480:waittimer 61470 blt 0,0,640,480,0, 9168,640,480:waittimer 61484 blt 0,0,640,480,0, 9170,640,480:waittimer 61497 blt 0,0,640,480,0, 9172,640,480:waittimer 61511 blt 0,0,640,480,0, 9174,640,480:waittimer 61524 blt 0,0,640,480,0, 9176,640,480:waittimer 61538 blt 0,0,640,480,0, 9178,640,480:waittimer 61551 blt 0,0,640,480,0, 9180,640,480:waittimer 61564 blt 0,0,640,480,0, 9182,640,480:waittimer 61578 blt 0,0,640,480,0, 9184,640,480:waittimer 61591 blt 0,0,640,480,0, 9186,640,480:waittimer 61605 blt 0,0,640,480,0, 9188,640,480:waittimer 61618 blt 0,0,640,480,0, 9190,640,480:waittimer 61631 blt 0,0,640,480,0, 9192,640,480:waittimer 61645 blt 0,0,640,480,0, 9194,640,480:waittimer 61658 blt 0,0,640,480,0, 9196,640,480:waittimer 61672 blt 0,0,640,480,0, 9198,640,480:waittimer 61685 blt 0,0,640,480,0, 9200,640,480:waittimer 61698 blt 0,0,640,480,0, 9202,640,480:waittimer 61712 blt 0,0,640,480,0, 9204,640,480:waittimer 61725 blt 0,0,640,480,0, 9206,640,480:waittimer 61739 blt 0,0,640,480,0, 9208,640,480:waittimer 61752 blt 0,0,640,480,0, 9210,640,480:waittimer 61766 blt 0,0,640,480,0, 9212,640,480:waittimer 61779 blt 0,0,640,480,0, 9214,640,480:waittimer 61792 blt 0,0,640,480,0, 9216,640,480:waittimer 61806 blt 0,0,640,480,0, 9218,640,480:waittimer 61819 blt 0,0,640,480,0, 9220,640,480:waittimer 61833 blt 0,0,640,480,0, 9222,640,480:waittimer 61846 blt 0,0,640,480,0, 9224,640,480:waittimer 61859 blt 0,0,640,480,0, 9226,640,480:waittimer 61873 blt 0,0,640,480,0, 9228,640,480:waittimer 61886 blt 0,0,640,480,0, 9230,640,480:waittimer 61900 blt 0,0,640,480,0, 9232,640,480:waittimer 61913 blt 0,0,640,480,0, 9234,640,480:waittimer 61926 blt 0,0,640,480,0, 9236,640,480:waittimer 61940 blt 0,0,640,480,0, 9238,640,480:waittimer 61953 blt 0,0,640,480,0, 9240,640,480:waittimer 61967 blt 0,0,640,480,0, 9242,640,480:waittimer 61980 blt 0,0,640,480,0, 9244,640,480:waittimer 61994 blt 0,0,640,480,0, 9246,640,480:waittimer 62007 blt 0,0,640,480,0, 9248,640,480:waittimer 62020 blt 0,0,640,480,0, 9250,640,480:waittimer 62034 blt 0,0,640,480,0, 9252,640,480:waittimer 62047 blt 0,0,640,480,0, 9254,640,480:waittimer 62061 blt 0,0,640,480,0, 9256,640,480:waittimer 62074 blt 0,0,640,480,0, 9258,640,480:waittimer 62087 blt 0,0,640,480,0, 9260,640,480:waittimer 62101 blt 0,0,640,480,0, 9262,640,480:waittimer 62114 blt 0,0,640,480,0, 9264,640,480:waittimer 62128 blt 0,0,640,480,0, 9266,640,480:waittimer 62141 blt 0,0,640,480,0, 9268,640,480:waittimer 62154 blt 0,0,640,480,0, 9270,640,480:waittimer 62168 blt 0,0,640,480,0, 9272,640,480:waittimer 62181 blt 0,0,640,480,0, 9274,640,480:waittimer 62195 blt 0,0,640,480,0, 9276,640,480:waittimer 62208 blt 0,0,640,480,0, 9278,640,480:waittimer 62222 blt 0,0,640,480,0, 9280,640,480:waittimer 62235 blt 0,0,640,480,0, 9282,640,480:waittimer 62248 blt 0,0,640,480,0, 9284,640,480:waittimer 62262 blt 0,0,640,480,0, 9286,640,480:waittimer 62275 blt 0,0,640,480,0, 9288,640,480:waittimer 62289 blt 0,0,640,480,0, 9290,640,480:waittimer 62302 blt 0,0,640,480,0, 9292,640,480:waittimer 62315 blt 0,0,640,480,0, 9294,640,480:waittimer 62329 blt 0,0,640,480,0, 9296,640,480:waittimer 62342 blt 0,0,640,480,0, 9298,640,480:waittimer 62356 blt 0,0,640,480,0, 9300,640,480:waittimer 62369 blt 0,0,640,480,0, 9302,640,480:waittimer 62383 blt 0,0,640,480,0, 9304,640,480:waittimer 62396 blt 0,0,640,480,0, 9306,640,480:waittimer 62409 blt 0,0,640,480,0, 9308,640,480:waittimer 62423 blt 0,0,640,480,0, 9310,640,480:waittimer 62436 blt 0,0,640,480,0, 9312,640,480:waittimer 62450 blt 0,0,640,480,0, 9314,640,480:waittimer 62463 blt 0,0,640,480,0, 9316,640,480:waittimer 62476 blt 0,0,640,480,0, 9318,640,480:waittimer 62490 blt 0,0,640,480,0, 9320,640,480:waittimer 62503 blt 0,0,640,480,0, 9322,640,480:waittimer 62517 blt 0,0,640,480,0, 9324,640,480:waittimer 62530 blt 0,0,640,480,0, 9326,640,480:waittimer 62543 blt 0,0,640,480,0, 9328,640,480:waittimer 62557 blt 0,0,640,480,0, 9330,640,480:waittimer 62570 blt 0,0,640,480,0, 9332,640,480:waittimer 62584 blt 0,0,640,480,0, 9334,640,480:waittimer 62597 blt 0,0,640,480,0, 9336,640,480:waittimer 62611 blt 0,0,640,480,0, 9338,640,480:waittimer 62624 blt 0,0,640,480,0, 9340,640,480:waittimer 62637 blt 0,0,640,480,0, 9342,640,480:waittimer 62651 blt 0,0,640,480,0, 9344,640,480:waittimer 62664 blt 0,0,640,480,0, 9346,640,480:waittimer 62678 blt 0,0,640,480,0, 9348,640,480:waittimer 62691 blt 0,0,640,480,0, 9350,640,480:waittimer 62704 blt 0,0,640,480,0, 9352,640,480:waittimer 62718 blt 0,0,640,480,0, 9354,640,480:waittimer 62731 blt 0,0,640,480,0, 9356,640,480:waittimer 62745 blt 0,0,640,480,0, 9358,640,480:waittimer 62758 blt 0,0,640,480,0, 9360,640,480:waittimer 62771 blt 0,0,640,480,0, 9362,640,480:waittimer 62785 blt 0,0,640,480,0, 9364,640,480:waittimer 62798 blt 0,0,640,480,0, 9366,640,480:waittimer 62812 blt 0,0,640,480,0, 9368,640,480:waittimer 62825 blt 0,0,640,480,0, 9370,640,480:waittimer 62839 blt 0,0,640,480,0, 9372,640,480:waittimer 62852 blt 0,0,640,480,0, 9374,640,480:waittimer 62865 blt 0,0,640,480,0, 9376,640,480:waittimer 62879 blt 0,0,640,480,0, 9378,640,480:waittimer 62892 blt 0,0,640,480,0, 9380,640,480:waittimer 62906 blt 0,0,640,480,0, 9382,640,480:waittimer 62919 blt 0,0,640,480,0, 9384,640,480:waittimer 62932 blt 0,0,640,480,0, 9386,640,480:waittimer 62946 blt 0,0,640,480,0, 9388,640,480:waittimer 62959 blt 0,0,640,480,0, 9390,640,480:waittimer 62973 blt 0,0,640,480,0, 9392,640,480:waittimer 62986 blt 0,0,640,480,0, 9394,640,480:waittimer 63000 blt 0,0,640,480,0, 9396,640,480:waittimer 63013 blt 0,0,640,480,0, 9398,640,480:waittimer 63026 blt 0,0,640,480,0, 9400,640,480:waittimer 63040 blt 0,0,640,480,0, 9402,640,480:waittimer 63053 blt 0,0,640,480,0, 9404,640,480:waittimer 63067 blt 0,0,640,480,0, 9406,640,480:waittimer 63080 blt 0,0,640,480,0, 9408,640,480:waittimer 63093 blt 0,0,640,480,0, 9410,640,480:waittimer 63107 blt 0,0,640,480,0, 9412,640,480:waittimer 63120 blt 0,0,640,480,0, 9414,640,480:waittimer 63134 blt 0,0,640,480,0, 9416,640,480:waittimer 63147 blt 0,0,640,480,0, 9418,640,480:waittimer 63160 blt 0,0,640,480,0, 9420,640,480:waittimer 63174 blt 0,0,640,480,0, 9422,640,480:waittimer 63187 blt 0,0,640,480,0, 9424,640,480:waittimer 63201 blt 0,0,640,480,0, 9426,640,480:waittimer 63214 blt 0,0,640,480,0, 9428,640,480:waittimer 63228 blt 0,0,640,480,0, 9430,640,480:waittimer 63241 blt 0,0,640,480,0, 9432,640,480:waittimer 63254 blt 0,0,640,480,0, 9434,640,480:waittimer 63268 blt 0,0,640,480,0, 9436,640,480:waittimer 63281 blt 0,0,640,480,0, 9438,640,480:waittimer 63295 blt 0,0,640,480,0, 9440,640,480:waittimer 63308 blt 0,0,640,480,0, 9442,640,480:waittimer 63321 blt 0,0,640,480,0, 9444,640,480:waittimer 63335 blt 0,0,640,480,0, 9446,640,480:waittimer 63348 blt 0,0,640,480,0, 9448,640,480:waittimer 63362 blt 0,0,640,480,0, 9450,640,480:waittimer 63375 blt 0,0,640,480,0, 9452,640,480:waittimer 63388 blt 0,0,640,480,0, 9454,640,480:waittimer 63402 blt 0,0,640,480,0, 9456,640,480:waittimer 63415 blt 0,0,640,480,0, 9458,640,480:waittimer 63429 blt 0,0,640,480,0, 9460,640,480:waittimer 63442 blt 0,0,640,480,0, 9462,640,480:waittimer 63456 blt 0,0,640,480,0, 9464,640,480:waittimer 63469 blt 0,0,640,480,0, 9466,640,480:waittimer 63482 blt 0,0,640,480,0, 9468,640,480:waittimer 63496 blt 0,0,640,480,0, 9470,640,480:waittimer 63509 blt 0,0,640,480,0, 9472,640,480:waittimer 63523 blt 0,0,640,480,0, 9474,640,480:waittimer 63536 blt 0,0,640,480,0, 9476,640,480:waittimer 63549 blt 0,0,640,480,0, 9478,640,480:waittimer 63563 blt 0,0,640,480,0, 9480,640,480:waittimer 63576 blt 0,0,640,480,0, 9482,640,480:waittimer 63590 blt 0,0,640,480,0, 9484,640,480:waittimer 63603 blt 0,0,640,480,0, 9486,640,480:waittimer 63616 blt 0,0,640,480,0, 9488,640,480:waittimer 63630 blt 0,0,640,480,0, 9490,640,480:waittimer 63643 blt 0,0,640,480,0, 9492,640,480:waittimer 63657 blt 0,0,640,480,0, 9494,640,480:waittimer 63670 blt 0,0,640,480,0, 9496,640,480:waittimer 63684 blt 0,0,640,480,0, 9498,640,480:waittimer 63697 blt 0,0,640,480,0, 9500,640,480:waittimer 63710 blt 0,0,640,480,0, 9502,640,480:waittimer 63724 blt 0,0,640,480,0, 9504,640,480:waittimer 63737 blt 0,0,640,480,0, 9506,640,480:waittimer 63751 blt 0,0,640,480,0, 9508,640,480:waittimer 63764 blt 0,0,640,480,0, 9510,640,480:waittimer 63777 blt 0,0,640,480,0, 9512,640,480:waittimer 63791 blt 0,0,640,480,0, 9514,640,480:waittimer 63804 blt 0,0,640,480,0, 9516,640,480:waittimer 63818 blt 0,0,640,480,0, 9518,640,480:waittimer 63831 blt 0,0,640,480,0, 9520,640,480:waittimer 63845 blt 0,0,640,480,0, 9522,640,480:waittimer 63858 blt 0,0,640,480,0, 9524,640,480:waittimer 63871 blt 0,0,640,480,0, 9526,640,480:waittimer 63885 blt 0,0,640,480,0, 9528,640,480:waittimer 63898 blt 0,0,640,480,0, 9530,640,480:waittimer 63912 blt 0,0,640,480,0, 9532,640,480:waittimer 63925 blt 0,0,640,480,0, 9534,640,480:waittimer 63938 blt 0,0,640,480,0, 9536,640,480:waittimer 63952 blt 0,0,640,480,0, 9538,640,480:waittimer 63965 blt 0,0,640,480,0, 9540,640,480:waittimer 63979 blt 0,0,640,480,0, 9542,640,480:waittimer 63992 blt 0,0,640,480,0, 9544,640,480:waittimer 64005 blt 0,0,640,480,0, 9546,640,480:waittimer 64019 blt 0,0,640,480,0, 9548,640,480:waittimer 64032 blt 0,0,640,480,0, 9550,640,480:waittimer 64046 blt 0,0,640,480,0, 9552,640,480:waittimer 64059 blt 0,0,640,480,0, 9554,640,480:waittimer 64073 blt 0,0,640,480,0, 9556,640,480:waittimer 64086 blt 0,0,640,480,0, 9558,640,480:waittimer 64099 blt 0,0,640,480,0, 9560,640,480:waittimer 64113 blt 0,0,640,480,0, 9562,640,480:waittimer 64126 blt 0,0,640,480,0, 9564,640,480:waittimer 64140 blt 0,0,640,480,0, 9566,640,480:waittimer 64153 blt 0,0,640,480,0, 9568,640,480:waittimer 64166 blt 0,0,640,480,0, 9570,640,480:waittimer 64180 blt 0,0,640,480,0, 9572,640,480:waittimer 64193 blt 0,0,640,480,0, 9574,640,480:waittimer 64207 blt 0,0,640,480,0, 9576,640,480:waittimer 64220 blt 0,0,640,480,0, 9578,640,480:waittimer 64233 blt 0,0,640,480,0, 9580,640,480:waittimer 64247 blt 0,0,640,480,0, 9582,640,480:waittimer 64260 blt 0,0,640,480,0, 9584,640,480:waittimer 64274 blt 0,0,640,480,0, 9586,640,480:waittimer 64287 blt 0,0,640,480,0, 9588,640,480:waittimer 64301 blt 0,0,640,480,0, 9590,640,480:waittimer 64314 blt 0,0,640,480,0, 9592,640,480:waittimer 64327 blt 0,0,640,480,0, 9594,640,480:waittimer 64341 blt 0,0,640,480,0, 9596,640,480:waittimer 64354 blt 0,0,640,480,0, 9598,640,480:waittimer 64368 blt 0,0,640,480,0, 9600,640,480:waittimer 64381 blt 0,0,640,480,0, 9602,640,480:waittimer 64394 blt 0,0,640,480,0, 9604,640,480:waittimer 64408 blt 0,0,640,480,0, 9606,640,480:waittimer 64421 blt 0,0,640,480,0, 9608,640,480:waittimer 64435 blt 0,0,640,480,0, 9610,640,480:waittimer 64448 blt 0,0,640,480,0, 9612,640,480:waittimer 64461 blt 0,0,640,480,0, 9614,640,480:waittimer 64475 blt 0,0,640,480,0, 9616,640,480:waittimer 64488 blt 0,0,640,480,0, 9618,640,480:waittimer 64502 blt 0,0,640,480,0, 9620,640,480:waittimer 64515 blt 0,0,640,480,0, 9622,640,480:waittimer 64529 blt 0,0,640,480,0, 9624,640,480:waittimer 64542 blt 0,0,640,480,0, 9626,640,480:waittimer 64555 blt 0,0,640,480,0, 9628,640,480:waittimer 64569 blt 0,0,640,480,0, 9630,640,480:waittimer 64582 blt 0,0,640,480,0, 9632,640,480:waittimer 64596 blt 0,0,640,480,0, 9634,640,480:waittimer 64609 blt 0,0,640,480,0, 9636,640,480:waittimer 64622 blt 0,0,640,480,0, 9638,640,480:waittimer 64636 blt 0,0,640,480,0, 9640,640,480:waittimer 64649 blt 0,0,640,480,0, 9642,640,480:waittimer 64663 blt 0,0,640,480,0, 9644,640,480:waittimer 64676 blt 0,0,640,480,0, 9646,640,480:waittimer 64690 blt 0,0,640,480,0, 9648,640,480:waittimer 64703 blt 0,0,640,480,0, 9650,640,480:waittimer 64716 blt 0,0,640,480,0, 9652,640,480:waittimer 64730 blt 0,0,640,480,0, 9654,640,480:waittimer 64743 blt 0,0,640,480,0, 9656,640,480:waittimer 64757 blt 0,0,640,480,0, 9658,640,480:waittimer 64770 blt 0,0,640,480,0, 9660,640,480:waittimer 64783 blt 0,0,640,480,0, 9662,640,480:waittimer 64797 blt 0,0,640,480,0, 9664,640,480:waittimer 64810 blt 0,0,640,480,0, 9666,640,480:waittimer 64824 blt 0,0,640,480,0, 9668,640,480:waittimer 64837 blt 0,0,640,480,0, 9670,640,480:waittimer 64850 blt 0,0,640,480,0, 9672,640,480:waittimer 64864 blt 0,0,640,480,0, 9674,640,480:waittimer 64877 blt 0,0,640,480,0, 9676,640,480:waittimer 64891 blt 0,0,640,480,0, 9678,640,480:waittimer 64904 blt 0,0,640,480,0, 9680,640,480:waittimer 64918 blt 0,0,640,480,0, 9682,640,480:waittimer 64931 blt 0,0,640,480,0, 9684,640,480:waittimer 64944 blt 0,0,640,480,0, 9686,640,480:waittimer 64958 blt 0,0,640,480,0, 9688,640,480:waittimer 64971 blt 0,0,640,480,0, 9690,640,480:waittimer 64985 blt 0,0,640,480,0, 9692,640,480:waittimer 64998 blt 0,0,640,480,0, 9694,640,480:waittimer 65011 blt 0,0,640,480,0, 9696,640,480:waittimer 65025 blt 0,0,640,480,0, 9698,640,480:waittimer 65038 blt 0,0,640,480,0, 9700,640,480:waittimer 65052 blt 0,0,640,480,0, 9702,640,480:waittimer 65065 blt 0,0,640,480,0, 9704,640,480:waittimer 65078 blt 0,0,640,480,0, 9706,640,480:waittimer 65092 blt 0,0,640,480,0, 9708,640,480:waittimer 65105 blt 0,0,640,480,0, 9710,640,480:waittimer 65119 blt 0,0,640,480,0, 9712,640,480:waittimer 65132 blt 0,0,640,480,0, 9714,640,480:waittimer 65146 blt 0,0,640,480,0, 9716,640,480:waittimer 65159 blt 0,0,640,480,0, 9718,640,480:waittimer 65172 blt 0,0,640,480,0, 9720,640,480:waittimer 65186 blt 0,0,640,480,0, 9722,640,480:waittimer 65199 blt 0,0,640,480,0, 9724,640,480:waittimer 65213 blt 0,0,640,480,0, 9726,640,480:waittimer 65226 blt 0,0,640,480,0, 9728,640,480:waittimer 65239 blt 0,0,640,480,0, 9730,640,480:waittimer 65253 blt 0,0,640,480,0, 9732,640,480:waittimer 65266 blt 0,0,640,480,0, 9734,640,480:waittimer 65280 blt 0,0,640,480,0, 9736,640,480:waittimer 65293 blt 0,0,640,480,0, 9738,640,480:waittimer 65307 blt 0,0,640,480,0, 9740,640,480:waittimer 65320 blt 0,0,640,480,0, 9742,640,480:waittimer 65333 blt 0,0,640,480,0, 9744,640,480:waittimer 65347 blt 0,0,640,480,0, 9746,640,480:waittimer 65360 blt 0,0,640,480,0, 9748,640,480:waittimer 65374 blt 0,0,640,480,0, 9750,640,480:waittimer 65387 blt 0,0,640,480,0, 9752,640,480:waittimer 65400 blt 0,0,640,480,0, 9754,640,480:waittimer 65414 blt 0,0,640,480,0, 9756,640,480:waittimer 65427 blt 0,0,640,480,0, 9758,640,480:waittimer 65441 blt 0,0,640,480,0, 9760,640,480:waittimer 65454 blt 0,0,640,480,0, 9762,640,480:waittimer 65467 blt 0,0,640,480,0, 9764,640,480:waittimer 65481 blt 0,0,640,480,0, 9766,640,480:waittimer 65494 blt 0,0,640,480,0, 9768,640,480:waittimer 65508 blt 0,0,640,480,0, 9770,640,480:waittimer 65521 blt 0,0,640,480,0, 9772,640,480:waittimer 65535 blt 0,0,640,480,0, 9774,640,480:waittimer 65548 blt 0,0,640,480,0, 9776,640,480:waittimer 65561 blt 0,0,640,480,0, 9778,640,480:waittimer 65575 blt 0,0,640,480,0, 9780,640,480:waittimer 65588 blt 0,0,640,480,0, 9782,640,480:waittimer 65602 blt 0,0,640,480,0, 9784,640,480:waittimer 65615 blt 0,0,640,480,0, 9786,640,480:waittimer 65628 blt 0,0,640,480,0, 9788,640,480:waittimer 65642 blt 0,0,640,480,0, 9790,640,480:waittimer 65655 blt 0,0,640,480,0, 9792,640,480:waittimer 65669 blt 0,0,640,480,0, 9794,640,480:waittimer 65682 blt 0,0,640,480,0, 9796,640,480:waittimer 65695 blt 0,0,640,480,0, 9798,640,480:waittimer 65709 blt 0,0,640,480,0, 9800,640,480:waittimer 65722 blt 0,0,640,480,0, 9802,640,480:waittimer 65736 blt 0,0,640,480,0, 9804,640,480:waittimer 65749 blt 0,0,640,480,0, 9806,640,480:waittimer 65763 blt 0,0,640,480,0, 9808,640,480:waittimer 65776 blt 0,0,640,480,0, 9810,640,480:waittimer 65789 blt 0,0,640,480,0, 9812,640,480:waittimer 65803 blt 0,0,640,480,0, 9814,640,480:waittimer 65816 blt 0,0,640,480,0, 9816,640,480:waittimer 65830 blt 0,0,640,480,0, 9818,640,480:waittimer 65843 blt 0,0,640,480,0, 9820,640,480:waittimer 65856 blt 0,0,640,480,0, 9822,640,480:waittimer 65870 blt 0,0,640,480,0, 9824,640,480:waittimer 65883 blt 0,0,640,480,0, 9826,640,480:waittimer 65897 blt 0,0,640,480,0, 9828,640,480:waittimer 65910 blt 0,0,640,480,0, 9830,640,480:waittimer 65923 blt 0,0,640,480,0, 9832,640,480:waittimer 65937 blt 0,0,640,480,0, 9834,640,480:waittimer 65950 blt 0,0,640,480,0, 9836,640,480:waittimer 65964 blt 0,0,640,480,0, 9838,640,480:waittimer 65977 blt 0,0,640,480,0, 9840,640,480:waittimer 65991 blt 0,0,640,480,0, 9842,640,480:waittimer 66004 blt 0,0,640,480,0, 9844,640,480:waittimer 66017 blt 0,0,640,480,0, 9846,640,480:waittimer 66031 blt 0,0,640,480,0, 9848,640,480:waittimer 66044 blt 0,0,640,480,0, 9850,640,480:waittimer 66058 blt 0,0,640,480,0, 9852,640,480:waittimer 66071 blt 0,0,640,480,0, 9854,640,480:waittimer 66084 blt 0,0,640,480,0, 9856,640,480:waittimer 66098 blt 0,0,640,480,0, 9858,640,480:waittimer 66111 blt 0,0,640,480,0, 9860,640,480:waittimer 66125 blt 0,0,640,480,0, 9862,640,480:waittimer 66138 blt 0,0,640,480,0, 9864,640,480:waittimer 66152 blt 0,0,640,480,0, 9866,640,480:waittimer 66165 blt 0,0,640,480,0, 9868,640,480:waittimer 66178 blt 0,0,640,480,0, 9870,640,480:waittimer 66192 blt 0,0,640,480,0, 9872,640,480:waittimer 66205 blt 0,0,640,480,0, 9874,640,480:waittimer 66219 blt 0,0,640,480,0, 9876,640,480:waittimer 66232 blt 0,0,640,480,0, 9878,640,480:waittimer 66245 blt 0,0,640,480,0, 9880,640,480:waittimer 66259 blt 0,0,640,480,0, 9882,640,480:waittimer 66272 blt 0,0,640,480,0, 9884,640,480:waittimer 66286 blt 0,0,640,480,0, 9886,640,480:waittimer 66299 blt 0,0,640,480,0, 9888,640,480:waittimer 66312 blt 0,0,640,480,0, 9890,640,480:waittimer 66326 blt 0,0,640,480,0, 9892,640,480:waittimer 66339 blt 0,0,640,480,0, 9894,640,480:waittimer 66353 blt 0,0,640,480,0, 9896,640,480:waittimer 66366 blt 0,0,640,480,0, 9898,640,480:waittimer 66380 blt 0,0,640,480,0, 9900,640,480:waittimer 66393 blt 0,0,640,480,0, 9902,640,480:waittimer 66406 blt 0,0,640,480,0, 9904,640,480:waittimer 66420 blt 0,0,640,480,0, 9906,640,480:waittimer 66433 blt 0,0,640,480,0, 9908,640,480:waittimer 66447 blt 0,0,640,480,0, 9910,640,480:waittimer 66460 blt 0,0,640,480,0, 9912,640,480:waittimer 66473 blt 0,0,640,480,0, 9914,640,480:waittimer 66487 blt 0,0,640,480,0, 9916,640,480:waittimer 66500 blt 0,0,640,480,0, 9918,640,480:waittimer 66514 blt 0,0,640,480,0, 9920,640,480:waittimer 66527 blt 0,0,640,480,0, 9922,640,480:waittimer 66540 blt 0,0,640,480,0, 9924,640,480:waittimer 66554 blt 0,0,640,480,0, 9926,640,480:waittimer 66567 blt 0,0,640,480,0, 9928,640,480:waittimer 66581 blt 0,0,640,480,0, 9930,640,480:waittimer 66594 blt 0,0,640,480,0, 9932,640,480:waittimer 66608 blt 0,0,640,480,0, 9934,640,480:waittimer 66621 blt 0,0,640,480,0, 9936,640,480:waittimer 66634 blt 0,0,640,480,0, 9938,640,480:waittimer 66648 blt 0,0,640,480,0, 9940,640,480:waittimer 66661 blt 0,0,640,480,0, 9942,640,480:waittimer 66675 blt 0,0,640,480,0, 9944,640,480:waittimer 66688 blt 0,0,640,480,0, 9946,640,480:waittimer 66701 blt 0,0,640,480,0, 9948,640,480:waittimer 66715 blt 0,0,640,480,0, 9950,640,480:waittimer 66728 blt 0,0,640,480,0, 9952,640,480:waittimer 66742 blt 0,0,640,480,0, 9954,640,480:waittimer 66755 blt 0,0,640,480,0, 9956,640,480:waittimer 66769 blt 0,0,640,480,0, 9958,640,480:waittimer 66782 blt 0,0,640,480,0, 9960,640,480:waittimer 66795 blt 0,0,640,480,0, 9962,640,480:waittimer 66809 blt 0,0,640,480,0, 9964,640,480:waittimer 66822 blt 0,0,640,480,0, 9966,640,480:waittimer 66836 blt 0,0,640,480,0, 9968,640,480:waittimer 66849 blt 0,0,640,480,0, 9970,640,480:waittimer 66862 blt 0,0,640,480,0, 9972,640,480:waittimer 66876 blt 0,0,640,480,0, 9974,640,480:waittimer 66889 blt 0,0,640,480,0, 9976,640,480:waittimer 66903 blt 0,0,640,480,0, 9978,640,480:waittimer 66916 blt 0,0,640,480,0, 9980,640,480:waittimer 66929 blt 0,0,640,480,0, 9982,640,480:waittimer 66943 blt 0,0,640,480,0, 9984,640,480:waittimer 66956 blt 0,0,640,480,0, 9986,640,480:waittimer 66970 blt 0,0,640,480,0, 9988,640,480:waittimer 66983 blt 0,0,640,480,0, 9990,640,480:waittimer 66997 blt 0,0,640,480,0, 9992,640,480:waittimer 67010 blt 0,0,640,480,0, 9994,640,480:waittimer 67023 blt 0,0,640,480,0, 9996,640,480:waittimer 67037 blt 0,0,640,480,0, 9998,640,480:waittimer 67050 blt 0,0,640,480,0, 10000,640,480:waittimer 67064 blt 0,0,640,480,0, 10002,640,480:waittimer 67077 blt 0,0,640,480,0, 10004,640,480:waittimer 67090 blt 0,0,640,480,0, 10006,640,480:waittimer 67104 blt 0,0,640,480,0, 10008,640,480:waittimer 67117 blt 0,0,640,480,0, 10010,640,480:waittimer 67131 blt 0,0,640,480,0, 10012,640,480:waittimer 67144 blt 0,0,640,480,0, 10014,640,480:waittimer 67157 blt 0,0,640,480,0, 10016,640,480:waittimer 67171 blt 0,0,640,480,0, 10018,640,480:waittimer 67184 blt 0,0,640,480,0, 10020,640,480:waittimer 67198 blt 0,0,640,480,0, 10022,640,480:waittimer 67211 blt 0,0,640,480,0, 10024,640,480:waittimer 67225 blt 0,0,640,480,0, 10026,640,480:waittimer 67238 blt 0,0,640,480,0, 10028,640,480:waittimer 67251 blt 0,0,640,480,0, 10030,640,480:waittimer 67265 blt 0,0,640,480,0, 10032,640,480:waittimer 67278 blt 0,0,640,480,0, 10034,640,480:waittimer 67292 blt 0,0,640,480,0, 10036,640,480:waittimer 67305 blt 0,0,640,480,0, 10038,640,480:waittimer 67318 blt 0,0,640,480,0, 10040,640,480:waittimer 67332 blt 0,0,640,480,0, 10042,640,480:waittimer 67345 blt 0,0,640,480,0, 10044,640,480:waittimer 67359 blt 0,0,640,480,0, 10046,640,480:waittimer 67372 blt 0,0,640,480,0, 10048,640,480:waittimer 67385 blt 0,0,640,480,0, 10050,640,480:waittimer 67399 blt 0,0,640,480,0, 10052,640,480:waittimer 67412 blt 0,0,640,480,0, 10054,640,480:waittimer 67426 blt 0,0,640,480,0, 10056,640,480:waittimer 67439 blt 0,0,640,480,0, 10058,640,480:waittimer 67453 blt 0,0,640,480,0, 10060,640,480:waittimer 67466 blt 0,0,640,480,0, 10062,640,480:waittimer 67479 blt 0,0,640,480,0, 10064,640,480:waittimer 67493 blt 0,0,640,480,0, 10066,640,480:waittimer 67506 blt 0,0,640,480,0, 10068,640,480:waittimer 67520 blt 0,0,640,480,0, 10070,640,480:waittimer 67533 blt 0,0,640,480,0, 10072,640,480:waittimer 67546 blt 0,0,640,480,0, 10074,640,480:waittimer 67560 blt 0,0,640,480,0, 10076,640,480:waittimer 67573 blt 0,0,640,480,0, 10078,640,480:waittimer 67587 blt 0,0,640,480,0, 10080,640,480:waittimer 67600 blt 0,0,640,480,0, 10082,640,480:waittimer 67614 blt 0,0,640,480,0, 10084,640,480:waittimer 67627 blt 0,0,640,480,0, 10086,640,480:waittimer 67640 blt 0,0,640,480,0, 10088,640,480:waittimer 67654 blt 0,0,640,480,0, 10090,640,480:waittimer 67667 blt 0,0,640,480,0, 10092,640,480:waittimer 67681 blt 0,0,640,480,0, 10094,640,480:waittimer 67694 blt 0,0,640,480,0, 10096,640,480:waittimer 67707 blt 0,0,640,480,0, 10098,640,480:waittimer 67721 blt 0,0,640,480,0, 10100,640,480:waittimer 67734 blt 0,0,640,480,0, 10102,640,480:waittimer 67748 blt 0,0,640,480,0, 10104,640,480:waittimer 67761 blt 0,0,640,480,0, 10106,640,480:waittimer 67774 blt 0,0,640,480,0, 10108,640,480:waittimer 67788 blt 0,0,640,480,0, 10110,640,480:waittimer 67801 blt 0,0,640,480,0, 10112,640,480:waittimer 67815 blt 0,0,640,480,0, 10114,640,480:waittimer 67828 blt 0,0,640,480,0, 10116,640,480:waittimer 67842 blt 0,0,640,480,0, 10118,640,480:waittimer 67855 blt 0,0,640,480,0, 10120,640,480:waittimer 67868 blt 0,0,640,480,0, 10122,640,480:waittimer 67882 blt 0,0,640,480,0, 10124,640,480:waittimer 67895 blt 0,0,640,480,0, 10126,640,480:waittimer 67909 blt 0,0,640,480,0, 10128,640,480:waittimer 67922 blt 0,0,640,480,0, 10130,640,480:waittimer 67935 blt 0,0,640,480,0, 10132,640,480:waittimer 67949 blt 0,0,640,480,0, 10134,640,480:waittimer 67962 blt 0,0,640,480,0, 10136,640,480:waittimer 67976 blt 0,0,640,480,0, 10138,640,480:waittimer 67989 blt 0,0,640,480,0, 10140,640,480:waittimer 68002 blt 0,0,640,480,0, 10142,640,480:waittimer 68016 blt 0,0,640,480,0, 10144,640,480:waittimer 68029 blt 0,0,640,480,0, 10146,640,480:waittimer 68043 blt 0,0,640,480,0, 10148,640,480:waittimer 68056 blt 0,0,640,480,0, 10150,640,480:waittimer 68070 blt 0,0,640,480,0, 10152,640,480:waittimer 68083 blt 0,0,640,480,0, 10154,640,480:waittimer 68096 blt 0,0,640,480,0, 10156,640,480:waittimer 68110 blt 0,0,640,480,0, 10158,640,480:waittimer 68123 blt 0,0,640,480,0, 10160,640,480:waittimer 68137 blt 0,0,640,480,0, 10162,640,480:waittimer 68150 blt 0,0,640,480,0, 10164,640,480:waittimer 68163 blt 0,0,640,480,0, 10166,640,480:waittimer 68177 blt 0,0,640,480,0, 10168,640,480:waittimer 68190 blt 0,0,640,480,0, 10170,640,480:waittimer 68204 blt 0,0,640,480,0, 10172,640,480:waittimer 68217 blt 0,0,640,480,0, 10174,640,480:waittimer 68231 blt 0,0,640,480,0, 10176,640,480:waittimer 68244 blt 0,0,640,480,0, 10178,640,480:waittimer 68257 blt 0,0,640,480,0, 10180,640,480:waittimer 68271 blt 0,0,640,480,0, 10182,640,480:waittimer 68284 blt 0,0,640,480,0, 10184,640,480:waittimer 68298 blt 0,0,640,480,0, 10186,640,480:waittimer 68311 blt 0,0,640,480,0, 10188,640,480:waittimer 68324 blt 0,0,640,480,0, 10190,640,480:waittimer 68338 blt 0,0,640,480,0, 10192,640,480:waittimer 68351 blt 0,0,640,480,0, 10194,640,480:waittimer 68365 blt 0,0,640,480,0, 10196,640,480:waittimer 68378 blt 0,0,640,480,0, 10198,640,480:waittimer 68391 blt 0,0,640,480,0, 10200,640,480:waittimer 68405 blt 0,0,640,480,0, 10202,640,480:waittimer 68418 blt 0,0,640,480,0, 10204,640,480:waittimer 68432 blt 0,0,640,480,0, 10206,640,480:waittimer 68445 blt 0,0,640,480,0, 10208,640,480:waittimer 68459 blt 0,0,640,480,0, 10210,640,480:waittimer 68472 blt 0,0,640,480,0, 10212,640,480:waittimer 68485 blt 0,0,640,480,0, 10214,640,480:waittimer 68499 blt 0,0,640,480,0, 10216,640,480:waittimer 68512 blt 0,0,640,480,0, 10218,640,480:waittimer 68526 blt 0,0,640,480,0, 10220,640,480:waittimer 68539 blt 0,0,640,480,0, 10222,640,480:waittimer 68552 blt 0,0,640,480,0, 10224,640,480:waittimer 68566 blt 0,0,640,480,0, 10226,640,480:waittimer 68579 blt 0,0,640,480,0, 10228,640,480:waittimer 68593 blt 0,0,640,480,0, 10230,640,480:waittimer 68606 blt 0,0,640,480,0, 10232,640,480:waittimer 68619 blt 0,0,640,480,0, 10234,640,480:waittimer 68633 blt 0,0,640,480,0, 10236,640,480:waittimer 68646 blt 0,0,640,480,0, 10238,640,480:waittimer 68660 blt 0,0,640,480,0, 10240,640,480:waittimer 68673 blt 0,0,640,480,0, 10242,640,480:waittimer 68687 blt 0,0,640,480,0, 10244,640,480:waittimer 68700 blt 0,0,640,480,0, 10246,640,480:waittimer 68713 blt 0,0,640,480,0, 10248,640,480:waittimer 68727 blt 0,0,640,480,0, 10250,640,480:waittimer 68740 blt 0,0,640,480,0, 10252,640,480:waittimer 68754 blt 0,0,640,480,0, 10254,640,480:waittimer 68767 blt 0,0,640,480,0, 10256,640,480:waittimer 68780 blt 0,0,640,480,0, 10258,640,480:waittimer 68794 blt 0,0,640,480,0, 10260,640,480:waittimer 68807 blt 0,0,640,480,0, 10262,640,480:waittimer 68821 blt 0,0,640,480,0, 10264,640,480:waittimer 68834 blt 0,0,640,480,0, 10266,640,480:waittimer 68847 blt 0,0,640,480,0, 10268,640,480:waittimer 68861 blt 0,0,640,480,0, 10270,640,480:waittimer 68874 blt 0,0,640,480,0, 10272,640,480:waittimer 68888 blt 0,0,640,480,0, 10274,640,480:waittimer 68901 blt 0,0,640,480,0, 10276,640,480:waittimer 68915 blt 0,0,640,480,0, 10278,640,480:waittimer 68928 blt 0,0,640,480,0, 10280,640,480:waittimer 68941 blt 0,0,640,480,0, 10282,640,480:waittimer 68955 blt 0,0,640,480,0, 10284,640,480:waittimer 68968 blt 0,0,640,480,0, 10286,640,480:waittimer 68982 blt 0,0,640,480,0, 10288,640,480:waittimer 68995 blt 0,0,640,480,0, 10290,640,480:waittimer 69008 blt 0,0,640,480,0, 10292,640,480:waittimer 69022 blt 0,0,640,480,0, 10294,640,480:waittimer 69035 blt 0,0,640,480,0, 10296,640,480:waittimer 69049 blt 0,0,640,480,0, 10298,640,480:waittimer 69062 blt 0,0,640,480,0, 10300,640,480:waittimer 69076 blt 0,0,640,480,0, 10302,640,480:waittimer 69089 blt 0,0,640,480,0, 10304,640,480:waittimer 69102 blt 0,0,640,480,0, 10306,640,480:waittimer 69116 blt 0,0,640,480,0, 10308,640,480:waittimer 69129 blt 0,0,640,480,0, 10310,640,480:waittimer 69143 blt 0,0,640,480,0, 10312,640,480:waittimer 69156 blt 0,0,640,480,0, 10314,640,480:waittimer 69169 blt 0,0,640,480,0, 10316,640,480:waittimer 69183 blt 0,0,640,480,0, 10318,640,480:waittimer 69196 blt 0,0,640,480,0, 10320,640,480:waittimer 69210 blt 0,0,640,480,0, 10322,640,480:waittimer 69223 blt 0,0,640,480,0, 10324,640,480:waittimer 69236 blt 0,0,640,480,0, 10326,640,480:waittimer 69250 blt 0,0,640,480,0, 10328,640,480:waittimer 69263 blt 0,0,640,480,0, 10330,640,480:waittimer 69277 blt 0,0,640,480,0, 10332,640,480:waittimer 69290 blt 0,0,640,480,0, 10334,640,480:waittimer 69304 blt 0,0,640,480,0, 10336,640,480:waittimer 69317 blt 0,0,640,480,0, 10338,640,480:waittimer 69330 blt 0,0,640,480,0, 10340,640,480:waittimer 69344 blt 0,0,640,480,0, 10342,640,480:waittimer 69357 blt 0,0,640,480,0, 10344,640,480:waittimer 69371 blt 0,0,640,480,0, 10346,640,480:waittimer 69384 blt 0,0,640,480,0, 10348,640,480:waittimer 69397 blt 0,0,640,480,0, 10350,640,480:waittimer 69411 blt 0,0,640,480,0, 10352,640,480:waittimer 69424 blt 0,0,640,480,0, 10354,640,480:waittimer 69438 blt 0,0,640,480,0, 10356,640,480:waittimer 69451 blt 0,0,640,480,0, 10358,640,480:waittimer 69464 blt 0,0,640,480,0, 10360,640,480:waittimer 69478 blt 0,0,640,480,0, 10362,640,480:waittimer 69491 blt 0,0,640,480,0, 10364,640,480:waittimer 69505 blt 0,0,640,480,0, 10366,640,480:waittimer 69518 blt 0,0,640,480,0, 10368,640,480:waittimer 69532 blt 0,0,640,480,0, 10370,640,480:waittimer 69545 blt 0,0,640,480,0, 10372,640,480:waittimer 69558 blt 0,0,640,480,0, 10374,640,480:waittimer 69572 blt 0,0,640,480,0, 10376,640,480:waittimer 69585 blt 0,0,640,480,0, 10378,640,480:waittimer 69599 blt 0,0,640,480,0, 10380,640,480:waittimer 69612 blt 0,0,640,480,0, 10382,640,480:waittimer 69625 blt 0,0,640,480,0, 10384,640,480:waittimer 69639 blt 0,0,640,480,0, 10386,640,480:waittimer 69652 blt 0,0,640,480,0, 10388,640,480:waittimer 69666 blt 0,0,640,480,0, 10390,640,480:waittimer 69679 blt 0,0,640,480,0, 10392,640,480:waittimer 69692 blt 0,0,640,480,0, 10394,640,480:waittimer 69706 blt 0,0,640,480,0, 10396,640,480:waittimer 69719 blt 0,0,640,480,0, 10398,640,480:waittimer 69733 blt 0,0,640,480,0, 10400,640,480:waittimer 69746 blt 0,0,640,480,0, 10402,640,480:waittimer 69760 blt 0,0,640,480,0, 10404,640,480:waittimer 69773 blt 0,0,640,480,0, 10406,640,480:waittimer 69786 blt 0,0,640,480,0, 10408,640,480:waittimer 69800 blt 0,0,640,480,0, 10410,640,480:waittimer 69813 blt 0,0,640,480,0, 10412,640,480:waittimer 69827 blt 0,0,640,480,0, 10414,640,480:waittimer 69840 blt 0,0,640,480,0, 10416,640,480:waittimer 69853 blt 0,0,640,480,0, 10418,640,480:waittimer 69867 blt 0,0,640,480,0, 10420,640,480:waittimer 69880 blt 0,0,640,480,0, 10422,640,480:waittimer 69894 blt 0,0,640,480,0, 10424,640,480:waittimer 69907 blt 0,0,640,480,0, 10426,640,480:waittimer 69921 blt 0,0,640,480,0, 10428,640,480:waittimer 69934 blt 0,0,640,480,0, 10430,640,480:waittimer 69947 blt 0,0,640,480,0, 10432,640,480:waittimer 69961 blt 0,0,640,480,0, 10434,640,480:waittimer 69974 blt 0,0,640,480,0, 10436,640,480:waittimer 69988 blt 0,0,640,480,0, 10438,640,480:waittimer 70001 blt 0,0,640,480,0, 10440,640,480:waittimer 70014 blt 0,0,640,480,0, 10442,640,480:waittimer 70028 blt 0,0,640,480,0, 10444,640,480:waittimer 70041 blt 0,0,640,480,0, 10446,640,480:waittimer 70055 blt 0,0,640,480,0, 10448,640,480:waittimer 70068 blt 0,0,640,480,0, 10450,640,480:waittimer 70081 blt 0,0,640,480,0, 10452,640,480:waittimer 70095 blt 0,0,640,480,0, 10454,640,480:waittimer 70108 blt 0,0,640,480,0, 10456,640,480:waittimer 70122 blt 0,0,640,480,0, 10458,640,480:waittimer 70135 blt 0,0,640,480,0, 10460,640,480:waittimer 70149 blt 0,0,640,480,0, 10462,640,480:waittimer 70162 blt 0,0,640,480,0, 10464,640,480:waittimer 70175 blt 0,0,640,480,0, 10466,640,480:waittimer 70189 blt 0,0,640,480,0, 10468,640,480:waittimer 70202 blt 0,0,640,480,0, 10470,640,480:waittimer 70216 blt 0,0,640,480,0, 10472,640,480:waittimer 70229 blt 0,0,640,480,0, 10474,640,480:waittimer 70242 blt 0,0,640,480,0, 10476,640,480:waittimer 70256 blt 0,0,640,480,0, 10478,640,480:waittimer 70269 blt 0,0,640,480,0, 10480,640,480:waittimer 70283 blt 0,0,640,480,0, 10482,640,480:waittimer 70296 blt 0,0,640,480,0, 10484,640,480:waittimer 70309 blt 0,0,640,480,0, 10486,640,480:waittimer 70323 blt 0,0,640,480,0, 10488,640,480:waittimer 70336 blt 0,0,640,480,0, 10490,640,480:waittimer 70350 blt 0,0,640,480,0, 10492,640,480:waittimer 70363 blt 0,0,640,480,0, 10494,640,480:waittimer 70377 blt 0,0,640,480,0, 10496,640,480:waittimer 70390 blt 0,0,640,480,0, 10498,640,480:waittimer 70403 blt 0,0,640,480,0, 10500,640,480:waittimer 70417 blt 0,0,640,480,0, 10502,640,480:waittimer 70430 blt 0,0,640,480,0, 10504,640,480:waittimer 70444 blt 0,0,640,480,0, 10506,640,480:waittimer 70457 blt 0,0,640,480,0, 10508,640,480:waittimer 70470 blt 0,0,640,480,0, 10510,640,480:waittimer 70484 blt 0,0,640,480,0, 10512,640,480:waittimer 70497 blt 0,0,640,480,0, 10514,640,480:waittimer 70511 blt 0,0,640,480,0, 10516,640,480:waittimer 70524 blt 0,0,640,480,0, 10518,640,480:waittimer 70538 blt 0,0,640,480,0, 10520,640,480:waittimer 70551 blt 0,0,640,480,0, 10522,640,480:waittimer 70564 blt 0,0,640,480,0, 10524,640,480:waittimer 70578 blt 0,0,640,480,0, 10526,640,480:waittimer 70591 blt 0,0,640,480,0, 10528,640,480:waittimer 70605 blt 0,0,640,480,0, 10530,640,480:waittimer 70618 blt 0,0,640,480,0, 10532,640,480:waittimer 70631 blt 0,0,640,480,0, 10534,640,480:waittimer 70645 blt 0,0,640,480,0, 10536,640,480:waittimer 70658 blt 0,0,640,480,0, 10538,640,480:waittimer 70672 blt 0,0,640,480,0, 10540,640,480:waittimer 70685 blt 0,0,640,480,0, 10542,640,480:waittimer 70698 blt 0,0,640,480,0, 10544,640,480:waittimer 70712 blt 0,0,640,480,0, 10546,640,480:waittimer 70725 blt 0,0,640,480,0, 10548,640,480:waittimer 70739 blt 0,0,640,480,0, 10550,640,480:waittimer 70752 blt 0,0,640,480,0, 10552,640,480:waittimer 70766 blt 0,0,640,480,0, 10554,640,480:waittimer 70779 blt 0,0,640,480,0, 10556,640,480:waittimer 70792 blt 0,0,640,480,0, 10558,640,480:waittimer 70806 blt 0,0,640,480,0, 10560,640,480:waittimer 70819 blt 0,0,640,480,0, 10562,640,480:waittimer 70833 blt 0,0,640,480,0, 10564,640,480:waittimer 70846 blt 0,0,640,480,0, 10566,640,480:waittimer 70859 blt 0,0,640,480,0, 10568,640,480:waittimer 70873 blt 0,0,640,480,0, 10570,640,480:waittimer 70886 blt 0,0,640,480,0, 10572,640,480:waittimer 70900 blt 0,0,640,480,0, 10574,640,480:waittimer 70913 blt 0,0,640,480,0, 10576,640,480:waittimer 70926 blt 0,0,640,480,0, 10578,640,480:waittimer 70940 blt 0,0,640,480,0, 10580,640,480:waittimer 70953 blt 0,0,640,480,0, 10582,640,480:waittimer 70967 blt 0,0,640,480,0, 10584,640,480:waittimer 70980 blt 0,0,640,480,0, 10586,640,480:waittimer 70994 blt 0,0,640,480,0, 10588,640,480:waittimer 71007 blt 0,0,640,480,0, 10590,640,480:waittimer 71020 blt 0,0,640,480,0, 10592,640,480:waittimer 71034 blt 0,0,640,480,0, 10594,640,480:waittimer 71047 blt 0,0,640,480,0, 10596,640,480:waittimer 71061 blt 0,0,640,480,0, 10598,640,480:waittimer 71074 blt 0,0,640,480,0, 10600,640,480:waittimer 71087 blt 0,0,640,480,0, 10602,640,480:waittimer 71101 blt 0,0,640,480,0, 10604,640,480:waittimer 71114 blt 0,0,640,480,0, 10606,640,480:waittimer 71128 blt 0,0,640,480,0, 10608,640,480:waittimer 71141 blt 0,0,640,480,0, 10610,640,480:waittimer 71154 blt 0,0,640,480,0, 10612,640,480:waittimer 71168 blt 0,0,640,480,0, 10614,640,480:waittimer 71181 blt 0,0,640,480,0, 10616,640,480:waittimer 71195 blt 0,0,640,480,0, 10618,640,480:waittimer 71208 blt 0,0,640,480,0, 10620,640,480:waittimer 71222 blt 0,0,640,480,0, 10622,640,480:waittimer 71235 blt 0,0,640,480,0, 10624,640,480:waittimer 71248 blt 0,0,640,480,0, 10626,640,480:waittimer 71262 blt 0,0,640,480,0, 10628,640,480:waittimer 71275 blt 0,0,640,480,0, 10630,640,480:waittimer 71289 blt 0,0,640,480,0, 10632,640,480:waittimer 71302 blt 0,0,640,480,0, 10634,640,480:waittimer 71315 blt 0,0,640,480,0, 10636,640,480:waittimer 71329 blt 0,0,640,480,0, 10638,640,480:waittimer 71342 blt 0,0,640,480,0, 10640,640,480:waittimer 71356 blt 0,0,640,480,0, 10642,640,480:waittimer 71369 blt 0,0,640,480,0, 10644,640,480:waittimer 71383 blt 0,0,640,480,0, 10646,640,480:waittimer 71396 blt 0,0,640,480,0, 10648,640,480:waittimer 71409 blt 0,0,640,480,0, 10650,640,480:waittimer 71423 blt 0,0,640,480,0, 10652,640,480:waittimer 71436 blt 0,0,640,480,0, 10654,640,480:waittimer 71450 blt 0,0,640,480,0, 10656,640,480:waittimer 71463 blt 0,0,640,480,0, 10658,640,480:waittimer 71476 blt 0,0,640,480,0, 10660,640,480:waittimer 71490 blt 0,0,640,480,0, 10662,640,480:waittimer 71503 blt 0,0,640,480,0, 10664,640,480:waittimer 71517 blt 0,0,640,480,0, 10666,640,480:waittimer 71530 blt 0,0,640,480,0, 10668,640,480:waittimer 71543 blt 0,0,640,480,0, 10670,640,480:waittimer 71557 blt 0,0,640,480,0, 10672,640,480:waittimer 71570 blt 0,0,640,480,0, 10674,640,480:waittimer 71584 blt 0,0,640,480,0, 10676,640,480:waittimer 71597 blt 0,0,640,480,0, 10678,640,480:waittimer 71611 blt 0,0,640,480,0, 10680,640,480:waittimer 71624 blt 0,0,640,480,0, 10682,640,480:waittimer 71637 blt 0,0,640,480,0, 10684,640,480:waittimer 71651 blt 0,0,640,480,0, 10686,640,480:waittimer 71664 blt 0,0,640,480,0, 10688,640,480:waittimer 71678 blt 0,0,640,480,0, 10690,640,480:waittimer 71691 blt 0,0,640,480,0, 10692,640,480:waittimer 71704 blt 0,0,640,480,0, 10694,640,480:waittimer 71718 blt 0,0,640,480,0, 10696,640,480:waittimer 71731 blt 0,0,640,480,0, 10698,640,480:waittimer 71745 blt 0,0,640,480,0, 10700,640,480:waittimer 71758 blt 0,0,640,480,0, 10702,640,480:waittimer 71771 blt 0,0,640,480,0, 10704,640,480:waittimer 71785 blt 0,0,640,480,0, 10706,640,480:waittimer 71798 blt 0,0,640,480,0, 10708,640,480:waittimer 71812 blt 0,0,640,480,0, 10710,640,480:waittimer 71825 blt 0,0,640,480,0, 10712,640,480:waittimer 71839 blt 0,0,640,480,0, 10714,640,480:waittimer 71852 blt 0,0,640,480,0, 10716,640,480:waittimer 71865 blt 0,0,640,480,0, 10718,640,480:waittimer 71879 blt 0,0,640,480,0, 10720,640,480:waittimer 71892 blt 0,0,640,480,0, 10722,640,480:waittimer 71906 blt 0,0,640,480,0, 10724,640,480:waittimer 71919 blt 0,0,640,480,0, 10726,640,480:waittimer 71932 blt 0,0,640,480,0, 10728,640,480:waittimer 71946 blt 0,0,640,480,0, 10730,640,480:waittimer 71959 blt 0,0,640,480,0, 10732,640,480:waittimer 71973 blt 0,0,640,480,0, 10734,640,480:waittimer 71986 blt 0,0,640,480,0, 10736,640,480:waittimer 72000 blt 0,0,640,480,0, 10738,640,480:waittimer 72013 blt 0,0,640,480,0, 10740,640,480:waittimer 72026 blt 0,0,640,480,0, 10742,640,480:waittimer 72040 blt 0,0,640,480,0, 10744,640,480:waittimer 72053 blt 0,0,640,480,0, 10746,640,480:waittimer 72067 blt 0,0,640,480,0, 10748,640,480:waittimer 72080 blt 0,0,640,480,0, 10750,640,480:waittimer 72093 blt 0,0,640,480,0, 10752,640,480:waittimer 72107 blt 0,0,640,480,0, 10754,640,480:waittimer 72120 blt 0,0,640,480,0, 10756,640,480:waittimer 72134 blt 0,0,640,480,0, 10758,640,480:waittimer 72147 blt 0,0,640,480,0, 10760,640,480:waittimer 72160 blt 0,0,640,480,0, 10762,640,480:waittimer 72174 blt 0,0,640,480,0, 10764,640,480:waittimer 72187 blt 0,0,640,480,0, 10766,640,480:waittimer 72201 blt 0,0,640,480,0, 10768,640,480:waittimer 72214 blt 0,0,640,480,0, 10770,640,480:waittimer 72228 blt 0,0,640,480,0, 10772,640,480:waittimer 72241 blt 0,0,640,480,0, 10774,640,480:waittimer 72254 blt 0,0,640,480,0, 10776,640,480:waittimer 72268 blt 0,0,640,480,0, 10778,640,480:waittimer 72281 blt 0,0,640,480,0, 10780,640,480:waittimer 72295 blt 0,0,640,480,0, 10782,640,480:waittimer 72308 blt 0,0,640,480,0, 10784,640,480:waittimer 72321 blt 0,0,640,480,0, 10786,640,480:waittimer 72335 blt 0,0,640,480,0, 10788,640,480:waittimer 72348 blt 0,0,640,480,0, 10790,640,480:waittimer 72362 blt 0,0,640,480,0, 10792,640,480:waittimer 72375 blt 0,0,640,480,0, 10794,640,480:waittimer 72388 blt 0,0,640,480,0, 10796,640,480:waittimer 72402 blt 0,0,640,480,0, 10798,640,480:waittimer 72415 blt 0,0,640,480,0, 10800,640,480:waittimer 72429 blt 0,0,640,480,0, 10802,640,480:waittimer 72442 blt 0,0,640,480,0, 10804,640,480:waittimer 72456 blt 0,0,640,480,0, 10806,640,480:waittimer 72469 blt 0,0,640,480,0, 10808,640,480:waittimer 72482 blt 0,0,640,480,0, 10810,640,480:waittimer 72496 blt 0,0,640,480,0, 10812,640,480:waittimer 72509 blt 0,0,640,480,0, 10814,640,480:waittimer 72523 blt 0,0,640,480,0, 10816,640,480:waittimer 72536 blt 0,0,640,480,0, 10818,640,480:waittimer 72549 blt 0,0,640,480,0, 10820,640,480:waittimer 72563 blt 0,0,640,480,0, 10822,640,480:waittimer 72576 blt 0,0,640,480,0, 10824,640,480:waittimer 72590 blt 0,0,640,480,0, 10826,640,480:waittimer 72603 blt 0,0,640,480,0, 10828,640,480:waittimer 72616 blt 0,0,640,480,0, 10830,640,480:waittimer 72630 blt 0,0,640,480,0, 10832,640,480:waittimer 72643 blt 0,0,640,480,0, 10834,640,480:waittimer 72657 blt 0,0,640,480,0, 10836,640,480:waittimer 72670 blt 0,0,640,480,0, 10838,640,480:waittimer 72684 blt 0,0,640,480,0, 10840,640,480:waittimer 72697 blt 0,0,640,480,0, 10842,640,480:waittimer 72710 blt 0,0,640,480,0, 10844,640,480:waittimer 72724 blt 0,0,640,480,0, 10846,640,480:waittimer 72737 blt 0,0,640,480,0, 10848,640,480:waittimer 72751 blt 0,0,640,480,0, 10850,640,480:waittimer 72764 blt 0,0,640,480,0, 10852,640,480:waittimer 72777 blt 0,0,640,480,0, 10854,640,480:waittimer 72791 blt 0,0,640,480,0, 10856,640,480:waittimer 72804 blt 0,0,640,480,0, 10858,640,480:waittimer 72818 blt 0,0,640,480,0, 10860,640,480:waittimer 72831 blt 0,0,640,480,0, 10862,640,480:waittimer 72845 blt 0,0,640,480,0, 10864,640,480:waittimer 72858 blt 0,0,640,480,0, 10866,640,480:waittimer 72871 blt 0,0,640,480,0, 10868,640,480:waittimer 72885 blt 0,0,640,480,0, 10870,640,480:waittimer 72898 blt 0,0,640,480,0, 10872,640,480:waittimer 72912 blt 0,0,640,480,0, 10874,640,480:waittimer 72925 blt 0,0,640,480,0, 10876,640,480:waittimer 72938 blt 0,0,640,480,0, 10878,640,480:waittimer 72952 blt 0,0,640,480,0, 10880,640,480:waittimer 72965 blt 0,0,640,480,0, 10882,640,480:waittimer 72979 blt 0,0,640,480,0, 10884,640,480:waittimer 72992 blt 0,0,640,480,0, 10886,640,480:waittimer 73005 blt 0,0,640,480,0, 10888,640,480:waittimer 73019 blt 0,0,640,480,0, 10890,640,480:waittimer 73032 blt 0,0,640,480,0, 10892,640,480:waittimer 73046 blt 0,0,640,480,0, 10894,640,480:waittimer 73059 blt 0,0,640,480,0, 10896,640,480:waittimer 73073 blt 0,0,640,480,0, 10898,640,480:waittimer 73086 blt 0,0,640,480,0, 10900,640,480:waittimer 73099 blt 0,0,640,480,0, 10902,640,480:waittimer 73113 blt 0,0,640,480,0, 10904,640,480:waittimer 73126 blt 0,0,640,480,0, 10906,640,480:waittimer 73140 blt 0,0,640,480,0, 10908,640,480:waittimer 73153 blt 0,0,640,480,0, 10910,640,480:waittimer 73166 blt 0,0,640,480,0, 10912,640,480:waittimer 73180 blt 0,0,640,480,0, 10914,640,480:waittimer 73193 blt 0,0,640,480,0, 10916,640,480:waittimer 73207 blt 0,0,640,480,0, 10918,640,480:waittimer 73220 blt 0,0,640,480,0, 10920,640,480:waittimer 73233 blt 0,0,640,480,0, 10922,640,480:waittimer 73247 blt 0,0,640,480,0, 10924,640,480:waittimer 73260 blt 0,0,640,480,0, 10926,640,480:waittimer 73274 blt 0,0,640,480,0, 10928,640,480:waittimer 73287 blt 0,0,640,480,0, 10930,640,480:waittimer 73301 blt 0,0,640,480,0, 10932,640,480:waittimer 73314 blt 0,0,640,480,0, 10934,640,480:waittimer 73327 blt 0,0,640,480,0, 10936,640,480:waittimer 73341 blt 0,0,640,480,0, 10938,640,480:waittimer 73354 blt 0,0,640,480,0, 10940,640,480:waittimer 73368 blt 0,0,640,480,0, 10942,640,480:waittimer 73381 blt 0,0,640,480,0, 10944,640,480:waittimer 73394 blt 0,0,640,480,0, 10946,640,480:waittimer 73408 blt 0,0,640,480,0, 10948,640,480:waittimer 73421 blt 0,0,640,480,0, 10950,640,480:waittimer 73435 blt 0,0,640,480,0, 10952,640,480:waittimer 73448 blt 0,0,640,480,0, 10954,640,480:waittimer 73461 blt 0,0,640,480,0, 10956,640,480:waittimer 73475 blt 0,0,640,480,0, 10958,640,480:waittimer 73488 blt 0,0,640,480,0, 10960,640,480:waittimer 73502 blt 0,0,640,480,0, 10962,640,480:waittimer 73515 blt 0,0,640,480,0, 10964,640,480:waittimer 73529 blt 0,0,640,480,0, 10966,640,480:waittimer 73542 blt 0,0,640,480,0, 10968,640,480:waittimer 73555 blt 0,0,640,480,0, 10970,640,480:waittimer 73569 blt 0,0,640,480,0, 10972,640,480:waittimer 73582 blt 0,0,640,480,0, 10974,640,480:waittimer 73596 blt 0,0,640,480,0, 10976,640,480:waittimer 73609 blt 0,0,640,480,0, 10978,640,480:waittimer 73622 blt 0,0,640,480,0, 10980,640,480:waittimer 73636 blt 0,0,640,480,0, 10982,640,480:waittimer 73649 blt 0,0,640,480,0, 10984,640,480:waittimer 73663 blt 0,0,640,480,0, 10986,640,480:waittimer 73676 blt 0,0,640,480,0, 10988,640,480:waittimer 73690 blt 0,0,640,480,0, 10990,640,480:waittimer 73703 blt 0,0,640,480,0, 10992,640,480:waittimer 73716 blt 0,0,640,480,0, 10994,640,480:waittimer 73730 blt 0,0,640,480,0, 10996,640,480:waittimer 73743 blt 0,0,640,480,0, 10998,640,480:waittimer 73757 blt 0,0,640,480,0, 11000,640,480:waittimer 73770 blt 0,0,640,480,0, 11002,640,480:waittimer 73783 blt 0,0,640,480,0, 11004,640,480:waittimer 73797 blt 0,0,640,480,0, 11006,640,480:waittimer 73810 blt 0,0,640,480,0, 11008,640,480:waittimer 73824 blt 0,0,640,480,0, 11010,640,480:waittimer 73837 blt 0,0,640,480,0, 11012,640,480:waittimer 73850 blt 0,0,640,480,0, 11014,640,480:waittimer 73864 blt 0,0,640,480,0, 11016,640,480:waittimer 73877 blt 0,0,640,480,0, 11018,640,480:waittimer 73891 blt 0,0,640,480,0, 11020,640,480:waittimer 73904 blt 0,0,640,480,0, 11022,640,480:waittimer 73918 blt 0,0,640,480,0, 11024,640,480:waittimer 73931 blt 0,0,640,480,0, 11026,640,480:waittimer 73944 blt 0,0,640,480,0, 11028,640,480:waittimer 73958 blt 0,0,640,480,0, 11030,640,480:waittimer 73971 blt 0,0,640,480,0, 11032,640,480:waittimer 73985 blt 0,0,640,480,0, 11034,640,480:waittimer 73998 blt 0,0,640,480,0, 11036,640,480:waittimer 74011 blt 0,0,640,480,0, 11038,640,480:waittimer 74025 blt 0,0,640,480,0, 11040,640,480:waittimer 74038 blt 0,0,640,480,0, 11042,640,480:waittimer 74052 blt 0,0,640,480,0, 11044,640,480:waittimer 74065 blt 0,0,640,480,0, 11046,640,480:waittimer 74078 blt 0,0,640,480,0, 11048,640,480:waittimer 74092 blt 0,0,640,480,0, 11050,640,480:waittimer 74105 blt 0,0,640,480,0, 11052,640,480:waittimer 74119 blt 0,0,640,480,0, 11054,640,480:waittimer 74132 blt 0,0,640,480,0, 11056,640,480:waittimer 74146 blt 0,0,640,480,0, 11058,640,480:waittimer 74159 blt 0,0,640,480,0, 11060,640,480:waittimer 74172 blt 0,0,640,480,0, 11062,640,480:waittimer 74186 blt 0,0,640,480,0, 11064,640,480:waittimer 74199 blt 0,0,640,480,0, 11066,640,480:waittimer 74213 blt 0,0,640,480,0, 11068,640,480:waittimer 74226 blt 0,0,640,480,0, 11070,640,480:waittimer 74239 blt 0,0,640,480,0, 11072,640,480:waittimer 74253 blt 0,0,640,480,0, 11074,640,480:waittimer 74266 blt 0,0,640,480,0, 11076,640,480:waittimer 74280 blt 0,0,640,480,0, 11078,640,480:waittimer 74293 blt 0,0,640,480,0, 11080,640,480:waittimer 74307 blt 0,0,640,480,0, 11082,640,480:waittimer 74320 blt 0,0,640,480,0, 11084,640,480:waittimer 74333 blt 0,0,640,480,0, 11086,640,480:waittimer 74347 blt 0,0,640,480,0, 11088,640,480:waittimer 74360 blt 0,0,640,480,0, 11090,640,480:waittimer 74374 blt 0,0,640,480,0, 11092,640,480:waittimer 74387 blt 0,0,640,480,0, 11094,640,480:waittimer 74400 blt 0,0,640,480,0, 11096,640,480:waittimer 74414 blt 0,0,640,480,0, 11098,640,480:waittimer 74427 blt 0,0,640,480,0, 11100,640,480:waittimer 74441 blt 0,0,640,480,0, 11102,640,480:waittimer 74454 blt 0,0,640,480,0, 11104,640,480:waittimer 74467 blt 0,0,640,480,0, 11106,640,480:waittimer 74481 blt 0,0,640,480,0, 11108,640,480:waittimer 74494 blt 0,0,640,480,0, 11110,640,480:waittimer 74508 blt 0,0,640,480,0, 11112,640,480:waittimer 74521 blt 0,0,640,480,0, 11114,640,480:waittimer 74535 blt 0,0,640,480,0, 11116,640,480:waittimer 74548 blt 0,0,640,480,0, 11118,640,480:waittimer 74561 blt 0,0,640,480,0, 11120,640,480:waittimer 74575 blt 0,0,640,480,0, 11122,640,480:waittimer 74588 blt 0,0,640,480,0, 11124,640,480:waittimer 74602 blt 0,0,640,480,0, 11126,640,480:waittimer 74615 blt 0,0,640,480,0, 11128,640,480:waittimer 74628 blt 0,0,640,480,0, 11130,640,480:waittimer 74642 blt 0,0,640,480,0, 11132,640,480:waittimer 74655 blt 0,0,640,480,0, 11134,640,480:waittimer 74669 blt 0,0,640,480,0, 11136,640,480:waittimer 74682 blt 0,0,640,480,0, 11138,640,480:waittimer 74695 blt 0,0,640,480,0, 11140,640,480:waittimer 74709 blt 0,0,640,480,0, 11142,640,480:waittimer 74722 blt 0,0,640,480,0, 11144,640,480:waittimer 74736 blt 0,0,640,480,0, 11146,640,480:waittimer 74749 blt 0,0,640,480,0, 11148,640,480:waittimer 74763 blt 0,0,640,480,0, 11150,640,480:waittimer 74776 blt 0,0,640,480,0, 11152,640,480:waittimer 74789 blt 0,0,640,480,0, 11154,640,480:waittimer 74803 blt 0,0,640,480,0, 11156,640,480:waittimer 74816 blt 0,0,640,480,0, 11158,640,480:waittimer 74830 blt 0,0,640,480,0, 11160,640,480:waittimer 74843 blt 0,0,640,480,0, 11162,640,480:waittimer 74856 blt 0,0,640,480,0, 11164,640,480:waittimer 74870 blt 0,0,640,480,0, 11166,640,480:waittimer 74883 blt 0,0,640,480,0, 11168,640,480:waittimer 74897 blt 0,0,640,480,0, 11170,640,480:waittimer 74910 blt 0,0,640,480,0, 11172,640,480:waittimer 74923 blt 0,0,640,480,0, 11174,640,480:waittimer 74937 blt 0,0,640,480,0, 11176,640,480:waittimer 74950 blt 0,0,640,480,0, 11178,640,480:waittimer 74964 blt 0,0,640,480,0, 11180,640,480:waittimer 74977 blt 0,0,640,480,0, 11182,640,480:waittimer 74991 blt 0,0,640,480,0, 11184,640,480:waittimer 75004 blt 0,0,640,480,0, 11186,640,480:waittimer 75017 blt 0,0,640,480,0, 11188,640,480:waittimer 75031 blt 0,0,640,480,0, 11190,640,480:waittimer 75044 blt 0,0,640,480,0, 11192,640,480:waittimer 75058 blt 0,0,640,480,0, 11194,640,480:waittimer 75071 blt 0,0,640,480,0, 11196,640,480:waittimer 75084 blt 0,0,640,480,0, 11198,640,480:waittimer 75098 blt 0,0,640,480,0, 11200,640,480:waittimer 75111 blt 0,0,640,480,0, 11202,640,480:waittimer 75125 blt 0,0,640,480,0, 11204,640,480:waittimer 75138 blt 0,0,640,480,0, 11206,640,480:waittimer 75152 blt 0,0,640,480,0, 11208,640,480:waittimer 75165 blt 0,0,640,480,0, 11210,640,480:waittimer 75178 blt 0,0,640,480,0, 11212,640,480:waittimer 75192 blt 0,0,640,480,0, 11214,640,480:waittimer 75205 blt 0,0,640,480,0, 11216,640,480:waittimer 75219 blt 0,0,640,480,0, 11218,640,480:waittimer 75232 blt 0,0,640,480,0, 11220,640,480:waittimer 75245 blt 0,0,640,480,0, 11222,640,480:waittimer 75259 blt 0,0,640,480,0, 11224,640,480:waittimer 75272 blt 0,0,640,480,0, 11226,640,480:waittimer 75286 blt 0,0,640,480,0, 11228,640,480:waittimer 75299 blt 0,0,640,480,0, 11230,640,480:waittimer 75312 blt 0,0,640,480,0, 11232,640,480:waittimer 75326 blt 0,0,640,480,0, 11234,640,480:waittimer 75339 blt 0,0,640,480,0, 11236,640,480:waittimer 75353 blt 0,0,640,480,0, 11238,640,480:waittimer 75366 blt 0,0,640,480,0, 11240,640,480:waittimer 75380 blt 0,0,640,480,0, 11242,640,480:waittimer 75393 blt 0,0,640,480,0, 11244,640,480:waittimer 75406 blt 0,0,640,480,0, 11246,640,480:waittimer 75420 blt 0,0,640,480,0, 11248,640,480:waittimer 75433 blt 0,0,640,480,0, 11250,640,480:waittimer 75447 blt 0,0,640,480,0, 11252,640,480:waittimer 75460 blt 0,0,640,480,0, 11254,640,480:waittimer 75473 blt 0,0,640,480,0, 11256,640,480:waittimer 75487 blt 0,0,640,480,0, 11258,640,480:waittimer 75500 blt 0,0,640,480,0, 11260,640,480:waittimer 75514 blt 0,0,640,480,0, 11262,640,480:waittimer 75527 blt 0,0,640,480,0, 11264,640,480:waittimer 75540 blt 0,0,640,480,0, 11266,640,480:waittimer 75554 blt 0,0,640,480,0, 11268,640,480:waittimer 75567 blt 0,0,640,480,0, 11270,640,480:waittimer 75581 blt 0,0,640,480,0, 11272,640,480:waittimer 75594 blt 0,0,640,480,0, 11274,640,480:waittimer 75608 blt 0,0,640,480,0, 11276,640,480:waittimer 75621 blt 0,0,640,480,0, 11278,640,480:waittimer 75634 blt 0,0,640,480,0, 11280,640,480:waittimer 75648 blt 0,0,640,480,0, 11282,640,480:waittimer 75661 blt 0,0,640,480,0, 11284,640,480:waittimer 75675 blt 0,0,640,480,0, 11286,640,480:waittimer 75688 blt 0,0,640,480,0, 11288,640,480:waittimer 75701 blt 0,0,640,480,0, 11290,640,480:waittimer 75715 blt 0,0,640,480,0, 11292,640,480:waittimer 75728 blt 0,0,640,480,0, 11294,640,480:waittimer 75742 blt 0,0,640,480,0, 11296,640,480:waittimer 75755 blt 0,0,640,480,0, 11298,640,480:waittimer 75769 blt 0,0,640,480,0, 11300,640,480:waittimer 75782 blt 0,0,640,480,0, 11302,640,480:waittimer 75795 blt 0,0,640,480,0, 11304,640,480:waittimer 75809 blt 0,0,640,480,0, 11306,640,480:waittimer 75822 blt 0,0,640,480,0, 11308,640,480:waittimer 75836 blt 0,0,640,480,0, 11310,640,480:waittimer 75849 blt 0,0,640,480,0, 11312,640,480:waittimer 75862 blt 0,0,640,480,0, 11314,640,480:waittimer 75876 blt 0,0,640,480,0, 11316,640,480:waittimer 75889 blt 0,0,640,480,0, 11318,640,480:waittimer 75903 blt 0,0,640,480,0, 11320,640,480:waittimer 75916 blt 0,0,640,480,0, 11322,640,480:waittimer 75929 blt 0,0,640,480,0, 11324,640,480:waittimer 75943 blt 0,0,640,480,0, 11326,640,480:waittimer 75956 blt 0,0,640,480,0, 11328,640,480:waittimer 75970 blt 0,0,640,480,0, 11330,640,480:waittimer 75983 blt 0,0,640,480,0, 11332,640,480:waittimer 75997 blt 0,0,640,480,0, 11334,640,480:waittimer 76010 blt 0,0,640,480,0, 11336,640,480:waittimer 76023 blt 0,0,640,480,0, 11338,640,480:waittimer 76037 blt 0,0,640,480,0, 11340,640,480:waittimer 76050 blt 0,0,640,480,0, 11342,640,480:waittimer 76064 blt 0,0,640,480,0, 11344,640,480:waittimer 76077 blt 0,0,640,480,0, 11346,640,480:waittimer 76090 blt 0,0,640,480,0, 11348,640,480:waittimer 76104 blt 0,0,640,480,0, 11350,640,480:waittimer 76117 blt 0,0,640,480,0, 11352,640,480:waittimer 76131 blt 0,0,640,480,0, 11354,640,480:waittimer 76144 blt 0,0,640,480,0, 11356,640,480:waittimer 76157 blt 0,0,640,480,0, 11358,640,480:waittimer 76171 blt 0,0,640,480,0, 11360,640,480:waittimer 76184 blt 0,0,640,480,0, 11362,640,480:waittimer 76198 blt 0,0,640,480,0, 11364,640,480:waittimer 76211 blt 0,0,640,480,0, 11366,640,480:waittimer 76225 blt 0,0,640,480,0, 11368,640,480:waittimer 76238 blt 0,0,640,480,0, 11370,640,480:waittimer 76251 blt 0,0,640,480,0, 11372,640,480:waittimer 76265 blt 0,0,640,480,0, 11374,640,480:waittimer 76278 blt 0,0,640,480,0, 11376,640,480:waittimer 76292 blt 0,0,640,480,0, 11378,640,480:waittimer 76305 blt 0,0,640,480,0, 11380,640,480:waittimer 76318 blt 0,0,640,480,0, 11382,640,480:waittimer 76332 blt 0,0,640,480,0, 11384,640,480:waittimer 76345 blt 0,0,640,480,0, 11386,640,480:waittimer 76359 blt 0,0,640,480,0, 11388,640,480:waittimer 76372 blt 0,0,640,480,0, 11390,640,480:waittimer 76385 blt 0,0,640,480,0, 11392,640,480:waittimer 76399 blt 0,0,640,480,0, 11394,640,480:waittimer 76412 blt 0,0,640,480,0, 11396,640,480:waittimer 76426 blt 0,0,640,480,0, 11398,640,480:waittimer 76439 blt 0,0,640,480,0, 11400,640,480:waittimer 76453 blt 0,0,640,480,0, 11402,640,480:waittimer 76466 blt 0,0,640,480,0, 11404,640,480:waittimer 76479 blt 0,0,640,480,0, 11406,640,480:waittimer 76493 blt 0,0,640,480,0, 11408,640,480:waittimer 76506 blt 0,0,640,480,0, 11410,640,480:waittimer 76520 blt 0,0,640,480,0, 11412,640,480:waittimer 76533 blt 0,0,640,480,0, 11414,640,480:waittimer 76546 blt 0,0,640,480,0, 11416,640,480:waittimer 76560 blt 0,0,640,480,0, 11418,640,480:waittimer 76573 blt 0,0,640,480,0, 11420,640,480:waittimer 76587 blt 0,0,640,480,0, 11422,640,480:waittimer 76600 blt 0,0,640,480,0, 11424,640,480:waittimer 76614 blt 0,0,640,480,0, 11426,640,480:waittimer 76627 blt 0,0,640,480,0, 11428,640,480:waittimer 76640 blt 0,0,640,480,0, 11430,640,480:waittimer 76654 blt 0,0,640,480,0, 11432,640,480:waittimer 76667 blt 0,0,640,480,0, 11434,640,480:waittimer 76681 blt 0,0,640,480,0, 11436,640,480:waittimer 76694 blt 0,0,640,480,0, 11438,640,480:waittimer 76707 blt 0,0,640,480,0, 11440,640,480:waittimer 76721 blt 0,0,640,480,0, 11442,640,480:waittimer 76734 blt 0,0,640,480,0, 11444,640,480:waittimer 76748 blt 0,0,640,480,0, 11446,640,480:waittimer 76761 blt 0,0,640,480,0, 11448,640,480:waittimer 76774 blt 0,0,640,480,0, 11450,640,480:waittimer 76788 blt 0,0,640,480,0, 11452,640,480:waittimer 76801 blt 0,0,640,480,0, 11454,640,480:waittimer 76815 blt 0,0,640,480,0, 11456,640,480:waittimer 76828 blt 0,0,640,480,0, 11458,640,480:waittimer 76842 blt 0,0,640,480,0, 11460,640,480:waittimer 76855 blt 0,0,640,480,0, 11462,640,480:waittimer 76868 blt 0,0,640,480,0, 11464,640,480:waittimer 76882 blt 0,0,640,480,0, 11466,640,480:waittimer 76895 blt 0,0,640,480,0, 11468,640,480:waittimer 76909 blt 0,0,640,480,0, 11470,640,480:waittimer 76922 blt 0,0,640,480,0, 11472,640,480:waittimer 76935 blt 0,0,640,480,0, 11474,640,480:waittimer 76949 blt 0,0,640,480,0, 11476,640,480:waittimer 76962 blt 0,0,640,480,0, 11478,640,480:waittimer 76976 blt 0,0,640,480,0, 11480,640,480:waittimer 76989 blt 0,0,640,480,0, 11482,640,480:waittimer 77002 blt 0,0,640,480,0, 11484,640,480:waittimer 77016 blt 0,0,640,480,0, 11486,640,480:waittimer 77029 blt 0,0,640,480,0, 11488,640,480:waittimer 77043 blt 0,0,640,480,0, 11490,640,480:waittimer 77056 blt 0,0,640,480,0, 11492,640,480:waittimer 77070 blt 0,0,640,480,0, 11494,640,480:waittimer 77083 blt 0,0,640,480,0, 11496,640,480:waittimer 77096 blt 0,0,640,480,0, 11498,640,480:waittimer 77110 blt 0,0,640,480,0, 11500,640,480:waittimer 77123 blt 0,0,640,480,0, 11502,640,480:waittimer 77137 blt 0,0,640,480,0, 11504,640,480:waittimer 77150 blt 0,0,640,480,0, 11506,640,480:waittimer 77163 blt 0,0,640,480,0, 11508,640,480:waittimer 77177 blt 0,0,640,480,0, 11510,640,480:waittimer 77190 blt 0,0,640,480,0, 11512,640,480:waittimer 77204 blt 0,0,640,480,0, 11514,640,480:waittimer 77217 blt 0,0,640,480,0, 11516,640,480:waittimer 77231 blt 0,0,640,480,0, 11518,640,480:waittimer 77244 blt 0,0,640,480,0, 11520,640,480:waittimer 77257 blt 0,0,640,480,0, 11522,640,480:waittimer 77271 blt 0,0,640,480,0, 11524,640,480:waittimer 77284 blt 0,0,640,480,0, 11526,640,480:waittimer 77298 blt 0,0,640,480,0, 11528,640,480:waittimer 77311 blt 0,0,640,480,0, 11530,640,480:waittimer 77324 blt 0,0,640,480,0, 11532,640,480:waittimer 77338 blt 0,0,640,480,0, 11534,640,480:waittimer 77351 blt 0,0,640,480,0, 11536,640,480:waittimer 77365 blt 0,0,640,480,0, 11538,640,480:waittimer 77378 blt 0,0,640,480,0, 11540,640,480:waittimer 77391 blt 0,0,640,480,0, 11542,640,480:waittimer 77405 blt 0,0,640,480,0, 11544,640,480:waittimer 77418 blt 0,0,640,480,0, 11546,640,480:waittimer 77432 blt 0,0,640,480,0, 11548,640,480:waittimer 77445 blt 0,0,640,480,0, 11550,640,480:waittimer 77459 blt 0,0,640,480,0, 11552,640,480:waittimer 77472 blt 0,0,640,480,0, 11554,640,480:waittimer 77485 blt 0,0,640,480,0, 11556,640,480:waittimer 77499 blt 0,0,640,480,0, 11558,640,480:waittimer 77512 blt 0,0,640,480,0, 11560,640,480:waittimer 77526 blt 0,0,640,480,0, 11562,640,480:waittimer 77539 blt 0,0,640,480,0, 11564,640,480:waittimer 77552 blt 0,0,640,480,0, 11566,640,480:waittimer 77566 blt 0,0,640,480,0, 11568,640,480:waittimer 77579 blt 0,0,640,480,0, 11570,640,480:waittimer 77593 blt 0,0,640,480,0, 11572,640,480:waittimer 77606 blt 0,0,640,480,0, 11574,640,480:waittimer 77619 blt 0,0,640,480,0, 11576,640,480:waittimer 77633 blt 0,0,640,480,0, 11578,640,480:waittimer 77646 blt 0,0,640,480,0, 11580,640,480:waittimer 77660 blt 0,0,640,480,0, 11582,640,480:waittimer 77673 blt 0,0,640,480,0, 11584,640,480:waittimer 77687 blt 0,0,640,480,0, 11586,640,480:waittimer 77700 blt 0,0,640,480,0, 11588,640,480:waittimer 77713 blt 0,0,640,480,0, 11590,640,480:waittimer 77727 blt 0,0,640,480,0, 11592,640,480:waittimer 77740 blt 0,0,640,480,0, 11594,640,480:waittimer 77754 blt 0,0,640,480,0, 11596,640,480:waittimer 77767 blt 0,0,640,480,0, 11598,640,480:waittimer 77780 blt 0,0,640,480,0, 11600,640,480:waittimer 77794 blt 0,0,640,480,0, 11602,640,480:waittimer 77807 blt 0,0,640,480,0, 11604,640,480:waittimer 77821 blt 0,0,640,480,0, 11606,640,480:waittimer 77834 blt 0,0,640,480,0, 11608,640,480:waittimer 77847 blt 0,0,640,480,0, 11610,640,480:waittimer 77861 blt 0,0,640,480,0, 11612,640,480:waittimer 77874 blt 0,0,640,480,0, 11614,640,480:waittimer 77888 blt 0,0,640,480,0, 11616,640,480:waittimer 77901 blt 0,0,640,480,0, 11618,640,480:waittimer 77915 blt 0,0,640,480,0, 11620,640,480:waittimer 77928 blt 0,0,640,480,0, 11622,640,480:waittimer 77941 blt 0,0,640,480,0, 11624,640,480:waittimer 77955 blt 0,0,640,480,0, 11626,640,480:waittimer 77968 blt 0,0,640,480,0, 11628,640,480:waittimer 77982 blt 0,0,640,480,0, 11630,640,480:waittimer 77995 blt 0,0,640,480,0, 11632,640,480:waittimer 78008 blt 0,0,640,480,0, 11634,640,480:waittimer 78022 blt 0,0,640,480,0, 11636,640,480:waittimer 78035 blt 0,0,640,480,0, 11638,640,480:waittimer 78049 blt 0,0,640,480,0, 11640,640,480:waittimer 78062 blt 0,0,640,480,0, 11642,640,480:waittimer 78076 blt 0,0,640,480,0, 11644,640,480:waittimer 78089 blt 0,0,640,480,0, 11646,640,480:waittimer 78102 blt 0,0,640,480,0, 11648,640,480:waittimer 78116 blt 0,0,640,480,0, 11650,640,480:waittimer 78129 blt 0,0,640,480,0, 11652,640,480:waittimer 78143 blt 0,0,640,480,0, 11654,640,480:waittimer 78156 blt 0,0,640,480,0, 11656,640,480:waittimer 78169 blt 0,0,640,480,0, 11658,640,480:waittimer 78183 blt 0,0,640,480,0, 11660,640,480:waittimer 78196 blt 0,0,640,480,0, 11662,640,480:waittimer 78210 blt 0,0,640,480,0, 11664,640,480:waittimer 78223 blt 0,0,640,480,0, 11666,640,480:waittimer 78236 blt 0,0,640,480,0, 11668,640,480:waittimer 78250 blt 0,0,640,480,0, 11670,640,480:waittimer 78263 blt 0,0,640,480,0, 11672,640,480:waittimer 78277 blt 0,0,640,480,0, 11674,640,480:waittimer 78290 blt 0,0,640,480,0, 11676,640,480:waittimer 78304 blt 0,0,640,480,0, 11678,640,480:waittimer 78317 blt 0,0,640,480,0, 11680,640,480:waittimer 78330 blt 0,0,640,480,0, 11682,640,480:waittimer 78344 blt 0,0,640,480,0, 11684,640,480:waittimer 78357 blt 0,0,640,480,0, 11686,640,480:waittimer 78371 blt 0,0,640,480,0, 11688,640,480:waittimer 78384 blt 0,0,640,480,0, 11690,640,480:waittimer 78397 blt 0,0,640,480,0, 11692,640,480:waittimer 78411 blt 0,0,640,480,0, 11694,640,480:waittimer 78424 blt 0,0,640,480,0, 11696,640,480:waittimer 78438 blt 0,0,640,480,0, 11698,640,480:waittimer 78451 blt 0,0,640,480,0, 11700,640,480:waittimer 78464 blt 0,0,640,480,0, 11702,640,480:waittimer 78478 blt 0,0,640,480,0, 11704,640,480:waittimer 78491 blt 0,0,640,480,0, 11706,640,480:waittimer 78505 blt 0,0,640,480,0, 11708,640,480:waittimer 78518 blt 0,0,640,480,0, 11710,640,480:waittimer 78532 blt 0,0,640,480,0, 11712,640,480:waittimer 78545 blt 0,0,640,480,0, 11714,640,480:waittimer 78558 blt 0,0,640,480,0, 11716,640,480:waittimer 78572 blt 0,0,640,480,0, 11718,640,480:waittimer 78585 blt 0,0,640,480,0, 11720,640,480:waittimer 78599 blt 0,0,640,480,0, 11722,640,480:waittimer 78612 blt 0,0,640,480,0, 11724,640,480:waittimer 78625 blt 0,0,640,480,0, 11726,640,480:waittimer 78639 blt 0,0,640,480,0, 11728,640,480:waittimer 78652 blt 0,0,640,480,0, 11730,640,480:waittimer 78666 blt 0,0,640,480,0, 11732,640,480:waittimer 78679 blt 0,0,640,480,0, 11734,640,480:waittimer 78692 blt 0,0,640,480,0, 11736,640,480:waittimer 78706 blt 0,0,640,480,0, 11738,640,480:waittimer 78719 blt 0,0,640,480,0, 11740,640,480:waittimer 78733 blt 0,0,640,480,0, 11742,640,480:waittimer 78746 blt 0,0,640,480,0, 11744,640,480:waittimer 78760 blt 0,0,640,480,0, 11746,640,480:waittimer 78773 blt 0,0,640,480,0, 11748,640,480:waittimer 78786 blt 0,0,640,480,0, 11750,640,480:waittimer 78800 blt 0,0,640,480,0, 11752,640,480:waittimer 78813 blt 0,0,640,480,0, 11754,640,480:waittimer 78827 blt 0,0,640,480,0, 11756,640,480:waittimer 78840 blt 0,0,640,480,0, 11758,640,480:waittimer 78853 blt 0,0,640,480,0, 11760,640,480:waittimer 78867 blt 0,0,640,480,0, 11762,640,480:waittimer 78880 blt 0,0,640,480,0, 11764,640,480:waittimer 78894 blt 0,0,640,480,0, 11766,640,480:waittimer 78907 blt 0,0,640,480,0, 11768,640,480:waittimer 78921 blt 0,0,640,480,0, 11770,640,480:waittimer 78934 blt 0,0,640,480,0, 11772,640,480:waittimer 78947 blt 0,0,640,480,0, 11774,640,480:waittimer 78961 blt 0,0,640,480,0, 11776,640,480:waittimer 78974 blt 0,0,640,480,0, 11778,640,480:waittimer 78988 blt 0,0,640,480,0, 11780,640,480:waittimer 79001 blt 0,0,640,480,0, 11782,640,480:waittimer 79014 blt 0,0,640,480,0, 11784,640,480:waittimer 79028 blt 0,0,640,480,0, 11786,640,480:waittimer 79041 blt 0,0,640,480,0, 11788,640,480:waittimer 79055 blt 0,0,640,480,0, 11790,640,480:waittimer 79068 blt 0,0,640,480,0, 11792,640,480:waittimer 79081 blt 0,0,640,480,0, 11794,640,480:waittimer 79095 blt 0,0,640,480,0, 11796,640,480:waittimer 79108 blt 0,0,640,480,0, 11798,640,480:waittimer 79122 blt 0,0,640,480,0, 11800,640,480:waittimer 79135 blt 0,0,640,480,0, 11802,640,480:waittimer 79149 blt 0,0,640,480,0, 11804,640,480:waittimer 79162 blt 0,0,640,480,0, 11806,640,480:waittimer 79175 blt 0,0,640,480,0, 11808,640,480:waittimer 79189 blt 0,0,640,480,0, 11810,640,480:waittimer 79202 blt 0,0,640,480,0, 11812,640,480:waittimer 79216 blt 0,0,640,480,0, 11814,640,480:waittimer 79229 blt 0,0,640,480,0, 11816,640,480:waittimer 79242 blt 0,0,640,480,0, 11818,640,480:waittimer 79256 blt 0,0,640,480,0, 11820,640,480:waittimer 79269 blt 0,0,640,480,0, 11822,640,480:waittimer 79283 blt 0,0,640,480,0, 11824,640,480:waittimer 79296 blt 0,0,640,480,0, 11826,640,480:waittimer 79309 blt 0,0,640,480,0, 11828,640,480:waittimer 79323 blt 0,0,640,480,0, 11830,640,480:waittimer 79336 blt 0,0,640,480,0, 11832,640,480:waittimer 79350 blt 0,0,640,480,0, 11834,640,480:waittimer 79363 blt 0,0,640,480,0, 11836,640,480:waittimer 79377 blt 0,0,640,480,0, 11838,640,480:waittimer 79390 blt 0,0,640,480,0, 11840,640,480:waittimer 79403 blt 0,0,640,480,0, 11842,640,480:waittimer 79417 blt 0,0,640,480,0, 11844,640,480:waittimer 79430 blt 0,0,640,480,0, 11846,640,480:waittimer 79444 blt 0,0,640,480,0, 11848,640,480:waittimer 79457 blt 0,0,640,480,0, 11850,640,480:waittimer 79470 blt 0,0,640,480,0, 11852,640,480:waittimer 79484 blt 0,0,640,480,0, 11854,640,480:waittimer 79497 blt 0,0,640,480,0, 11856,640,480:waittimer 79511 blt 0,0,640,480,0, 11858,640,480:waittimer 79524 blt 0,0,640,480,0, 11860,640,480:waittimer 79538 blt 0,0,640,480,0, 11862,640,480:waittimer 79551 blt 0,0,640,480,0, 11864,640,480:waittimer 79564 blt 0,0,640,480,0, 11866,640,480:waittimer 79578 blt 0,0,640,480,0, 11868,640,480:waittimer 79591 blt 0,0,640,480,0, 11870,640,480:waittimer 79605 blt 0,0,640,480,0, 11872,640,480:waittimer 79618 blt 0,0,640,480,0, 11874,640,480:waittimer 79631 blt 0,0,640,480,0, 11876,640,480:waittimer 79645 blt 0,0,640,480,0, 11878,640,480:waittimer 79658 blt 0,0,640,480,0, 11880,640,480:waittimer 79672 blt 0,0,640,480,0, 11882,640,480:waittimer 79685 blt 0,0,640,480,0, 11884,640,480:waittimer 79698 blt 0,0,640,480,0, 11886,640,480:waittimer 79712 blt 0,0,640,480,0, 11888,640,480:waittimer 79725 blt 0,0,640,480,0, 11890,640,480:waittimer 79739 blt 0,0,640,480,0, 11892,640,480:waittimer 79752 blt 0,0,640,480,0, 11894,640,480:waittimer 79766 blt 0,0,640,480,0, 11896,640,480:waittimer 79779 blt 0,0,640,480,0, 11898,640,480:waittimer 79792 blt 0,0,640,480,0, 11900,640,480:waittimer 79806 blt 0,0,640,480,0, 11902,640,480:waittimer 79819 blt 0,0,640,480,0, 11904,640,480:waittimer 79833 blt 0,0,640,480,0, 11906,640,480:waittimer 79846 blt 0,0,640,480,0, 11908,640,480:waittimer 79859 blt 0,0,640,480,0, 11910,640,480:waittimer 79873 blt 0,0,640,480,0, 11912,640,480:waittimer 79886 blt 0,0,640,480,0, 11914,640,480:waittimer 79900 blt 0,0,640,480,0, 11916,640,480:waittimer 79913 blt 0,0,640,480,0, 11918,640,480:waittimer 79926 blt 0,0,640,480,0, 11920,640,480:waittimer 79940 blt 0,0,640,480,0, 11922,640,480:waittimer 79953 blt 0,0,640,480,0, 11924,640,480:waittimer 79967 blt 0,0,640,480,0, 11926,640,480:waittimer 79980 blt 0,0,640,480,0, 11928,640,480:waittimer 79994 blt 0,0,640,480,0, 11930,640,480:waittimer 80007 blt 0,0,640,480,0, 11932,640,480:waittimer 80020 blt 0,0,640,480,0, 11934,640,480:waittimer 80034 blt 0,0,640,480,0, 11936,640,480:waittimer 80047 blt 0,0,640,480,0, 11938,640,480:waittimer 80061 blt 0,0,640,480,0, 11940,640,480:waittimer 80074 blt 0,0,640,480,0, 11942,640,480:waittimer 80087 blt 0,0,640,480,0, 11944,640,480:waittimer 80101 blt 0,0,640,480,0, 11946,640,480:waittimer 80114 blt 0,0,640,480,0, 11948,640,480:waittimer 80128 blt 0,0,640,480,0, 11950,640,480:waittimer 80141 blt 0,0,640,480,0, 11952,640,480:waittimer 80154 blt 0,0,640,480,0, 11954,640,480:waittimer 80168 blt 0,0,640,480,0, 11956,640,480:waittimer 80181 blt 0,0,640,480,0, 11958,640,480:waittimer 80195 blt 0,0,640,480,0, 11960,640,480:waittimer 80208 blt 0,0,640,480,0, 11962,640,480:waittimer 80222 blt 0,0,640,480,0, 11964,640,480:waittimer 80235 blt 0,0,640,480,0, 11966,640,480:waittimer 80248 blt 0,0,640,480,0, 11968,640,480:waittimer 80262 blt 0,0,640,480,0, 11970,640,480:waittimer 80275 blt 0,0,640,480,0, 11972,640,480:waittimer 80289 blt 0,0,640,480,0, 11974,640,480:waittimer 80302 blt 0,0,640,480,0, 11976,640,480:waittimer 80315 blt 0,0,640,480,0, 11978,640,480:waittimer 80329 blt 0,0,640,480,0, 11980,640,480:waittimer 80342 blt 0,0,640,480,0, 11982,640,480:waittimer 80356 blt 0,0,640,480,0, 11984,640,480:waittimer 80369 blt 0,0,640,480,0, 11986,640,480:waittimer 80383 blt 0,0,640,480,0, 11988,640,480:waittimer 80396 blt 0,0,640,480,0, 11990,640,480:waittimer 80409 blt 0,0,640,480,0, 11992,640,480:waittimer 80423 blt 0,0,640,480,0, 11994,640,480:waittimer 80436 blt 0,0,640,480,0, 11996,640,480:waittimer 80450 blt 0,0,640,480,0, 11998,640,480:waittimer 80463 blt 0,0,640,480,0, 12000,640,480:waittimer 80476 blt 0,0,640,480,0, 12002,640,480:waittimer 80490 blt 0,0,640,480,0, 12004,640,480:waittimer 80503 blt 0,0,640,480,0, 12006,640,480:waittimer 80517 blt 0,0,640,480,0, 12008,640,480:waittimer 80530 blt 0,0,640,480,0, 12010,640,480:waittimer 80543 blt 0,0,640,480,0, 12012,640,480:waittimer 80557 blt 0,0,640,480,0, 12014,640,480:waittimer 80570 blt 0,0,640,480,0, 12016,640,480:waittimer 80584 blt 0,0,640,480,0, 12018,640,480:waittimer 80597 blt 0,0,640,480,0, 12020,640,480:waittimer 80611 blt 0,0,640,480,0, 12022,640,480:waittimer 80624 blt 0,0,640,480,0, 12024,640,480:waittimer 80637 blt 0,0,640,480,0, 12026,640,480:waittimer 80651 blt 0,0,640,480,0, 12028,640,480:waittimer 80664 blt 0,0,640,480,0, 12030,640,480:waittimer 80678 blt 0,0,640,480,0, 12032,640,480:waittimer 80691 blt 0,0,640,480,0, 12034,640,480:waittimer 80704 blt 0,0,640,480,0, 12036,640,480:waittimer 80718 blt 0,0,640,480,0, 12038,640,480:waittimer 80731 blt 0,0,640,480,0, 12040,640,480:waittimer 80745 blt 0,0,640,480,0, 12042,640,480:waittimer 80758 blt 0,0,640,480,0, 12044,640,480:waittimer 80771 blt 0,0,640,480,0, 12046,640,480:waittimer 80785 blt 0,0,640,480,0, 12048,640,480:waittimer 80798 blt 0,0,640,480,0, 12050,640,480:waittimer 80812 blt 0,0,640,480,0, 12052,640,480:waittimer 80825 blt 0,0,640,480,0, 12054,640,480:waittimer 80839 blt 0,0,640,480,0, 12056,640,480:waittimer 80852 blt 0,0,640,480,0, 12058,640,480:waittimer 80865 blt 0,0,640,480,0, 12060,640,480:waittimer 80879 blt 0,0,640,480,0, 12062,640,480:waittimer 80892 blt 0,0,640,480,0, 12064,640,480:waittimer 80906 blt 0,0,640,480,0, 12066,640,480:waittimer 80919 blt 0,0,640,480,0, 12068,640,480:waittimer 80932 blt 0,0,640,480,0, 12070,640,480:waittimer 80946 blt 0,0,640,480,0, 12072,640,480:waittimer 80959 blt 0,0,640,480,0, 12074,640,480:waittimer 80973 blt 0,0,640,480,0, 12076,640,480:waittimer 80986 blt 0,0,640,480,0, 12078,640,480:waittimer 81000 blt 0,0,640,480,0, 12080,640,480:waittimer 81013 blt 0,0,640,480,0, 12082,640,480:waittimer 81026 blt 0,0,640,480,0, 12084,640,480:waittimer 81040 blt 0,0,640,480,0, 12086,640,480:waittimer 81053 blt 0,0,640,480,0, 12088,640,480:waittimer 81067 blt 0,0,640,480,0, 12090,640,480:waittimer 81080 blt 0,0,640,480,0, 12092,640,480:waittimer 81093 blt 0,0,640,480,0, 12094,640,480:waittimer 81107 blt 0,0,640,480,0, 12096,640,480:waittimer 81120 blt 0,0,640,480,0, 12098,640,480:waittimer 81134 blt 0,0,640,480,0, 12100,640,480:waittimer 81147 blt 0,0,640,480,0, 12102,640,480:waittimer 81160 blt 0,0,640,480,0, 12104,640,480:waittimer 81174 blt 0,0,640,480,0, 12106,640,480:waittimer 81187 blt 0,0,640,480,0, 12108,640,480:waittimer 81201 blt 0,0,640,480,0, 12110,640,480:waittimer 81214 blt 0,0,640,480,0, 12112,640,480:waittimer 81228 blt 0,0,640,480,0, 12114,640,480:waittimer 81241 blt 0,0,640,480,0, 12116,640,480:waittimer 81254 blt 0,0,640,480,0, 12118,640,480:waittimer 81268 blt 0,0,640,480,0, 12120,640,480:waittimer 81281 blt 0,0,640,480,0, 12122,640,480:waittimer 81295 blt 0,0,640,480,0, 12124,640,480:waittimer 81308 blt 0,0,640,480,0, 12126,640,480:waittimer 81321 blt 0,0,640,480,0, 12128,640,480:waittimer 81335 blt 0,0,640,480,0, 12130,640,480:waittimer 81348 blt 0,0,640,480,0, 12132,640,480:waittimer 81362 blt 0,0,640,480,0, 12134,640,480:waittimer 81375 blt 0,0,640,480,0, 12136,640,480:waittimer 81388 blt 0,0,640,480,0, 12138,640,480:waittimer 81402 blt 0,0,640,480,0, 12140,640,480:waittimer 81415 blt 0,0,640,480,0, 12142,640,480:waittimer 81429 blt 0,0,640,480,0, 12144,640,480:waittimer 81442 blt 0,0,640,480,0, 12146,640,480:waittimer 81456 blt 0,0,640,480,0, 12148,640,480:waittimer 81469 blt 0,0,640,480,0, 12150,640,480:waittimer 81482 blt 0,0,640,480,0, 12152,640,480:waittimer 81496 blt 0,0,640,480,0, 12154,640,480:waittimer 81509 blt 0,0,640,480,0, 12156,640,480:waittimer 81523 blt 0,0,640,480,0, 12158,640,480:waittimer 81536 blt 0,0,640,480,0, 12160,640,480:waittimer 81549 blt 0,0,640,480,0, 12162,640,480:waittimer 81563 blt 0,0,640,480,0, 12164,640,480:waittimer 81576 blt 0,0,640,480,0, 12166,640,480:waittimer 81590 blt 0,0,640,480,0, 12168,640,480:waittimer 81603 blt 0,0,640,480,0, 12170,640,480:waittimer 81616 blt 0,0,640,480,0, 12172,640,480:waittimer 81630 blt 0,0,640,480,0, 12174,640,480:waittimer 81643 blt 0,0,640,480,0, 12176,640,480:waittimer 81657 blt 0,0,640,480,0, 12178,640,480:waittimer 81670 blt 0,0,640,480,0, 12180,640,480:waittimer 81684 blt 0,0,640,480,0, 12182,640,480:waittimer 81697 blt 0,0,640,480,0, 12184,640,480:waittimer 81710 blt 0,0,640,480,0, 12186,640,480:waittimer 81724 blt 0,0,640,480,0, 12188,640,480:waittimer 81737 blt 0,0,640,480,0, 12190,640,480:waittimer 81751 blt 0,0,640,480,0, 12192,640,480:waittimer 81764 blt 0,0,640,480,0, 12194,640,480:waittimer 81777 blt 0,0,640,480,0, 12196,640,480:waittimer 81791 blt 0,0,640,480,0, 12198,640,480:waittimer 81804 blt 0,0,640,480,0, 12200,640,480:waittimer 81818 blt 0,0,640,480,0, 12202,640,480:waittimer 81831 blt 0,0,640,480,0, 12204,640,480:waittimer 81845 blt 0,0,640,480,0, 12206,640,480:waittimer 81858 blt 0,0,640,480,0, 12208,640,480:waittimer 81871 blt 0,0,640,480,0, 12210,640,480:waittimer 81885 blt 0,0,640,480,0, 12212,640,480:waittimer 81898 blt 0,0,640,480,0, 12214,640,480:waittimer 81912 blt 0,0,640,480,0, 12216,640,480:waittimer 81925 blt 0,0,640,480,0, 12218,640,480:waittimer 81938 blt 0,0,640,480,0, 12220,640,480:waittimer 81952 blt 0,0,640,480,0, 12222,640,480:waittimer 81965 blt 0,0,640,480,0, 12224,640,480:waittimer 81979 blt 0,0,640,480,0, 12226,640,480:waittimer 81992 blt 0,0,640,480,0, 12228,640,480:waittimer 82005 blt 0,0,640,480,0, 12230,640,480:waittimer 82019 blt 0,0,640,480,0, 12232,640,480:waittimer 82032 blt 0,0,640,480,0, 12234,640,480:waittimer 82046 blt 0,0,640,480,0, 12236,640,480:waittimer 82059 blt 0,0,640,480,0, 12238,640,480:waittimer 82073 blt 0,0,640,480,0, 12240,640,480:waittimer 82086 blt 0,0,640,480,0, 12242,640,480:waittimer 82099 blt 0,0,640,480,0, 12244,640,480:waittimer 82113 blt 0,0,640,480,0, 12246,640,480:waittimer 82126 blt 0,0,640,480,0, 12248,640,480:waittimer 82140 blt 0,0,640,480,0, 12250,640,480:waittimer 82153 blt 0,0,640,480,0, 12252,640,480:waittimer 82166 blt 0,0,640,480,0, 12254,640,480:waittimer 82180 blt 0,0,640,480,0, 12256,640,480:waittimer 82193 blt 0,0,640,480,0, 12258,640,480:waittimer 82207 blt 0,0,640,480,0, 12260,640,480:waittimer 82220 blt 0,0,640,480,0, 12262,640,480:waittimer 82233 blt 0,0,640,480,0, 12264,640,480:waittimer 82247 blt 0,0,640,480,0, 12266,640,480:waittimer 82260 blt 0,0,640,480,0, 12268,640,480:waittimer 82274 blt 0,0,640,480,0, 12270,640,480:waittimer 82287 blt 0,0,640,480,0, 12272,640,480:waittimer 82301 blt 0,0,640,480,0, 12274,640,480:waittimer 82314 blt 0,0,640,480,0, 12276,640,480:waittimer 82327 blt 0,0,640,480,0, 12278,640,480:waittimer 82341 blt 0,0,640,480,0, 12280,640,480:waittimer 82354 blt 0,0,640,480,0, 12282,640,480:waittimer 82368 blt 0,0,640,480,0, 12284,640,480:waittimer 82381 blt 0,0,640,480,0, 12286,640,480:waittimer 82394 blt 0,0,640,480,0, 12288,640,480:waittimer 82408 blt 0,0,640,480,0, 12290,640,480:waittimer 82421 blt 0,0,640,480,0, 12292,640,480:waittimer 82435 blt 0,0,640,480,0, 12294,640,480:waittimer 82448 blt 0,0,640,480,0, 12296,640,480:waittimer 82461 blt 0,0,640,480,0, 12298,640,480:waittimer 82475 blt 0,0,640,480,0, 12300,640,480:waittimer 82488 blt 0,0,640,480,0, 12302,640,480:waittimer 82502 blt 0,0,640,480,0, 12304,640,480:waittimer 82515 blt 0,0,640,480,0, 12306,640,480:waittimer 82529 blt 0,0,640,480,0, 12308,640,480:waittimer 82542 blt 0,0,640,480,0, 12310,640,480:waittimer 82555 blt 0,0,640,480,0, 12312,640,480:waittimer 82569 blt 0,0,640,480,0, 12314,640,480:waittimer 82582 blt 0,0,640,480,0, 12316,640,480:waittimer 82596 blt 0,0,640,480,0, 12318,640,480:waittimer 82609 blt 0,0,640,480,0, 12320,640,480:waittimer 82622 blt 0,0,640,480,0, 12322,640,480:waittimer 82636 blt 0,0,640,480,0, 12324,640,480:waittimer 82649 blt 0,0,640,480,0, 12326,640,480:waittimer 82663 blt 0,0,640,480,0, 12328,640,480:waittimer 82676 blt 0,0,640,480,0, 12330,640,480:waittimer 82690 blt 0,0,640,480,0, 12332,640,480:waittimer 82703 blt 0,0,640,480,0, 12334,640,480:waittimer 82716 blt 0,0,640,480,0, 12336,640,480:waittimer 82730 blt 0,0,640,480,0, 12338,640,480:waittimer 82743 blt 0,0,640,480,0, 12340,640,480:waittimer 82757 blt 0,0,640,480,0, 12342,640,480:waittimer 82770 blt 0,0,640,480,0, 12344,640,480:waittimer 82783 blt 0,0,640,480,0, 12346,640,480:waittimer 82797 blt 0,0,640,480,0, 12348,640,480:waittimer 82810 blt 0,0,640,480,0, 12350,640,480:waittimer 82824 blt 0,0,640,480,0, 12352,640,480:waittimer 82837 blt 0,0,640,480,0, 12354,640,480:waittimer 82850 blt 0,0,640,480,0, 12356,640,480:waittimer 82864 blt 0,0,640,480,0, 12358,640,480:waittimer 82877 blt 0,0,640,480,0, 12360,640,480:waittimer 82891 blt 0,0,640,480,0, 12362,640,480:waittimer 82904 blt 0,0,640,480,0, 12364,640,480:waittimer 82918 blt 0,0,640,480,0, 12366,640,480:waittimer 82931 blt 0,0,640,480,0, 12368,640,480:waittimer 82944 blt 0,0,640,480,0, 12370,640,480:waittimer 82958 blt 0,0,640,480,0, 12372,640,480:waittimer 82971 blt 0,0,640,480,0, 12374,640,480:waittimer 82985 blt 0,0,640,480,0, 12376,640,480:waittimer 82998 blt 0,0,640,480,0, 12378,640,480:waittimer 83011 blt 0,0,640,480,0, 12380,640,480:waittimer 83025 blt 0,0,640,480,0, 12382,640,480:waittimer 83038 blt 0,0,640,480,0, 12384,640,480:waittimer 83052 blt 0,0,640,480,0, 12386,640,480:waittimer 83065 blt 0,0,640,480,0, 12388,640,480:waittimer 83078 blt 0,0,640,480,0, 12390,640,480:waittimer 83092 blt 0,0,640,480,0, 12392,640,480:waittimer 83105 blt 0,0,640,480,0, 12394,640,480:waittimer 83119 blt 0,0,640,480,0, 12396,640,480:waittimer 83132 blt 0,0,640,480,0, 12398,640,480:waittimer 83146 blt 0,0,640,480,0, 12400,640,480:waittimer 83159 blt 0,0,640,480,0, 12402,640,480:waittimer 83172 blt 0,0,640,480,0, 12404,640,480:waittimer 83186 blt 0,0,640,480,0, 12406,640,480:waittimer 83199 blt 0,0,640,480,0, 12408,640,480:waittimer 83213 blt 0,0,640,480,0, 12410,640,480:waittimer 83226 blt 0,0,640,480,0, 12412,640,480:waittimer 83239 blt 0,0,640,480,0, 12414,640,480:waittimer 83253 blt 0,0,640,480,0, 12416,640,480:waittimer 83266 blt 0,0,640,480,0, 12418,640,480:waittimer 83280 blt 0,0,640,480,0, 12420,640,480:waittimer 83293 blt 0,0,640,480,0, 12422,640,480:waittimer 83307 blt 0,0,640,480,0, 12424,640,480:waittimer 83320 blt 0,0,640,480,0, 12426,640,480:waittimer 83333 blt 0,0,640,480,0, 12428,640,480:waittimer 83347 blt 0,0,640,480,0, 12430,640,480:waittimer 83360 blt 0,0,640,480,0, 12432,640,480:waittimer 83374 blt 0,0,640,480,0, 12434,640,480:waittimer 83387 blt 0,0,640,480,0, 12436,640,480:waittimer 83400 blt 0,0,640,480,0, 12438,640,480:waittimer 83414 blt 0,0,640,480,0, 12440,640,480:waittimer 83427 blt 0,0,640,480,0, 12442,640,480:waittimer 83441 blt 0,0,640,480,0, 12444,640,480:waittimer 83454 blt 0,0,640,480,0, 12446,640,480:waittimer 83467 blt 0,0,640,480,0, 12448,640,480:waittimer 83481 blt 0,0,640,480,0, 12450,640,480:waittimer 83494 blt 0,0,640,480,0, 12452,640,480:waittimer 83508 blt 0,0,640,480,0, 12454,640,480:waittimer 83521 blt 0,0,640,480,0, 12456,640,480:waittimer 83535 blt 0,0,640,480,0, 12458,640,480:waittimer 83548 blt 0,0,640,480,0, 12460,640,480:waittimer 83561 blt 0,0,640,480,0, 12462,640,480:waittimer 83575 blt 0,0,640,480,0, 12464,640,480:waittimer 83588 blt 0,0,640,480,0, 12466,640,480:waittimer 83602 blt 0,0,640,480,0, 12468,640,480:waittimer 83615 blt 0,0,640,480,0, 12470,640,480:waittimer 83628 blt 0,0,640,480,0, 12472,640,480:waittimer 83642 blt 0,0,640,480,0, 12474,640,480:waittimer 83655 blt 0,0,640,480,0, 12476,640,480:waittimer 83669 blt 0,0,640,480,0, 12478,640,480:waittimer 83682 blt 0,0,640,480,0, 12480,640,480:waittimer 83695 blt 0,0,640,480,0, 12482,640,480:waittimer 83709 blt 0,0,640,480,0, 12484,640,480:waittimer 83722 blt 0,0,640,480,0, 12486,640,480:waittimer 83736 blt 0,0,640,480,0, 12488,640,480:waittimer 83749 blt 0,0,640,480,0, 12490,640,480:waittimer 83763 blt 0,0,640,480,0, 12492,640,480:waittimer 83776 blt 0,0,640,480,0, 12494,640,480:waittimer 83789 blt 0,0,640,480,0, 12496,640,480:waittimer 83803 blt 0,0,640,480,0, 12498,640,480:waittimer 83816 blt 0,0,640,480,0, 12500,640,480:waittimer 83830 blt 0,0,640,480,0, 12502,640,480:waittimer 83843 blt 0,0,640,480,0, 12504,640,480:waittimer 83856 blt 0,0,640,480,0, 12506,640,480:waittimer 83870 blt 0,0,640,480,0, 12508,640,480:waittimer 83883 blt 0,0,640,480,0, 12510,640,480:waittimer 83897 blt 0,0,640,480,0, 12512,640,480:waittimer 83910 blt 0,0,640,480,0, 12514,640,480:waittimer 83923 blt 0,0,640,480,0, 12516,640,480:waittimer 83937 blt 0,0,640,480,0, 12518,640,480:waittimer 83950 blt 0,0,640,480,0, 12520,640,480:waittimer 83964 blt 0,0,640,480,0, 12522,640,480:waittimer 83977 blt 0,0,640,480,0, 12524,640,480:waittimer 83991 blt 0,0,640,480,0, 12526,640,480:waittimer 84004 blt 0,0,640,480,0, 12528,640,480:waittimer 84017 blt 0,0,640,480,0, 12530,640,480:waittimer 84031 blt 0,0,640,480,0, 12532,640,480:waittimer 84044 blt 0,0,640,480,0, 12534,640,480:waittimer 84058 blt 0,0,640,480,0, 12536,640,480:waittimer 84071 blt 0,0,640,480,0, 12538,640,480:waittimer 84084 blt 0,0,640,480,0, 12540,640,480:waittimer 84098 blt 0,0,640,480,0, 12542,640,480:waittimer 84111 blt 0,0,640,480,0, 12544,640,480:waittimer 84125 blt 0,0,640,480,0, 12546,640,480:waittimer 84138 blt 0,0,640,480,0, 12548,640,480:waittimer 84152 blt 0,0,640,480,0, 12550,640,480:waittimer 84165 blt 0,0,640,480,0, 12552,640,480:waittimer 84178 blt 0,0,640,480,0, 12554,640,480:waittimer 84192 blt 0,0,640,480,0, 12556,640,480:waittimer 84205 blt 0,0,640,480,0, 12558,640,480:waittimer 84219 blt 0,0,640,480,0, 12560,640,480:waittimer 84232 blt 0,0,640,480,0, 12562,640,480:waittimer 84245 blt 0,0,640,480,0, 12564,640,480:waittimer 84259 blt 0,0,640,480,0, 12566,640,480:waittimer 84272 blt 0,0,640,480,0, 12568,640,480:waittimer 84286 blt 0,0,640,480,0, 12570,640,480:waittimer 84299 blt 0,0,640,480,0, 12572,640,480:waittimer 84312 blt 0,0,640,480,0, 12574,640,480:waittimer 84326 blt 0,0,640,480,0, 12576,640,480:waittimer 84339 blt 0,0,640,480,0, 12578,640,480:waittimer 84353 blt 0,0,640,480,0, 12580,640,480:waittimer 84366 blt 0,0,640,480,0, 12582,640,480:waittimer 84380 blt 0,0,640,480,0, 12584,640,480:waittimer 84393 blt 0,0,640,480,0, 12586,640,480:waittimer 84406 blt 0,0,640,480,0, 12588,640,480:waittimer 84420 blt 0,0,640,480,0, 12590,640,480:waittimer 84433 blt 0,0,640,480,0, 12592,640,480:waittimer 84447 blt 0,0,640,480,0, 12594,640,480:waittimer 84460 blt 0,0,640,480,0, 12596,640,480:waittimer 84473 blt 0,0,640,480,0, 12598,640,480:waittimer 84487 blt 0,0,640,480,0, 12600,640,480:waittimer 84500 blt 0,0,640,480,0, 12602,640,480:waittimer 84514 blt 0,0,640,480,0, 12604,640,480:waittimer 84527 blt 0,0,640,480,0, 12606,640,480:waittimer 84540 blt 0,0,640,480,0, 12608,640,480:waittimer 84554 blt 0,0,640,480,0, 12610,640,480:waittimer 84567 blt 0,0,640,480,0, 12612,640,480:waittimer 84581 blt 0,0,640,480,0, 12614,640,480:waittimer 84594 blt 0,0,640,480,0, 12616,640,480:waittimer 84608 blt 0,0,640,480,0, 12618,640,480:waittimer 84621 blt 0,0,640,480,0, 12620,640,480:waittimer 84634 blt 0,0,640,480,0, 12622,640,480:waittimer 84648 blt 0,0,640,480,0, 12624,640,480:waittimer 84661 blt 0,0,640,480,0, 12626,640,480:waittimer 84675 blt 0,0,640,480,0, 12628,640,480:waittimer 84688 blt 0,0,640,480,0, 12630,640,480:waittimer 84701 blt 0,0,640,480,0, 12632,640,480:waittimer 84715 blt 0,0,640,480,0, 12634,640,480:waittimer 84728 blt 0,0,640,480,0, 12636,640,480:waittimer 84742 blt 0,0,640,480,0, 12638,640,480:waittimer 84755 blt 0,0,640,480,0, 12640,640,480:waittimer 84769 blt 0,0,640,480,0, 12642,640,480:waittimer 84782 blt 0,0,640,480,0, 12644,640,480:waittimer 84795 blt 0,0,640,480,0, 12646,640,480:waittimer 84809 blt 0,0,640,480,0, 12648,640,480:waittimer 84822 blt 0,0,640,480,0, 12650,640,480:waittimer 84836 blt 0,0,640,480,0, 12652,640,480:waittimer 84849 blt 0,0,640,480,0, 12654,640,480:waittimer 84862 blt 0,0,640,480,0, 12656,640,480:waittimer 84876 blt 0,0,640,480,0, 12658,640,480:waittimer 84889 blt 0,0,640,480,0, 12660,640,480:waittimer 84903 blt 0,0,640,480,0, 12662,640,480:waittimer 84916 blt 0,0,640,480,0, 12664,640,480:waittimer 84929 blt 0,0,640,480,0, 12666,640,480:waittimer 84943 blt 0,0,640,480,0, 12668,640,480:waittimer 84956 blt 0,0,640,480,0, 12670,640,480:waittimer 84970 blt 0,0,640,480,0, 12672,640,480:waittimer 84983 blt 0,0,640,480,0, 12674,640,480:waittimer 84997 blt 0,0,640,480,0, 12676,640,480:waittimer 85010 blt 0,0,640,480,0, 12678,640,480:waittimer 85023 blt 0,0,640,480,0, 12680,640,480:waittimer 85037 blt 0,0,640,480,0, 12682,640,480:waittimer 85050 blt 0,0,640,480,0, 12684,640,480:waittimer 85064 blt 0,0,640,480,0, 12686,640,480:waittimer 85077 blt 0,0,640,480,0, 12688,640,480:waittimer 85090 blt 0,0,640,480,0, 12690,640,480:waittimer 85104 blt 0,0,640,480,0, 12692,640,480:waittimer 85117 blt 0,0,640,480,0, 12694,640,480:waittimer 85131 blt 0,0,640,480,0, 12696,640,480:waittimer 85144 blt 0,0,640,480,0, 12698,640,480:waittimer 85157 blt 0,0,640,480,0, 12700,640,480:waittimer 85171 blt 0,0,640,480,0, 12702,640,480:waittimer 85184 blt 0,0,640,480,0, 12704,640,480:waittimer 85198 blt 0,0,640,480,0, 12706,640,480:waittimer 85211 blt 0,0,640,480,0, 12708,640,480:waittimer 85225 blt 0,0,640,480,0, 12710,640,480:waittimer 85238 blt 0,0,640,480,0, 12712,640,480:waittimer 85251 blt 0,0,640,480,0, 12714,640,480:waittimer 85265 blt 0,0,640,480,0, 12716,640,480:waittimer 85278 blt 0,0,640,480,0, 12718,640,480:waittimer 85292 blt 0,0,640,480,0, 12720,640,480:waittimer 85305 blt 0,0,640,480,0, 12722,640,480:waittimer 85318 blt 0,0,640,480,0, 12724,640,480:waittimer 85332 blt 0,0,640,480,0, 12726,640,480:waittimer 85345 blt 0,0,640,480,0, 12728,640,480:waittimer 85359 blt 0,0,640,480,0, 12730,640,480:waittimer 85372 blt 0,0,640,480,0, 12732,640,480:waittimer 85385 blt 0,0,640,480,0, 12734,640,480:waittimer 85399 blt 0,0,640,480,0, 12736,640,480:waittimer 85412 blt 0,0,640,480,0, 12738,640,480:waittimer 85426 blt 0,0,640,480,0, 12740,640,480:waittimer 85439 blt 0,0,640,480,0, 12742,640,480:waittimer 85453 blt 0,0,640,480,0, 12744,640,480:waittimer 85466 blt 0,0,640,480,0, 12746,640,480:waittimer 85479 blt 0,0,640,480,0, 12748,640,480:waittimer 85493 blt 0,0,640,480,0, 12750,640,480:waittimer 85506 blt 0,0,640,480,0, 12752,640,480:waittimer 85520 blt 0,0,640,480,0, 12754,640,480:waittimer 85533 blt 0,0,640,480,0, 12756,640,480:waittimer 85546 blt 0,0,640,480,0, 12758,640,480:waittimer 85560 blt 0,0,640,480,0, 12760,640,480:waittimer 85573 blt 0,0,640,480,0, 12762,640,480:waittimer 85587 blt 0,0,640,480,0, 12764,640,480:waittimer 85600 blt 0,0,640,480,0, 12766,640,480:waittimer 85614 blt 0,0,640,480,0, 12768,640,480:waittimer 85627 blt 0,0,640,480,0, 12770,640,480:waittimer 85640 blt 0,0,640,480,0, 12772,640,480:waittimer 85654 blt 0,0,640,480,0, 12774,640,480:waittimer 85667 blt 0,0,640,480,0, 12776,640,480:waittimer 85681 blt 0,0,640,480,0, 12778,640,480:waittimer 85694 blt 0,0,640,480,0, 12780,640,480:waittimer 85707 blt 0,0,640,480,0, 12782,640,480:waittimer 85721 blt 0,0,640,480,0, 12784,640,480:waittimer 85734 blt 0,0,640,480,0, 12786,640,480:waittimer 85748 blt 0,0,640,480,0, 12788,640,480:waittimer 85761 blt 0,0,640,480,0, 12790,640,480:waittimer 85774 blt 0,0,640,480,0, 12792,640,480:waittimer 85788 blt 0,0,640,480,0, 12794,640,480:waittimer 85801 blt 0,0,640,480,0, 12796,640,480:waittimer 85815 blt 0,0,640,480,0, 12798,640,480:waittimer 85828 blt 0,0,640,480,0, 12800,640,480:waittimer 85842 blt 0,0,640,480,0, 12802,640,480:waittimer 85855 blt 0,0,640,480,0, 12804,640,480:waittimer 85868 blt 0,0,640,480,0, 12806,640,480:waittimer 85882 blt 0,0,640,480,0, 12808,640,480:waittimer 85895 blt 0,0,640,480,0, 12810,640,480:waittimer 85909 blt 0,0,640,480,0, 12812,640,480:waittimer 85922 blt 0,0,640,480,0, 12814,640,480:waittimer 85935 blt 0,0,640,480,0, 12816,640,480:waittimer 85949 blt 0,0,640,480,0, 12818,640,480:waittimer 85962 blt 0,0,640,480,0, 12820,640,480:waittimer 85976 blt 0,0,640,480,0, 12822,640,480:waittimer 85989 blt 0,0,640,480,0, 12824,640,480:waittimer 86002 blt 0,0,640,480,0, 12826,640,480:waittimer 86016 blt 0,0,640,480,0, 12828,640,480:waittimer 86029 blt 0,0,640,480,0, 12830,640,480:waittimer 86043 blt 0,0,640,480,0, 12832,640,480:waittimer 86056 blt 0,0,640,480,0, 12834,640,480:waittimer 86070 blt 0,0,640,480,0, 12836,640,480:waittimer 86083 blt 0,0,640,480,0, 12838,640,480:waittimer 86096 blt 0,0,640,480,0, 12840,640,480:waittimer 86110 blt 0,0,640,480,0, 12842,640,480:waittimer 86123 blt 0,0,640,480,0, 12844,640,480:waittimer 86137 blt 0,0,640,480,0, 12846,640,480:waittimer 86150 blt 0,0,640,480,0, 12848,640,480:waittimer 86163 blt 0,0,640,480,0, 12850,640,480:waittimer 86177 blt 0,0,640,480,0, 12852,640,480:waittimer 86190 blt 0,0,640,480,0, 12854,640,480:waittimer 86204 blt 0,0,640,480,0, 12856,640,480:waittimer 86217 blt 0,0,640,480,0, 12858,640,480:waittimer 86231 blt 0,0,640,480,0, 12860,640,480:waittimer 86244 blt 0,0,640,480,0, 12862,640,480:waittimer 86257 blt 0,0,640,480,0, 12864,640,480:waittimer 86271 blt 0,0,640,480,0, 12866,640,480:waittimer 86284 blt 0,0,640,480,0, 12868,640,480:waittimer 86298 blt 0,0,640,480,0, 12870,640,480:waittimer 86311 blt 0,0,640,480,0, 12872,640,480:waittimer 86324 blt 0,0,640,480,0, 12874,640,480:waittimer 86338 blt 0,0,640,480,0, 12876,640,480:waittimer 86351 blt 0,0,640,480,0, 12878,640,480:waittimer 86365 blt 0,0,640,480,0, 12880,640,480:waittimer 86378 blt 0,0,640,480,0, 12882,640,480:waittimer 86391 blt 0,0,640,480,0, 12884,640,480:waittimer 86405 blt 0,0,640,480,0, 12886,640,480:waittimer 86418 blt 0,0,640,480,0, 12888,640,480:waittimer 86432 blt 0,0,640,480,0, 12890,640,480:waittimer 86445 blt 0,0,640,480,0, 12892,640,480:waittimer 86459 blt 0,0,640,480,0, 12894,640,480:waittimer 86472 blt 0,0,640,480,0, 12896,640,480:waittimer 86485 blt 0,0,640,480,0, 12898,640,480:waittimer 86499 blt 0,0,640,480,0, 12900,640,480:waittimer 86512 blt 0,0,640,480,0, 12902,640,480:waittimer 86526 blt 0,0,640,480,0, 12904,640,480:waittimer 86539 blt 0,0,640,480,0, 12906,640,480:waittimer 86552 blt 0,0,640,480,0, 12908,640,480:waittimer 86566 blt 0,0,640,480,0, 12910,640,480:waittimer 86579 blt 0,0,640,480,0, 12912,640,480:waittimer 86593 blt 0,0,640,480,0, 12914,640,480:waittimer 86606 blt 0,0,640,480,0, 12916,640,480:waittimer 86619 blt 0,0,640,480,0, 12918,640,480:waittimer 86633 blt 0,0,640,480,0, 12920,640,480:waittimer 86646 blt 0,0,640,480,0, 12922,640,480:waittimer 86660 blt 0,0,640,480,0, 12924,640,480:waittimer 86673 blt 0,0,640,480,0, 12926,640,480:waittimer 86687 blt 0,0,640,480,0, 12928,640,480:waittimer 86700 blt 0,0,640,480,0, 12930,640,480:waittimer 86713 blt 0,0,640,480,0, 12932,640,480:waittimer 86727 blt 0,0,640,480,0, 12934,640,480:waittimer 86740 blt 0,0,640,480,0, 12936,640,480:waittimer 86754 blt 0,0,640,480,0, 12938,640,480:waittimer 86767 blt 0,0,640,480,0, 12940,640,480:waittimer 86780 blt 0,0,640,480,0, 12942,640,480:waittimer 86794 blt 0,0,640,480,0, 12944,640,480:waittimer 86807 blt 0,0,640,480,0, 12946,640,480:waittimer 86821 blt 0,0,640,480,0, 12948,640,480:waittimer 86834 blt 0,0,640,480,0, 12950,640,480:waittimer 86847 blt 0,0,640,480,0, 12952,640,480:waittimer 86861 blt 0,0,640,480,0, 12954,640,480:waittimer 86874 blt 0,0,640,480,0, 12956,640,480:waittimer 86888 blt 0,0,640,480,0, 12958,640,480:waittimer 86901 blt 0,0,640,480,0, 12960,640,480:waittimer 86915 blt 0,0,640,480,0, 12962,640,480:waittimer 86928 blt 0,0,640,480,0, 12964,640,480:waittimer 86941 blt 0,0,640,480,0, 12966,640,480:waittimer 86955 blt 0,0,640,480,0, 12968,640,480:waittimer 86968 blt 0,0,640,480,0, 12970,640,480:waittimer 86982 blt 0,0,640,480,0, 12972,640,480:waittimer 86995 blt 0,0,640,480,0, 12974,640,480:waittimer 87008 blt 0,0,640,480,0, 12976,640,480:waittimer 87022 blt 0,0,640,480,0, 12978,640,480:waittimer 87035 blt 0,0,640,480,0, 12980,640,480:waittimer 87049 blt 0,0,640,480,0, 12982,640,480:waittimer 87062 blt 0,0,640,480,0, 12984,640,480:waittimer 87076 blt 0,0,640,480,0, 12986,640,480:waittimer 87089 blt 0,0,640,480,0, 12988,640,480:waittimer 87102 blt 0,0,640,480,0, 12990,640,480:waittimer 87116 blt 0,0,640,480,0, 12992,640,480:waittimer 87129 blt 0,0,640,480,0, 12994,640,480:waittimer 87143 blt 0,0,640,480,0, 12996,640,480:waittimer 87156 blt 0,0,640,480,0, 12998,640,480:waittimer 87169 blt 0,0,640,480,0, 13000,640,480:waittimer 87183 blt 0,0,640,480,0, 13002,640,480:waittimer 87196 blt 0,0,640,480,0, 13004,640,480:waittimer 87210 blt 0,0,640,480,0, 13006,640,480:waittimer 87223 blt 0,0,640,480,0, 13008,640,480:waittimer 87236 blt 0,0,640,480,0, 13010,640,480:waittimer 87250 blt 0,0,640,480,0, 13012,640,480:waittimer 87263 blt 0,0,640,480,0, 13014,640,480:waittimer 87277 blt 0,0,640,480,0, 13016,640,480:waittimer 87290 blt 0,0,640,480,0, 13018,640,480:waittimer 87304 blt 0,0,640,480,0, 13020,640,480:waittimer 87317 blt 0,0,640,480,0, 13022,640,480:waittimer 87330 blt 0,0,640,480,0, 13024,640,480:waittimer 87344 blt 0,0,640,480,0, 13026,640,480:waittimer 87357 blt 0,0,640,480,0, 13028,640,480:waittimer 87371 blt 0,0,640,480,0, 13030,640,480:waittimer 87384 blt 0,0,640,480,0, 13032,640,480:waittimer 87397 blt 0,0,640,480,0, 13034,640,480:waittimer 87411 blt 0,0,640,480,0, 13036,640,480:waittimer 87424 blt 0,0,640,480,0, 13038,640,480:waittimer 87438 blt 0,0,640,480,0, 13040,640,480:waittimer 87451 blt 0,0,640,480,0, 13042,640,480:waittimer 87464 blt 0,0,640,480,0, 13044,640,480:waittimer 87478 blt 0,0,640,480,0, 13046,640,480:waittimer 87491 blt 0,0,640,480,0, 13048,640,480:waittimer 87505 blt 0,0,640,480,0, 13050,640,480:waittimer 87518 blt 0,0,640,480,0, 13052,640,480:waittimer 87532 blt 0,0,640,480,0, 13054,640,480:waittimer 87545 blt 0,0,640,480,0, 13056,640,480:waittimer 87558 blt 0,0,640,480,0, 13058,640,480:waittimer 87572 blt 0,0,640,480,0, 13060,640,480:waittimer 87585 blt 0,0,640,480,0, 13062,640,480:waittimer 87599 blt 0,0,640,480,0, 13064,640,480:waittimer 87612 blt 0,0,640,480,0, 13066,640,480:waittimer 87625 blt 0,0,640,480,0, 13068,640,480:waittimer 87639 blt 0,0,640,480,0, 13070,640,480:waittimer 87652 blt 0,0,640,480,0, 13072,640,480:waittimer 87666 blt 0,0,640,480,0, 13074,640,480:waittimer 87679 blt 0,0,640,480,0, 13076,640,480:waittimer 87692 blt 0,0,640,480,0, 13078,640,480:waittimer 87706 blt 0,0,640,480,0, 13080,640,480:waittimer 87719 blt 0,0,640,480,0, 13082,640,480:waittimer 87733 blt 0,0,640,480,0, 13084,640,480:waittimer 87746 blt 0,0,640,480,0, 13086,640,480:waittimer 87760 blt 0,0,640,480,0, 13088,640,480:waittimer 87773 blt 0,0,640,480,0, 13090,640,480:waittimer 87786 blt 0,0,640,480,0, 13092,640,480:waittimer 87800 blt 0,0,640,480,0, 13094,640,480:waittimer 87813 blt 0,0,640,480,0, 13096,640,480:waittimer 87827 blt 0,0,640,480,0, 13098,640,480:waittimer 87840 blt 0,0,640,480,0, 13100,640,480:waittimer 87853 blt 0,0,640,480,0, 13102,640,480:waittimer 87867 blt 0,0,640,480,0, 13104,640,480:waittimer 87880 blt 0,0,640,480,0, 13106,640,480:waittimer 87894 blt 0,0,640,480,0, 13108,640,480:waittimer 87907 blt 0,0,640,480,0, 13110,640,480:waittimer 87921 blt 0,0,640,480,0, 13112,640,480:waittimer 87934 blt 0,0,640,480,0, 13114,640,480:waittimer 87947 blt 0,0,640,480,0, 13116,640,480:waittimer 87961 blt 0,0,640,480,0, 13118,640,480:waittimer 87974 blt 0,0,640,480,0, 13120,640,480:waittimer 87988 blt 0,0,640,480,0, 13122,640,480:waittimer 88001 blt 0,0,640,480,0, 13124,640,480:waittimer 88014 blt 0,0,640,480,0, 13126,640,480:waittimer 88028 blt 0,0,640,480,0, 13128,640,480:waittimer 88041 blt 0,0,640,480,0, 13130,640,480:waittimer 88055 blt 0,0,640,480,0, 13132,640,480:waittimer 88068 blt 0,0,640,480,0, 13134,640,480:waittimer 88081 blt 0,0,640,480,0, 13136,640,480:waittimer 88095 blt 0,0,640,480,0, 13138,640,480:waittimer 88108 blt 0,0,640,480,0, 13140,640,480:waittimer 88122 blt 0,0,640,480,0, 13142,640,480:waittimer 88135 blt 0,0,640,480,0, 13144,640,480:waittimer 88149 blt 0,0,640,480,0, 13146,640,480:waittimer 88162 blt 0,0,640,480,0, 13148,640,480:waittimer 88175 blt 0,0,640,480,0, 13150,640,480:waittimer 88189 blt 0,0,640,480,0, 13152,640,480:waittimer 88202 blt 0,0,640,480,0, 13154,640,480:waittimer 88216 blt 0,0,640,480,0, 13156,640,480:waittimer 88229 blt 0,0,640,480,0, 13158,640,480:waittimer 88242 blt 0,0,640,480,0, 13160,640,480:waittimer 88256 blt 0,0,640,480,0, 13162,640,480:waittimer 88269 blt 0,0,640,480,0, 13164,640,480:waittimer 88283 blt 0,0,640,480,0, 13166,640,480:waittimer 88296 blt 0,0,640,480,0, 13168,640,480:waittimer 88309 blt 0,0,640,480,0, 13170,640,480:waittimer 88323 blt 0,0,640,480,0, 13172,640,480:waittimer 88336 blt 0,0,640,480,0, 13174,640,480:waittimer 88350 blt 0,0,640,480,0, 13176,640,480:waittimer 88363 blt 0,0,640,480,0, 13178,640,480:waittimer 88377 blt 0,0,640,480,0, 13180,640,480:waittimer 88390 blt 0,0,640,480,0, 13182,640,480:waittimer 88403 blt 0,0,640,480,0, 13184,640,480:waittimer 88417 blt 0,0,640,480,0, 13186,640,480:waittimer 88430 blt 0,0,640,480,0, 13188,640,480:waittimer 88444 blt 0,0,640,480,0, 13190,640,480:waittimer 88457 blt 0,0,640,480,0, 13192,640,480:waittimer 88470 blt 0,0,640,480,0, 13194,640,480:waittimer 88484 blt 0,0,640,480,0, 13196,640,480:waittimer 88497 blt 0,0,640,480,0, 13198,640,480:waittimer 88511 blt 0,0,640,480,0, 13200,640,480:waittimer 88524 blt 0,0,640,480,0, 13202,640,480:waittimer 88538 blt 0,0,640,480,0, 13204,640,480:waittimer 88551 blt 0,0,640,480,0, 13206,640,480:waittimer 88564 blt 0,0,640,480,0, 13208,640,480:waittimer 88578 blt 0,0,640,480,0, 13210,640,480:waittimer 88591 blt 0,0,640,480,0, 13212,640,480:waittimer 88605 blt 0,0,640,480,0, 13214,640,480:waittimer 88618 blt 0,0,640,480,0, 13216,640,480:waittimer 88631 blt 0,0,640,480,0, 13218,640,480:waittimer 88645 blt 0,0,640,480,0, 13220,640,480:waittimer 88658 blt 0,0,640,480,0, 13222,640,480:waittimer 88672 blt 0,0,640,480,0, 13224,640,480:waittimer 88685 blt 0,0,640,480,0, 13226,640,480:waittimer 88698 blt 0,0,640,480,0, 13228,640,480:waittimer 88712 blt 0,0,640,480,0, 13230,640,480:waittimer 88725 blt 0,0,640,480,0, 13232,640,480:waittimer 88739 blt 0,0,640,480,0, 13234,640,480:waittimer 88752 blt 0,0,640,480,0, 13236,640,480:waittimer 88766 blt 0,0,640,480,0, 13238,640,480:waittimer 88779 blt 0,0,640,480,0, 13240,640,480:waittimer 88792 blt 0,0,640,480,0, 13242,640,480:waittimer 88806 blt 0,0,640,480,0, 13244,640,480:waittimer 88819 blt 0,0,640,480,0, 13246,640,480:waittimer 88833 blt 0,0,640,480,0, 13248,640,480:waittimer 88846 blt 0,0,640,480,0, 13250,640,480:waittimer 88859 blt 0,0,640,480,0, 13252,640,480:waittimer 88873 blt 0,0,640,480,0, 13254,640,480:waittimer 88886 blt 0,0,640,480,0, 13256,640,480:waittimer 88900 blt 0,0,640,480,0, 13258,640,480:waittimer 88913 blt 0,0,640,480,0, 13260,640,480:waittimer 88926 blt 0,0,640,480,0, 13262,640,480:waittimer 88940 blt 0,0,640,480,0, 13264,640,480:waittimer 88953 blt 0,0,640,480,0, 13266,640,480:waittimer 88967 blt 0,0,640,480,0, 13268,640,480:waittimer 88980 blt 0,0,640,480,0, 13270,640,480:waittimer 88994 blt 0,0,640,480,0, 13272,640,480:waittimer 89007 blt 0,0,640,480,0, 13274,640,480:waittimer 89020 blt 0,0,640,480,0, 13276,640,480:waittimer 89034 blt 0,0,640,480,0, 13278,640,480:waittimer 89047 blt 0,0,640,480,0, 13280,640,480:waittimer 89061 blt 0,0,640,480,0, 13282,640,480:waittimer 89074 blt 0,0,640,480,0, 13284,640,480:waittimer 89087 blt 0,0,640,480,0, 13286,640,480:waittimer 89101 blt 0,0,640,480,0, 13288,640,480:waittimer 89114 blt 0,0,640,480,0, 13290,640,480:waittimer 89128 blt 0,0,640,480,0, 13292,640,480:waittimer 89141 blt 0,0,640,480,0, 13294,640,480:waittimer 89154 blt 0,0,640,480,0, 13296,640,480:waittimer 89168 blt 0,0,640,480,0, 13298,640,480:waittimer 89181 blt 0,0,640,480,0, 13300,640,480:waittimer 89195 blt 0,0,640,480,0, 13302,640,480:waittimer 89208 blt 0,0,640,480,0, 13304,640,480:waittimer 89222 blt 0,0,640,480,0, 13306,640,480:waittimer 89235 blt 0,0,640,480,0, 13308,640,480:waittimer 89248 blt 0,0,640,480,0, 13310,640,480:waittimer 89262 blt 0,0,640,480,0, 13312,640,480:waittimer 89275 blt 0,0,640,480,0, 13314,640,480:waittimer 89289 blt 0,0,640,480,0, 13316,640,480:waittimer 89302 blt 0,0,640,480,0, 13318,640,480:waittimer 89315 blt 0,0,640,480,0, 13320,640,480:waittimer 89329 blt 0,0,640,480,0, 13322,640,480:waittimer 89342 blt 0,0,640,480,0, 13324,640,480:waittimer 89356 blt 0,0,640,480,0, 13326,640,480:waittimer 89369 blt 0,0,640,480,0, 13328,640,480:waittimer 89383 blt 0,0,640,480,0, 13330,640,480:waittimer 89396 blt 0,0,640,480,0, 13332,640,480:waittimer 89409 blt 0,0,640,480,0, 13334,640,480:waittimer 89423 blt 0,0,640,480,0, 13336,640,480:waittimer 89436 blt 0,0,640,480,0, 13338,640,480:waittimer 89450 blt 0,0,640,480,0, 13340,640,480:waittimer 89463 blt 0,0,640,480,0, 13342,640,480:waittimer 89476 blt 0,0,640,480,0, 13344,640,480:waittimer 89490 blt 0,0,640,480,0, 13346,640,480:waittimer 89503 blt 0,0,640,480,0, 13348,640,480:waittimer 89517 blt 0,0,640,480,0, 13350,640,480:waittimer 89530 blt 0,0,640,480,0, 13352,640,480:waittimer 89543 blt 0,0,640,480,0, 13354,640,480:waittimer 89557 blt 0,0,640,480,0, 13356,640,480:waittimer 89570 blt 0,0,640,480,0, 13358,640,480:waittimer 89584 blt 0,0,640,480,0, 13360,640,480:waittimer 89597 blt 0,0,640,480,0, 13362,640,480:waittimer 89611 blt 0,0,640,480,0, 13364,640,480:waittimer 89624 blt 0,0,640,480,0, 13366,640,480:waittimer 89637 blt 0,0,640,480,0, 13368,640,480:waittimer 89651 blt 0,0,640,480,0, 13370,640,480:waittimer 89664 blt 0,0,640,480,0, 13372,640,480:waittimer 89678 blt 0,0,640,480,0, 13374,640,480:waittimer 89691 blt 0,0,640,480,0, 13376,640,480:waittimer 89704 blt 0,0,640,480,0, 13378,640,480:waittimer 89718 blt 0,0,640,480,0, 13380,640,480:waittimer 89731 blt 0,0,640,480,0, 13382,640,480:waittimer 89745 blt 0,0,640,480,0, 13384,640,480:waittimer 89758 blt 0,0,640,480,0, 13386,640,480:waittimer 89771 blt 0,0,640,480,0, 13388,640,480:waittimer 89785 blt 0,0,640,480,0, 13390,640,480:waittimer 89798 blt 0,0,640,480,0, 13392,640,480:waittimer 89812 blt 0,0,640,480,0, 13394,640,480:waittimer 89825 blt 0,0,640,480,0, 13396,640,480:waittimer 89839 blt 0,0,640,480,0, 13398,640,480:waittimer 89852 blt 0,0,640,480,0, 13400,640,480:waittimer 89865 blt 0,0,640,480,0, 13402,640,480:waittimer 89879 blt 0,0,640,480,0, 13404,640,480:waittimer 89892 blt 0,0,640,480,0, 13406,640,480:waittimer 89906 blt 0,0,640,480,0, 13408,640,480:waittimer 89919 blt 0,0,640,480,0, 13410,640,480:waittimer 89932 blt 0,0,640,480,0, 13412,640,480:waittimer 89946 blt 0,0,640,480,0, 13414,640,480:waittimer 89959 blt 0,0,640,480,0, 13416,640,480:waittimer 89973 blt 0,0,640,480,0, 13418,640,480:waittimer 89986 blt 0,0,640,480,0, 13420,640,480:waittimer 90000 saveon return ;************************************* *teatime_ep1_scroll btndef "bmp\background\efe\end_1c.jpg" ;スクロール画像を読みこむ skipoff resettimer blt 0,0,640,480,0, 1,640,480:waittimer 9 blt 0,0,640,480,0, 2,640,480:waittimer 18 blt 0,0,640,480,0, 3,640,480:waittimer 27 blt 0,0,640,480,0, 4,640,480:waittimer 36 blt 0,0,640,480,0, 5,640,480:waittimer 45 blt 0,0,640,480,0, 6,640,480:waittimer 54 blt 0,0,640,480,0, 7,640,480:waittimer 63 blt 0,0,640,480,0, 8,640,480:waittimer 72 blt 0,0,640,480,0, 9,640,480:waittimer 81 blt 0,0,640,480,0, 10,640,480:waittimer 90 blt 0,0,640,480,0, 11,640,480:waittimer 99 blt 0,0,640,480,0, 12,640,480:waittimer 109 blt 0,0,640,480,0, 13,640,480:waittimer 118 blt 0,0,640,480,0, 14,640,480:waittimer 127 blt 0,0,640,480,0, 15,640,480:waittimer 136 blt 0,0,640,480,0, 16,640,480:waittimer 145 blt 0,0,640,480,0, 17,640,480:waittimer 154 blt 0,0,640,480,0, 18,640,480:waittimer 163 blt 0,0,640,480,0, 19,640,480:waittimer 172 blt 0,0,640,480,0, 20,640,480:waittimer 181 blt 0,0,640,480,0, 21,640,480:waittimer 190 blt 0,0,640,480,0, 22,640,480:waittimer 199 blt 0,0,640,480,0, 23,640,480:waittimer 209 blt 0,0,640,480,0, 24,640,480:waittimer 218 blt 0,0,640,480,0, 25,640,480:waittimer 227 blt 0,0,640,480,0, 26,640,480:waittimer 236 blt 0,0,640,480,0, 27,640,480:waittimer 245 blt 0,0,640,480,0, 28,640,480:waittimer 254 blt 0,0,640,480,0, 29,640,480:waittimer 263 blt 0,0,640,480,0, 30,640,480:waittimer 272 blt 0,0,640,480,0, 31,640,480:waittimer 281 blt 0,0,640,480,0, 32,640,480:waittimer 290 blt 0,0,640,480,0, 33,640,480:waittimer 299 blt 0,0,640,480,0, 34,640,480:waittimer 309 blt 0,0,640,480,0, 35,640,480:waittimer 318 blt 0,0,640,480,0, 36,640,480:waittimer 327 blt 0,0,640,480,0, 37,640,480:waittimer 336 blt 0,0,640,480,0, 38,640,480:waittimer 345 blt 0,0,640,480,0, 39,640,480:waittimer 354 blt 0,0,640,480,0, 40,640,480:waittimer 363 blt 0,0,640,480,0, 41,640,480:waittimer 372 blt 0,0,640,480,0, 42,640,480:waittimer 381 blt 0,0,640,480,0, 43,640,480:waittimer 390 blt 0,0,640,480,0, 44,640,480:waittimer 399 blt 0,0,640,480,0, 45,640,480:waittimer 409 blt 0,0,640,480,0, 46,640,480:waittimer 418 blt 0,0,640,480,0, 47,640,480:waittimer 427 blt 0,0,640,480,0, 48,640,480:waittimer 436 blt 0,0,640,480,0, 49,640,480:waittimer 445 blt 0,0,640,480,0, 50,640,480:waittimer 454 blt 0,0,640,480,0, 51,640,480:waittimer 463 blt 0,0,640,480,0, 52,640,480:waittimer 472 blt 0,0,640,480,0, 53,640,480:waittimer 481 blt 0,0,640,480,0, 54,640,480:waittimer 490 blt 0,0,640,480,0, 55,640,480:waittimer 499 blt 0,0,640,480,0, 56,640,480:waittimer 509 blt 0,0,640,480,0, 57,640,480:waittimer 518 blt 0,0,640,480,0, 58,640,480:waittimer 527 blt 0,0,640,480,0, 59,640,480:waittimer 536 blt 0,0,640,480,0, 60,640,480:waittimer 545 blt 0,0,640,480,0, 61,640,480:waittimer 554 blt 0,0,640,480,0, 62,640,480:waittimer 563 blt 0,0,640,480,0, 63,640,480:waittimer 572 blt 0,0,640,480,0, 64,640,480:waittimer 581 blt 0,0,640,480,0, 65,640,480:waittimer 590 blt 0,0,640,480,0, 66,640,480:waittimer 599 blt 0,0,640,480,0, 67,640,480:waittimer 609 blt 0,0,640,480,0, 68,640,480:waittimer 618 blt 0,0,640,480,0, 69,640,480:waittimer 627 blt 0,0,640,480,0, 70,640,480:waittimer 636 blt 0,0,640,480,0, 71,640,480:waittimer 645 blt 0,0,640,480,0, 72,640,480:waittimer 654 blt 0,0,640,480,0, 73,640,480:waittimer 663 blt 0,0,640,480,0, 74,640,480:waittimer 672 blt 0,0,640,480,0, 75,640,480:waittimer 681 blt 0,0,640,480,0, 76,640,480:waittimer 690 blt 0,0,640,480,0, 77,640,480:waittimer 699 blt 0,0,640,480,0, 78,640,480:waittimer 709 blt 0,0,640,480,0, 79,640,480:waittimer 718 blt 0,0,640,480,0, 80,640,480:waittimer 727 blt 0,0,640,480,0, 81,640,480:waittimer 736 blt 0,0,640,480,0, 82,640,480:waittimer 745 blt 0,0,640,480,0, 83,640,480:waittimer 754 blt 0,0,640,480,0, 84,640,480:waittimer 763 blt 0,0,640,480,0, 85,640,480:waittimer 772 blt 0,0,640,480,0, 86,640,480:waittimer 781 blt 0,0,640,480,0, 87,640,480:waittimer 790 blt 0,0,640,480,0, 88,640,480:waittimer 799 blt 0,0,640,480,0, 89,640,480:waittimer 809 blt 0,0,640,480,0, 90,640,480:waittimer 818 blt 0,0,640,480,0, 91,640,480:waittimer 827 blt 0,0,640,480,0, 92,640,480:waittimer 836 blt 0,0,640,480,0, 93,640,480:waittimer 845 blt 0,0,640,480,0, 94,640,480:waittimer 854 blt 0,0,640,480,0, 95,640,480:waittimer 863 blt 0,0,640,480,0, 96,640,480:waittimer 872 blt 0,0,640,480,0, 97,640,480:waittimer 881 blt 0,0,640,480,0, 98,640,480:waittimer 890 blt 0,0,640,480,0, 99,640,480:waittimer 899 blt 0,0,640,480,0, 100,640,480:waittimer 909 blt 0,0,640,480,0, 101,640,480:waittimer 918 blt 0,0,640,480,0, 102,640,480:waittimer 927 blt 0,0,640,480,0, 103,640,480:waittimer 936 blt 0,0,640,480,0, 104,640,480:waittimer 945 blt 0,0,640,480,0, 105,640,480:waittimer 954 blt 0,0,640,480,0, 106,640,480:waittimer 963 blt 0,0,640,480,0, 107,640,480:waittimer 972 blt 0,0,640,480,0, 108,640,480:waittimer 981 blt 0,0,640,480,0, 109,640,480:waittimer 990 blt 0,0,640,480,0, 110,640,480:waittimer 999 blt 0,0,640,480,0, 111,640,480:waittimer 1009 blt 0,0,640,480,0, 112,640,480:waittimer 1018 blt 0,0,640,480,0, 113,640,480:waittimer 1027 blt 0,0,640,480,0, 114,640,480:waittimer 1036 blt 0,0,640,480,0, 115,640,480:waittimer 1045 blt 0,0,640,480,0, 116,640,480:waittimer 1054 blt 0,0,640,480,0, 117,640,480:waittimer 1063 blt 0,0,640,480,0, 118,640,480:waittimer 1072 blt 0,0,640,480,0, 119,640,480:waittimer 1081 blt 0,0,640,480,0, 120,640,480:waittimer 1090 blt 0,0,640,480,0, 121,640,480:waittimer 1099 blt 0,0,640,480,0, 122,640,480:waittimer 1109 blt 0,0,640,480,0, 123,640,480:waittimer 1118 blt 0,0,640,480,0, 124,640,480:waittimer 1127 blt 0,0,640,480,0, 125,640,480:waittimer 1136 blt 0,0,640,480,0, 126,640,480:waittimer 1145 blt 0,0,640,480,0, 127,640,480:waittimer 1154 blt 0,0,640,480,0, 128,640,480:waittimer 1163 blt 0,0,640,480,0, 129,640,480:waittimer 1172 blt 0,0,640,480,0, 130,640,480:waittimer 1181 blt 0,0,640,480,0, 131,640,480:waittimer 1190 blt 0,0,640,480,0, 132,640,480:waittimer 1199 blt 0,0,640,480,0, 133,640,480:waittimer 1209 blt 0,0,640,480,0, 134,640,480:waittimer 1218 blt 0,0,640,480,0, 135,640,480:waittimer 1227 blt 0,0,640,480,0, 136,640,480:waittimer 1236 blt 0,0,640,480,0, 137,640,480:waittimer 1245 blt 0,0,640,480,0, 138,640,480:waittimer 1254 blt 0,0,640,480,0, 139,640,480:waittimer 1263 blt 0,0,640,480,0, 140,640,480:waittimer 1272 blt 0,0,640,480,0, 141,640,480:waittimer 1281 blt 0,0,640,480,0, 142,640,480:waittimer 1290 blt 0,0,640,480,0, 143,640,480:waittimer 1299 blt 0,0,640,480,0, 144,640,480:waittimer 1309 blt 0,0,640,480,0, 145,640,480:waittimer 1318 blt 0,0,640,480,0, 146,640,480:waittimer 1327 blt 0,0,640,480,0, 147,640,480:waittimer 1336 blt 0,0,640,480,0, 148,640,480:waittimer 1345 blt 0,0,640,480,0, 149,640,480:waittimer 1354 blt 0,0,640,480,0, 150,640,480:waittimer 1363 blt 0,0,640,480,0, 151,640,480:waittimer 1372 blt 0,0,640,480,0, 152,640,480:waittimer 1381 blt 0,0,640,480,0, 153,640,480:waittimer 1390 blt 0,0,640,480,0, 154,640,480:waittimer 1399 blt 0,0,640,480,0, 155,640,480:waittimer 1409 blt 0,0,640,480,0, 156,640,480:waittimer 1418 blt 0,0,640,480,0, 157,640,480:waittimer 1427 blt 0,0,640,480,0, 158,640,480:waittimer 1436 blt 0,0,640,480,0, 159,640,480:waittimer 1445 blt 0,0,640,480,0, 160,640,480:waittimer 1454 blt 0,0,640,480,0, 161,640,480:waittimer 1463 blt 0,0,640,480,0, 162,640,480:waittimer 1472 blt 0,0,640,480,0, 163,640,480:waittimer 1481 blt 0,0,640,480,0, 164,640,480:waittimer 1490 blt 0,0,640,480,0, 165,640,480:waittimer 1499 blt 0,0,640,480,0, 166,640,480:waittimer 1509 blt 0,0,640,480,0, 167,640,480:waittimer 1518 blt 0,0,640,480,0, 168,640,480:waittimer 1527 blt 0,0,640,480,0, 169,640,480:waittimer 1536 blt 0,0,640,480,0, 170,640,480:waittimer 1545 blt 0,0,640,480,0, 171,640,480:waittimer 1554 blt 0,0,640,480,0, 172,640,480:waittimer 1563 blt 0,0,640,480,0, 173,640,480:waittimer 1572 blt 0,0,640,480,0, 174,640,480:waittimer 1581 blt 0,0,640,480,0, 175,640,480:waittimer 1590 blt 0,0,640,480,0, 176,640,480:waittimer 1599 blt 0,0,640,480,0, 177,640,480:waittimer 1609 blt 0,0,640,480,0, 178,640,480:waittimer 1618 blt 0,0,640,480,0, 179,640,480:waittimer 1627 blt 0,0,640,480,0, 180,640,480:waittimer 1636 blt 0,0,640,480,0, 181,640,480:waittimer 1645 blt 0,0,640,480,0, 182,640,480:waittimer 1654 blt 0,0,640,480,0, 183,640,480:waittimer 1663 blt 0,0,640,480,0, 184,640,480:waittimer 1672 blt 0,0,640,480,0, 185,640,480:waittimer 1681 blt 0,0,640,480,0, 186,640,480:waittimer 1690 blt 0,0,640,480,0, 187,640,480:waittimer 1699 blt 0,0,640,480,0, 188,640,480:waittimer 1709 blt 0,0,640,480,0, 189,640,480:waittimer 1718 blt 0,0,640,480,0, 190,640,480:waittimer 1727 blt 0,0,640,480,0, 191,640,480:waittimer 1736 blt 0,0,640,480,0, 192,640,480:waittimer 1745 blt 0,0,640,480,0, 193,640,480:waittimer 1754 blt 0,0,640,480,0, 194,640,480:waittimer 1763 blt 0,0,640,480,0, 195,640,480:waittimer 1772 blt 0,0,640,480,0, 196,640,480:waittimer 1781 blt 0,0,640,480,0, 197,640,480:waittimer 1790 blt 0,0,640,480,0, 198,640,480:waittimer 1799 blt 0,0,640,480,0, 199,640,480:waittimer 1809 blt 0,0,640,480,0, 200,640,480:waittimer 1818 blt 0,0,640,480,0, 201,640,480:waittimer 1827 blt 0,0,640,480,0, 202,640,480:waittimer 1836 blt 0,0,640,480,0, 203,640,480:waittimer 1845 blt 0,0,640,480,0, 204,640,480:waittimer 1854 blt 0,0,640,480,0, 205,640,480:waittimer 1863 blt 0,0,640,480,0, 206,640,480:waittimer 1872 blt 0,0,640,480,0, 207,640,480:waittimer 1881 blt 0,0,640,480,0, 208,640,480:waittimer 1890 blt 0,0,640,480,0, 209,640,480:waittimer 1899 blt 0,0,640,480,0, 210,640,480:waittimer 1909 blt 0,0,640,480,0, 211,640,480:waittimer 1918 blt 0,0,640,480,0, 212,640,480:waittimer 1927 blt 0,0,640,480,0, 213,640,480:waittimer 1936 blt 0,0,640,480,0, 214,640,480:waittimer 1945 blt 0,0,640,480,0, 215,640,480:waittimer 1954 blt 0,0,640,480,0, 216,640,480:waittimer 1963 blt 0,0,640,480,0, 217,640,480:waittimer 1972 blt 0,0,640,480,0, 218,640,480:waittimer 1981 blt 0,0,640,480,0, 219,640,480:waittimer 1990 blt 0,0,640,480,0, 220,640,480:waittimer 1999 blt 0,0,640,480,0, 221,640,480:waittimer 2009 blt 0,0,640,480,0, 222,640,480:waittimer 2018 blt 0,0,640,480,0, 223,640,480:waittimer 2027 blt 0,0,640,480,0, 224,640,480:waittimer 2036 blt 0,0,640,480,0, 225,640,480:waittimer 2045 blt 0,0,640,480,0, 226,640,480:waittimer 2054 blt 0,0,640,480,0, 227,640,480:waittimer 2063 blt 0,0,640,480,0, 228,640,480:waittimer 2072 blt 0,0,640,480,0, 229,640,480:waittimer 2081 blt 0,0,640,480,0, 230,640,480:waittimer 2090 blt 0,0,640,480,0, 231,640,480:waittimer 2099 blt 0,0,640,480,0, 232,640,480:waittimer 2109 blt 0,0,640,480,0, 233,640,480:waittimer 2118 blt 0,0,640,480,0, 234,640,480:waittimer 2127 blt 0,0,640,480,0, 235,640,480:waittimer 2136 blt 0,0,640,480,0, 236,640,480:waittimer 2145 blt 0,0,640,480,0, 237,640,480:waittimer 2154 blt 0,0,640,480,0, 238,640,480:waittimer 2163 blt 0,0,640,480,0, 239,640,480:waittimer 2172 blt 0,0,640,480,0, 240,640,480:waittimer 2181 blt 0,0,640,480,0, 241,640,480:waittimer 2190 blt 0,0,640,480,0, 242,640,480:waittimer 2199 blt 0,0,640,480,0, 243,640,480:waittimer 2209 blt 0,0,640,480,0, 244,640,480:waittimer 2218 blt 0,0,640,480,0, 245,640,480:waittimer 2227 blt 0,0,640,480,0, 246,640,480:waittimer 2236 blt 0,0,640,480,0, 247,640,480:waittimer 2245 blt 0,0,640,480,0, 248,640,480:waittimer 2254 blt 0,0,640,480,0, 249,640,480:waittimer 2263 blt 0,0,640,480,0, 250,640,480:waittimer 2272 blt 0,0,640,480,0, 251,640,480:waittimer 2281 blt 0,0,640,480,0, 252,640,480:waittimer 2290 blt 0,0,640,480,0, 253,640,480:waittimer 2299 blt 0,0,640,480,0, 254,640,480:waittimer 2309 blt 0,0,640,480,0, 255,640,480:waittimer 2318 blt 0,0,640,480,0, 256,640,480:waittimer 2327 blt 0,0,640,480,0, 257,640,480:waittimer 2336 blt 0,0,640,480,0, 258,640,480:waittimer 2345 blt 0,0,640,480,0, 259,640,480:waittimer 2354 blt 0,0,640,480,0, 260,640,480:waittimer 2363 blt 0,0,640,480,0, 261,640,480:waittimer 2372 blt 0,0,640,480,0, 262,640,480:waittimer 2381 blt 0,0,640,480,0, 263,640,480:waittimer 2390 blt 0,0,640,480,0, 264,640,480:waittimer 2399 blt 0,0,640,480,0, 265,640,480:waittimer 2409 blt 0,0,640,480,0, 266,640,480:waittimer 2418 blt 0,0,640,480,0, 267,640,480:waittimer 2427 blt 0,0,640,480,0, 268,640,480:waittimer 2436 blt 0,0,640,480,0, 269,640,480:waittimer 2445 blt 0,0,640,480,0, 270,640,480:waittimer 2454 blt 0,0,640,480,0, 271,640,480:waittimer 2463 blt 0,0,640,480,0, 272,640,480:waittimer 2472 blt 0,0,640,480,0, 273,640,480:waittimer 2481 blt 0,0,640,480,0, 274,640,480:waittimer 2490 blt 0,0,640,480,0, 275,640,480:waittimer 2499 blt 0,0,640,480,0, 276,640,480:waittimer 2509 blt 0,0,640,480,0, 277,640,480:waittimer 2518 blt 0,0,640,480,0, 278,640,480:waittimer 2527 blt 0,0,640,480,0, 279,640,480:waittimer 2536 blt 0,0,640,480,0, 280,640,480:waittimer 2545 blt 0,0,640,480,0, 281,640,480:waittimer 2554 blt 0,0,640,480,0, 282,640,480:waittimer 2563 blt 0,0,640,480,0, 283,640,480:waittimer 2572 blt 0,0,640,480,0, 284,640,480:waittimer 2581 blt 0,0,640,480,0, 285,640,480:waittimer 2590 blt 0,0,640,480,0, 286,640,480:waittimer 2599 blt 0,0,640,480,0, 287,640,480:waittimer 2609 blt 0,0,640,480,0, 288,640,480:waittimer 2618 blt 0,0,640,480,0, 289,640,480:waittimer 2627 blt 0,0,640,480,0, 290,640,480:waittimer 2636 blt 0,0,640,480,0, 291,640,480:waittimer 2645 blt 0,0,640,480,0, 292,640,480:waittimer 2654 blt 0,0,640,480,0, 293,640,480:waittimer 2663 blt 0,0,640,480,0, 294,640,480:waittimer 2672 blt 0,0,640,480,0, 295,640,480:waittimer 2681 blt 0,0,640,480,0, 296,640,480:waittimer 2690 blt 0,0,640,480,0, 297,640,480:waittimer 2699 blt 0,0,640,480,0, 298,640,480:waittimer 2709 blt 0,0,640,480,0, 299,640,480:waittimer 2718 blt 0,0,640,480,0, 300,640,480:waittimer 2727 blt 0,0,640,480,0, 301,640,480:waittimer 2736 blt 0,0,640,480,0, 302,640,480:waittimer 2745 blt 0,0,640,480,0, 303,640,480:waittimer 2754 blt 0,0,640,480,0, 304,640,480:waittimer 2763 blt 0,0,640,480,0, 305,640,480:waittimer 2772 blt 0,0,640,480,0, 306,640,480:waittimer 2781 blt 0,0,640,480,0, 307,640,480:waittimer 2790 blt 0,0,640,480,0, 308,640,480:waittimer 2799 blt 0,0,640,480,0, 309,640,480:waittimer 2809 blt 0,0,640,480,0, 310,640,480:waittimer 2818 blt 0,0,640,480,0, 311,640,480:waittimer 2827 blt 0,0,640,480,0, 312,640,480:waittimer 2836 blt 0,0,640,480,0, 313,640,480:waittimer 2845 blt 0,0,640,480,0, 314,640,480:waittimer 2854 blt 0,0,640,480,0, 315,640,480:waittimer 2863 blt 0,0,640,480,0, 316,640,480:waittimer 2872 blt 0,0,640,480,0, 317,640,480:waittimer 2881 blt 0,0,640,480,0, 318,640,480:waittimer 2890 blt 0,0,640,480,0, 319,640,480:waittimer 2899 blt 0,0,640,480,0, 320,640,480:waittimer 2909 blt 0,0,640,480,0, 321,640,480:waittimer 2918 blt 0,0,640,480,0, 322,640,480:waittimer 2927 blt 0,0,640,480,0, 323,640,480:waittimer 2936 blt 0,0,640,480,0, 324,640,480:waittimer 2945 blt 0,0,640,480,0, 325,640,480:waittimer 2954 blt 0,0,640,480,0, 326,640,480:waittimer 2963 blt 0,0,640,480,0, 327,640,480:waittimer 2972 blt 0,0,640,480,0, 328,640,480:waittimer 2981 blt 0,0,640,480,0, 329,640,480:waittimer 2990 blt 0,0,640,480,0, 330,640,480:waittimer 2999 blt 0,0,640,480,0, 331,640,480:waittimer 3009 blt 0,0,640,480,0, 332,640,480:waittimer 3018 blt 0,0,640,480,0, 333,640,480:waittimer 3027 blt 0,0,640,480,0, 334,640,480:waittimer 3036 blt 0,0,640,480,0, 335,640,480:waittimer 3045 blt 0,0,640,480,0, 336,640,480:waittimer 3054 blt 0,0,640,480,0, 337,640,480:waittimer 3063 blt 0,0,640,480,0, 338,640,480:waittimer 3072 blt 0,0,640,480,0, 339,640,480:waittimer 3081 blt 0,0,640,480,0, 340,640,480:waittimer 3090 blt 0,0,640,480,0, 341,640,480:waittimer 3099 blt 0,0,640,480,0, 342,640,480:waittimer 3109 blt 0,0,640,480,0, 343,640,480:waittimer 3118 blt 0,0,640,480,0, 344,640,480:waittimer 3127 blt 0,0,640,480,0, 345,640,480:waittimer 3136 blt 0,0,640,480,0, 346,640,480:waittimer 3145 blt 0,0,640,480,0, 347,640,480:waittimer 3154 blt 0,0,640,480,0, 348,640,480:waittimer 3163 blt 0,0,640,480,0, 349,640,480:waittimer 3172 blt 0,0,640,480,0, 350,640,480:waittimer 3181 blt 0,0,640,480,0, 351,640,480:waittimer 3190 blt 0,0,640,480,0, 352,640,480:waittimer 3199 blt 0,0,640,480,0, 353,640,480:waittimer 3209 blt 0,0,640,480,0, 354,640,480:waittimer 3218 blt 0,0,640,480,0, 355,640,480:waittimer 3227 blt 0,0,640,480,0, 356,640,480:waittimer 3236 blt 0,0,640,480,0, 357,640,480:waittimer 3245 blt 0,0,640,480,0, 358,640,480:waittimer 3254 blt 0,0,640,480,0, 359,640,480:waittimer 3263 blt 0,0,640,480,0, 360,640,480:waittimer 3272 blt 0,0,640,480,0, 361,640,480:waittimer 3281 blt 0,0,640,480,0, 362,640,480:waittimer 3290 blt 0,0,640,480,0, 363,640,480:waittimer 3299 blt 0,0,640,480,0, 364,640,480:waittimer 3309 blt 0,0,640,480,0, 365,640,480:waittimer 3318 blt 0,0,640,480,0, 366,640,480:waittimer 3327 blt 0,0,640,480,0, 367,640,480:waittimer 3336 blt 0,0,640,480,0, 368,640,480:waittimer 3345 blt 0,0,640,480,0, 369,640,480:waittimer 3354 blt 0,0,640,480,0, 370,640,480:waittimer 3363 blt 0,0,640,480,0, 371,640,480:waittimer 3372 blt 0,0,640,480,0, 372,640,480:waittimer 3381 blt 0,0,640,480,0, 373,640,480:waittimer 3390 blt 0,0,640,480,0, 374,640,480:waittimer 3399 blt 0,0,640,480,0, 375,640,480:waittimer 3409 blt 0,0,640,480,0, 376,640,480:waittimer 3418 blt 0,0,640,480,0, 377,640,480:waittimer 3427 blt 0,0,640,480,0, 378,640,480:waittimer 3436 blt 0,0,640,480,0, 379,640,480:waittimer 3445 blt 0,0,640,480,0, 380,640,480:waittimer 3454 blt 0,0,640,480,0, 381,640,480:waittimer 3463 blt 0,0,640,480,0, 382,640,480:waittimer 3472 blt 0,0,640,480,0, 383,640,480:waittimer 3481 blt 0,0,640,480,0, 384,640,480:waittimer 3490 blt 0,0,640,480,0, 385,640,480:waittimer 3499 blt 0,0,640,480,0, 386,640,480:waittimer 3509 blt 0,0,640,480,0, 387,640,480:waittimer 3518 blt 0,0,640,480,0, 388,640,480:waittimer 3527 blt 0,0,640,480,0, 389,640,480:waittimer 3536 blt 0,0,640,480,0, 390,640,480:waittimer 3545 blt 0,0,640,480,0, 391,640,480:waittimer 3554 blt 0,0,640,480,0, 392,640,480:waittimer 3563 blt 0,0,640,480,0, 393,640,480:waittimer 3572 blt 0,0,640,480,0, 394,640,480:waittimer 3581 blt 0,0,640,480,0, 395,640,480:waittimer 3590 blt 0,0,640,480,0, 396,640,480:waittimer 3599 blt 0,0,640,480,0, 397,640,480:waittimer 3609 blt 0,0,640,480,0, 398,640,480:waittimer 3618 blt 0,0,640,480,0, 399,640,480:waittimer 3627 blt 0,0,640,480,0, 400,640,480:waittimer 3636 blt 0,0,640,480,0, 401,640,480:waittimer 3645 blt 0,0,640,480,0, 402,640,480:waittimer 3654 blt 0,0,640,480,0, 403,640,480:waittimer 3663 blt 0,0,640,480,0, 404,640,480:waittimer 3672 blt 0,0,640,480,0, 405,640,480:waittimer 3681 blt 0,0,640,480,0, 406,640,480:waittimer 3690 blt 0,0,640,480,0, 407,640,480:waittimer 3699 blt 0,0,640,480,0, 408,640,480:waittimer 3709 blt 0,0,640,480,0, 409,640,480:waittimer 3718 blt 0,0,640,480,0, 410,640,480:waittimer 3727 blt 0,0,640,480,0, 411,640,480:waittimer 3736 blt 0,0,640,480,0, 412,640,480:waittimer 3745 blt 0,0,640,480,0, 413,640,480:waittimer 3754 blt 0,0,640,480,0, 414,640,480:waittimer 3763 blt 0,0,640,480,0, 415,640,480:waittimer 3772 blt 0,0,640,480,0, 416,640,480:waittimer 3781 blt 0,0,640,480,0, 417,640,480:waittimer 3790 blt 0,0,640,480,0, 418,640,480:waittimer 3799 blt 0,0,640,480,0, 419,640,480:waittimer 3809 blt 0,0,640,480,0, 420,640,480:waittimer 3818 blt 0,0,640,480,0, 421,640,480:waittimer 3827 blt 0,0,640,480,0, 422,640,480:waittimer 3836 blt 0,0,640,480,0, 423,640,480:waittimer 3845 blt 0,0,640,480,0, 424,640,480:waittimer 3854 blt 0,0,640,480,0, 425,640,480:waittimer 3863 blt 0,0,640,480,0, 426,640,480:waittimer 3872 blt 0,0,640,480,0, 427,640,480:waittimer 3881 blt 0,0,640,480,0, 428,640,480:waittimer 3890 blt 0,0,640,480,0, 429,640,480:waittimer 3899 blt 0,0,640,480,0, 430,640,480:waittimer 3909 blt 0,0,640,480,0, 431,640,480:waittimer 3918 blt 0,0,640,480,0, 432,640,480:waittimer 3927 blt 0,0,640,480,0, 433,640,480:waittimer 3936 blt 0,0,640,480,0, 434,640,480:waittimer 3945 blt 0,0,640,480,0, 435,640,480:waittimer 3954 blt 0,0,640,480,0, 436,640,480:waittimer 3963 blt 0,0,640,480,0, 437,640,480:waittimer 3972 blt 0,0,640,480,0, 438,640,480:waittimer 3981 blt 0,0,640,480,0, 439,640,480:waittimer 3990 blt 0,0,640,480,0, 440,640,480:waittimer 3999 blt 0,0,640,480,0, 441,640,480:waittimer 4009 blt 0,0,640,480,0, 442,640,480:waittimer 4018 blt 0,0,640,480,0, 443,640,480:waittimer 4027 blt 0,0,640,480,0, 444,640,480:waittimer 4036 blt 0,0,640,480,0, 445,640,480:waittimer 4045 blt 0,0,640,480,0, 446,640,480:waittimer 4054 blt 0,0,640,480,0, 447,640,480:waittimer 4063 blt 0,0,640,480,0, 448,640,480:waittimer 4072 blt 0,0,640,480,0, 449,640,480:waittimer 4081 blt 0,0,640,480,0, 450,640,480:waittimer 4090 blt 0,0,640,480,0, 451,640,480:waittimer 4099 blt 0,0,640,480,0, 452,640,480:waittimer 4109 blt 0,0,640,480,0, 453,640,480:waittimer 4118 blt 0,0,640,480,0, 454,640,480:waittimer 4127 blt 0,0,640,480,0, 455,640,480:waittimer 4136 blt 0,0,640,480,0, 456,640,480:waittimer 4145 blt 0,0,640,480,0, 457,640,480:waittimer 4154 blt 0,0,640,480,0, 458,640,480:waittimer 4163 blt 0,0,640,480,0, 459,640,480:waittimer 4172 blt 0,0,640,480,0, 460,640,480:waittimer 4181 blt 0,0,640,480,0, 461,640,480:waittimer 4190 blt 0,0,640,480,0, 462,640,480:waittimer 4199 blt 0,0,640,480,0, 463,640,480:waittimer 4209 blt 0,0,640,480,0, 464,640,480:waittimer 4218 blt 0,0,640,480,0, 465,640,480:waittimer 4227 blt 0,0,640,480,0, 466,640,480:waittimer 4236 blt 0,0,640,480,0, 467,640,480:waittimer 4245 blt 0,0,640,480,0, 468,640,480:waittimer 4254 blt 0,0,640,480,0, 469,640,480:waittimer 4263 blt 0,0,640,480,0, 470,640,480:waittimer 4272 blt 0,0,640,480,0, 471,640,480:waittimer 4281 blt 0,0,640,480,0, 472,640,480:waittimer 4290 blt 0,0,640,480,0, 473,640,480:waittimer 4299 blt 0,0,640,480,0, 474,640,480:waittimer 4309 blt 0,0,640,480,0, 475,640,480:waittimer 4318 blt 0,0,640,480,0, 476,640,480:waittimer 4327 blt 0,0,640,480,0, 477,640,480:waittimer 4336 blt 0,0,640,480,0, 478,640,480:waittimer 4345 blt 0,0,640,480,0, 479,640,480:waittimer 4354 blt 0,0,640,480,0, 480,640,480:waittimer 4363 blt 0,0,640,480,0, 481,640,480:waittimer 4372 blt 0,0,640,480,0, 482,640,480:waittimer 4381 blt 0,0,640,480,0, 483,640,480:waittimer 4390 blt 0,0,640,480,0, 484,640,480:waittimer 4399 blt 0,0,640,480,0, 485,640,480:waittimer 4409 blt 0,0,640,480,0, 486,640,480:waittimer 4418 blt 0,0,640,480,0, 487,640,480:waittimer 4427 blt 0,0,640,480,0, 488,640,480:waittimer 4436 blt 0,0,640,480,0, 489,640,480:waittimer 4445 blt 0,0,640,480,0, 490,640,480:waittimer 4454 blt 0,0,640,480,0, 491,640,480:waittimer 4463 blt 0,0,640,480,0, 492,640,480:waittimer 4472 blt 0,0,640,480,0, 493,640,480:waittimer 4481 blt 0,0,640,480,0, 494,640,480:waittimer 4490 blt 0,0,640,480,0, 495,640,480:waittimer 4499 blt 0,0,640,480,0, 496,640,480:waittimer 4509 blt 0,0,640,480,0, 497,640,480:waittimer 4518 blt 0,0,640,480,0, 498,640,480:waittimer 4527 blt 0,0,640,480,0, 499,640,480:waittimer 4536 blt 0,0,640,480,0, 500,640,480:waittimer 4545 blt 0,0,640,480,0, 501,640,480:waittimer 4554 blt 0,0,640,480,0, 502,640,480:waittimer 4563 blt 0,0,640,480,0, 503,640,480:waittimer 4572 blt 0,0,640,480,0, 504,640,480:waittimer 4581 blt 0,0,640,480,0, 505,640,480:waittimer 4590 blt 0,0,640,480,0, 506,640,480:waittimer 4599 blt 0,0,640,480,0, 507,640,480:waittimer 4609 blt 0,0,640,480,0, 508,640,480:waittimer 4618 blt 0,0,640,480,0, 509,640,480:waittimer 4627 blt 0,0,640,480,0, 510,640,480:waittimer 4636 blt 0,0,640,480,0, 511,640,480:waittimer 4645 blt 0,0,640,480,0, 512,640,480:waittimer 4654 blt 0,0,640,480,0, 513,640,480:waittimer 4663 blt 0,0,640,480,0, 514,640,480:waittimer 4672 blt 0,0,640,480,0, 515,640,480:waittimer 4681 blt 0,0,640,480,0, 516,640,480:waittimer 4690 blt 0,0,640,480,0, 517,640,480:waittimer 4699 blt 0,0,640,480,0, 518,640,480:waittimer 4709 blt 0,0,640,480,0, 519,640,480:waittimer 4718 blt 0,0,640,480,0, 520,640,480:waittimer 4727 blt 0,0,640,480,0, 521,640,480:waittimer 4736 blt 0,0,640,480,0, 522,640,480:waittimer 4745 blt 0,0,640,480,0, 523,640,480:waittimer 4754 blt 0,0,640,480,0, 524,640,480:waittimer 4763 blt 0,0,640,480,0, 525,640,480:waittimer 4772 blt 0,0,640,480,0, 526,640,480:waittimer 4781 blt 0,0,640,480,0, 527,640,480:waittimer 4790 blt 0,0,640,480,0, 528,640,480:waittimer 4799 blt 0,0,640,480,0, 529,640,480:waittimer 4809 blt 0,0,640,480,0, 530,640,480:waittimer 4818 blt 0,0,640,480,0, 531,640,480:waittimer 4827 blt 0,0,640,480,0, 532,640,480:waittimer 4836 blt 0,0,640,480,0, 533,640,480:waittimer 4845 blt 0,0,640,480,0, 534,640,480:waittimer 4854 blt 0,0,640,480,0, 535,640,480:waittimer 4863 blt 0,0,640,480,0, 536,640,480:waittimer 4872 blt 0,0,640,480,0, 537,640,480:waittimer 4881 blt 0,0,640,480,0, 538,640,480:waittimer 4890 blt 0,0,640,480,0, 539,640,480:waittimer 4899 blt 0,0,640,480,0, 540,640,480:waittimer 4909 blt 0,0,640,480,0, 541,640,480:waittimer 4918 blt 0,0,640,480,0, 542,640,480:waittimer 4927 blt 0,0,640,480,0, 543,640,480:waittimer 4936 blt 0,0,640,480,0, 544,640,480:waittimer 4945 blt 0,0,640,480,0, 545,640,480:waittimer 4954 blt 0,0,640,480,0, 546,640,480:waittimer 4963 blt 0,0,640,480,0, 547,640,480:waittimer 4972 blt 0,0,640,480,0, 548,640,480:waittimer 4981 blt 0,0,640,480,0, 549,640,480:waittimer 4990 blt 0,0,640,480,0, 550,640,480:waittimer 4999 blt 0,0,640,480,0, 551,640,480:waittimer 5009 blt 0,0,640,480,0, 552,640,480:waittimer 5018 blt 0,0,640,480,0, 553,640,480:waittimer 5027 blt 0,0,640,480,0, 554,640,480:waittimer 5036 blt 0,0,640,480,0, 555,640,480:waittimer 5045 blt 0,0,640,480,0, 556,640,480:waittimer 5054 blt 0,0,640,480,0, 557,640,480:waittimer 5063 blt 0,0,640,480,0, 558,640,480:waittimer 5072 blt 0,0,640,480,0, 559,640,480:waittimer 5081 blt 0,0,640,480,0, 560,640,480:waittimer 5090 blt 0,0,640,480,0, 561,640,480:waittimer 5099 blt 0,0,640,480,0, 562,640,480:waittimer 5109 blt 0,0,640,480,0, 563,640,480:waittimer 5118 blt 0,0,640,480,0, 564,640,480:waittimer 5127 blt 0,0,640,480,0, 565,640,480:waittimer 5136 blt 0,0,640,480,0, 566,640,480:waittimer 5145 blt 0,0,640,480,0, 567,640,480:waittimer 5154 blt 0,0,640,480,0, 568,640,480:waittimer 5163 blt 0,0,640,480,0, 569,640,480:waittimer 5172 blt 0,0,640,480,0, 570,640,480:waittimer 5181 blt 0,0,640,480,0, 571,640,480:waittimer 5190 blt 0,0,640,480,0, 572,640,480:waittimer 5199 blt 0,0,640,480,0, 573,640,480:waittimer 5209 blt 0,0,640,480,0, 574,640,480:waittimer 5218 blt 0,0,640,480,0, 575,640,480:waittimer 5227 blt 0,0,640,480,0, 576,640,480:waittimer 5236 blt 0,0,640,480,0, 577,640,480:waittimer 5245 blt 0,0,640,480,0, 578,640,480:waittimer 5254 blt 0,0,640,480,0, 579,640,480:waittimer 5263 blt 0,0,640,480,0, 580,640,480:waittimer 5272 blt 0,0,640,480,0, 581,640,480:waittimer 5281 blt 0,0,640,480,0, 582,640,480:waittimer 5290 blt 0,0,640,480,0, 583,640,480:waittimer 5299 blt 0,0,640,480,0, 584,640,480:waittimer 5309 blt 0,0,640,480,0, 585,640,480:waittimer 5318 blt 0,0,640,480,0, 586,640,480:waittimer 5327 blt 0,0,640,480,0, 587,640,480:waittimer 5336 blt 0,0,640,480,0, 588,640,480:waittimer 5345 blt 0,0,640,480,0, 589,640,480:waittimer 5354 blt 0,0,640,480,0, 590,640,480:waittimer 5363 blt 0,0,640,480,0, 591,640,480:waittimer 5372 blt 0,0,640,480,0, 592,640,480:waittimer 5381 blt 0,0,640,480,0, 593,640,480:waittimer 5390 blt 0,0,640,480,0, 594,640,480:waittimer 5399 blt 0,0,640,480,0, 595,640,480:waittimer 5409 blt 0,0,640,480,0, 596,640,480:waittimer 5418 blt 0,0,640,480,0, 597,640,480:waittimer 5427 blt 0,0,640,480,0, 598,640,480:waittimer 5436 blt 0,0,640,480,0, 599,640,480:waittimer 5445 blt 0,0,640,480,0, 600,640,480:waittimer 5454 blt 0,0,640,480,0, 601,640,480:waittimer 5463 blt 0,0,640,480,0, 602,640,480:waittimer 5472 blt 0,0,640,480,0, 603,640,480:waittimer 5481 blt 0,0,640,480,0, 604,640,480:waittimer 5490 blt 0,0,640,480,0, 605,640,480:waittimer 5499 blt 0,0,640,480,0, 606,640,480:waittimer 5509 blt 0,0,640,480,0, 607,640,480:waittimer 5518 blt 0,0,640,480,0, 608,640,480:waittimer 5527 blt 0,0,640,480,0, 609,640,480:waittimer 5536 blt 0,0,640,480,0, 610,640,480:waittimer 5545 blt 0,0,640,480,0, 611,640,480:waittimer 5554 blt 0,0,640,480,0, 612,640,480:waittimer 5563 blt 0,0,640,480,0, 613,640,480:waittimer 5572 blt 0,0,640,480,0, 614,640,480:waittimer 5581 blt 0,0,640,480,0, 615,640,480:waittimer 5590 blt 0,0,640,480,0, 616,640,480:waittimer 5599 blt 0,0,640,480,0, 617,640,480:waittimer 5609 blt 0,0,640,480,0, 618,640,480:waittimer 5618 blt 0,0,640,480,0, 619,640,480:waittimer 5627 blt 0,0,640,480,0, 620,640,480:waittimer 5636 blt 0,0,640,480,0, 621,640,480:waittimer 5645 blt 0,0,640,480,0, 622,640,480:waittimer 5654 blt 0,0,640,480,0, 623,640,480:waittimer 5663 blt 0,0,640,480,0, 624,640,480:waittimer 5672 blt 0,0,640,480,0, 625,640,480:waittimer 5681 blt 0,0,640,480,0, 626,640,480:waittimer 5690 blt 0,0,640,480,0, 627,640,480:waittimer 5699 blt 0,0,640,480,0, 628,640,480:waittimer 5709 blt 0,0,640,480,0, 629,640,480:waittimer 5718 blt 0,0,640,480,0, 630,640,480:waittimer 5727 blt 0,0,640,480,0, 631,640,480:waittimer 5736 blt 0,0,640,480,0, 632,640,480:waittimer 5745 blt 0,0,640,480,0, 633,640,480:waittimer 5754 blt 0,0,640,480,0, 634,640,480:waittimer 5763 blt 0,0,640,480,0, 635,640,480:waittimer 5772 blt 0,0,640,480,0, 636,640,480:waittimer 5781 blt 0,0,640,480,0, 637,640,480:waittimer 5790 blt 0,0,640,480,0, 638,640,480:waittimer 5799 blt 0,0,640,480,0, 639,640,480:waittimer 5809 blt 0,0,640,480,0, 640,640,480:waittimer 5818 blt 0,0,640,480,0, 641,640,480:waittimer 5827 blt 0,0,640,480,0, 642,640,480:waittimer 5836 blt 0,0,640,480,0, 643,640,480:waittimer 5845 blt 0,0,640,480,0, 644,640,480:waittimer 5854 blt 0,0,640,480,0, 645,640,480:waittimer 5863 blt 0,0,640,480,0, 646,640,480:waittimer 5872 blt 0,0,640,480,0, 647,640,480:waittimer 5881 blt 0,0,640,480,0, 648,640,480:waittimer 5890 blt 0,0,640,480,0, 649,640,480:waittimer 5899 blt 0,0,640,480,0, 650,640,480:waittimer 5909 blt 0,0,640,480,0, 651,640,480:waittimer 5918 blt 0,0,640,480,0, 652,640,480:waittimer 5927 blt 0,0,640,480,0, 653,640,480:waittimer 5936 blt 0,0,640,480,0, 654,640,480:waittimer 5945 blt 0,0,640,480,0, 655,640,480:waittimer 5954 blt 0,0,640,480,0, 656,640,480:waittimer 5963 blt 0,0,640,480,0, 657,640,480:waittimer 5972 blt 0,0,640,480,0, 658,640,480:waittimer 5981 blt 0,0,640,480,0, 659,640,480:waittimer 5990 blt 0,0,640,480,0, 660,640,480:waittimer 5999 blt 0,0,640,480,0, 661,640,480:waittimer 6009 blt 0,0,640,480,0, 662,640,480:waittimer 6018 blt 0,0,640,480,0, 663,640,480:waittimer 6027 blt 0,0,640,480,0, 664,640,480:waittimer 6036 blt 0,0,640,480,0, 665,640,480:waittimer 6045 blt 0,0,640,480,0, 666,640,480:waittimer 6054 blt 0,0,640,480,0, 667,640,480:waittimer 6063 blt 0,0,640,480,0, 668,640,480:waittimer 6072 blt 0,0,640,480,0, 669,640,480:waittimer 6081 blt 0,0,640,480,0, 670,640,480:waittimer 6090 blt 0,0,640,480,0, 671,640,480:waittimer 6099 blt 0,0,640,480,0, 672,640,480:waittimer 6109 blt 0,0,640,480,0, 673,640,480:waittimer 6118 blt 0,0,640,480,0, 674,640,480:waittimer 6127 blt 0,0,640,480,0, 675,640,480:waittimer 6136 blt 0,0,640,480,0, 676,640,480:waittimer 6145 blt 0,0,640,480,0, 677,640,480:waittimer 6154 blt 0,0,640,480,0, 678,640,480:waittimer 6163 blt 0,0,640,480,0, 679,640,480:waittimer 6172 blt 0,0,640,480,0, 680,640,480:waittimer 6181 blt 0,0,640,480,0, 681,640,480:waittimer 6190 blt 0,0,640,480,0, 682,640,480:waittimer 6199 blt 0,0,640,480,0, 683,640,480:waittimer 6209 blt 0,0,640,480,0, 684,640,480:waittimer 6218 blt 0,0,640,480,0, 685,640,480:waittimer 6227 blt 0,0,640,480,0, 686,640,480:waittimer 6236 blt 0,0,640,480,0, 687,640,480:waittimer 6245 blt 0,0,640,480,0, 688,640,480:waittimer 6254 blt 0,0,640,480,0, 689,640,480:waittimer 6263 blt 0,0,640,480,0, 690,640,480:waittimer 6272 blt 0,0,640,480,0, 691,640,480:waittimer 6281 blt 0,0,640,480,0, 692,640,480:waittimer 6290 blt 0,0,640,480,0, 693,640,480:waittimer 6299 blt 0,0,640,480,0, 694,640,480:waittimer 6309 blt 0,0,640,480,0, 695,640,480:waittimer 6318 blt 0,0,640,480,0, 696,640,480:waittimer 6327 blt 0,0,640,480,0, 697,640,480:waittimer 6336 blt 0,0,640,480,0, 698,640,480:waittimer 6345 blt 0,0,640,480,0, 699,640,480:waittimer 6354 blt 0,0,640,480,0, 700,640,480:waittimer 6363 blt 0,0,640,480,0, 701,640,480:waittimer 6372 blt 0,0,640,480,0, 702,640,480:waittimer 6381 blt 0,0,640,480,0, 703,640,480:waittimer 6390 blt 0,0,640,480,0, 704,640,480:waittimer 6399 blt 0,0,640,480,0, 705,640,480:waittimer 6409 blt 0,0,640,480,0, 706,640,480:waittimer 6418 blt 0,0,640,480,0, 707,640,480:waittimer 6427 blt 0,0,640,480,0, 708,640,480:waittimer 6436 blt 0,0,640,480,0, 709,640,480:waittimer 6445 blt 0,0,640,480,0, 710,640,480:waittimer 6454 blt 0,0,640,480,0, 711,640,480:waittimer 6463 blt 0,0,640,480,0, 712,640,480:waittimer 6472 blt 0,0,640,480,0, 713,640,480:waittimer 6481 blt 0,0,640,480,0, 714,640,480:waittimer 6490 blt 0,0,640,480,0, 715,640,480:waittimer 6499 blt 0,0,640,480,0, 716,640,480:waittimer 6509 blt 0,0,640,480,0, 717,640,480:waittimer 6518 blt 0,0,640,480,0, 718,640,480:waittimer 6527 blt 0,0,640,480,0, 719,640,480:waittimer 6536 blt 0,0,640,480,0, 720,640,480:waittimer 6545 blt 0,0,640,480,0, 721,640,480:waittimer 6554 blt 0,0,640,480,0, 722,640,480:waittimer 6563 blt 0,0,640,480,0, 723,640,480:waittimer 6572 blt 0,0,640,480,0, 724,640,480:waittimer 6581 blt 0,0,640,480,0, 725,640,480:waittimer 6590 blt 0,0,640,480,0, 726,640,480:waittimer 6599 blt 0,0,640,480,0, 727,640,480:waittimer 6609 blt 0,0,640,480,0, 728,640,480:waittimer 6618 blt 0,0,640,480,0, 729,640,480:waittimer 6627 blt 0,0,640,480,0, 730,640,480:waittimer 6636 blt 0,0,640,480,0, 731,640,480:waittimer 6645 blt 0,0,640,480,0, 732,640,480:waittimer 6654 blt 0,0,640,480,0, 733,640,480:waittimer 6663 blt 0,0,640,480,0, 734,640,480:waittimer 6672 blt 0,0,640,480,0, 735,640,480:waittimer 6681 blt 0,0,640,480,0, 736,640,480:waittimer 6690 blt 0,0,640,480,0, 737,640,480:waittimer 6699 blt 0,0,640,480,0, 738,640,480:waittimer 6709 blt 0,0,640,480,0, 739,640,480:waittimer 6718 blt 0,0,640,480,0, 740,640,480:waittimer 6727 blt 0,0,640,480,0, 741,640,480:waittimer 6736 blt 0,0,640,480,0, 742,640,480:waittimer 6745 blt 0,0,640,480,0, 743,640,480:waittimer 6754 blt 0,0,640,480,0, 744,640,480:waittimer 6763 blt 0,0,640,480,0, 745,640,480:waittimer 6772 blt 0,0,640,480,0, 746,640,480:waittimer 6781 blt 0,0,640,480,0, 747,640,480:waittimer 6790 blt 0,0,640,480,0, 748,640,480:waittimer 6799 blt 0,0,640,480,0, 749,640,480:waittimer 6809 blt 0,0,640,480,0, 750,640,480:waittimer 6818 blt 0,0,640,480,0, 751,640,480:waittimer 6827 blt 0,0,640,480,0, 752,640,480:waittimer 6836 blt 0,0,640,480,0, 753,640,480:waittimer 6845 blt 0,0,640,480,0, 754,640,480:waittimer 6854 blt 0,0,640,480,0, 755,640,480:waittimer 6863 blt 0,0,640,480,0, 756,640,480:waittimer 6872 blt 0,0,640,480,0, 757,640,480:waittimer 6881 blt 0,0,640,480,0, 758,640,480:waittimer 6890 blt 0,0,640,480,0, 759,640,480:waittimer 6899 blt 0,0,640,480,0, 760,640,480:waittimer 6909 blt 0,0,640,480,0, 761,640,480:waittimer 6918 blt 0,0,640,480,0, 762,640,480:waittimer 6927 blt 0,0,640,480,0, 763,640,480:waittimer 6936 blt 0,0,640,480,0, 764,640,480:waittimer 6945 blt 0,0,640,480,0, 765,640,480:waittimer 6954 blt 0,0,640,480,0, 766,640,480:waittimer 6963 blt 0,0,640,480,0, 767,640,480:waittimer 6972 blt 0,0,640,480,0, 768,640,480:waittimer 6981 blt 0,0,640,480,0, 769,640,480:waittimer 6990 blt 0,0,640,480,0, 770,640,480:waittimer 6999 blt 0,0,640,480,0, 771,640,480:waittimer 7009 blt 0,0,640,480,0, 772,640,480:waittimer 7018 blt 0,0,640,480,0, 773,640,480:waittimer 7027 blt 0,0,640,480,0, 774,640,480:waittimer 7036 blt 0,0,640,480,0, 775,640,480:waittimer 7045 blt 0,0,640,480,0, 776,640,480:waittimer 7054 blt 0,0,640,480,0, 777,640,480:waittimer 7063 blt 0,0,640,480,0, 778,640,480:waittimer 7072 blt 0,0,640,480,0, 779,640,480:waittimer 7081 blt 0,0,640,480,0, 780,640,480:waittimer 7090 blt 0,0,640,480,0, 781,640,480:waittimer 7099 blt 0,0,640,480,0, 782,640,480:waittimer 7109 blt 0,0,640,480,0, 783,640,480:waittimer 7118 blt 0,0,640,480,0, 784,640,480:waittimer 7127 blt 0,0,640,480,0, 785,640,480:waittimer 7136 blt 0,0,640,480,0, 786,640,480:waittimer 7145 blt 0,0,640,480,0, 787,640,480:waittimer 7154 blt 0,0,640,480,0, 788,640,480:waittimer 7163 blt 0,0,640,480,0, 789,640,480:waittimer 7172 blt 0,0,640,480,0, 790,640,480:waittimer 7181 blt 0,0,640,480,0, 791,640,480:waittimer 7190 blt 0,0,640,480,0, 792,640,480:waittimer 7199 blt 0,0,640,480,0, 793,640,480:waittimer 7209 blt 0,0,640,480,0, 794,640,480:waittimer 7218 blt 0,0,640,480,0, 795,640,480:waittimer 7227 blt 0,0,640,480,0, 796,640,480:waittimer 7236 blt 0,0,640,480,0, 797,640,480:waittimer 7245 blt 0,0,640,480,0, 798,640,480:waittimer 7254 blt 0,0,640,480,0, 799,640,480:waittimer 7263 blt 0,0,640,480,0, 800,640,480:waittimer 7272 blt 0,0,640,480,0, 801,640,480:waittimer 7281 blt 0,0,640,480,0, 802,640,480:waittimer 7290 blt 0,0,640,480,0, 803,640,480:waittimer 7299 blt 0,0,640,480,0, 804,640,480:waittimer 7309 blt 0,0,640,480,0, 805,640,480:waittimer 7318 blt 0,0,640,480,0, 806,640,480:waittimer 7327 blt 0,0,640,480,0, 807,640,480:waittimer 7336 blt 0,0,640,480,0, 808,640,480:waittimer 7345 blt 0,0,640,480,0, 809,640,480:waittimer 7354 blt 0,0,640,480,0, 810,640,480:waittimer 7363 blt 0,0,640,480,0, 811,640,480:waittimer 7372 blt 0,0,640,480,0, 812,640,480:waittimer 7381 blt 0,0,640,480,0, 813,640,480:waittimer 7390 blt 0,0,640,480,0, 814,640,480:waittimer 7399 blt 0,0,640,480,0, 815,640,480:waittimer 7409 blt 0,0,640,480,0, 816,640,480:waittimer 7418 blt 0,0,640,480,0, 817,640,480:waittimer 7427 blt 0,0,640,480,0, 818,640,480:waittimer 7436 blt 0,0,640,480,0, 819,640,480:waittimer 7445 blt 0,0,640,480,0, 820,640,480:waittimer 7454 blt 0,0,640,480,0, 821,640,480:waittimer 7463 blt 0,0,640,480,0, 822,640,480:waittimer 7472 blt 0,0,640,480,0, 823,640,480:waittimer 7481 blt 0,0,640,480,0, 824,640,480:waittimer 7490 blt 0,0,640,480,0, 825,640,480:waittimer 7499 blt 0,0,640,480,0, 826,640,480:waittimer 7509 blt 0,0,640,480,0, 827,640,480:waittimer 7518 blt 0,0,640,480,0, 828,640,480:waittimer 7527 blt 0,0,640,480,0, 829,640,480:waittimer 7536 blt 0,0,640,480,0, 830,640,480:waittimer 7545 blt 0,0,640,480,0, 831,640,480:waittimer 7554 blt 0,0,640,480,0, 832,640,480:waittimer 7563 blt 0,0,640,480,0, 833,640,480:waittimer 7572 blt 0,0,640,480,0, 834,640,480:waittimer 7581 blt 0,0,640,480,0, 835,640,480:waittimer 7590 blt 0,0,640,480,0, 836,640,480:waittimer 7599 blt 0,0,640,480,0, 837,640,480:waittimer 7609 blt 0,0,640,480,0, 838,640,480:waittimer 7618 blt 0,0,640,480,0, 839,640,480:waittimer 7627 blt 0,0,640,480,0, 840,640,480:waittimer 7636 blt 0,0,640,480,0, 841,640,480:waittimer 7645 blt 0,0,640,480,0, 842,640,480:waittimer 7654 blt 0,0,640,480,0, 843,640,480:waittimer 7663 blt 0,0,640,480,0, 844,640,480:waittimer 7672 blt 0,0,640,480,0, 845,640,480:waittimer 7681 blt 0,0,640,480,0, 846,640,480:waittimer 7690 blt 0,0,640,480,0, 847,640,480:waittimer 7699 blt 0,0,640,480,0, 848,640,480:waittimer 7709 blt 0,0,640,480,0, 849,640,480:waittimer 7718 blt 0,0,640,480,0, 850,640,480:waittimer 7727 blt 0,0,640,480,0, 851,640,480:waittimer 7736 blt 0,0,640,480,0, 852,640,480:waittimer 7745 blt 0,0,640,480,0, 853,640,480:waittimer 7754 blt 0,0,640,480,0, 854,640,480:waittimer 7763 blt 0,0,640,480,0, 855,640,480:waittimer 7772 blt 0,0,640,480,0, 856,640,480:waittimer 7781 blt 0,0,640,480,0, 857,640,480:waittimer 7790 blt 0,0,640,480,0, 858,640,480:waittimer 7799 blt 0,0,640,480,0, 859,640,480:waittimer 7809 blt 0,0,640,480,0, 860,640,480:waittimer 7818 blt 0,0,640,480,0, 861,640,480:waittimer 7827 blt 0,0,640,480,0, 862,640,480:waittimer 7836 blt 0,0,640,480,0, 863,640,480:waittimer 7845 blt 0,0,640,480,0, 864,640,480:waittimer 7854 blt 0,0,640,480,0, 865,640,480:waittimer 7863 blt 0,0,640,480,0, 866,640,480:waittimer 7872 blt 0,0,640,480,0, 867,640,480:waittimer 7881 blt 0,0,640,480,0, 868,640,480:waittimer 7890 blt 0,0,640,480,0, 869,640,480:waittimer 7899 blt 0,0,640,480,0, 870,640,480:waittimer 7909 blt 0,0,640,480,0, 871,640,480:waittimer 7918 blt 0,0,640,480,0, 872,640,480:waittimer 7927 blt 0,0,640,480,0, 873,640,480:waittimer 7936 blt 0,0,640,480,0, 874,640,480:waittimer 7945 blt 0,0,640,480,0, 875,640,480:waittimer 7954 blt 0,0,640,480,0, 876,640,480:waittimer 7963 blt 0,0,640,480,0, 877,640,480:waittimer 7972 blt 0,0,640,480,0, 878,640,480:waittimer 7981 blt 0,0,640,480,0, 879,640,480:waittimer 7990 blt 0,0,640,480,0, 880,640,480:waittimer 7999 blt 0,0,640,480,0, 881,640,480:waittimer 8009 blt 0,0,640,480,0, 882,640,480:waittimer 8018 blt 0,0,640,480,0, 883,640,480:waittimer 8027 blt 0,0,640,480,0, 884,640,480:waittimer 8036 blt 0,0,640,480,0, 885,640,480:waittimer 8045 blt 0,0,640,480,0, 886,640,480:waittimer 8054 blt 0,0,640,480,0, 887,640,480:waittimer 8063 blt 0,0,640,480,0, 888,640,480:waittimer 8072 blt 0,0,640,480,0, 889,640,480:waittimer 8081 blt 0,0,640,480,0, 890,640,480:waittimer 8090 blt 0,0,640,480,0, 891,640,480:waittimer 8099 blt 0,0,640,480,0, 892,640,480:waittimer 8109 blt 0,0,640,480,0, 893,640,480:waittimer 8118 blt 0,0,640,480,0, 894,640,480:waittimer 8127 blt 0,0,640,480,0, 895,640,480:waittimer 8136 blt 0,0,640,480,0, 896,640,480:waittimer 8145 blt 0,0,640,480,0, 897,640,480:waittimer 8154 blt 0,0,640,480,0, 898,640,480:waittimer 8163 blt 0,0,640,480,0, 899,640,480:waittimer 8172 blt 0,0,640,480,0, 900,640,480:waittimer 8181 blt 0,0,640,480,0, 901,640,480:waittimer 8190 blt 0,0,640,480,0, 902,640,480:waittimer 8199 blt 0,0,640,480,0, 903,640,480:waittimer 8209 blt 0,0,640,480,0, 904,640,480:waittimer 8218 blt 0,0,640,480,0, 905,640,480:waittimer 8227 blt 0,0,640,480,0, 906,640,480:waittimer 8236 blt 0,0,640,480,0, 907,640,480:waittimer 8245 blt 0,0,640,480,0, 908,640,480:waittimer 8254 blt 0,0,640,480,0, 909,640,480:waittimer 8263 blt 0,0,640,480,0, 910,640,480:waittimer 8272 blt 0,0,640,480,0, 911,640,480:waittimer 8281 blt 0,0,640,480,0, 912,640,480:waittimer 8290 blt 0,0,640,480,0, 913,640,480:waittimer 8299 blt 0,0,640,480,0, 914,640,480:waittimer 8309 blt 0,0,640,480,0, 915,640,480:waittimer 8318 blt 0,0,640,480,0, 916,640,480:waittimer 8327 blt 0,0,640,480,0, 917,640,480:waittimer 8336 blt 0,0,640,480,0, 918,640,480:waittimer 8345 blt 0,0,640,480,0, 919,640,480:waittimer 8354 blt 0,0,640,480,0, 920,640,480:waittimer 8363 blt 0,0,640,480,0, 921,640,480:waittimer 8372 blt 0,0,640,480,0, 922,640,480:waittimer 8381 blt 0,0,640,480,0, 923,640,480:waittimer 8390 blt 0,0,640,480,0, 924,640,480:waittimer 8399 blt 0,0,640,480,0, 925,640,480:waittimer 8409 blt 0,0,640,480,0, 926,640,480:waittimer 8418 blt 0,0,640,480,0, 927,640,480:waittimer 8427 blt 0,0,640,480,0, 928,640,480:waittimer 8436 blt 0,0,640,480,0, 929,640,480:waittimer 8445 blt 0,0,640,480,0, 930,640,480:waittimer 8454 blt 0,0,640,480,0, 931,640,480:waittimer 8463 blt 0,0,640,480,0, 932,640,480:waittimer 8472 blt 0,0,640,480,0, 933,640,480:waittimer 8481 blt 0,0,640,480,0, 934,640,480:waittimer 8490 blt 0,0,640,480,0, 935,640,480:waittimer 8499 blt 0,0,640,480,0, 936,640,480:waittimer 8509 blt 0,0,640,480,0, 937,640,480:waittimer 8518 blt 0,0,640,480,0, 938,640,480:waittimer 8527 blt 0,0,640,480,0, 939,640,480:waittimer 8536 blt 0,0,640,480,0, 940,640,480:waittimer 8545 blt 0,0,640,480,0, 941,640,480:waittimer 8554 blt 0,0,640,480,0, 942,640,480:waittimer 8563 blt 0,0,640,480,0, 943,640,480:waittimer 8572 blt 0,0,640,480,0, 944,640,480:waittimer 8581 blt 0,0,640,480,0, 945,640,480:waittimer 8590 blt 0,0,640,480,0, 946,640,480:waittimer 8599 blt 0,0,640,480,0, 947,640,480:waittimer 8609 blt 0,0,640,480,0, 948,640,480:waittimer 8618 blt 0,0,640,480,0, 949,640,480:waittimer 8627 blt 0,0,640,480,0, 950,640,480:waittimer 8636 blt 0,0,640,480,0, 951,640,480:waittimer 8645 blt 0,0,640,480,0, 952,640,480:waittimer 8654 blt 0,0,640,480,0, 953,640,480:waittimer 8663 blt 0,0,640,480,0, 954,640,480:waittimer 8672 blt 0,0,640,480,0, 955,640,480:waittimer 8681 blt 0,0,640,480,0, 956,640,480:waittimer 8690 blt 0,0,640,480,0, 957,640,480:waittimer 8699 blt 0,0,640,480,0, 958,640,480:waittimer 8709 blt 0,0,640,480,0, 959,640,480:waittimer 8718 blt 0,0,640,480,0, 960,640,480:waittimer 8727 blt 0,0,640,480,0, 961,640,480:waittimer 8736 blt 0,0,640,480,0, 962,640,480:waittimer 8745 blt 0,0,640,480,0, 963,640,480:waittimer 8754 blt 0,0,640,480,0, 964,640,480:waittimer 8763 blt 0,0,640,480,0, 965,640,480:waittimer 8772 blt 0,0,640,480,0, 966,640,480:waittimer 8781 blt 0,0,640,480,0, 967,640,480:waittimer 8790 blt 0,0,640,480,0, 968,640,480:waittimer 8799 blt 0,0,640,480,0, 969,640,480:waittimer 8809 blt 0,0,640,480,0, 970,640,480:waittimer 8818 blt 0,0,640,480,0, 971,640,480:waittimer 8827 blt 0,0,640,480,0, 972,640,480:waittimer 8836 blt 0,0,640,480,0, 973,640,480:waittimer 8845 blt 0,0,640,480,0, 974,640,480:waittimer 8854 blt 0,0,640,480,0, 975,640,480:waittimer 8863 blt 0,0,640,480,0, 976,640,480:waittimer 8872 blt 0,0,640,480,0, 977,640,480:waittimer 8881 blt 0,0,640,480,0, 978,640,480:waittimer 8890 blt 0,0,640,480,0, 979,640,480:waittimer 8899 blt 0,0,640,480,0, 980,640,480:waittimer 8909 blt 0,0,640,480,0, 981,640,480:waittimer 8918 blt 0,0,640,480,0, 982,640,480:waittimer 8927 blt 0,0,640,480,0, 983,640,480:waittimer 8936 blt 0,0,640,480,0, 984,640,480:waittimer 8945 blt 0,0,640,480,0, 985,640,480:waittimer 8954 blt 0,0,640,480,0, 986,640,480:waittimer 8963 blt 0,0,640,480,0, 987,640,480:waittimer 8972 blt 0,0,640,480,0, 988,640,480:waittimer 8981 blt 0,0,640,480,0, 989,640,480:waittimer 8990 blt 0,0,640,480,0, 990,640,480:waittimer 8999 blt 0,0,640,480,0, 991,640,480:waittimer 9009 blt 0,0,640,480,0, 992,640,480:waittimer 9018 blt 0,0,640,480,0, 993,640,480:waittimer 9027 blt 0,0,640,480,0, 994,640,480:waittimer 9036 blt 0,0,640,480,0, 995,640,480:waittimer 9045 blt 0,0,640,480,0, 996,640,480:waittimer 9054 blt 0,0,640,480,0, 997,640,480:waittimer 9063 blt 0,0,640,480,0, 998,640,480:waittimer 9072 blt 0,0,640,480,0, 999,640,480:waittimer 9081 blt 0,0,640,480,0, 1000,640,480:waittimer 9090 blt 0,0,640,480,0, 1001,640,480:waittimer 9099 blt 0,0,640,480,0, 1002,640,480:waittimer 9109 blt 0,0,640,480,0, 1003,640,480:waittimer 9118 blt 0,0,640,480,0, 1004,640,480:waittimer 9127 blt 0,0,640,480,0, 1005,640,480:waittimer 9136 blt 0,0,640,480,0, 1006,640,480:waittimer 9145 blt 0,0,640,480,0, 1007,640,480:waittimer 9154 blt 0,0,640,480,0, 1008,640,480:waittimer 9163 blt 0,0,640,480,0, 1009,640,480:waittimer 9172 blt 0,0,640,480,0, 1010,640,480:waittimer 9181 blt 0,0,640,480,0, 1011,640,480:waittimer 9190 blt 0,0,640,480,0, 1012,640,480:waittimer 9199 blt 0,0,640,480,0, 1013,640,480:waittimer 9209 blt 0,0,640,480,0, 1014,640,480:waittimer 9218 blt 0,0,640,480,0, 1015,640,480:waittimer 9227 blt 0,0,640,480,0, 1016,640,480:waittimer 9236 blt 0,0,640,480,0, 1017,640,480:waittimer 9245 blt 0,0,640,480,0, 1018,640,480:waittimer 9254 blt 0,0,640,480,0, 1019,640,480:waittimer 9263 blt 0,0,640,480,0, 1020,640,480:waittimer 9272 blt 0,0,640,480,0, 1021,640,480:waittimer 9281 blt 0,0,640,480,0, 1022,640,480:waittimer 9290 blt 0,0,640,480,0, 1023,640,480:waittimer 9299 blt 0,0,640,480,0, 1024,640,480:waittimer 9309 blt 0,0,640,480,0, 1025,640,480:waittimer 9318 blt 0,0,640,480,0, 1026,640,480:waittimer 9327 blt 0,0,640,480,0, 1027,640,480:waittimer 9336 blt 0,0,640,480,0, 1028,640,480:waittimer 9345 blt 0,0,640,480,0, 1029,640,480:waittimer 9354 blt 0,0,640,480,0, 1030,640,480:waittimer 9363 blt 0,0,640,480,0, 1031,640,480:waittimer 9372 blt 0,0,640,480,0, 1032,640,480:waittimer 9381 blt 0,0,640,480,0, 1033,640,480:waittimer 9390 blt 0,0,640,480,0, 1034,640,480:waittimer 9399 blt 0,0,640,480,0, 1035,640,480:waittimer 9409 blt 0,0,640,480,0, 1036,640,480:waittimer 9418 blt 0,0,640,480,0, 1037,640,480:waittimer 9427 blt 0,0,640,480,0, 1038,640,480:waittimer 9436 blt 0,0,640,480,0, 1039,640,480:waittimer 9445 blt 0,0,640,480,0, 1040,640,480:waittimer 9454 blt 0,0,640,480,0, 1041,640,480:waittimer 9463 blt 0,0,640,480,0, 1042,640,480:waittimer 9472 blt 0,0,640,480,0, 1043,640,480:waittimer 9481 blt 0,0,640,480,0, 1044,640,480:waittimer 9490 blt 0,0,640,480,0, 1045,640,480:waittimer 9499 blt 0,0,640,480,0, 1046,640,480:waittimer 9509 blt 0,0,640,480,0, 1047,640,480:waittimer 9518 blt 0,0,640,480,0, 1048,640,480:waittimer 9527 blt 0,0,640,480,0, 1049,640,480:waittimer 9536 blt 0,0,640,480,0, 1050,640,480:waittimer 9545 blt 0,0,640,480,0, 1051,640,480:waittimer 9554 blt 0,0,640,480,0, 1052,640,480:waittimer 9563 blt 0,0,640,480,0, 1053,640,480:waittimer 9572 blt 0,0,640,480,0, 1054,640,480:waittimer 9581 blt 0,0,640,480,0, 1055,640,480:waittimer 9590 blt 0,0,640,480,0, 1056,640,480:waittimer 9599 blt 0,0,640,480,0, 1057,640,480:waittimer 9609 blt 0,0,640,480,0, 1058,640,480:waittimer 9618 blt 0,0,640,480,0, 1059,640,480:waittimer 9627 blt 0,0,640,480,0, 1060,640,480:waittimer 9636 blt 0,0,640,480,0, 1061,640,480:waittimer 9645 blt 0,0,640,480,0, 1062,640,480:waittimer 9654 blt 0,0,640,480,0, 1063,640,480:waittimer 9663 blt 0,0,640,480,0, 1064,640,480:waittimer 9672 blt 0,0,640,480,0, 1065,640,480:waittimer 9681 blt 0,0,640,480,0, 1066,640,480:waittimer 9690 blt 0,0,640,480,0, 1067,640,480:waittimer 9699 blt 0,0,640,480,0, 1068,640,480:waittimer 9709 blt 0,0,640,480,0, 1069,640,480:waittimer 9718 blt 0,0,640,480,0, 1070,640,480:waittimer 9727 blt 0,0,640,480,0, 1071,640,480:waittimer 9736 blt 0,0,640,480,0, 1072,640,480:waittimer 9745 blt 0,0,640,480,0, 1073,640,480:waittimer 9754 blt 0,0,640,480,0, 1074,640,480:waittimer 9763 blt 0,0,640,480,0, 1075,640,480:waittimer 9772 blt 0,0,640,480,0, 1076,640,480:waittimer 9781 blt 0,0,640,480,0, 1077,640,480:waittimer 9790 blt 0,0,640,480,0, 1078,640,480:waittimer 9799 blt 0,0,640,480,0, 1079,640,480:waittimer 9809 blt 0,0,640,480,0, 1080,640,480:waittimer 9818 blt 0,0,640,480,0, 1081,640,480:waittimer 9827 blt 0,0,640,480,0, 1082,640,480:waittimer 9836 blt 0,0,640,480,0, 1083,640,480:waittimer 9845 blt 0,0,640,480,0, 1084,640,480:waittimer 9854 blt 0,0,640,480,0, 1085,640,480:waittimer 9863 blt 0,0,640,480,0, 1086,640,480:waittimer 9872 blt 0,0,640,480,0, 1087,640,480:waittimer 9881 blt 0,0,640,480,0, 1088,640,480:waittimer 9890 blt 0,0,640,480,0, 1089,640,480:waittimer 9899 blt 0,0,640,480,0, 1090,640,480:waittimer 9909 blt 0,0,640,480,0, 1091,640,480:waittimer 9918 blt 0,0,640,480,0, 1092,640,480:waittimer 9927 blt 0,0,640,480,0, 1093,640,480:waittimer 9936 blt 0,0,640,480,0, 1094,640,480:waittimer 9945 blt 0,0,640,480,0, 1095,640,480:waittimer 9954 blt 0,0,640,480,0, 1096,640,480:waittimer 9963 blt 0,0,640,480,0, 1097,640,480:waittimer 9972 blt 0,0,640,480,0, 1098,640,480:waittimer 9981 blt 0,0,640,480,0, 1099,640,480:waittimer 9990 blt 0,0,640,480,0, 1100,640,480:waittimer 9999 blt 0,0,640,480,0, 1101,640,480:waittimer 10009 blt 0,0,640,480,0, 1102,640,480:waittimer 10018 blt 0,0,640,480,0, 1103,640,480:waittimer 10027 blt 0,0,640,480,0, 1104,640,480:waittimer 10036 blt 0,0,640,480,0, 1105,640,480:waittimer 10045 blt 0,0,640,480,0, 1106,640,480:waittimer 10054 blt 0,0,640,480,0, 1107,640,480:waittimer 10063 blt 0,0,640,480,0, 1108,640,480:waittimer 10072 blt 0,0,640,480,0, 1109,640,480:waittimer 10081 blt 0,0,640,480,0, 1110,640,480:waittimer 10090 blt 0,0,640,480,0, 1111,640,480:waittimer 10099 blt 0,0,640,480,0, 1112,640,480:waittimer 10109 blt 0,0,640,480,0, 1113,640,480:waittimer 10118 blt 0,0,640,480,0, 1114,640,480:waittimer 10127 blt 0,0,640,480,0, 1115,640,480:waittimer 10136 blt 0,0,640,480,0, 1116,640,480:waittimer 10145 blt 0,0,640,480,0, 1117,640,480:waittimer 10154 blt 0,0,640,480,0, 1118,640,480:waittimer 10163 blt 0,0,640,480,0, 1119,640,480:waittimer 10172 blt 0,0,640,480,0, 1120,640,480:waittimer 10181 blt 0,0,640,480,0, 1121,640,480:waittimer 10190 blt 0,0,640,480,0, 1122,640,480:waittimer 10199 blt 0,0,640,480,0, 1123,640,480:waittimer 10209 blt 0,0,640,480,0, 1124,640,480:waittimer 10218 blt 0,0,640,480,0, 1125,640,480:waittimer 10227 blt 0,0,640,480,0, 1126,640,480:waittimer 10236 blt 0,0,640,480,0, 1127,640,480:waittimer 10245 blt 0,0,640,480,0, 1128,640,480:waittimer 10254 blt 0,0,640,480,0, 1129,640,480:waittimer 10263 blt 0,0,640,480,0, 1130,640,480:waittimer 10272 blt 0,0,640,480,0, 1131,640,480:waittimer 10281 blt 0,0,640,480,0, 1132,640,480:waittimer 10290 blt 0,0,640,480,0, 1133,640,480:waittimer 10299 blt 0,0,640,480,0, 1134,640,480:waittimer 10309 blt 0,0,640,480,0, 1135,640,480:waittimer 10318 blt 0,0,640,480,0, 1136,640,480:waittimer 10327 blt 0,0,640,480,0, 1137,640,480:waittimer 10336 blt 0,0,640,480,0, 1138,640,480:waittimer 10345 blt 0,0,640,480,0, 1139,640,480:waittimer 10354 blt 0,0,640,480,0, 1140,640,480:waittimer 10363 blt 0,0,640,480,0, 1141,640,480:waittimer 10372 blt 0,0,640,480,0, 1142,640,480:waittimer 10381 blt 0,0,640,480,0, 1143,640,480:waittimer 10390 blt 0,0,640,480,0, 1144,640,480:waittimer 10399 blt 0,0,640,480,0, 1145,640,480:waittimer 10409 blt 0,0,640,480,0, 1146,640,480:waittimer 10418 blt 0,0,640,480,0, 1147,640,480:waittimer 10427 blt 0,0,640,480,0, 1148,640,480:waittimer 10436 blt 0,0,640,480,0, 1149,640,480:waittimer 10445 blt 0,0,640,480,0, 1150,640,480:waittimer 10454 blt 0,0,640,480,0, 1151,640,480:waittimer 10463 blt 0,0,640,480,0, 1152,640,480:waittimer 10472 blt 0,0,640,480,0, 1153,640,480:waittimer 10481 blt 0,0,640,480,0, 1154,640,480:waittimer 10490 blt 0,0,640,480,0, 1155,640,480:waittimer 10499 blt 0,0,640,480,0, 1156,640,480:waittimer 10509 blt 0,0,640,480,0, 1157,640,480:waittimer 10518 blt 0,0,640,480,0, 1158,640,480:waittimer 10527 blt 0,0,640,480,0, 1159,640,480:waittimer 10536 blt 0,0,640,480,0, 1160,640,480:waittimer 10545 blt 0,0,640,480,0, 1161,640,480:waittimer 10554 blt 0,0,640,480,0, 1162,640,480:waittimer 10563 blt 0,0,640,480,0, 1163,640,480:waittimer 10572 blt 0,0,640,480,0, 1164,640,480:waittimer 10581 blt 0,0,640,480,0, 1165,640,480:waittimer 10590 blt 0,0,640,480,0, 1166,640,480:waittimer 10599 blt 0,0,640,480,0, 1167,640,480:waittimer 10609 blt 0,0,640,480,0, 1168,640,480:waittimer 10618 blt 0,0,640,480,0, 1169,640,480:waittimer 10627 blt 0,0,640,480,0, 1170,640,480:waittimer 10636 blt 0,0,640,480,0, 1171,640,480:waittimer 10645 blt 0,0,640,480,0, 1172,640,480:waittimer 10654 blt 0,0,640,480,0, 1173,640,480:waittimer 10663 blt 0,0,640,480,0, 1174,640,480:waittimer 10672 blt 0,0,640,480,0, 1175,640,480:waittimer 10681 blt 0,0,640,480,0, 1176,640,480:waittimer 10690 blt 0,0,640,480,0, 1177,640,480:waittimer 10699 blt 0,0,640,480,0, 1178,640,480:waittimer 10709 blt 0,0,640,480,0, 1179,640,480:waittimer 10718 blt 0,0,640,480,0, 1180,640,480:waittimer 10727 blt 0,0,640,480,0, 1181,640,480:waittimer 10736 blt 0,0,640,480,0, 1182,640,480:waittimer 10745 blt 0,0,640,480,0, 1183,640,480:waittimer 10754 blt 0,0,640,480,0, 1184,640,480:waittimer 10763 blt 0,0,640,480,0, 1185,640,480:waittimer 10772 blt 0,0,640,480,0, 1186,640,480:waittimer 10781 blt 0,0,640,480,0, 1187,640,480:waittimer 10790 blt 0,0,640,480,0, 1188,640,480:waittimer 10799 blt 0,0,640,480,0, 1189,640,480:waittimer 10809 blt 0,0,640,480,0, 1190,640,480:waittimer 10818 blt 0,0,640,480,0, 1191,640,480:waittimer 10827 blt 0,0,640,480,0, 1192,640,480:waittimer 10836 blt 0,0,640,480,0, 1193,640,480:waittimer 10845 blt 0,0,640,480,0, 1194,640,480:waittimer 10854 blt 0,0,640,480,0, 1195,640,480:waittimer 10863 blt 0,0,640,480,0, 1196,640,480:waittimer 10872 blt 0,0,640,480,0, 1197,640,480:waittimer 10881 blt 0,0,640,480,0, 1198,640,480:waittimer 10890 blt 0,0,640,480,0, 1199,640,480:waittimer 10899 blt 0,0,640,480,0, 1200,640,480:waittimer 10909 blt 0,0,640,480,0, 1201,640,480:waittimer 10918 blt 0,0,640,480,0, 1202,640,480:waittimer 10927 blt 0,0,640,480,0, 1203,640,480:waittimer 10936 blt 0,0,640,480,0, 1204,640,480:waittimer 10945 blt 0,0,640,480,0, 1205,640,480:waittimer 10954 blt 0,0,640,480,0, 1206,640,480:waittimer 10963 blt 0,0,640,480,0, 1207,640,480:waittimer 10972 blt 0,0,640,480,0, 1208,640,480:waittimer 10981 blt 0,0,640,480,0, 1209,640,480:waittimer 10990 blt 0,0,640,480,0, 1210,640,480:waittimer 10999 blt 0,0,640,480,0, 1211,640,480:waittimer 11009 blt 0,0,640,480,0, 1212,640,480:waittimer 11018 blt 0,0,640,480,0, 1213,640,480:waittimer 11027 blt 0,0,640,480,0, 1214,640,480:waittimer 11036 blt 0,0,640,480,0, 1215,640,480:waittimer 11045 blt 0,0,640,480,0, 1216,640,480:waittimer 11054 blt 0,0,640,480,0, 1217,640,480:waittimer 11063 blt 0,0,640,480,0, 1218,640,480:waittimer 11072 blt 0,0,640,480,0, 1219,640,480:waittimer 11081 blt 0,0,640,480,0, 1220,640,480:waittimer 11090 blt 0,0,640,480,0, 1221,640,480:waittimer 11099 blt 0,0,640,480,0, 1222,640,480:waittimer 11109 blt 0,0,640,480,0, 1223,640,480:waittimer 11118 blt 0,0,640,480,0, 1224,640,480:waittimer 11127 blt 0,0,640,480,0, 1225,640,480:waittimer 11136 blt 0,0,640,480,0, 1226,640,480:waittimer 11145 blt 0,0,640,480,0, 1227,640,480:waittimer 11154 blt 0,0,640,480,0, 1228,640,480:waittimer 11163 blt 0,0,640,480,0, 1229,640,480:waittimer 11172 blt 0,0,640,480,0, 1230,640,480:waittimer 11181 blt 0,0,640,480,0, 1231,640,480:waittimer 11190 blt 0,0,640,480,0, 1232,640,480:waittimer 11199 blt 0,0,640,480,0, 1233,640,480:waittimer 11209 blt 0,0,640,480,0, 1234,640,480:waittimer 11218 blt 0,0,640,480,0, 1235,640,480:waittimer 11227 blt 0,0,640,480,0, 1236,640,480:waittimer 11236 blt 0,0,640,480,0, 1237,640,480:waittimer 11245 blt 0,0,640,480,0, 1238,640,480:waittimer 11254 blt 0,0,640,480,0, 1239,640,480:waittimer 11263 blt 0,0,640,480,0, 1240,640,480:waittimer 11272 blt 0,0,640,480,0, 1241,640,480:waittimer 11281 blt 0,0,640,480,0, 1242,640,480:waittimer 11290 blt 0,0,640,480,0, 1243,640,480:waittimer 11299 blt 0,0,640,480,0, 1244,640,480:waittimer 11309 blt 0,0,640,480,0, 1245,640,480:waittimer 11318 blt 0,0,640,480,0, 1246,640,480:waittimer 11327 blt 0,0,640,480,0, 1247,640,480:waittimer 11336 blt 0,0,640,480,0, 1248,640,480:waittimer 11345 blt 0,0,640,480,0, 1249,640,480:waittimer 11354 blt 0,0,640,480,0, 1250,640,480:waittimer 11363 blt 0,0,640,480,0, 1251,640,480:waittimer 11372 blt 0,0,640,480,0, 1252,640,480:waittimer 11381 blt 0,0,640,480,0, 1253,640,480:waittimer 11390 blt 0,0,640,480,0, 1254,640,480:waittimer 11399 blt 0,0,640,480,0, 1255,640,480:waittimer 11409 blt 0,0,640,480,0, 1256,640,480:waittimer 11418 blt 0,0,640,480,0, 1257,640,480:waittimer 11427 blt 0,0,640,480,0, 1258,640,480:waittimer 11436 blt 0,0,640,480,0, 1259,640,480:waittimer 11445 blt 0,0,640,480,0, 1260,640,480:waittimer 11454 blt 0,0,640,480,0, 1261,640,480:waittimer 11463 blt 0,0,640,480,0, 1262,640,480:waittimer 11472 blt 0,0,640,480,0, 1263,640,480:waittimer 11481 blt 0,0,640,480,0, 1264,640,480:waittimer 11490 blt 0,0,640,480,0, 1265,640,480:waittimer 11499 blt 0,0,640,480,0, 1266,640,480:waittimer 11509 blt 0,0,640,480,0, 1267,640,480:waittimer 11518 blt 0,0,640,480,0, 1268,640,480:waittimer 11527 blt 0,0,640,480,0, 1269,640,480:waittimer 11536 blt 0,0,640,480,0, 1270,640,480:waittimer 11545 blt 0,0,640,480,0, 1271,640,480:waittimer 11554 blt 0,0,640,480,0, 1272,640,480:waittimer 11563 blt 0,0,640,480,0, 1273,640,480:waittimer 11572 blt 0,0,640,480,0, 1274,640,480:waittimer 11581 blt 0,0,640,480,0, 1275,640,480:waittimer 11590 blt 0,0,640,480,0, 1276,640,480:waittimer 11599 blt 0,0,640,480,0, 1277,640,480:waittimer 11609 blt 0,0,640,480,0, 1278,640,480:waittimer 11618 blt 0,0,640,480,0, 1279,640,480:waittimer 11627 blt 0,0,640,480,0, 1280,640,480:waittimer 11636 blt 0,0,640,480,0, 1281,640,480:waittimer 11645 blt 0,0,640,480,0, 1282,640,480:waittimer 11654 blt 0,0,640,480,0, 1283,640,480:waittimer 11663 blt 0,0,640,480,0, 1284,640,480:waittimer 11672 blt 0,0,640,480,0, 1285,640,480:waittimer 11681 blt 0,0,640,480,0, 1286,640,480:waittimer 11690 blt 0,0,640,480,0, 1287,640,480:waittimer 11699 blt 0,0,640,480,0, 1288,640,480:waittimer 11709 blt 0,0,640,480,0, 1289,640,480:waittimer 11718 blt 0,0,640,480,0, 1290,640,480:waittimer 11727 blt 0,0,640,480,0, 1291,640,480:waittimer 11736 blt 0,0,640,480,0, 1292,640,480:waittimer 11745 blt 0,0,640,480,0, 1293,640,480:waittimer 11754 blt 0,0,640,480,0, 1294,640,480:waittimer 11763 blt 0,0,640,480,0, 1295,640,480:waittimer 11772 blt 0,0,640,480,0, 1296,640,480:waittimer 11781 blt 0,0,640,480,0, 1297,640,480:waittimer 11790 blt 0,0,640,480,0, 1298,640,480:waittimer 11799 blt 0,0,640,480,0, 1299,640,480:waittimer 11809 blt 0,0,640,480,0, 1300,640,480:waittimer 11818 blt 0,0,640,480,0, 1301,640,480:waittimer 11827 blt 0,0,640,480,0, 1302,640,480:waittimer 11836 blt 0,0,640,480,0, 1303,640,480:waittimer 11845 blt 0,0,640,480,0, 1304,640,480:waittimer 11854 blt 0,0,640,480,0, 1305,640,480:waittimer 11863 blt 0,0,640,480,0, 1306,640,480:waittimer 11872 blt 0,0,640,480,0, 1307,640,480:waittimer 11881 blt 0,0,640,480,0, 1308,640,480:waittimer 11890 blt 0,0,640,480,0, 1309,640,480:waittimer 11899 blt 0,0,640,480,0, 1310,640,480:waittimer 11909 blt 0,0,640,480,0, 1311,640,480:waittimer 11918 blt 0,0,640,480,0, 1312,640,480:waittimer 11927 blt 0,0,640,480,0, 1313,640,480:waittimer 11936 blt 0,0,640,480,0, 1314,640,480:waittimer 11945 blt 0,0,640,480,0, 1315,640,480:waittimer 11954 blt 0,0,640,480,0, 1316,640,480:waittimer 11963 blt 0,0,640,480,0, 1317,640,480:waittimer 11972 blt 0,0,640,480,0, 1318,640,480:waittimer 11981 blt 0,0,640,480,0, 1319,640,480:waittimer 11990 blt 0,0,640,480,0, 1320,640,480:waittimer 11999 blt 0,0,640,480,0, 1321,640,480:waittimer 12009 blt 0,0,640,480,0, 1322,640,480:waittimer 12018 blt 0,0,640,480,0, 1323,640,480:waittimer 12027 blt 0,0,640,480,0, 1324,640,480:waittimer 12036 blt 0,0,640,480,0, 1325,640,480:waittimer 12045 blt 0,0,640,480,0, 1326,640,480:waittimer 12054 blt 0,0,640,480,0, 1327,640,480:waittimer 12063 blt 0,0,640,480,0, 1328,640,480:waittimer 12072 blt 0,0,640,480,0, 1329,640,480:waittimer 12081 blt 0,0,640,480,0, 1330,640,480:waittimer 12090 blt 0,0,640,480,0, 1331,640,480:waittimer 12099 blt 0,0,640,480,0, 1332,640,480:waittimer 12109 blt 0,0,640,480,0, 1333,640,480:waittimer 12118 blt 0,0,640,480,0, 1334,640,480:waittimer 12127 blt 0,0,640,480,0, 1335,640,480:waittimer 12136 blt 0,0,640,480,0, 1336,640,480:waittimer 12145 blt 0,0,640,480,0, 1337,640,480:waittimer 12154 blt 0,0,640,480,0, 1338,640,480:waittimer 12163 blt 0,0,640,480,0, 1339,640,480:waittimer 12172 blt 0,0,640,480,0, 1340,640,480:waittimer 12181 blt 0,0,640,480,0, 1341,640,480:waittimer 12190 blt 0,0,640,480,0, 1342,640,480:waittimer 12199 blt 0,0,640,480,0, 1343,640,480:waittimer 12209 blt 0,0,640,480,0, 1344,640,480:waittimer 12218 blt 0,0,640,480,0, 1345,640,480:waittimer 12227 blt 0,0,640,480,0, 1346,640,480:waittimer 12236 blt 0,0,640,480,0, 1347,640,480:waittimer 12245 blt 0,0,640,480,0, 1348,640,480:waittimer 12254 blt 0,0,640,480,0, 1349,640,480:waittimer 12263 blt 0,0,640,480,0, 1350,640,480:waittimer 12272 blt 0,0,640,480,0, 1351,640,480:waittimer 12281 blt 0,0,640,480,0, 1352,640,480:waittimer 12290 blt 0,0,640,480,0, 1353,640,480:waittimer 12299 blt 0,0,640,480,0, 1354,640,480:waittimer 12309 blt 0,0,640,480,0, 1355,640,480:waittimer 12318 blt 0,0,640,480,0, 1356,640,480:waittimer 12327 blt 0,0,640,480,0, 1357,640,480:waittimer 12336 blt 0,0,640,480,0, 1358,640,480:waittimer 12345 blt 0,0,640,480,0, 1359,640,480:waittimer 12354 blt 0,0,640,480,0, 1360,640,480:waittimer 12363 blt 0,0,640,480,0, 1361,640,480:waittimer 12372 blt 0,0,640,480,0, 1362,640,480:waittimer 12381 blt 0,0,640,480,0, 1363,640,480:waittimer 12390 blt 0,0,640,480,0, 1364,640,480:waittimer 12399 blt 0,0,640,480,0, 1365,640,480:waittimer 12409 blt 0,0,640,480,0, 1366,640,480:waittimer 12418 blt 0,0,640,480,0, 1367,640,480:waittimer 12427 blt 0,0,640,480,0, 1368,640,480:waittimer 12436 blt 0,0,640,480,0, 1369,640,480:waittimer 12445 blt 0,0,640,480,0, 1370,640,480:waittimer 12454 blt 0,0,640,480,0, 1371,640,480:waittimer 12463 blt 0,0,640,480,0, 1372,640,480:waittimer 12472 blt 0,0,640,480,0, 1373,640,480:waittimer 12481 blt 0,0,640,480,0, 1374,640,480:waittimer 12490 blt 0,0,640,480,0, 1375,640,480:waittimer 12499 blt 0,0,640,480,0, 1376,640,480:waittimer 12509 blt 0,0,640,480,0, 1377,640,480:waittimer 12518 blt 0,0,640,480,0, 1378,640,480:waittimer 12527 blt 0,0,640,480,0, 1379,640,480:waittimer 12536 blt 0,0,640,480,0, 1380,640,480:waittimer 12545 blt 0,0,640,480,0, 1381,640,480:waittimer 12554 blt 0,0,640,480,0, 1382,640,480:waittimer 12563 blt 0,0,640,480,0, 1383,640,480:waittimer 12572 blt 0,0,640,480,0, 1384,640,480:waittimer 12581 blt 0,0,640,480,0, 1385,640,480:waittimer 12590 blt 0,0,640,480,0, 1386,640,480:waittimer 12599 blt 0,0,640,480,0, 1387,640,480:waittimer 12609 blt 0,0,640,480,0, 1388,640,480:waittimer 12618 blt 0,0,640,480,0, 1389,640,480:waittimer 12627 blt 0,0,640,480,0, 1390,640,480:waittimer 12636 blt 0,0,640,480,0, 1391,640,480:waittimer 12645 blt 0,0,640,480,0, 1392,640,480:waittimer 12654 blt 0,0,640,480,0, 1393,640,480:waittimer 12663 blt 0,0,640,480,0, 1394,640,480:waittimer 12672 blt 0,0,640,480,0, 1395,640,480:waittimer 12681 blt 0,0,640,480,0, 1396,640,480:waittimer 12690 blt 0,0,640,480,0, 1397,640,480:waittimer 12699 blt 0,0,640,480,0, 1398,640,480:waittimer 12709 blt 0,0,640,480,0, 1399,640,480:waittimer 12718 blt 0,0,640,480,0, 1400,640,480:waittimer 12727 blt 0,0,640,480,0, 1401,640,480:waittimer 12736 blt 0,0,640,480,0, 1402,640,480:waittimer 12745 blt 0,0,640,480,0, 1403,640,480:waittimer 12754 blt 0,0,640,480,0, 1404,640,480:waittimer 12763 blt 0,0,640,480,0, 1405,640,480:waittimer 12772 blt 0,0,640,480,0, 1406,640,480:waittimer 12781 blt 0,0,640,480,0, 1407,640,480:waittimer 12790 blt 0,0,640,480,0, 1408,640,480:waittimer 12799 blt 0,0,640,480,0, 1409,640,480:waittimer 12809 blt 0,0,640,480,0, 1410,640,480:waittimer 12818 blt 0,0,640,480,0, 1411,640,480:waittimer 12827 blt 0,0,640,480,0, 1412,640,480:waittimer 12836 blt 0,0,640,480,0, 1413,640,480:waittimer 12845 blt 0,0,640,480,0, 1414,640,480:waittimer 12854 blt 0,0,640,480,0, 1415,640,480:waittimer 12863 blt 0,0,640,480,0, 1416,640,480:waittimer 12872 blt 0,0,640,480,0, 1417,640,480:waittimer 12881 blt 0,0,640,480,0, 1418,640,480:waittimer 12890 blt 0,0,640,480,0, 1419,640,480:waittimer 12899 blt 0,0,640,480,0, 1420,640,480:waittimer 12909 blt 0,0,640,480,0, 1421,640,480:waittimer 12918 blt 0,0,640,480,0, 1422,640,480:waittimer 12927 blt 0,0,640,480,0, 1423,640,480:waittimer 12936 blt 0,0,640,480,0, 1424,640,480:waittimer 12945 blt 0,0,640,480,0, 1425,640,480:waittimer 12954 blt 0,0,640,480,0, 1426,640,480:waittimer 12963 blt 0,0,640,480,0, 1427,640,480:waittimer 12972 blt 0,0,640,480,0, 1428,640,480:waittimer 12981 blt 0,0,640,480,0, 1429,640,480:waittimer 12990 blt 0,0,640,480,0, 1430,640,480:waittimer 12999 blt 0,0,640,480,0, 1431,640,480:waittimer 13009 blt 0,0,640,480,0, 1432,640,480:waittimer 13018 blt 0,0,640,480,0, 1433,640,480:waittimer 13027 blt 0,0,640,480,0, 1434,640,480:waittimer 13036 blt 0,0,640,480,0, 1435,640,480:waittimer 13045 blt 0,0,640,480,0, 1436,640,480:waittimer 13054 blt 0,0,640,480,0, 1437,640,480:waittimer 13063 blt 0,0,640,480,0, 1438,640,480:waittimer 13072 blt 0,0,640,480,0, 1439,640,480:waittimer 13081 blt 0,0,640,480,0, 1440,640,480:waittimer 13090 blt 0,0,640,480,0, 1441,640,480:waittimer 13099 blt 0,0,640,480,0, 1442,640,480:waittimer 13109 blt 0,0,640,480,0, 1443,640,480:waittimer 13118 blt 0,0,640,480,0, 1444,640,480:waittimer 13127 blt 0,0,640,480,0, 1445,640,480:waittimer 13136 blt 0,0,640,480,0, 1446,640,480:waittimer 13145 blt 0,0,640,480,0, 1447,640,480:waittimer 13154 blt 0,0,640,480,0, 1448,640,480:waittimer 13163 blt 0,0,640,480,0, 1449,640,480:waittimer 13172 blt 0,0,640,480,0, 1450,640,480:waittimer 13181 blt 0,0,640,480,0, 1451,640,480:waittimer 13190 blt 0,0,640,480,0, 1452,640,480:waittimer 13199 blt 0,0,640,480,0, 1453,640,480:waittimer 13209 blt 0,0,640,480,0, 1454,640,480:waittimer 13218 blt 0,0,640,480,0, 1455,640,480:waittimer 13227 blt 0,0,640,480,0, 1456,640,480:waittimer 13236 blt 0,0,640,480,0, 1457,640,480:waittimer 13245 blt 0,0,640,480,0, 1458,640,480:waittimer 13254 blt 0,0,640,480,0, 1459,640,480:waittimer 13263 blt 0,0,640,480,0, 1460,640,480:waittimer 13272 blt 0,0,640,480,0, 1461,640,480:waittimer 13281 blt 0,0,640,480,0, 1462,640,480:waittimer 13290 blt 0,0,640,480,0, 1463,640,480:waittimer 13299 blt 0,0,640,480,0, 1464,640,480:waittimer 13309 blt 0,0,640,480,0, 1465,640,480:waittimer 13318 blt 0,0,640,480,0, 1466,640,480:waittimer 13327 blt 0,0,640,480,0, 1467,640,480:waittimer 13336 blt 0,0,640,480,0, 1468,640,480:waittimer 13345 blt 0,0,640,480,0, 1469,640,480:waittimer 13354 blt 0,0,640,480,0, 1470,640,480:waittimer 13363 blt 0,0,640,480,0, 1471,640,480:waittimer 13372 blt 0,0,640,480,0, 1472,640,480:waittimer 13381 blt 0,0,640,480,0, 1473,640,480:waittimer 13390 blt 0,0,640,480,0, 1474,640,480:waittimer 13399 blt 0,0,640,480,0, 1475,640,480:waittimer 13409 blt 0,0,640,480,0, 1476,640,480:waittimer 13418 blt 0,0,640,480,0, 1477,640,480:waittimer 13427 blt 0,0,640,480,0, 1478,640,480:waittimer 13436 blt 0,0,640,480,0, 1479,640,480:waittimer 13445 blt 0,0,640,480,0, 1480,640,480:waittimer 13454 blt 0,0,640,480,0, 1481,640,480:waittimer 13463 blt 0,0,640,480,0, 1482,640,480:waittimer 13472 blt 0,0,640,480,0, 1483,640,480:waittimer 13481 blt 0,0,640,480,0, 1484,640,480:waittimer 13490 blt 0,0,640,480,0, 1485,640,480:waittimer 13499 blt 0,0,640,480,0, 1486,640,480:waittimer 13509 blt 0,0,640,480,0, 1487,640,480:waittimer 13518 blt 0,0,640,480,0, 1488,640,480:waittimer 13527 blt 0,0,640,480,0, 1489,640,480:waittimer 13536 blt 0,0,640,480,0, 1490,640,480:waittimer 13545 blt 0,0,640,480,0, 1491,640,480:waittimer 13554 blt 0,0,640,480,0, 1492,640,480:waittimer 13563 blt 0,0,640,480,0, 1493,640,480:waittimer 13572 blt 0,0,640,480,0, 1494,640,480:waittimer 13581 blt 0,0,640,480,0, 1495,640,480:waittimer 13590 blt 0,0,640,480,0, 1496,640,480:waittimer 13599 blt 0,0,640,480,0, 1497,640,480:waittimer 13609 blt 0,0,640,480,0, 1498,640,480:waittimer 13618 blt 0,0,640,480,0, 1499,640,480:waittimer 13627 blt 0,0,640,480,0, 1500,640,480:waittimer 13636 blt 0,0,640,480,0, 1501,640,480:waittimer 13645 blt 0,0,640,480,0, 1502,640,480:waittimer 13654 blt 0,0,640,480,0, 1503,640,480:waittimer 13663 blt 0,0,640,480,0, 1504,640,480:waittimer 13672 blt 0,0,640,480,0, 1505,640,480:waittimer 13681 blt 0,0,640,480,0, 1506,640,480:waittimer 13690 blt 0,0,640,480,0, 1507,640,480:waittimer 13699 blt 0,0,640,480,0, 1508,640,480:waittimer 13709 blt 0,0,640,480,0, 1509,640,480:waittimer 13718 blt 0,0,640,480,0, 1510,640,480:waittimer 13727 blt 0,0,640,480,0, 1511,640,480:waittimer 13736 blt 0,0,640,480,0, 1512,640,480:waittimer 13745 blt 0,0,640,480,0, 1513,640,480:waittimer 13754 blt 0,0,640,480,0, 1514,640,480:waittimer 13763 blt 0,0,640,480,0, 1515,640,480:waittimer 13772 blt 0,0,640,480,0, 1516,640,480:waittimer 13781 blt 0,0,640,480,0, 1517,640,480:waittimer 13790 blt 0,0,640,480,0, 1518,640,480:waittimer 13799 blt 0,0,640,480,0, 1519,640,480:waittimer 13809 blt 0,0,640,480,0, 1520,640,480:waittimer 13818 blt 0,0,640,480,0, 1521,640,480:waittimer 13827 blt 0,0,640,480,0, 1522,640,480:waittimer 13836 blt 0,0,640,480,0, 1523,640,480:waittimer 13845 blt 0,0,640,480,0, 1524,640,480:waittimer 13854 blt 0,0,640,480,0, 1525,640,480:waittimer 13863 blt 0,0,640,480,0, 1526,640,480:waittimer 13872 blt 0,0,640,480,0, 1527,640,480:waittimer 13881 blt 0,0,640,480,0, 1528,640,480:waittimer 13890 blt 0,0,640,480,0, 1529,640,480:waittimer 13899 blt 0,0,640,480,0, 1530,640,480:waittimer 13909 blt 0,0,640,480,0, 1531,640,480:waittimer 13918 blt 0,0,640,480,0, 1532,640,480:waittimer 13927 blt 0,0,640,480,0, 1533,640,480:waittimer 13936 blt 0,0,640,480,0, 1534,640,480:waittimer 13945 blt 0,0,640,480,0, 1535,640,480:waittimer 13954 blt 0,0,640,480,0, 1536,640,480:waittimer 13963 blt 0,0,640,480,0, 1537,640,480:waittimer 13972 blt 0,0,640,480,0, 1538,640,480:waittimer 13981 blt 0,0,640,480,0, 1539,640,480:waittimer 13990 blt 0,0,640,480,0, 1540,640,480:waittimer 13999 blt 0,0,640,480,0, 1541,640,480:waittimer 14009 blt 0,0,640,480,0, 1542,640,480:waittimer 14018 blt 0,0,640,480,0, 1543,640,480:waittimer 14027 blt 0,0,640,480,0, 1544,640,480:waittimer 14036 blt 0,0,640,480,0, 1545,640,480:waittimer 14045 blt 0,0,640,480,0, 1546,640,480:waittimer 14054 blt 0,0,640,480,0, 1547,640,480:waittimer 14063 blt 0,0,640,480,0, 1548,640,480:waittimer 14072 blt 0,0,640,480,0, 1549,640,480:waittimer 14081 blt 0,0,640,480,0, 1550,640,480:waittimer 14090 blt 0,0,640,480,0, 1551,640,480:waittimer 14099 blt 0,0,640,480,0, 1552,640,480:waittimer 14109 blt 0,0,640,480,0, 1553,640,480:waittimer 14118 blt 0,0,640,480,0, 1554,640,480:waittimer 14127 blt 0,0,640,480,0, 1555,640,480:waittimer 14136 blt 0,0,640,480,0, 1556,640,480:waittimer 14145 blt 0,0,640,480,0, 1557,640,480:waittimer 14154 blt 0,0,640,480,0, 1558,640,480:waittimer 14163 blt 0,0,640,480,0, 1559,640,480:waittimer 14172 blt 0,0,640,480,0, 1560,640,480:waittimer 14181 blt 0,0,640,480,0, 1561,640,480:waittimer 14190 blt 0,0,640,480,0, 1562,640,480:waittimer 14199 blt 0,0,640,480,0, 1563,640,480:waittimer 14209 blt 0,0,640,480,0, 1564,640,480:waittimer 14218 blt 0,0,640,480,0, 1565,640,480:waittimer 14227 blt 0,0,640,480,0, 1566,640,480:waittimer 14236 blt 0,0,640,480,0, 1567,640,480:waittimer 14245 blt 0,0,640,480,0, 1568,640,480:waittimer 14254 blt 0,0,640,480,0, 1569,640,480:waittimer 14263 blt 0,0,640,480,0, 1570,640,480:waittimer 14272 blt 0,0,640,480,0, 1571,640,480:waittimer 14281 blt 0,0,640,480,0, 1572,640,480:waittimer 14290 blt 0,0,640,480,0, 1573,640,480:waittimer 14299 blt 0,0,640,480,0, 1574,640,480:waittimer 14309 blt 0,0,640,480,0, 1575,640,480:waittimer 14318 blt 0,0,640,480,0, 1576,640,480:waittimer 14327 blt 0,0,640,480,0, 1577,640,480:waittimer 14336 blt 0,0,640,480,0, 1578,640,480:waittimer 14345 blt 0,0,640,480,0, 1579,640,480:waittimer 14354 blt 0,0,640,480,0, 1580,640,480:waittimer 14363 blt 0,0,640,480,0, 1581,640,480:waittimer 14372 blt 0,0,640,480,0, 1582,640,480:waittimer 14381 blt 0,0,640,480,0, 1583,640,480:waittimer 14390 blt 0,0,640,480,0, 1584,640,480:waittimer 14399 blt 0,0,640,480,0, 1585,640,480:waittimer 14409 blt 0,0,640,480,0, 1586,640,480:waittimer 14418 blt 0,0,640,480,0, 1587,640,480:waittimer 14427 blt 0,0,640,480,0, 1588,640,480:waittimer 14436 blt 0,0,640,480,0, 1589,640,480:waittimer 14445 blt 0,0,640,480,0, 1590,640,480:waittimer 14454 blt 0,0,640,480,0, 1591,640,480:waittimer 14463 blt 0,0,640,480,0, 1592,640,480:waittimer 14472 blt 0,0,640,480,0, 1593,640,480:waittimer 14481 blt 0,0,640,480,0, 1594,640,480:waittimer 14490 blt 0,0,640,480,0, 1595,640,480:waittimer 14499 blt 0,0,640,480,0, 1596,640,480:waittimer 14509 blt 0,0,640,480,0, 1597,640,480:waittimer 14518 blt 0,0,640,480,0, 1598,640,480:waittimer 14527 blt 0,0,640,480,0, 1599,640,480:waittimer 14536 blt 0,0,640,480,0, 1600,640,480:waittimer 14545 blt 0,0,640,480,0, 1601,640,480:waittimer 14554 blt 0,0,640,480,0, 1602,640,480:waittimer 14563 blt 0,0,640,480,0, 1603,640,480:waittimer 14572 blt 0,0,640,480,0, 1604,640,480:waittimer 14581 blt 0,0,640,480,0, 1605,640,480:waittimer 14590 blt 0,0,640,480,0, 1606,640,480:waittimer 14599 blt 0,0,640,480,0, 1607,640,480:waittimer 14609 blt 0,0,640,480,0, 1608,640,480:waittimer 14618 blt 0,0,640,480,0, 1609,640,480:waittimer 14627 blt 0,0,640,480,0, 1610,640,480:waittimer 14636 blt 0,0,640,480,0, 1611,640,480:waittimer 14645 blt 0,0,640,480,0, 1612,640,480:waittimer 14654 blt 0,0,640,480,0, 1613,640,480:waittimer 14663 blt 0,0,640,480,0, 1614,640,480:waittimer 14672 blt 0,0,640,480,0, 1615,640,480:waittimer 14681 blt 0,0,640,480,0, 1616,640,480:waittimer 14690 blt 0,0,640,480,0, 1617,640,480:waittimer 14699 blt 0,0,640,480,0, 1618,640,480:waittimer 14709 blt 0,0,640,480,0, 1619,640,480:waittimer 14718 blt 0,0,640,480,0, 1620,640,480:waittimer 14727 blt 0,0,640,480,0, 1621,640,480:waittimer 14736 blt 0,0,640,480,0, 1622,640,480:waittimer 14745 blt 0,0,640,480,0, 1623,640,480:waittimer 14754 blt 0,0,640,480,0, 1624,640,480:waittimer 14763 blt 0,0,640,480,0, 1625,640,480:waittimer 14772 blt 0,0,640,480,0, 1626,640,480:waittimer 14781 blt 0,0,640,480,0, 1627,640,480:waittimer 14790 blt 0,0,640,480,0, 1628,640,480:waittimer 14799 blt 0,0,640,480,0, 1629,640,480:waittimer 14809 blt 0,0,640,480,0, 1630,640,480:waittimer 14818 blt 0,0,640,480,0, 1631,640,480:waittimer 14827 blt 0,0,640,480,0, 1632,640,480:waittimer 14836 blt 0,0,640,480,0, 1633,640,480:waittimer 14845 blt 0,0,640,480,0, 1634,640,480:waittimer 14854 blt 0,0,640,480,0, 1635,640,480:waittimer 14863 blt 0,0,640,480,0, 1636,640,480:waittimer 14872 blt 0,0,640,480,0, 1637,640,480:waittimer 14881 blt 0,0,640,480,0, 1638,640,480:waittimer 14890 blt 0,0,640,480,0, 1639,640,480:waittimer 14899 blt 0,0,640,480,0, 1640,640,480:waittimer 14909 blt 0,0,640,480,0, 1641,640,480:waittimer 14918 blt 0,0,640,480,0, 1642,640,480:waittimer 14927 blt 0,0,640,480,0, 1643,640,480:waittimer 14936 blt 0,0,640,480,0, 1644,640,480:waittimer 14945 blt 0,0,640,480,0, 1645,640,480:waittimer 14954 blt 0,0,640,480,0, 1646,640,480:waittimer 14963 blt 0,0,640,480,0, 1647,640,480:waittimer 14972 blt 0,0,640,480,0, 1648,640,480:waittimer 14981 blt 0,0,640,480,0, 1649,640,480:waittimer 14990 blt 0,0,640,480,0, 1650,640,480:waittimer 14999 blt 0,0,640,480,0, 1651,640,480:waittimer 15009 blt 0,0,640,480,0, 1652,640,480:waittimer 15018 blt 0,0,640,480,0, 1653,640,480:waittimer 15027 blt 0,0,640,480,0, 1654,640,480:waittimer 15036 blt 0,0,640,480,0, 1655,640,480:waittimer 15045 blt 0,0,640,480,0, 1656,640,480:waittimer 15054 blt 0,0,640,480,0, 1657,640,480:waittimer 15063 blt 0,0,640,480,0, 1658,640,480:waittimer 15072 blt 0,0,640,480,0, 1659,640,480:waittimer 15081 blt 0,0,640,480,0, 1660,640,480:waittimer 15090 blt 0,0,640,480,0, 1661,640,480:waittimer 15099 blt 0,0,640,480,0, 1662,640,480:waittimer 15109 blt 0,0,640,480,0, 1663,640,480:waittimer 15118 blt 0,0,640,480,0, 1664,640,480:waittimer 15127 blt 0,0,640,480,0, 1665,640,480:waittimer 15136 blt 0,0,640,480,0, 1666,640,480:waittimer 15145 blt 0,0,640,480,0, 1667,640,480:waittimer 15154 blt 0,0,640,480,0, 1668,640,480:waittimer 15163 blt 0,0,640,480,0, 1669,640,480:waittimer 15172 blt 0,0,640,480,0, 1670,640,480:waittimer 15181 blt 0,0,640,480,0, 1671,640,480:waittimer 15190 blt 0,0,640,480,0, 1672,640,480:waittimer 15199 blt 0,0,640,480,0, 1673,640,480:waittimer 15209 blt 0,0,640,480,0, 1674,640,480:waittimer 15218 blt 0,0,640,480,0, 1675,640,480:waittimer 15227 blt 0,0,640,480,0, 1676,640,480:waittimer 15236 blt 0,0,640,480,0, 1677,640,480:waittimer 15245 blt 0,0,640,480,0, 1678,640,480:waittimer 15254 blt 0,0,640,480,0, 1679,640,480:waittimer 15263 blt 0,0,640,480,0, 1680,640,480:waittimer 15272 blt 0,0,640,480,0, 1681,640,480:waittimer 15281 blt 0,0,640,480,0, 1682,640,480:waittimer 15290 blt 0,0,640,480,0, 1683,640,480:waittimer 15299 blt 0,0,640,480,0, 1684,640,480:waittimer 15309 blt 0,0,640,480,0, 1685,640,480:waittimer 15318 blt 0,0,640,480,0, 1686,640,480:waittimer 15327 blt 0,0,640,480,0, 1687,640,480:waittimer 15336 blt 0,0,640,480,0, 1688,640,480:waittimer 15345 blt 0,0,640,480,0, 1689,640,480:waittimer 15354 blt 0,0,640,480,0, 1690,640,480:waittimer 15363 blt 0,0,640,480,0, 1691,640,480:waittimer 15372 blt 0,0,640,480,0, 1692,640,480:waittimer 15381 blt 0,0,640,480,0, 1693,640,480:waittimer 15390 blt 0,0,640,480,0, 1694,640,480:waittimer 15399 blt 0,0,640,480,0, 1695,640,480:waittimer 15409 blt 0,0,640,480,0, 1696,640,480:waittimer 15418 blt 0,0,640,480,0, 1697,640,480:waittimer 15427 blt 0,0,640,480,0, 1698,640,480:waittimer 15436 blt 0,0,640,480,0, 1699,640,480:waittimer 15445 blt 0,0,640,480,0, 1700,640,480:waittimer 15454 blt 0,0,640,480,0, 1701,640,480:waittimer 15463 blt 0,0,640,480,0, 1702,640,480:waittimer 15472 blt 0,0,640,480,0, 1703,640,480:waittimer 15481 blt 0,0,640,480,0, 1704,640,480:waittimer 15490 blt 0,0,640,480,0, 1705,640,480:waittimer 15499 blt 0,0,640,480,0, 1706,640,480:waittimer 15509 blt 0,0,640,480,0, 1707,640,480:waittimer 15518 blt 0,0,640,480,0, 1708,640,480:waittimer 15527 blt 0,0,640,480,0, 1709,640,480:waittimer 15536 blt 0,0,640,480,0, 1710,640,480:waittimer 15545 blt 0,0,640,480,0, 1711,640,480:waittimer 15554 blt 0,0,640,480,0, 1712,640,480:waittimer 15563 blt 0,0,640,480,0, 1713,640,480:waittimer 15572 blt 0,0,640,480,0, 1714,640,480:waittimer 15581 blt 0,0,640,480,0, 1715,640,480:waittimer 15590 blt 0,0,640,480,0, 1716,640,480:waittimer 15599 blt 0,0,640,480,0, 1717,640,480:waittimer 15609 blt 0,0,640,480,0, 1718,640,480:waittimer 15618 blt 0,0,640,480,0, 1719,640,480:waittimer 15627 blt 0,0,640,480,0, 1720,640,480:waittimer 15636 blt 0,0,640,480,0, 1721,640,480:waittimer 15645 blt 0,0,640,480,0, 1722,640,480:waittimer 15654 blt 0,0,640,480,0, 1723,640,480:waittimer 15663 blt 0,0,640,480,0, 1724,640,480:waittimer 15672 blt 0,0,640,480,0, 1725,640,480:waittimer 15681 blt 0,0,640,480,0, 1726,640,480:waittimer 15690 blt 0,0,640,480,0, 1727,640,480:waittimer 15699 blt 0,0,640,480,0, 1728,640,480:waittimer 15709 blt 0,0,640,480,0, 1729,640,480:waittimer 15718 blt 0,0,640,480,0, 1730,640,480:waittimer 15727 blt 0,0,640,480,0, 1731,640,480:waittimer 15736 blt 0,0,640,480,0, 1732,640,480:waittimer 15745 blt 0,0,640,480,0, 1733,640,480:waittimer 15754 blt 0,0,640,480,0, 1734,640,480:waittimer 15763 blt 0,0,640,480,0, 1735,640,480:waittimer 15772 blt 0,0,640,480,0, 1736,640,480:waittimer 15781 blt 0,0,640,480,0, 1737,640,480:waittimer 15790 blt 0,0,640,480,0, 1738,640,480:waittimer 15799 blt 0,0,640,480,0, 1739,640,480:waittimer 15809 blt 0,0,640,480,0, 1740,640,480:waittimer 15818 blt 0,0,640,480,0, 1741,640,480:waittimer 15827 blt 0,0,640,480,0, 1742,640,480:waittimer 15836 blt 0,0,640,480,0, 1743,640,480:waittimer 15845 blt 0,0,640,480,0, 1744,640,480:waittimer 15854 blt 0,0,640,480,0, 1745,640,480:waittimer 15863 blt 0,0,640,480,0, 1746,640,480:waittimer 15872 blt 0,0,640,480,0, 1747,640,480:waittimer 15881 blt 0,0,640,480,0, 1748,640,480:waittimer 15890 blt 0,0,640,480,0, 1749,640,480:waittimer 15899 blt 0,0,640,480,0, 1750,640,480:waittimer 15909 blt 0,0,640,480,0, 1751,640,480:waittimer 15918 blt 0,0,640,480,0, 1752,640,480:waittimer 15927 blt 0,0,640,480,0, 1753,640,480:waittimer 15936 blt 0,0,640,480,0, 1754,640,480:waittimer 15945 blt 0,0,640,480,0, 1755,640,480:waittimer 15954 blt 0,0,640,480,0, 1756,640,480:waittimer 15963 blt 0,0,640,480,0, 1757,640,480:waittimer 15972 blt 0,0,640,480,0, 1758,640,480:waittimer 15981 blt 0,0,640,480,0, 1759,640,480:waittimer 15990 blt 0,0,640,480,0, 1760,640,480:waittimer 15999 blt 0,0,640,480,0, 1761,640,480:waittimer 16009 blt 0,0,640,480,0, 1762,640,480:waittimer 16018 blt 0,0,640,480,0, 1763,640,480:waittimer 16027 blt 0,0,640,480,0, 1764,640,480:waittimer 16036 blt 0,0,640,480,0, 1765,640,480:waittimer 16045 blt 0,0,640,480,0, 1766,640,480:waittimer 16054 blt 0,0,640,480,0, 1767,640,480:waittimer 16063 blt 0,0,640,480,0, 1768,640,480:waittimer 16072 blt 0,0,640,480,0, 1769,640,480:waittimer 16081 blt 0,0,640,480,0, 1770,640,480:waittimer 16090 blt 0,0,640,480,0, 1771,640,480:waittimer 16099 blt 0,0,640,480,0, 1772,640,480:waittimer 16109 blt 0,0,640,480,0, 1773,640,480:waittimer 16118 blt 0,0,640,480,0, 1774,640,480:waittimer 16127 blt 0,0,640,480,0, 1775,640,480:waittimer 16136 blt 0,0,640,480,0, 1776,640,480:waittimer 16145 blt 0,0,640,480,0, 1777,640,480:waittimer 16154 blt 0,0,640,480,0, 1778,640,480:waittimer 16163 blt 0,0,640,480,0, 1779,640,480:waittimer 16172 blt 0,0,640,480,0, 1780,640,480:waittimer 16181 blt 0,0,640,480,0, 1781,640,480:waittimer 16190 blt 0,0,640,480,0, 1782,640,480:waittimer 16199 blt 0,0,640,480,0, 1783,640,480:waittimer 16209 blt 0,0,640,480,0, 1784,640,480:waittimer 16218 blt 0,0,640,480,0, 1785,640,480:waittimer 16227 blt 0,0,640,480,0, 1786,640,480:waittimer 16236 blt 0,0,640,480,0, 1787,640,480:waittimer 16245 blt 0,0,640,480,0, 1788,640,480:waittimer 16254 blt 0,0,640,480,0, 1789,640,480:waittimer 16263 blt 0,0,640,480,0, 1790,640,480:waittimer 16272 blt 0,0,640,480,0, 1791,640,480:waittimer 16281 blt 0,0,640,480,0, 1792,640,480:waittimer 16290 blt 0,0,640,480,0, 1793,640,480:waittimer 16299 blt 0,0,640,480,0, 1794,640,480:waittimer 16309 blt 0,0,640,480,0, 1795,640,480:waittimer 16318 blt 0,0,640,480,0, 1796,640,480:waittimer 16327 blt 0,0,640,480,0, 1797,640,480:waittimer 16336 blt 0,0,640,480,0, 1798,640,480:waittimer 16345 blt 0,0,640,480,0, 1799,640,480:waittimer 16354 blt 0,0,640,480,0, 1800,640,480:waittimer 16363 blt 0,0,640,480,0, 1801,640,480:waittimer 16372 blt 0,0,640,480,0, 1802,640,480:waittimer 16381 blt 0,0,640,480,0, 1803,640,480:waittimer 16390 blt 0,0,640,480,0, 1804,640,480:waittimer 16399 blt 0,0,640,480,0, 1805,640,480:waittimer 16409 blt 0,0,640,480,0, 1806,640,480:waittimer 16418 blt 0,0,640,480,0, 1807,640,480:waittimer 16427 blt 0,0,640,480,0, 1808,640,480:waittimer 16436 blt 0,0,640,480,0, 1809,640,480:waittimer 16445 blt 0,0,640,480,0, 1810,640,480:waittimer 16454 blt 0,0,640,480,0, 1811,640,480:waittimer 16463 blt 0,0,640,480,0, 1812,640,480:waittimer 16472 blt 0,0,640,480,0, 1813,640,480:waittimer 16481 blt 0,0,640,480,0, 1814,640,480:waittimer 16490 blt 0,0,640,480,0, 1815,640,480:waittimer 16499 blt 0,0,640,480,0, 1816,640,480:waittimer 16509 blt 0,0,640,480,0, 1817,640,480:waittimer 16518 blt 0,0,640,480,0, 1818,640,480:waittimer 16527 blt 0,0,640,480,0, 1819,640,480:waittimer 16536 blt 0,0,640,480,0, 1820,640,480:waittimer 16545 blt 0,0,640,480,0, 1821,640,480:waittimer 16554 blt 0,0,640,480,0, 1822,640,480:waittimer 16563 blt 0,0,640,480,0, 1823,640,480:waittimer 16572 blt 0,0,640,480,0, 1824,640,480:waittimer 16581 blt 0,0,640,480,0, 1825,640,480:waittimer 16590 blt 0,0,640,480,0, 1826,640,480:waittimer 16599 blt 0,0,640,480,0, 1827,640,480:waittimer 16609 blt 0,0,640,480,0, 1828,640,480:waittimer 16618 blt 0,0,640,480,0, 1829,640,480:waittimer 16627 blt 0,0,640,480,0, 1830,640,480:waittimer 16636 blt 0,0,640,480,0, 1831,640,480:waittimer 16645 blt 0,0,640,480,0, 1832,640,480:waittimer 16654 blt 0,0,640,480,0, 1833,640,480:waittimer 16663 blt 0,0,640,480,0, 1834,640,480:waittimer 16672 blt 0,0,640,480,0, 1835,640,480:waittimer 16681 blt 0,0,640,480,0, 1836,640,480:waittimer 16690 blt 0,0,640,480,0, 1837,640,480:waittimer 16699 blt 0,0,640,480,0, 1838,640,480:waittimer 16709 blt 0,0,640,480,0, 1839,640,480:waittimer 16718 blt 0,0,640,480,0, 1840,640,480:waittimer 16727 blt 0,0,640,480,0, 1841,640,480:waittimer 16736 blt 0,0,640,480,0, 1842,640,480:waittimer 16745 blt 0,0,640,480,0, 1843,640,480:waittimer 16754 blt 0,0,640,480,0, 1844,640,480:waittimer 16763 blt 0,0,640,480,0, 1845,640,480:waittimer 16772 blt 0,0,640,480,0, 1846,640,480:waittimer 16781 blt 0,0,640,480,0, 1847,640,480:waittimer 16790 blt 0,0,640,480,0, 1848,640,480:waittimer 16799 blt 0,0,640,480,0, 1849,640,480:waittimer 16809 blt 0,0,640,480,0, 1850,640,480:waittimer 16818 blt 0,0,640,480,0, 1851,640,480:waittimer 16827 blt 0,0,640,480,0, 1852,640,480:waittimer 16836 blt 0,0,640,480,0, 1853,640,480:waittimer 16845 blt 0,0,640,480,0, 1854,640,480:waittimer 16854 blt 0,0,640,480,0, 1855,640,480:waittimer 16863 blt 0,0,640,480,0, 1856,640,480:waittimer 16872 blt 0,0,640,480,0, 1857,640,480:waittimer 16881 blt 0,0,640,480,0, 1858,640,480:waittimer 16890 blt 0,0,640,480,0, 1859,640,480:waittimer 16899 blt 0,0,640,480,0, 1860,640,480:waittimer 16909 blt 0,0,640,480,0, 1861,640,480:waittimer 16918 blt 0,0,640,480,0, 1862,640,480:waittimer 16927 blt 0,0,640,480,0, 1863,640,480:waittimer 16936 blt 0,0,640,480,0, 1864,640,480:waittimer 16945 blt 0,0,640,480,0, 1865,640,480:waittimer 16954 blt 0,0,640,480,0, 1866,640,480:waittimer 16963 blt 0,0,640,480,0, 1867,640,480:waittimer 16972 blt 0,0,640,480,0, 1868,640,480:waittimer 16981 blt 0,0,640,480,0, 1869,640,480:waittimer 16990 blt 0,0,640,480,0, 1870,640,480:waittimer 16999 blt 0,0,640,480,0, 1871,640,480:waittimer 17009 blt 0,0,640,480,0, 1872,640,480:waittimer 17018 blt 0,0,640,480,0, 1873,640,480:waittimer 17027 blt 0,0,640,480,0, 1874,640,480:waittimer 17036 blt 0,0,640,480,0, 1875,640,480:waittimer 17045 blt 0,0,640,480,0, 1876,640,480:waittimer 17054 blt 0,0,640,480,0, 1877,640,480:waittimer 17063 blt 0,0,640,480,0, 1878,640,480:waittimer 17072 blt 0,0,640,480,0, 1879,640,480:waittimer 17081 blt 0,0,640,480,0, 1880,640,480:waittimer 17090 blt 0,0,640,480,0, 1881,640,480:waittimer 17099 blt 0,0,640,480,0, 1882,640,480:waittimer 17109 blt 0,0,640,480,0, 1883,640,480:waittimer 17118 blt 0,0,640,480,0, 1884,640,480:waittimer 17127 blt 0,0,640,480,0, 1885,640,480:waittimer 17136 blt 0,0,640,480,0, 1886,640,480:waittimer 17145 blt 0,0,640,480,0, 1887,640,480:waittimer 17154 blt 0,0,640,480,0, 1888,640,480:waittimer 17163 blt 0,0,640,480,0, 1889,640,480:waittimer 17172 blt 0,0,640,480,0, 1890,640,480:waittimer 17181 blt 0,0,640,480,0, 1891,640,480:waittimer 17190 blt 0,0,640,480,0, 1892,640,480:waittimer 17199 blt 0,0,640,480,0, 1893,640,480:waittimer 17209 blt 0,0,640,480,0, 1894,640,480:waittimer 17218 blt 0,0,640,480,0, 1895,640,480:waittimer 17227 blt 0,0,640,480,0, 1896,640,480:waittimer 17236 blt 0,0,640,480,0, 1897,640,480:waittimer 17245 blt 0,0,640,480,0, 1898,640,480:waittimer 17254 blt 0,0,640,480,0, 1899,640,480:waittimer 17263 blt 0,0,640,480,0, 1900,640,480:waittimer 17272 blt 0,0,640,480,0, 1901,640,480:waittimer 17281 blt 0,0,640,480,0, 1902,640,480:waittimer 17290 blt 0,0,640,480,0, 1903,640,480:waittimer 17299 blt 0,0,640,480,0, 1904,640,480:waittimer 17309 blt 0,0,640,480,0, 1905,640,480:waittimer 17318 blt 0,0,640,480,0, 1906,640,480:waittimer 17327 blt 0,0,640,480,0, 1907,640,480:waittimer 17336 blt 0,0,640,480,0, 1908,640,480:waittimer 17345 blt 0,0,640,480,0, 1909,640,480:waittimer 17354 blt 0,0,640,480,0, 1910,640,480:waittimer 17363 blt 0,0,640,480,0, 1911,640,480:waittimer 17372 blt 0,0,640,480,0, 1912,640,480:waittimer 17381 blt 0,0,640,480,0, 1913,640,480:waittimer 17390 blt 0,0,640,480,0, 1914,640,480:waittimer 17399 blt 0,0,640,480,0, 1915,640,480:waittimer 17409 blt 0,0,640,480,0, 1916,640,480:waittimer 17418 blt 0,0,640,480,0, 1917,640,480:waittimer 17427 blt 0,0,640,480,0, 1918,640,480:waittimer 17436 blt 0,0,640,480,0, 1919,640,480:waittimer 17445 blt 0,0,640,480,0, 1920,640,480:waittimer 17454 blt 0,0,640,480,0, 1921,640,480:waittimer 17463 blt 0,0,640,480,0, 1922,640,480:waittimer 17472 blt 0,0,640,480,0, 1923,640,480:waittimer 17481 blt 0,0,640,480,0, 1924,640,480:waittimer 17490 blt 0,0,640,480,0, 1925,640,480:waittimer 17499 blt 0,0,640,480,0, 1926,640,480:waittimer 17509 blt 0,0,640,480,0, 1927,640,480:waittimer 17518 blt 0,0,640,480,0, 1928,640,480:waittimer 17527 blt 0,0,640,480,0, 1929,640,480:waittimer 17536 blt 0,0,640,480,0, 1930,640,480:waittimer 17545 blt 0,0,640,480,0, 1931,640,480:waittimer 17554 blt 0,0,640,480,0, 1932,640,480:waittimer 17563 blt 0,0,640,480,0, 1933,640,480:waittimer 17572 blt 0,0,640,480,0, 1934,640,480:waittimer 17581 blt 0,0,640,480,0, 1935,640,480:waittimer 17590 blt 0,0,640,480,0, 1936,640,480:waittimer 17599 blt 0,0,640,480,0, 1937,640,480:waittimer 17609 blt 0,0,640,480,0, 1938,640,480:waittimer 17618 blt 0,0,640,480,0, 1939,640,480:waittimer 17627 blt 0,0,640,480,0, 1940,640,480:waittimer 17636 blt 0,0,640,480,0, 1941,640,480:waittimer 17645 blt 0,0,640,480,0, 1942,640,480:waittimer 17654 blt 0,0,640,480,0, 1943,640,480:waittimer 17663 blt 0,0,640,480,0, 1944,640,480:waittimer 17672 blt 0,0,640,480,0, 1945,640,480:waittimer 17681 blt 0,0,640,480,0, 1946,640,480:waittimer 17690 blt 0,0,640,480,0, 1947,640,480:waittimer 17699 blt 0,0,640,480,0, 1948,640,480:waittimer 17709 blt 0,0,640,480,0, 1949,640,480:waittimer 17718 blt 0,0,640,480,0, 1950,640,480:waittimer 17727 blt 0,0,640,480,0, 1951,640,480:waittimer 17736 blt 0,0,640,480,0, 1952,640,480:waittimer 17745 blt 0,0,640,480,0, 1953,640,480:waittimer 17754 blt 0,0,640,480,0, 1954,640,480:waittimer 17763 blt 0,0,640,480,0, 1955,640,480:waittimer 17772 blt 0,0,640,480,0, 1956,640,480:waittimer 17781 blt 0,0,640,480,0, 1957,640,480:waittimer 17790 blt 0,0,640,480,0, 1958,640,480:waittimer 17799 blt 0,0,640,480,0, 1959,640,480:waittimer 17809 blt 0,0,640,480,0, 1960,640,480:waittimer 17818 blt 0,0,640,480,0, 1961,640,480:waittimer 17827 blt 0,0,640,480,0, 1962,640,480:waittimer 17836 blt 0,0,640,480,0, 1963,640,480:waittimer 17845 blt 0,0,640,480,0, 1964,640,480:waittimer 17854 blt 0,0,640,480,0, 1965,640,480:waittimer 17863 blt 0,0,640,480,0, 1966,640,480:waittimer 17872 blt 0,0,640,480,0, 1967,640,480:waittimer 17881 blt 0,0,640,480,0, 1968,640,480:waittimer 17890 blt 0,0,640,480,0, 1969,640,480:waittimer 17899 blt 0,0,640,480,0, 1970,640,480:waittimer 17909 blt 0,0,640,480,0, 1971,640,480:waittimer 17918 blt 0,0,640,480,0, 1972,640,480:waittimer 17927 blt 0,0,640,480,0, 1973,640,480:waittimer 17936 blt 0,0,640,480,0, 1974,640,480:waittimer 17945 blt 0,0,640,480,0, 1975,640,480:waittimer 17954 blt 0,0,640,480,0, 1976,640,480:waittimer 17963 blt 0,0,640,480,0, 1977,640,480:waittimer 17972 blt 0,0,640,480,0, 1978,640,480:waittimer 17981 blt 0,0,640,480,0, 1979,640,480:waittimer 17990 blt 0,0,640,480,0, 1980,640,480:waittimer 17999 blt 0,0,640,480,0, 1981,640,480:waittimer 18009 blt 0,0,640,480,0, 1982,640,480:waittimer 18018 blt 0,0,640,480,0, 1983,640,480:waittimer 18027 blt 0,0,640,480,0, 1984,640,480:waittimer 18036 blt 0,0,640,480,0, 1985,640,480:waittimer 18045 blt 0,0,640,480,0, 1986,640,480:waittimer 18054 blt 0,0,640,480,0, 1987,640,480:waittimer 18063 blt 0,0,640,480,0, 1988,640,480:waittimer 18072 blt 0,0,640,480,0, 1989,640,480:waittimer 18081 blt 0,0,640,480,0, 1990,640,480:waittimer 18090 blt 0,0,640,480,0, 1991,640,480:waittimer 18099 blt 0,0,640,480,0, 1992,640,480:waittimer 18109 blt 0,0,640,480,0, 1993,640,480:waittimer 18118 blt 0,0,640,480,0, 1994,640,480:waittimer 18127 blt 0,0,640,480,0, 1995,640,480:waittimer 18136 blt 0,0,640,480,0, 1996,640,480:waittimer 18145 blt 0,0,640,480,0, 1997,640,480:waittimer 18154 blt 0,0,640,480,0, 1998,640,480:waittimer 18163 blt 0,0,640,480,0, 1999,640,480:waittimer 18172 blt 0,0,640,480,0, 2000,640,480:waittimer 18181 blt 0,0,640,480,0, 2001,640,480:waittimer 18190 blt 0,0,640,480,0, 2002,640,480:waittimer 18199 blt 0,0,640,480,0, 2003,640,480:waittimer 18209 blt 0,0,640,480,0, 2004,640,480:waittimer 18218 blt 0,0,640,480,0, 2005,640,480:waittimer 18227 blt 0,0,640,480,0, 2006,640,480:waittimer 18236 blt 0,0,640,480,0, 2007,640,480:waittimer 18245 blt 0,0,640,480,0, 2008,640,480:waittimer 18254 blt 0,0,640,480,0, 2009,640,480:waittimer 18263 blt 0,0,640,480,0, 2010,640,480:waittimer 18272 blt 0,0,640,480,0, 2011,640,480:waittimer 18281 blt 0,0,640,480,0, 2012,640,480:waittimer 18290 blt 0,0,640,480,0, 2013,640,480:waittimer 18299 blt 0,0,640,480,0, 2014,640,480:waittimer 18309 blt 0,0,640,480,0, 2015,640,480:waittimer 18318 blt 0,0,640,480,0, 2016,640,480:waittimer 18327 blt 0,0,640,480,0, 2017,640,480:waittimer 18336 blt 0,0,640,480,0, 2018,640,480:waittimer 18345 blt 0,0,640,480,0, 2019,640,480:waittimer 18354 blt 0,0,640,480,0, 2020,640,480:waittimer 18363 blt 0,0,640,480,0, 2021,640,480:waittimer 18372 blt 0,0,640,480,0, 2022,640,480:waittimer 18381 blt 0,0,640,480,0, 2023,640,480:waittimer 18390 blt 0,0,640,480,0, 2024,640,480:waittimer 18399 blt 0,0,640,480,0, 2025,640,480:waittimer 18409 blt 0,0,640,480,0, 2026,640,480:waittimer 18418 blt 0,0,640,480,0, 2027,640,480:waittimer 18427 blt 0,0,640,480,0, 2028,640,480:waittimer 18436 blt 0,0,640,480,0, 2029,640,480:waittimer 18445 blt 0,0,640,480,0, 2030,640,480:waittimer 18454 blt 0,0,640,480,0, 2031,640,480:waittimer 18463 blt 0,0,640,480,0, 2032,640,480:waittimer 18472 blt 0,0,640,480,0, 2033,640,480:waittimer 18481 blt 0,0,640,480,0, 2034,640,480:waittimer 18490 blt 0,0,640,480,0, 2035,640,480:waittimer 18499 blt 0,0,640,480,0, 2036,640,480:waittimer 18509 blt 0,0,640,480,0, 2037,640,480:waittimer 18518 blt 0,0,640,480,0, 2038,640,480:waittimer 18527 blt 0,0,640,480,0, 2039,640,480:waittimer 18536 blt 0,0,640,480,0, 2040,640,480:waittimer 18545 blt 0,0,640,480,0, 2041,640,480:waittimer 18554 blt 0,0,640,480,0, 2042,640,480:waittimer 18563 blt 0,0,640,480,0, 2043,640,480:waittimer 18572 blt 0,0,640,480,0, 2044,640,480:waittimer 18581 blt 0,0,640,480,0, 2045,640,480:waittimer 18590 blt 0,0,640,480,0, 2046,640,480:waittimer 18599 blt 0,0,640,480,0, 2047,640,480:waittimer 18609 blt 0,0,640,480,0, 2048,640,480:waittimer 18618 blt 0,0,640,480,0, 2049,640,480:waittimer 18627 blt 0,0,640,480,0, 2050,640,480:waittimer 18636 blt 0,0,640,480,0, 2051,640,480:waittimer 18645 blt 0,0,640,480,0, 2052,640,480:waittimer 18654 blt 0,0,640,480,0, 2053,640,480:waittimer 18663 blt 0,0,640,480,0, 2054,640,480:waittimer 18672 blt 0,0,640,480,0, 2055,640,480:waittimer 18681 blt 0,0,640,480,0, 2056,640,480:waittimer 18690 blt 0,0,640,480,0, 2057,640,480:waittimer 18699 blt 0,0,640,480,0, 2058,640,480:waittimer 18709 blt 0,0,640,480,0, 2059,640,480:waittimer 18718 blt 0,0,640,480,0, 2060,640,480:waittimer 18727 blt 0,0,640,480,0, 2061,640,480:waittimer 18736 blt 0,0,640,480,0, 2062,640,480:waittimer 18745 blt 0,0,640,480,0, 2063,640,480:waittimer 18754 blt 0,0,640,480,0, 2064,640,480:waittimer 18763 blt 0,0,640,480,0, 2065,640,480:waittimer 18772 blt 0,0,640,480,0, 2066,640,480:waittimer 18781 blt 0,0,640,480,0, 2067,640,480:waittimer 18790 blt 0,0,640,480,0, 2068,640,480:waittimer 18799 blt 0,0,640,480,0, 2069,640,480:waittimer 18809 blt 0,0,640,480,0, 2070,640,480:waittimer 18818 blt 0,0,640,480,0, 2071,640,480:waittimer 18827 blt 0,0,640,480,0, 2072,640,480:waittimer 18836 blt 0,0,640,480,0, 2073,640,480:waittimer 18845 blt 0,0,640,480,0, 2074,640,480:waittimer 18854 blt 0,0,640,480,0, 2075,640,480:waittimer 18863 blt 0,0,640,480,0, 2076,640,480:waittimer 18872 blt 0,0,640,480,0, 2077,640,480:waittimer 18881 blt 0,0,640,480,0, 2078,640,480:waittimer 18890 blt 0,0,640,480,0, 2079,640,480:waittimer 18899 blt 0,0,640,480,0, 2080,640,480:waittimer 18909 blt 0,0,640,480,0, 2081,640,480:waittimer 18918 blt 0,0,640,480,0, 2082,640,480:waittimer 18927 blt 0,0,640,480,0, 2083,640,480:waittimer 18936 blt 0,0,640,480,0, 2084,640,480:waittimer 18945 blt 0,0,640,480,0, 2085,640,480:waittimer 18954 blt 0,0,640,480,0, 2086,640,480:waittimer 18963 blt 0,0,640,480,0, 2087,640,480:waittimer 18972 blt 0,0,640,480,0, 2088,640,480:waittimer 18981 blt 0,0,640,480,0, 2089,640,480:waittimer 18990 blt 0,0,640,480,0, 2090,640,480:waittimer 18999 blt 0,0,640,480,0, 2091,640,480:waittimer 19009 blt 0,0,640,480,0, 2092,640,480:waittimer 19018 blt 0,0,640,480,0, 2093,640,480:waittimer 19027 blt 0,0,640,480,0, 2094,640,480:waittimer 19036 blt 0,0,640,480,0, 2095,640,480:waittimer 19045 blt 0,0,640,480,0, 2096,640,480:waittimer 19054 blt 0,0,640,480,0, 2097,640,480:waittimer 19063 blt 0,0,640,480,0, 2098,640,480:waittimer 19072 blt 0,0,640,480,0, 2099,640,480:waittimer 19081 blt 0,0,640,480,0, 2100,640,480:waittimer 19090 blt 0,0,640,480,0, 2101,640,480:waittimer 19099 blt 0,0,640,480,0, 2102,640,480:waittimer 19109 blt 0,0,640,480,0, 2103,640,480:waittimer 19118 blt 0,0,640,480,0, 2104,640,480:waittimer 19127 blt 0,0,640,480,0, 2105,640,480:waittimer 19136 blt 0,0,640,480,0, 2106,640,480:waittimer 19145 blt 0,0,640,480,0, 2107,640,480:waittimer 19154 blt 0,0,640,480,0, 2108,640,480:waittimer 19163 blt 0,0,640,480,0, 2109,640,480:waittimer 19172 blt 0,0,640,480,0, 2110,640,480:waittimer 19181 blt 0,0,640,480,0, 2111,640,480:waittimer 19190 blt 0,0,640,480,0, 2112,640,480:waittimer 19199 blt 0,0,640,480,0, 2113,640,480:waittimer 19209 blt 0,0,640,480,0, 2114,640,480:waittimer 19218 blt 0,0,640,480,0, 2115,640,480:waittimer 19227 blt 0,0,640,480,0, 2116,640,480:waittimer 19236 blt 0,0,640,480,0, 2117,640,480:waittimer 19245 blt 0,0,640,480,0, 2118,640,480:waittimer 19254 blt 0,0,640,480,0, 2119,640,480:waittimer 19263 blt 0,0,640,480,0, 2120,640,480:waittimer 19272 blt 0,0,640,480,0, 2121,640,480:waittimer 19281 blt 0,0,640,480,0, 2122,640,480:waittimer 19290 blt 0,0,640,480,0, 2123,640,480:waittimer 19299 blt 0,0,640,480,0, 2124,640,480:waittimer 19309 blt 0,0,640,480,0, 2125,640,480:waittimer 19318 blt 0,0,640,480,0, 2126,640,480:waittimer 19327 blt 0,0,640,480,0, 2127,640,480:waittimer 19336 blt 0,0,640,480,0, 2128,640,480:waittimer 19345 blt 0,0,640,480,0, 2129,640,480:waittimer 19354 blt 0,0,640,480,0, 2130,640,480:waittimer 19363 blt 0,0,640,480,0, 2131,640,480:waittimer 19372 blt 0,0,640,480,0, 2132,640,480:waittimer 19381 blt 0,0,640,480,0, 2133,640,480:waittimer 19390 blt 0,0,640,480,0, 2134,640,480:waittimer 19399 blt 0,0,640,480,0, 2135,640,480:waittimer 19409 blt 0,0,640,480,0, 2136,640,480:waittimer 19418 blt 0,0,640,480,0, 2137,640,480:waittimer 19427 blt 0,0,640,480,0, 2138,640,480:waittimer 19436 blt 0,0,640,480,0, 2139,640,480:waittimer 19445 blt 0,0,640,480,0, 2140,640,480:waittimer 19454 blt 0,0,640,480,0, 2141,640,480:waittimer 19463 blt 0,0,640,480,0, 2142,640,480:waittimer 19472 blt 0,0,640,480,0, 2143,640,480:waittimer 19481 blt 0,0,640,480,0, 2144,640,480:waittimer 19490 blt 0,0,640,480,0, 2145,640,480:waittimer 19499 blt 0,0,640,480,0, 2146,640,480:waittimer 19509 blt 0,0,640,480,0, 2147,640,480:waittimer 19518 blt 0,0,640,480,0, 2148,640,480:waittimer 19527 blt 0,0,640,480,0, 2149,640,480:waittimer 19536 blt 0,0,640,480,0, 2150,640,480:waittimer 19545 blt 0,0,640,480,0, 2151,640,480:waittimer 19554 blt 0,0,640,480,0, 2152,640,480:waittimer 19563 blt 0,0,640,480,0, 2153,640,480:waittimer 19572 blt 0,0,640,480,0, 2154,640,480:waittimer 19581 blt 0,0,640,480,0, 2155,640,480:waittimer 19590 blt 0,0,640,480,0, 2156,640,480:waittimer 19599 blt 0,0,640,480,0, 2157,640,480:waittimer 19609 blt 0,0,640,480,0, 2158,640,480:waittimer 19618 blt 0,0,640,480,0, 2159,640,480:waittimer 19627 blt 0,0,640,480,0, 2160,640,480:waittimer 19636 blt 0,0,640,480,0, 2161,640,480:waittimer 19645 blt 0,0,640,480,0, 2162,640,480:waittimer 19654 blt 0,0,640,480,0, 2163,640,480:waittimer 19663 blt 0,0,640,480,0, 2164,640,480:waittimer 19672 blt 0,0,640,480,0, 2165,640,480:waittimer 19681 blt 0,0,640,480,0, 2166,640,480:waittimer 19690 blt 0,0,640,480,0, 2167,640,480:waittimer 19699 blt 0,0,640,480,0, 2168,640,480:waittimer 19709 blt 0,0,640,480,0, 2169,640,480:waittimer 19718 blt 0,0,640,480,0, 2170,640,480:waittimer 19727 blt 0,0,640,480,0, 2171,640,480:waittimer 19736 blt 0,0,640,480,0, 2172,640,480:waittimer 19745 blt 0,0,640,480,0, 2173,640,480:waittimer 19754 blt 0,0,640,480,0, 2174,640,480:waittimer 19763 blt 0,0,640,480,0, 2175,640,480:waittimer 19772 blt 0,0,640,480,0, 2176,640,480:waittimer 19781 blt 0,0,640,480,0, 2177,640,480:waittimer 19790 blt 0,0,640,480,0, 2178,640,480:waittimer 19799 blt 0,0,640,480,0, 2179,640,480:waittimer 19809 blt 0,0,640,480,0, 2180,640,480:waittimer 19818 blt 0,0,640,480,0, 2181,640,480:waittimer 19827 blt 0,0,640,480,0, 2182,640,480:waittimer 19836 blt 0,0,640,480,0, 2183,640,480:waittimer 19845 blt 0,0,640,480,0, 2184,640,480:waittimer 19854 blt 0,0,640,480,0, 2185,640,480:waittimer 19863 blt 0,0,640,480,0, 2186,640,480:waittimer 19872 blt 0,0,640,480,0, 2187,640,480:waittimer 19881 blt 0,0,640,480,0, 2188,640,480:waittimer 19890 blt 0,0,640,480,0, 2189,640,480:waittimer 19899 blt 0,0,640,480,0, 2190,640,480:waittimer 19909 blt 0,0,640,480,0, 2191,640,480:waittimer 19918 blt 0,0,640,480,0, 2192,640,480:waittimer 19927 blt 0,0,640,480,0, 2193,640,480:waittimer 19936 blt 0,0,640,480,0, 2194,640,480:waittimer 19945 blt 0,0,640,480,0, 2195,640,480:waittimer 19954 blt 0,0,640,480,0, 2196,640,480:waittimer 19963 blt 0,0,640,480,0, 2197,640,480:waittimer 19972 blt 0,0,640,480,0, 2198,640,480:waittimer 19981 blt 0,0,640,480,0, 2199,640,480:waittimer 19990 blt 0,0,640,480,0, 2200,640,480:waittimer 19999 blt 0,0,640,480,0, 2201,640,480:waittimer 20009 blt 0,0,640,480,0, 2202,640,480:waittimer 20018 blt 0,0,640,480,0, 2203,640,480:waittimer 20027 blt 0,0,640,480,0, 2204,640,480:waittimer 20036 blt 0,0,640,480,0, 2205,640,480:waittimer 20045 blt 0,0,640,480,0, 2206,640,480:waittimer 20054 blt 0,0,640,480,0, 2207,640,480:waittimer 20063 blt 0,0,640,480,0, 2208,640,480:waittimer 20072 blt 0,0,640,480,0, 2209,640,480:waittimer 20081 blt 0,0,640,480,0, 2210,640,480:waittimer 20090 blt 0,0,640,480,0, 2211,640,480:waittimer 20099 blt 0,0,640,480,0, 2212,640,480:waittimer 20109 blt 0,0,640,480,0, 2213,640,480:waittimer 20118 blt 0,0,640,480,0, 2214,640,480:waittimer 20127 blt 0,0,640,480,0, 2215,640,480:waittimer 20136 blt 0,0,640,480,0, 2216,640,480:waittimer 20145 blt 0,0,640,480,0, 2217,640,480:waittimer 20154 blt 0,0,640,480,0, 2218,640,480:waittimer 20163 blt 0,0,640,480,0, 2219,640,480:waittimer 20172 blt 0,0,640,480,0, 2220,640,480:waittimer 20181 blt 0,0,640,480,0, 2221,640,480:waittimer 20190 blt 0,0,640,480,0, 2222,640,480:waittimer 20199 blt 0,0,640,480,0, 2223,640,480:waittimer 20209 blt 0,0,640,480,0, 2224,640,480:waittimer 20218 blt 0,0,640,480,0, 2225,640,480:waittimer 20227 blt 0,0,640,480,0, 2226,640,480:waittimer 20236 blt 0,0,640,480,0, 2227,640,480:waittimer 20245 blt 0,0,640,480,0, 2228,640,480:waittimer 20254 blt 0,0,640,480,0, 2229,640,480:waittimer 20263 blt 0,0,640,480,0, 2230,640,480:waittimer 20272 blt 0,0,640,480,0, 2231,640,480:waittimer 20281 blt 0,0,640,480,0, 2232,640,480:waittimer 20290 blt 0,0,640,480,0, 2233,640,480:waittimer 20299 blt 0,0,640,480,0, 2234,640,480:waittimer 20309 blt 0,0,640,480,0, 2235,640,480:waittimer 20318 blt 0,0,640,480,0, 2236,640,480:waittimer 20327 blt 0,0,640,480,0, 2237,640,480:waittimer 20336 blt 0,0,640,480,0, 2238,640,480:waittimer 20345 blt 0,0,640,480,0, 2239,640,480:waittimer 20354 blt 0,0,640,480,0, 2240,640,480:waittimer 20363 blt 0,0,640,480,0, 2241,640,480:waittimer 20372 blt 0,0,640,480,0, 2242,640,480:waittimer 20381 blt 0,0,640,480,0, 2243,640,480:waittimer 20390 blt 0,0,640,480,0, 2244,640,480:waittimer 20399 blt 0,0,640,480,0, 2245,640,480:waittimer 20409 blt 0,0,640,480,0, 2246,640,480:waittimer 20418 blt 0,0,640,480,0, 2247,640,480:waittimer 20427 blt 0,0,640,480,0, 2248,640,480:waittimer 20436 blt 0,0,640,480,0, 2249,640,480:waittimer 20445 blt 0,0,640,480,0, 2250,640,480:waittimer 20454 blt 0,0,640,480,0, 2251,640,480:waittimer 20463 blt 0,0,640,480,0, 2252,640,480:waittimer 20472 blt 0,0,640,480,0, 2253,640,480:waittimer 20481 blt 0,0,640,480,0, 2254,640,480:waittimer 20490 blt 0,0,640,480,0, 2255,640,480:waittimer 20499 blt 0,0,640,480,0, 2256,640,480:waittimer 20509 blt 0,0,640,480,0, 2257,640,480:waittimer 20518 blt 0,0,640,480,0, 2258,640,480:waittimer 20527 blt 0,0,640,480,0, 2259,640,480:waittimer 20536 blt 0,0,640,480,0, 2260,640,480:waittimer 20545 blt 0,0,640,480,0, 2261,640,480:waittimer 20554 blt 0,0,640,480,0, 2262,640,480:waittimer 20563 blt 0,0,640,480,0, 2263,640,480:waittimer 20572 blt 0,0,640,480,0, 2264,640,480:waittimer 20581 blt 0,0,640,480,0, 2265,640,480:waittimer 20590 blt 0,0,640,480,0, 2266,640,480:waittimer 20599 blt 0,0,640,480,0, 2267,640,480:waittimer 20609 blt 0,0,640,480,0, 2268,640,480:waittimer 20618 blt 0,0,640,480,0, 2269,640,480:waittimer 20627 blt 0,0,640,480,0, 2270,640,480:waittimer 20636 blt 0,0,640,480,0, 2271,640,480:waittimer 20645 blt 0,0,640,480,0, 2272,640,480:waittimer 20654 blt 0,0,640,480,0, 2273,640,480:waittimer 20663 blt 0,0,640,480,0, 2274,640,480:waittimer 20672 blt 0,0,640,480,0, 2275,640,480:waittimer 20681 blt 0,0,640,480,0, 2276,640,480:waittimer 20690 blt 0,0,640,480,0, 2277,640,480:waittimer 20699 blt 0,0,640,480,0, 2278,640,480:waittimer 20709 blt 0,0,640,480,0, 2279,640,480:waittimer 20718 blt 0,0,640,480,0, 2280,640,480:waittimer 20727 blt 0,0,640,480,0, 2281,640,480:waittimer 20736 blt 0,0,640,480,0, 2282,640,480:waittimer 20745 blt 0,0,640,480,0, 2283,640,480:waittimer 20754 blt 0,0,640,480,0, 2284,640,480:waittimer 20763 blt 0,0,640,480,0, 2285,640,480:waittimer 20772 blt 0,0,640,480,0, 2286,640,480:waittimer 20781 blt 0,0,640,480,0, 2287,640,480:waittimer 20790 blt 0,0,640,480,0, 2288,640,480:waittimer 20799 blt 0,0,640,480,0, 2289,640,480:waittimer 20809 blt 0,0,640,480,0, 2290,640,480:waittimer 20818 blt 0,0,640,480,0, 2291,640,480:waittimer 20827 blt 0,0,640,480,0, 2292,640,480:waittimer 20836 blt 0,0,640,480,0, 2293,640,480:waittimer 20845 blt 0,0,640,480,0, 2294,640,480:waittimer 20854 blt 0,0,640,480,0, 2295,640,480:waittimer 20863 blt 0,0,640,480,0, 2296,640,480:waittimer 20872 blt 0,0,640,480,0, 2297,640,480:waittimer 20881 blt 0,0,640,480,0, 2298,640,480:waittimer 20890 blt 0,0,640,480,0, 2299,640,480:waittimer 20899 blt 0,0,640,480,0, 2300,640,480:waittimer 20909 blt 0,0,640,480,0, 2301,640,480:waittimer 20918 blt 0,0,640,480,0, 2302,640,480:waittimer 20927 blt 0,0,640,480,0, 2303,640,480:waittimer 20936 blt 0,0,640,480,0, 2304,640,480:waittimer 20945 blt 0,0,640,480,0, 2305,640,480:waittimer 20954 blt 0,0,640,480,0, 2306,640,480:waittimer 20963 blt 0,0,640,480,0, 2307,640,480:waittimer 20972 blt 0,0,640,480,0, 2308,640,480:waittimer 20981 blt 0,0,640,480,0, 2309,640,480:waittimer 20990 blt 0,0,640,480,0, 2310,640,480:waittimer 20999 blt 0,0,640,480,0, 2311,640,480:waittimer 21009 blt 0,0,640,480,0, 2312,640,480:waittimer 21018 blt 0,0,640,480,0, 2313,640,480:waittimer 21027 blt 0,0,640,480,0, 2314,640,480:waittimer 21036 blt 0,0,640,480,0, 2315,640,480:waittimer 21045 blt 0,0,640,480,0, 2316,640,480:waittimer 21054 blt 0,0,640,480,0, 2317,640,480:waittimer 21063 blt 0,0,640,480,0, 2318,640,480:waittimer 21072 blt 0,0,640,480,0, 2319,640,480:waittimer 21081 blt 0,0,640,480,0, 2320,640,480:waittimer 21090 blt 0,0,640,480,0, 2321,640,480:waittimer 21099 blt 0,0,640,480,0, 2322,640,480:waittimer 21109 blt 0,0,640,480,0, 2323,640,480:waittimer 21118 blt 0,0,640,480,0, 2324,640,480:waittimer 21127 blt 0,0,640,480,0, 2325,640,480:waittimer 21136 blt 0,0,640,480,0, 2326,640,480:waittimer 21145 blt 0,0,640,480,0, 2327,640,480:waittimer 21154 blt 0,0,640,480,0, 2328,640,480:waittimer 21163 blt 0,0,640,480,0, 2329,640,480:waittimer 21172 blt 0,0,640,480,0, 2330,640,480:waittimer 21181 blt 0,0,640,480,0, 2331,640,480:waittimer 21190 blt 0,0,640,480,0, 2332,640,480:waittimer 21199 blt 0,0,640,480,0, 2333,640,480:waittimer 21209 blt 0,0,640,480,0, 2334,640,480:waittimer 21218 blt 0,0,640,480,0, 2335,640,480:waittimer 21227 blt 0,0,640,480,0, 2336,640,480:waittimer 21236 blt 0,0,640,480,0, 2337,640,480:waittimer 21245 blt 0,0,640,480,0, 2338,640,480:waittimer 21254 blt 0,0,640,480,0, 2339,640,480:waittimer 21263 blt 0,0,640,480,0, 2340,640,480:waittimer 21272 blt 0,0,640,480,0, 2341,640,480:waittimer 21281 blt 0,0,640,480,0, 2342,640,480:waittimer 21290 blt 0,0,640,480,0, 2343,640,480:waittimer 21299 blt 0,0,640,480,0, 2344,640,480:waittimer 21309 blt 0,0,640,480,0, 2345,640,480:waittimer 21318 blt 0,0,640,480,0, 2346,640,480:waittimer 21327 blt 0,0,640,480,0, 2347,640,480:waittimer 21336 blt 0,0,640,480,0, 2348,640,480:waittimer 21345 blt 0,0,640,480,0, 2349,640,480:waittimer 21354 blt 0,0,640,480,0, 2350,640,480:waittimer 21363 blt 0,0,640,480,0, 2351,640,480:waittimer 21372 blt 0,0,640,480,0, 2352,640,480:waittimer 21381 blt 0,0,640,480,0, 2353,640,480:waittimer 21390 blt 0,0,640,480,0, 2354,640,480:waittimer 21399 blt 0,0,640,480,0, 2355,640,480:waittimer 21409 blt 0,0,640,480,0, 2356,640,480:waittimer 21418 blt 0,0,640,480,0, 2357,640,480:waittimer 21427 blt 0,0,640,480,0, 2358,640,480:waittimer 21436 blt 0,0,640,480,0, 2359,640,480:waittimer 21445 blt 0,0,640,480,0, 2360,640,480:waittimer 21454 blt 0,0,640,480,0, 2361,640,480:waittimer 21463 blt 0,0,640,480,0, 2362,640,480:waittimer 21472 blt 0,0,640,480,0, 2363,640,480:waittimer 21481 blt 0,0,640,480,0, 2364,640,480:waittimer 21490 blt 0,0,640,480,0, 2365,640,480:waittimer 21499 blt 0,0,640,480,0, 2366,640,480:waittimer 21509 blt 0,0,640,480,0, 2367,640,480:waittimer 21518 blt 0,0,640,480,0, 2368,640,480:waittimer 21527 blt 0,0,640,480,0, 2369,640,480:waittimer 21536 blt 0,0,640,480,0, 2370,640,480:waittimer 21545 blt 0,0,640,480,0, 2371,640,480:waittimer 21554 blt 0,0,640,480,0, 2372,640,480:waittimer 21563 blt 0,0,640,480,0, 2373,640,480:waittimer 21572 blt 0,0,640,480,0, 2374,640,480:waittimer 21581 blt 0,0,640,480,0, 2375,640,480:waittimer 21590 blt 0,0,640,480,0, 2376,640,480:waittimer 21599 blt 0,0,640,480,0, 2377,640,480:waittimer 21609 blt 0,0,640,480,0, 2378,640,480:waittimer 21618 blt 0,0,640,480,0, 2379,640,480:waittimer 21627 blt 0,0,640,480,0, 2380,640,480:waittimer 21636 blt 0,0,640,480,0, 2381,640,480:waittimer 21645 blt 0,0,640,480,0, 2382,640,480:waittimer 21654 blt 0,0,640,480,0, 2383,640,480:waittimer 21663 blt 0,0,640,480,0, 2384,640,480:waittimer 21672 blt 0,0,640,480,0, 2385,640,480:waittimer 21681 blt 0,0,640,480,0, 2386,640,480:waittimer 21690 blt 0,0,640,480,0, 2387,640,480:waittimer 21699 blt 0,0,640,480,0, 2388,640,480:waittimer 21709 blt 0,0,640,480,0, 2389,640,480:waittimer 21718 blt 0,0,640,480,0, 2390,640,480:waittimer 21727 blt 0,0,640,480,0, 2391,640,480:waittimer 21736 blt 0,0,640,480,0, 2392,640,480:waittimer 21745 blt 0,0,640,480,0, 2393,640,480:waittimer 21754 blt 0,0,640,480,0, 2394,640,480:waittimer 21763 blt 0,0,640,480,0, 2395,640,480:waittimer 21772 blt 0,0,640,480,0, 2396,640,480:waittimer 21781 blt 0,0,640,480,0, 2397,640,480:waittimer 21790 blt 0,0,640,480,0, 2398,640,480:waittimer 21799 blt 0,0,640,480,0, 2399,640,480:waittimer 21809 blt 0,0,640,480,0, 2400,640,480:waittimer 21818 blt 0,0,640,480,0, 2401,640,480:waittimer 21827 blt 0,0,640,480,0, 2402,640,480:waittimer 21836 blt 0,0,640,480,0, 2403,640,480:waittimer 21845 blt 0,0,640,480,0, 2404,640,480:waittimer 21854 blt 0,0,640,480,0, 2405,640,480:waittimer 21863 blt 0,0,640,480,0, 2406,640,480:waittimer 21872 blt 0,0,640,480,0, 2407,640,480:waittimer 21881 blt 0,0,640,480,0, 2408,640,480:waittimer 21890 blt 0,0,640,480,0, 2409,640,480:waittimer 21899 blt 0,0,640,480,0, 2410,640,480:waittimer 21909 blt 0,0,640,480,0, 2411,640,480:waittimer 21918 blt 0,0,640,480,0, 2412,640,480:waittimer 21927 blt 0,0,640,480,0, 2413,640,480:waittimer 21936 blt 0,0,640,480,0, 2414,640,480:waittimer 21945 blt 0,0,640,480,0, 2415,640,480:waittimer 21954 blt 0,0,640,480,0, 2416,640,480:waittimer 21963 blt 0,0,640,480,0, 2417,640,480:waittimer 21972 blt 0,0,640,480,0, 2418,640,480:waittimer 21981 blt 0,0,640,480,0, 2419,640,480:waittimer 21990 blt 0,0,640,480,0, 2420,640,480:waittimer 21999 blt 0,0,640,480,0, 2421,640,480:waittimer 22009 blt 0,0,640,480,0, 2422,640,480:waittimer 22018 blt 0,0,640,480,0, 2423,640,480:waittimer 22027 blt 0,0,640,480,0, 2424,640,480:waittimer 22036 blt 0,0,640,480,0, 2425,640,480:waittimer 22045 blt 0,0,640,480,0, 2426,640,480:waittimer 22054 blt 0,0,640,480,0, 2427,640,480:waittimer 22063 blt 0,0,640,480,0, 2428,640,480:waittimer 22072 blt 0,0,640,480,0, 2429,640,480:waittimer 22081 blt 0,0,640,480,0, 2430,640,480:waittimer 22090 blt 0,0,640,480,0, 2431,640,480:waittimer 22099 blt 0,0,640,480,0, 2432,640,480:waittimer 22109 blt 0,0,640,480,0, 2433,640,480:waittimer 22118 blt 0,0,640,480,0, 2434,640,480:waittimer 22127 blt 0,0,640,480,0, 2435,640,480:waittimer 22136 blt 0,0,640,480,0, 2436,640,480:waittimer 22145 blt 0,0,640,480,0, 2437,640,480:waittimer 22154 blt 0,0,640,480,0, 2438,640,480:waittimer 22163 blt 0,0,640,480,0, 2439,640,480:waittimer 22172 blt 0,0,640,480,0, 2440,640,480:waittimer 22181 blt 0,0,640,480,0, 2441,640,480:waittimer 22190 blt 0,0,640,480,0, 2442,640,480:waittimer 22199 blt 0,0,640,480,0, 2443,640,480:waittimer 22209 blt 0,0,640,480,0, 2444,640,480:waittimer 22218 blt 0,0,640,480,0, 2445,640,480:waittimer 22227 blt 0,0,640,480,0, 2446,640,480:waittimer 22236 blt 0,0,640,480,0, 2447,640,480:waittimer 22245 blt 0,0,640,480,0, 2448,640,480:waittimer 22254 blt 0,0,640,480,0, 2449,640,480:waittimer 22263 blt 0,0,640,480,0, 2450,640,480:waittimer 22272 blt 0,0,640,480,0, 2451,640,480:waittimer 22281 blt 0,0,640,480,0, 2452,640,480:waittimer 22290 blt 0,0,640,480,0, 2453,640,480:waittimer 22299 blt 0,0,640,480,0, 2454,640,480:waittimer 22309 blt 0,0,640,480,0, 2455,640,480:waittimer 22318 blt 0,0,640,480,0, 2456,640,480:waittimer 22327 blt 0,0,640,480,0, 2457,640,480:waittimer 22336 blt 0,0,640,480,0, 2458,640,480:waittimer 22345 blt 0,0,640,480,0, 2459,640,480:waittimer 22354 blt 0,0,640,480,0, 2460,640,480:waittimer 22363 blt 0,0,640,480,0, 2461,640,480:waittimer 22372 blt 0,0,640,480,0, 2462,640,480:waittimer 22381 blt 0,0,640,480,0, 2463,640,480:waittimer 22390 blt 0,0,640,480,0, 2464,640,480:waittimer 22399 blt 0,0,640,480,0, 2465,640,480:waittimer 22409 blt 0,0,640,480,0, 2466,640,480:waittimer 22418 blt 0,0,640,480,0, 2467,640,480:waittimer 22427 blt 0,0,640,480,0, 2468,640,480:waittimer 22436 blt 0,0,640,480,0, 2469,640,480:waittimer 22445 blt 0,0,640,480,0, 2470,640,480:waittimer 22454 blt 0,0,640,480,0, 2471,640,480:waittimer 22463 blt 0,0,640,480,0, 2472,640,480:waittimer 22472 blt 0,0,640,480,0, 2473,640,480:waittimer 22481 blt 0,0,640,480,0, 2474,640,480:waittimer 22490 blt 0,0,640,480,0, 2475,640,480:waittimer 22499 blt 0,0,640,480,0, 2476,640,480:waittimer 22509 blt 0,0,640,480,0, 2477,640,480:waittimer 22518 blt 0,0,640,480,0, 2478,640,480:waittimer 22527 blt 0,0,640,480,0, 2479,640,480:waittimer 22536 blt 0,0,640,480,0, 2480,640,480:waittimer 22545 blt 0,0,640,480,0, 2481,640,480:waittimer 22554 blt 0,0,640,480,0, 2482,640,480:waittimer 22563 blt 0,0,640,480,0, 2483,640,480:waittimer 22572 blt 0,0,640,480,0, 2484,640,480:waittimer 22581 blt 0,0,640,480,0, 2485,640,480:waittimer 22590 blt 0,0,640,480,0, 2486,640,480:waittimer 22599 blt 0,0,640,480,0, 2487,640,480:waittimer 22609 blt 0,0,640,480,0, 2488,640,480:waittimer 22618 blt 0,0,640,480,0, 2489,640,480:waittimer 22627 blt 0,0,640,480,0, 2490,640,480:waittimer 22636 blt 0,0,640,480,0, 2491,640,480:waittimer 22645 blt 0,0,640,480,0, 2492,640,480:waittimer 22654 blt 0,0,640,480,0, 2493,640,480:waittimer 22663 blt 0,0,640,480,0, 2494,640,480:waittimer 22672 blt 0,0,640,480,0, 2495,640,480:waittimer 22681 blt 0,0,640,480,0, 2496,640,480:waittimer 22690 blt 0,0,640,480,0, 2497,640,480:waittimer 22699 blt 0,0,640,480,0, 2498,640,480:waittimer 22709 blt 0,0,640,480,0, 2499,640,480:waittimer 22718 blt 0,0,640,480,0, 2500,640,480:waittimer 22727 blt 0,0,640,480,0, 2501,640,480:waittimer 22736 blt 0,0,640,480,0, 2502,640,480:waittimer 22745 blt 0,0,640,480,0, 2503,640,480:waittimer 22754 blt 0,0,640,480,0, 2504,640,480:waittimer 22763 blt 0,0,640,480,0, 2505,640,480:waittimer 22772 blt 0,0,640,480,0, 2506,640,480:waittimer 22781 blt 0,0,640,480,0, 2507,640,480:waittimer 22790 blt 0,0,640,480,0, 2508,640,480:waittimer 22799 blt 0,0,640,480,0, 2509,640,480:waittimer 22809 blt 0,0,640,480,0, 2510,640,480:waittimer 22818 blt 0,0,640,480,0, 2511,640,480:waittimer 22827 blt 0,0,640,480,0, 2512,640,480:waittimer 22836 blt 0,0,640,480,0, 2513,640,480:waittimer 22845 blt 0,0,640,480,0, 2514,640,480:waittimer 22854 blt 0,0,640,480,0, 2515,640,480:waittimer 22863 blt 0,0,640,480,0, 2516,640,480:waittimer 22872 blt 0,0,640,480,0, 2517,640,480:waittimer 22881 blt 0,0,640,480,0, 2518,640,480:waittimer 22890 blt 0,0,640,480,0, 2519,640,480:waittimer 22899 blt 0,0,640,480,0, 2520,640,480:waittimer 22909 blt 0,0,640,480,0, 2521,640,480:waittimer 22918 blt 0,0,640,480,0, 2522,640,480:waittimer 22927 blt 0,0,640,480,0, 2523,640,480:waittimer 22936 blt 0,0,640,480,0, 2524,640,480:waittimer 22945 blt 0,0,640,480,0, 2525,640,480:waittimer 22954 blt 0,0,640,480,0, 2526,640,480:waittimer 22963 blt 0,0,640,480,0, 2527,640,480:waittimer 22972 blt 0,0,640,480,0, 2528,640,480:waittimer 22981 blt 0,0,640,480,0, 2529,640,480:waittimer 22990 blt 0,0,640,480,0, 2530,640,480:waittimer 22999 blt 0,0,640,480,0, 2531,640,480:waittimer 23009 blt 0,0,640,480,0, 2532,640,480:waittimer 23018 blt 0,0,640,480,0, 2533,640,480:waittimer 23027 blt 0,0,640,480,0, 2534,640,480:waittimer 23036 blt 0,0,640,480,0, 2535,640,480:waittimer 23045 blt 0,0,640,480,0, 2536,640,480:waittimer 23054 blt 0,0,640,480,0, 2537,640,480:waittimer 23063 blt 0,0,640,480,0, 2538,640,480:waittimer 23072 blt 0,0,640,480,0, 2539,640,480:waittimer 23081 blt 0,0,640,480,0, 2540,640,480:waittimer 23090 blt 0,0,640,480,0, 2541,640,480:waittimer 23099 blt 0,0,640,480,0, 2542,640,480:waittimer 23109 blt 0,0,640,480,0, 2543,640,480:waittimer 23118 blt 0,0,640,480,0, 2544,640,480:waittimer 23127 blt 0,0,640,480,0, 2545,640,480:waittimer 23136 blt 0,0,640,480,0, 2546,640,480:waittimer 23145 blt 0,0,640,480,0, 2547,640,480:waittimer 23154 blt 0,0,640,480,0, 2548,640,480:waittimer 23163 blt 0,0,640,480,0, 2549,640,480:waittimer 23172 blt 0,0,640,480,0, 2550,640,480:waittimer 23181 blt 0,0,640,480,0, 2551,640,480:waittimer 23190 blt 0,0,640,480,0, 2552,640,480:waittimer 23199 blt 0,0,640,480,0, 2553,640,480:waittimer 23209 blt 0,0,640,480,0, 2554,640,480:waittimer 23218 blt 0,0,640,480,0, 2555,640,480:waittimer 23227 blt 0,0,640,480,0, 2556,640,480:waittimer 23236 blt 0,0,640,480,0, 2557,640,480:waittimer 23245 blt 0,0,640,480,0, 2558,640,480:waittimer 23254 blt 0,0,640,480,0, 2559,640,480:waittimer 23263 blt 0,0,640,480,0, 2560,640,480:waittimer 23272 blt 0,0,640,480,0, 2561,640,480:waittimer 23281 blt 0,0,640,480,0, 2562,640,480:waittimer 23290 blt 0,0,640,480,0, 2563,640,480:waittimer 23299 blt 0,0,640,480,0, 2564,640,480:waittimer 23309 blt 0,0,640,480,0, 2565,640,480:waittimer 23318 blt 0,0,640,480,0, 2566,640,480:waittimer 23327 blt 0,0,640,480,0, 2567,640,480:waittimer 23336 blt 0,0,640,480,0, 2568,640,480:waittimer 23345 blt 0,0,640,480,0, 2569,640,480:waittimer 23354 blt 0,0,640,480,0, 2570,640,480:waittimer 23363 blt 0,0,640,480,0, 2571,640,480:waittimer 23372 blt 0,0,640,480,0, 2572,640,480:waittimer 23381 blt 0,0,640,480,0, 2573,640,480:waittimer 23390 blt 0,0,640,480,0, 2574,640,480:waittimer 23399 blt 0,0,640,480,0, 2575,640,480:waittimer 23409 blt 0,0,640,480,0, 2576,640,480:waittimer 23418 blt 0,0,640,480,0, 2577,640,480:waittimer 23427 blt 0,0,640,480,0, 2578,640,480:waittimer 23436 blt 0,0,640,480,0, 2579,640,480:waittimer 23445 blt 0,0,640,480,0, 2580,640,480:waittimer 23454 blt 0,0,640,480,0, 2581,640,480:waittimer 23463 blt 0,0,640,480,0, 2582,640,480:waittimer 23472 blt 0,0,640,480,0, 2583,640,480:waittimer 23481 blt 0,0,640,480,0, 2584,640,480:waittimer 23490 blt 0,0,640,480,0, 2585,640,480:waittimer 23499 blt 0,0,640,480,0, 2586,640,480:waittimer 23509 blt 0,0,640,480,0, 2587,640,480:waittimer 23518 blt 0,0,640,480,0, 2588,640,480:waittimer 23527 blt 0,0,640,480,0, 2589,640,480:waittimer 23536 blt 0,0,640,480,0, 2590,640,480:waittimer 23545 blt 0,0,640,480,0, 2591,640,480:waittimer 23554 blt 0,0,640,480,0, 2592,640,480:waittimer 23563 blt 0,0,640,480,0, 2593,640,480:waittimer 23572 blt 0,0,640,480,0, 2594,640,480:waittimer 23581 blt 0,0,640,480,0, 2595,640,480:waittimer 23590 blt 0,0,640,480,0, 2596,640,480:waittimer 23599 blt 0,0,640,480,0, 2597,640,480:waittimer 23609 blt 0,0,640,480,0, 2598,640,480:waittimer 23618 blt 0,0,640,480,0, 2599,640,480:waittimer 23627 blt 0,0,640,480,0, 2600,640,480:waittimer 23636 blt 0,0,640,480,0, 2601,640,480:waittimer 23645 blt 0,0,640,480,0, 2602,640,480:waittimer 23654 blt 0,0,640,480,0, 2603,640,480:waittimer 23663 blt 0,0,640,480,0, 2604,640,480:waittimer 23672 blt 0,0,640,480,0, 2605,640,480:waittimer 23681 blt 0,0,640,480,0, 2606,640,480:waittimer 23690 blt 0,0,640,480,0, 2607,640,480:waittimer 23699 blt 0,0,640,480,0, 2608,640,480:waittimer 23709 blt 0,0,640,480,0, 2609,640,480:waittimer 23718 blt 0,0,640,480,0, 2610,640,480:waittimer 23727 blt 0,0,640,480,0, 2611,640,480:waittimer 23736 blt 0,0,640,480,0, 2612,640,480:waittimer 23745 blt 0,0,640,480,0, 2613,640,480:waittimer 23754 blt 0,0,640,480,0, 2614,640,480:waittimer 23763 blt 0,0,640,480,0, 2615,640,480:waittimer 23772 blt 0,0,640,480,0, 2616,640,480:waittimer 23781 blt 0,0,640,480,0, 2617,640,480:waittimer 23790 blt 0,0,640,480,0, 2618,640,480:waittimer 23799 blt 0,0,640,480,0, 2619,640,480:waittimer 23809 blt 0,0,640,480,0, 2620,640,480:waittimer 23818 blt 0,0,640,480,0, 2621,640,480:waittimer 23827 blt 0,0,640,480,0, 2622,640,480:waittimer 23836 blt 0,0,640,480,0, 2623,640,480:waittimer 23845 blt 0,0,640,480,0, 2624,640,480:waittimer 23854 blt 0,0,640,480,0, 2625,640,480:waittimer 23863 blt 0,0,640,480,0, 2626,640,480:waittimer 23872 blt 0,0,640,480,0, 2627,640,480:waittimer 23881 blt 0,0,640,480,0, 2628,640,480:waittimer 23890 blt 0,0,640,480,0, 2629,640,480:waittimer 23899 blt 0,0,640,480,0, 2630,640,480:waittimer 23909 blt 0,0,640,480,0, 2631,640,480:waittimer 23918 blt 0,0,640,480,0, 2632,640,480:waittimer 23927 blt 0,0,640,480,0, 2633,640,480:waittimer 23936 blt 0,0,640,480,0, 2634,640,480:waittimer 23945 blt 0,0,640,480,0, 2635,640,480:waittimer 23954 blt 0,0,640,480,0, 2636,640,480:waittimer 23963 blt 0,0,640,480,0, 2637,640,480:waittimer 23972 blt 0,0,640,480,0, 2638,640,480:waittimer 23981 blt 0,0,640,480,0, 2639,640,480:waittimer 23990 blt 0,0,640,480,0, 2640,640,480:waittimer 23999 blt 0,0,640,480,0, 2641,640,480:waittimer 24009 blt 0,0,640,480,0, 2642,640,480:waittimer 24018 blt 0,0,640,480,0, 2643,640,480:waittimer 24027 blt 0,0,640,480,0, 2644,640,480:waittimer 24036 blt 0,0,640,480,0, 2645,640,480:waittimer 24045 blt 0,0,640,480,0, 2646,640,480:waittimer 24054 blt 0,0,640,480,0, 2647,640,480:waittimer 24063 blt 0,0,640,480,0, 2648,640,480:waittimer 24072 blt 0,0,640,480,0, 2649,640,480:waittimer 24081 blt 0,0,640,480,0, 2650,640,480:waittimer 24090 blt 0,0,640,480,0, 2651,640,480:waittimer 24099 blt 0,0,640,480,0, 2652,640,480:waittimer 24109 blt 0,0,640,480,0, 2653,640,480:waittimer 24118 blt 0,0,640,480,0, 2654,640,480:waittimer 24127 blt 0,0,640,480,0, 2655,640,480:waittimer 24136 blt 0,0,640,480,0, 2656,640,480:waittimer 24145 blt 0,0,640,480,0, 2657,640,480:waittimer 24154 blt 0,0,640,480,0, 2658,640,480:waittimer 24163 blt 0,0,640,480,0, 2659,640,480:waittimer 24172 blt 0,0,640,480,0, 2660,640,480:waittimer 24181 blt 0,0,640,480,0, 2661,640,480:waittimer 24190 blt 0,0,640,480,0, 2662,640,480:waittimer 24199 blt 0,0,640,480,0, 2663,640,480:waittimer 24209 blt 0,0,640,480,0, 2664,640,480:waittimer 24218 blt 0,0,640,480,0, 2665,640,480:waittimer 24227 blt 0,0,640,480,0, 2666,640,480:waittimer 24236 blt 0,0,640,480,0, 2667,640,480:waittimer 24245 blt 0,0,640,480,0, 2668,640,480:waittimer 24254 blt 0,0,640,480,0, 2669,640,480:waittimer 24263 blt 0,0,640,480,0, 2670,640,480:waittimer 24272 blt 0,0,640,480,0, 2671,640,480:waittimer 24281 blt 0,0,640,480,0, 2672,640,480:waittimer 24290 blt 0,0,640,480,0, 2673,640,480:waittimer 24299 blt 0,0,640,480,0, 2674,640,480:waittimer 24309 blt 0,0,640,480,0, 2675,640,480:waittimer 24318 blt 0,0,640,480,0, 2676,640,480:waittimer 24327 blt 0,0,640,480,0, 2677,640,480:waittimer 24336 blt 0,0,640,480,0, 2678,640,480:waittimer 24345 blt 0,0,640,480,0, 2679,640,480:waittimer 24354 blt 0,0,640,480,0, 2680,640,480:waittimer 24363 blt 0,0,640,480,0, 2681,640,480:waittimer 24372 blt 0,0,640,480,0, 2682,640,480:waittimer 24381 blt 0,0,640,480,0, 2683,640,480:waittimer 24390 blt 0,0,640,480,0, 2684,640,480:waittimer 24399 blt 0,0,640,480,0, 2685,640,480:waittimer 24409 blt 0,0,640,480,0, 2686,640,480:waittimer 24418 blt 0,0,640,480,0, 2687,640,480:waittimer 24427 blt 0,0,640,480,0, 2688,640,480:waittimer 24436 blt 0,0,640,480,0, 2689,640,480:waittimer 24445 blt 0,0,640,480,0, 2690,640,480:waittimer 24454 blt 0,0,640,480,0, 2691,640,480:waittimer 24463 blt 0,0,640,480,0, 2692,640,480:waittimer 24472 blt 0,0,640,480,0, 2693,640,480:waittimer 24481 blt 0,0,640,480,0, 2694,640,480:waittimer 24490 blt 0,0,640,480,0, 2695,640,480:waittimer 24499 blt 0,0,640,480,0, 2696,640,480:waittimer 24509 blt 0,0,640,480,0, 2697,640,480:waittimer 24518 blt 0,0,640,480,0, 2698,640,480:waittimer 24527 blt 0,0,640,480,0, 2699,640,480:waittimer 24536 blt 0,0,640,480,0, 2700,640,480:waittimer 24545 blt 0,0,640,480,0, 2701,640,480:waittimer 24554 blt 0,0,640,480,0, 2702,640,480:waittimer 24563 blt 0,0,640,480,0, 2703,640,480:waittimer 24572 blt 0,0,640,480,0, 2704,640,480:waittimer 24581 blt 0,0,640,480,0, 2705,640,480:waittimer 24590 blt 0,0,640,480,0, 2706,640,480:waittimer 24599 blt 0,0,640,480,0, 2707,640,480:waittimer 24609 blt 0,0,640,480,0, 2708,640,480:waittimer 24618 blt 0,0,640,480,0, 2709,640,480:waittimer 24627 blt 0,0,640,480,0, 2710,640,480:waittimer 24636 blt 0,0,640,480,0, 2711,640,480:waittimer 24645 blt 0,0,640,480,0, 2712,640,480:waittimer 24654 blt 0,0,640,480,0, 2713,640,480:waittimer 24663 blt 0,0,640,480,0, 2714,640,480:waittimer 24672 blt 0,0,640,480,0, 2715,640,480:waittimer 24681 blt 0,0,640,480,0, 2716,640,480:waittimer 24690 blt 0,0,640,480,0, 2717,640,480:waittimer 24699 blt 0,0,640,480,0, 2718,640,480:waittimer 24709 blt 0,0,640,480,0, 2719,640,480:waittimer 24718 blt 0,0,640,480,0, 2720,640,480:waittimer 24727 blt 0,0,640,480,0, 2721,640,480:waittimer 24736 blt 0,0,640,480,0, 2722,640,480:waittimer 24745 blt 0,0,640,480,0, 2723,640,480:waittimer 24754 blt 0,0,640,480,0, 2724,640,480:waittimer 24763 blt 0,0,640,480,0, 2725,640,480:waittimer 24772 blt 0,0,640,480,0, 2726,640,480:waittimer 24781 blt 0,0,640,480,0, 2727,640,480:waittimer 24790 blt 0,0,640,480,0, 2728,640,480:waittimer 24799 blt 0,0,640,480,0, 2729,640,480:waittimer 24809 blt 0,0,640,480,0, 2730,640,480:waittimer 24818 blt 0,0,640,480,0, 2731,640,480:waittimer 24827 blt 0,0,640,480,0, 2732,640,480:waittimer 24836 blt 0,0,640,480,0, 2733,640,480:waittimer 24845 blt 0,0,640,480,0, 2734,640,480:waittimer 24854 blt 0,0,640,480,0, 2735,640,480:waittimer 24863 blt 0,0,640,480,0, 2736,640,480:waittimer 24872 blt 0,0,640,480,0, 2737,640,480:waittimer 24881 blt 0,0,640,480,0, 2738,640,480:waittimer 24890 blt 0,0,640,480,0, 2739,640,480:waittimer 24899 blt 0,0,640,480,0, 2740,640,480:waittimer 24909 blt 0,0,640,480,0, 2741,640,480:waittimer 24918 blt 0,0,640,480,0, 2742,640,480:waittimer 24927 blt 0,0,640,480,0, 2743,640,480:waittimer 24936 blt 0,0,640,480,0, 2744,640,480:waittimer 24945 blt 0,0,640,480,0, 2745,640,480:waittimer 24954 blt 0,0,640,480,0, 2746,640,480:waittimer 24963 blt 0,0,640,480,0, 2747,640,480:waittimer 24972 blt 0,0,640,480,0, 2748,640,480:waittimer 24981 blt 0,0,640,480,0, 2749,640,480:waittimer 24990 blt 0,0,640,480,0, 2750,640,480:waittimer 24999 blt 0,0,640,480,0, 2751,640,480:waittimer 25009 blt 0,0,640,480,0, 2752,640,480:waittimer 25018 blt 0,0,640,480,0, 2753,640,480:waittimer 25027 blt 0,0,640,480,0, 2754,640,480:waittimer 25036 blt 0,0,640,480,0, 2755,640,480:waittimer 25045 blt 0,0,640,480,0, 2756,640,480:waittimer 25054 blt 0,0,640,480,0, 2757,640,480:waittimer 25063 blt 0,0,640,480,0, 2758,640,480:waittimer 25072 blt 0,0,640,480,0, 2759,640,480:waittimer 25081 blt 0,0,640,480,0, 2760,640,480:waittimer 25090 blt 0,0,640,480,0, 2761,640,480:waittimer 25099 blt 0,0,640,480,0, 2762,640,480:waittimer 25109 blt 0,0,640,480,0, 2763,640,480:waittimer 25118 blt 0,0,640,480,0, 2764,640,480:waittimer 25127 blt 0,0,640,480,0, 2765,640,480:waittimer 25136 blt 0,0,640,480,0, 2766,640,480:waittimer 25145 blt 0,0,640,480,0, 2767,640,480:waittimer 25154 blt 0,0,640,480,0, 2768,640,480:waittimer 25163 blt 0,0,640,480,0, 2769,640,480:waittimer 25172 blt 0,0,640,480,0, 2770,640,480:waittimer 25181 blt 0,0,640,480,0, 2771,640,480:waittimer 25190 blt 0,0,640,480,0, 2772,640,480:waittimer 25199 blt 0,0,640,480,0, 2773,640,480:waittimer 25209 blt 0,0,640,480,0, 2774,640,480:waittimer 25218 blt 0,0,640,480,0, 2775,640,480:waittimer 25227 blt 0,0,640,480,0, 2776,640,480:waittimer 25236 blt 0,0,640,480,0, 2777,640,480:waittimer 25245 blt 0,0,640,480,0, 2778,640,480:waittimer 25254 blt 0,0,640,480,0, 2779,640,480:waittimer 25263 blt 0,0,640,480,0, 2780,640,480:waittimer 25272 blt 0,0,640,480,0, 2781,640,480:waittimer 25281 blt 0,0,640,480,0, 2782,640,480:waittimer 25290 blt 0,0,640,480,0, 2783,640,480:waittimer 25299 blt 0,0,640,480,0, 2784,640,480:waittimer 25309 blt 0,0,640,480,0, 2785,640,480:waittimer 25318 blt 0,0,640,480,0, 2786,640,480:waittimer 25327 blt 0,0,640,480,0, 2787,640,480:waittimer 25336 blt 0,0,640,480,0, 2788,640,480:waittimer 25345 blt 0,0,640,480,0, 2789,640,480:waittimer 25354 blt 0,0,640,480,0, 2790,640,480:waittimer 25363 blt 0,0,640,480,0, 2791,640,480:waittimer 25372 blt 0,0,640,480,0, 2792,640,480:waittimer 25381 blt 0,0,640,480,0, 2793,640,480:waittimer 25390 blt 0,0,640,480,0, 2794,640,480:waittimer 25399 blt 0,0,640,480,0, 2795,640,480:waittimer 25409 blt 0,0,640,480,0, 2796,640,480:waittimer 25418 blt 0,0,640,480,0, 2797,640,480:waittimer 25427 blt 0,0,640,480,0, 2798,640,480:waittimer 25436 blt 0,0,640,480,0, 2799,640,480:waittimer 25445 blt 0,0,640,480,0, 2800,640,480:waittimer 25454 blt 0,0,640,480,0, 2801,640,480:waittimer 25463 blt 0,0,640,480,0, 2802,640,480:waittimer 25472 blt 0,0,640,480,0, 2803,640,480:waittimer 25481 blt 0,0,640,480,0, 2804,640,480:waittimer 25490 blt 0,0,640,480,0, 2805,640,480:waittimer 25499 blt 0,0,640,480,0, 2806,640,480:waittimer 25509 blt 0,0,640,480,0, 2807,640,480:waittimer 25518 blt 0,0,640,480,0, 2808,640,480:waittimer 25527 blt 0,0,640,480,0, 2809,640,480:waittimer 25536 blt 0,0,640,480,0, 2810,640,480:waittimer 25545 blt 0,0,640,480,0, 2811,640,480:waittimer 25554 blt 0,0,640,480,0, 2812,640,480:waittimer 25563 blt 0,0,640,480,0, 2813,640,480:waittimer 25572 blt 0,0,640,480,0, 2814,640,480:waittimer 25581 blt 0,0,640,480,0, 2815,640,480:waittimer 25590 blt 0,0,640,480,0, 2816,640,480:waittimer 25599 blt 0,0,640,480,0, 2817,640,480:waittimer 25609 blt 0,0,640,480,0, 2818,640,480:waittimer 25618 blt 0,0,640,480,0, 2819,640,480:waittimer 25627 blt 0,0,640,480,0, 2820,640,480:waittimer 25636 blt 0,0,640,480,0, 2821,640,480:waittimer 25645 blt 0,0,640,480,0, 2822,640,480:waittimer 25654 blt 0,0,640,480,0, 2823,640,480:waittimer 25663 blt 0,0,640,480,0, 2824,640,480:waittimer 25672 blt 0,0,640,480,0, 2825,640,480:waittimer 25681 blt 0,0,640,480,0, 2826,640,480:waittimer 25690 blt 0,0,640,480,0, 2827,640,480:waittimer 25699 blt 0,0,640,480,0, 2828,640,480:waittimer 25709 blt 0,0,640,480,0, 2829,640,480:waittimer 25718 blt 0,0,640,480,0, 2830,640,480:waittimer 25727 blt 0,0,640,480,0, 2831,640,480:waittimer 25736 blt 0,0,640,480,0, 2832,640,480:waittimer 25745 blt 0,0,640,480,0, 2833,640,480:waittimer 25754 blt 0,0,640,480,0, 2834,640,480:waittimer 25763 blt 0,0,640,480,0, 2835,640,480:waittimer 25772 blt 0,0,640,480,0, 2836,640,480:waittimer 25781 blt 0,0,640,480,0, 2837,640,480:waittimer 25790 blt 0,0,640,480,0, 2838,640,480:waittimer 25799 blt 0,0,640,480,0, 2839,640,480:waittimer 25809 blt 0,0,640,480,0, 2840,640,480:waittimer 25818 blt 0,0,640,480,0, 2841,640,480:waittimer 25827 blt 0,0,640,480,0, 2842,640,480:waittimer 25836 blt 0,0,640,480,0, 2843,640,480:waittimer 25845 blt 0,0,640,480,0, 2844,640,480:waittimer 25854 blt 0,0,640,480,0, 2845,640,480:waittimer 25863 blt 0,0,640,480,0, 2846,640,480:waittimer 25872 blt 0,0,640,480,0, 2847,640,480:waittimer 25881 blt 0,0,640,480,0, 2848,640,480:waittimer 25890 blt 0,0,640,480,0, 2849,640,480:waittimer 25899 blt 0,0,640,480,0, 2850,640,480:waittimer 25909 blt 0,0,640,480,0, 2851,640,480:waittimer 25918 blt 0,0,640,480,0, 2852,640,480:waittimer 25927 blt 0,0,640,480,0, 2853,640,480:waittimer 25936 blt 0,0,640,480,0, 2854,640,480:waittimer 25945 blt 0,0,640,480,0, 2855,640,480:waittimer 25954 blt 0,0,640,480,0, 2856,640,480:waittimer 25963 blt 0,0,640,480,0, 2857,640,480:waittimer 25972 blt 0,0,640,480,0, 2858,640,480:waittimer 25981 blt 0,0,640,480,0, 2859,640,480:waittimer 25990 blt 0,0,640,480,0, 2860,640,480:waittimer 25999 blt 0,0,640,480,0, 2861,640,480:waittimer 26009 blt 0,0,640,480,0, 2862,640,480:waittimer 26018 blt 0,0,640,480,0, 2863,640,480:waittimer 26027 blt 0,0,640,480,0, 2864,640,480:waittimer 26036 blt 0,0,640,480,0, 2865,640,480:waittimer 26045 blt 0,0,640,480,0, 2866,640,480:waittimer 26054 blt 0,0,640,480,0, 2867,640,480:waittimer 26063 blt 0,0,640,480,0, 2868,640,480:waittimer 26072 blt 0,0,640,480,0, 2869,640,480:waittimer 26081 blt 0,0,640,480,0, 2870,640,480:waittimer 26090 blt 0,0,640,480,0, 2871,640,480:waittimer 26099 blt 0,0,640,480,0, 2872,640,480:waittimer 26109 blt 0,0,640,480,0, 2873,640,480:waittimer 26118 blt 0,0,640,480,0, 2874,640,480:waittimer 26127 blt 0,0,640,480,0, 2875,640,480:waittimer 26136 blt 0,0,640,480,0, 2876,640,480:waittimer 26145 blt 0,0,640,480,0, 2877,640,480:waittimer 26154 blt 0,0,640,480,0, 2878,640,480:waittimer 26163 blt 0,0,640,480,0, 2879,640,480:waittimer 26172 blt 0,0,640,480,0, 2880,640,480:waittimer 26181 blt 0,0,640,480,0, 2881,640,480:waittimer 26190 blt 0,0,640,480,0, 2882,640,480:waittimer 26199 blt 0,0,640,480,0, 2883,640,480:waittimer 26209 blt 0,0,640,480,0, 2884,640,480:waittimer 26218 blt 0,0,640,480,0, 2885,640,480:waittimer 26227 blt 0,0,640,480,0, 2886,640,480:waittimer 26236 blt 0,0,640,480,0, 2887,640,480:waittimer 26245 blt 0,0,640,480,0, 2888,640,480:waittimer 26254 blt 0,0,640,480,0, 2889,640,480:waittimer 26263 blt 0,0,640,480,0, 2890,640,480:waittimer 26272 blt 0,0,640,480,0, 2891,640,480:waittimer 26281 blt 0,0,640,480,0, 2892,640,480:waittimer 26290 blt 0,0,640,480,0, 2893,640,480:waittimer 26299 blt 0,0,640,480,0, 2894,640,480:waittimer 26309 blt 0,0,640,480,0, 2895,640,480:waittimer 26318 blt 0,0,640,480,0, 2896,640,480:waittimer 26327 blt 0,0,640,480,0, 2897,640,480:waittimer 26336 blt 0,0,640,480,0, 2898,640,480:waittimer 26345 blt 0,0,640,480,0, 2899,640,480:waittimer 26354 blt 0,0,640,480,0, 2900,640,480:waittimer 26363 blt 0,0,640,480,0, 2901,640,480:waittimer 26372 blt 0,0,640,480,0, 2902,640,480:waittimer 26381 blt 0,0,640,480,0, 2903,640,480:waittimer 26390 blt 0,0,640,480,0, 2904,640,480:waittimer 26399 blt 0,0,640,480,0, 2905,640,480:waittimer 26409 blt 0,0,640,480,0, 2906,640,480:waittimer 26418 blt 0,0,640,480,0, 2907,640,480:waittimer 26427 blt 0,0,640,480,0, 2908,640,480:waittimer 26436 blt 0,0,640,480,0, 2909,640,480:waittimer 26445 blt 0,0,640,480,0, 2910,640,480:waittimer 26454 blt 0,0,640,480,0, 2911,640,480:waittimer 26463 blt 0,0,640,480,0, 2912,640,480:waittimer 26472 blt 0,0,640,480,0, 2913,640,480:waittimer 26481 blt 0,0,640,480,0, 2914,640,480:waittimer 26490 blt 0,0,640,480,0, 2915,640,480:waittimer 26499 blt 0,0,640,480,0, 2916,640,480:waittimer 26509 blt 0,0,640,480,0, 2917,640,480:waittimer 26518 blt 0,0,640,480,0, 2918,640,480:waittimer 26527 blt 0,0,640,480,0, 2919,640,480:waittimer 26536 blt 0,0,640,480,0, 2920,640,480:waittimer 26545 blt 0,0,640,480,0, 2921,640,480:waittimer 26554 blt 0,0,640,480,0, 2922,640,480:waittimer 26563 blt 0,0,640,480,0, 2923,640,480:waittimer 26572 blt 0,0,640,480,0, 2924,640,480:waittimer 26581 blt 0,0,640,480,0, 2925,640,480:waittimer 26590 blt 0,0,640,480,0, 2926,640,480:waittimer 26599 blt 0,0,640,480,0, 2927,640,480:waittimer 26609 blt 0,0,640,480,0, 2928,640,480:waittimer 26618 blt 0,0,640,480,0, 2929,640,480:waittimer 26627 blt 0,0,640,480,0, 2930,640,480:waittimer 26636 blt 0,0,640,480,0, 2931,640,480:waittimer 26645 blt 0,0,640,480,0, 2932,640,480:waittimer 26654 blt 0,0,640,480,0, 2933,640,480:waittimer 26663 blt 0,0,640,480,0, 2934,640,480:waittimer 26672 blt 0,0,640,480,0, 2935,640,480:waittimer 26681 blt 0,0,640,480,0, 2936,640,480:waittimer 26690 blt 0,0,640,480,0, 2937,640,480:waittimer 26699 blt 0,0,640,480,0, 2938,640,480:waittimer 26709 blt 0,0,640,480,0, 2939,640,480:waittimer 26718 blt 0,0,640,480,0, 2940,640,480:waittimer 26727 blt 0,0,640,480,0, 2941,640,480:waittimer 26736 blt 0,0,640,480,0, 2942,640,480:waittimer 26745 blt 0,0,640,480,0, 2943,640,480:waittimer 26754 blt 0,0,640,480,0, 2944,640,480:waittimer 26763 blt 0,0,640,480,0, 2945,640,480:waittimer 26772 blt 0,0,640,480,0, 2946,640,480:waittimer 26781 blt 0,0,640,480,0, 2947,640,480:waittimer 26790 blt 0,0,640,480,0, 2948,640,480:waittimer 26799 blt 0,0,640,480,0, 2949,640,480:waittimer 26809 blt 0,0,640,480,0, 2950,640,480:waittimer 26818 blt 0,0,640,480,0, 2951,640,480:waittimer 26827 blt 0,0,640,480,0, 2952,640,480:waittimer 26836 blt 0,0,640,480,0, 2953,640,480:waittimer 26845 blt 0,0,640,480,0, 2954,640,480:waittimer 26854 blt 0,0,640,480,0, 2955,640,480:waittimer 26863 blt 0,0,640,480,0, 2956,640,480:waittimer 26872 blt 0,0,640,480,0, 2957,640,480:waittimer 26881 blt 0,0,640,480,0, 2958,640,480:waittimer 26890 blt 0,0,640,480,0, 2959,640,480:waittimer 26899 blt 0,0,640,480,0, 2960,640,480:waittimer 26909 blt 0,0,640,480,0, 2961,640,480:waittimer 26918 blt 0,0,640,480,0, 2962,640,480:waittimer 26927 blt 0,0,640,480,0, 2963,640,480:waittimer 26936 blt 0,0,640,480,0, 2964,640,480:waittimer 26945 blt 0,0,640,480,0, 2965,640,480:waittimer 26954 blt 0,0,640,480,0, 2966,640,480:waittimer 26963 blt 0,0,640,480,0, 2967,640,480:waittimer 26972 blt 0,0,640,480,0, 2968,640,480:waittimer 26981 blt 0,0,640,480,0, 2969,640,480:waittimer 26990 blt 0,0,640,480,0, 2970,640,480:waittimer 26999 blt 0,0,640,480,0, 2971,640,480:waittimer 27009 blt 0,0,640,480,0, 2972,640,480:waittimer 27018 blt 0,0,640,480,0, 2973,640,480:waittimer 27027 blt 0,0,640,480,0, 2974,640,480:waittimer 27036 blt 0,0,640,480,0, 2975,640,480:waittimer 27045 blt 0,0,640,480,0, 2976,640,480:waittimer 27054 blt 0,0,640,480,0, 2977,640,480:waittimer 27063 blt 0,0,640,480,0, 2978,640,480:waittimer 27072 blt 0,0,640,480,0, 2979,640,480:waittimer 27081 blt 0,0,640,480,0, 2980,640,480:waittimer 27090 blt 0,0,640,480,0, 2981,640,480:waittimer 27099 blt 0,0,640,480,0, 2982,640,480:waittimer 27109 blt 0,0,640,480,0, 2983,640,480:waittimer 27118 blt 0,0,640,480,0, 2984,640,480:waittimer 27127 blt 0,0,640,480,0, 2985,640,480:waittimer 27136 blt 0,0,640,480,0, 2986,640,480:waittimer 27145 blt 0,0,640,480,0, 2987,640,480:waittimer 27154 blt 0,0,640,480,0, 2988,640,480:waittimer 27163 blt 0,0,640,480,0, 2989,640,480:waittimer 27172 blt 0,0,640,480,0, 2990,640,480:waittimer 27181 blt 0,0,640,480,0, 2991,640,480:waittimer 27190 blt 0,0,640,480,0, 2992,640,480:waittimer 27199 blt 0,0,640,480,0, 2993,640,480:waittimer 27209 blt 0,0,640,480,0, 2994,640,480:waittimer 27218 blt 0,0,640,480,0, 2995,640,480:waittimer 27227 blt 0,0,640,480,0, 2996,640,480:waittimer 27236 blt 0,0,640,480,0, 2997,640,480:waittimer 27245 blt 0,0,640,480,0, 2998,640,480:waittimer 27254 blt 0,0,640,480,0, 2999,640,480:waittimer 27263 blt 0,0,640,480,0, 3000,640,480:waittimer 27272 blt 0,0,640,480,0, 3001,640,480:waittimer 27281 blt 0,0,640,480,0, 3002,640,480:waittimer 27290 blt 0,0,640,480,0, 3003,640,480:waittimer 27299 blt 0,0,640,480,0, 3004,640,480:waittimer 27309 blt 0,0,640,480,0, 3005,640,480:waittimer 27318 blt 0,0,640,480,0, 3006,640,480:waittimer 27327 blt 0,0,640,480,0, 3007,640,480:waittimer 27336 blt 0,0,640,480,0, 3008,640,480:waittimer 27345 blt 0,0,640,480,0, 3009,640,480:waittimer 27354 blt 0,0,640,480,0, 3010,640,480:waittimer 27363 blt 0,0,640,480,0, 3011,640,480:waittimer 27372 blt 0,0,640,480,0, 3012,640,480:waittimer 27381 blt 0,0,640,480,0, 3013,640,480:waittimer 27390 blt 0,0,640,480,0, 3014,640,480:waittimer 27399 blt 0,0,640,480,0, 3015,640,480:waittimer 27409 blt 0,0,640,480,0, 3016,640,480:waittimer 27418 blt 0,0,640,480,0, 3017,640,480:waittimer 27427 blt 0,0,640,480,0, 3018,640,480:waittimer 27436 blt 0,0,640,480,0, 3019,640,480:waittimer 27445 blt 0,0,640,480,0, 3020,640,480:waittimer 27454 blt 0,0,640,480,0, 3021,640,480:waittimer 27463 blt 0,0,640,480,0, 3022,640,480:waittimer 27472 blt 0,0,640,480,0, 3023,640,480:waittimer 27481 blt 0,0,640,480,0, 3024,640,480:waittimer 27490 blt 0,0,640,480,0, 3025,640,480:waittimer 27499 blt 0,0,640,480,0, 3026,640,480:waittimer 27509 blt 0,0,640,480,0, 3027,640,480:waittimer 27518 blt 0,0,640,480,0, 3028,640,480:waittimer 27527 blt 0,0,640,480,0, 3029,640,480:waittimer 27536 blt 0,0,640,480,0, 3030,640,480:waittimer 27545 blt 0,0,640,480,0, 3031,640,480:waittimer 27554 blt 0,0,640,480,0, 3032,640,480:waittimer 27563 blt 0,0,640,480,0, 3033,640,480:waittimer 27572 blt 0,0,640,480,0, 3034,640,480:waittimer 27581 blt 0,0,640,480,0, 3035,640,480:waittimer 27590 blt 0,0,640,480,0, 3036,640,480:waittimer 27599 blt 0,0,640,480,0, 3037,640,480:waittimer 27609 blt 0,0,640,480,0, 3038,640,480:waittimer 27618 blt 0,0,640,480,0, 3039,640,480:waittimer 27627 blt 0,0,640,480,0, 3040,640,480:waittimer 27636 blt 0,0,640,480,0, 3041,640,480:waittimer 27645 blt 0,0,640,480,0, 3042,640,480:waittimer 27654 blt 0,0,640,480,0, 3043,640,480:waittimer 27663 blt 0,0,640,480,0, 3044,640,480:waittimer 27672 blt 0,0,640,480,0, 3045,640,480:waittimer 27681 blt 0,0,640,480,0, 3046,640,480:waittimer 27690 blt 0,0,640,480,0, 3047,640,480:waittimer 27699 blt 0,0,640,480,0, 3048,640,480:waittimer 27709 blt 0,0,640,480,0, 3049,640,480:waittimer 27718 blt 0,0,640,480,0, 3050,640,480:waittimer 27727 blt 0,0,640,480,0, 3051,640,480:waittimer 27736 blt 0,0,640,480,0, 3052,640,480:waittimer 27745 blt 0,0,640,480,0, 3053,640,480:waittimer 27754 blt 0,0,640,480,0, 3054,640,480:waittimer 27763 blt 0,0,640,480,0, 3055,640,480:waittimer 27772 blt 0,0,640,480,0, 3056,640,480:waittimer 27781 blt 0,0,640,480,0, 3057,640,480:waittimer 27790 blt 0,0,640,480,0, 3058,640,480:waittimer 27799 blt 0,0,640,480,0, 3059,640,480:waittimer 27809 blt 0,0,640,480,0, 3060,640,480:waittimer 27818 blt 0,0,640,480,0, 3061,640,480:waittimer 27827 blt 0,0,640,480,0, 3062,640,480:waittimer 27836 blt 0,0,640,480,0, 3063,640,480:waittimer 27845 blt 0,0,640,480,0, 3064,640,480:waittimer 27854 blt 0,0,640,480,0, 3065,640,480:waittimer 27863 blt 0,0,640,480,0, 3066,640,480:waittimer 27872 blt 0,0,640,480,0, 3067,640,480:waittimer 27881 blt 0,0,640,480,0, 3068,640,480:waittimer 27890 blt 0,0,640,480,0, 3069,640,480:waittimer 27899 blt 0,0,640,480,0, 3070,640,480:waittimer 27909 blt 0,0,640,480,0, 3071,640,480:waittimer 27918 blt 0,0,640,480,0, 3072,640,480:waittimer 27927 blt 0,0,640,480,0, 3073,640,480:waittimer 27936 blt 0,0,640,480,0, 3074,640,480:waittimer 27945 blt 0,0,640,480,0, 3075,640,480:waittimer 27954 blt 0,0,640,480,0, 3076,640,480:waittimer 27963 blt 0,0,640,480,0, 3077,640,480:waittimer 27972 blt 0,0,640,480,0, 3078,640,480:waittimer 27981 blt 0,0,640,480,0, 3079,640,480:waittimer 27990 blt 0,0,640,480,0, 3080,640,480:waittimer 27999 blt 0,0,640,480,0, 3081,640,480:waittimer 28009 blt 0,0,640,480,0, 3082,640,480:waittimer 28018 blt 0,0,640,480,0, 3083,640,480:waittimer 28027 blt 0,0,640,480,0, 3084,640,480:waittimer 28036 blt 0,0,640,480,0, 3085,640,480:waittimer 28045 blt 0,0,640,480,0, 3086,640,480:waittimer 28054 blt 0,0,640,480,0, 3087,640,480:waittimer 28063 blt 0,0,640,480,0, 3088,640,480:waittimer 28072 blt 0,0,640,480,0, 3089,640,480:waittimer 28081 blt 0,0,640,480,0, 3090,640,480:waittimer 28090 blt 0,0,640,480,0, 3091,640,480:waittimer 28099 blt 0,0,640,480,0, 3092,640,480:waittimer 28109 blt 0,0,640,480,0, 3093,640,480:waittimer 28118 blt 0,0,640,480,0, 3094,640,480:waittimer 28127 blt 0,0,640,480,0, 3095,640,480:waittimer 28136 blt 0,0,640,480,0, 3096,640,480:waittimer 28145 blt 0,0,640,480,0, 3097,640,480:waittimer 28154 blt 0,0,640,480,0, 3098,640,480:waittimer 28163 blt 0,0,640,480,0, 3099,640,480:waittimer 28172 blt 0,0,640,480,0, 3100,640,480:waittimer 28181 blt 0,0,640,480,0, 3101,640,480:waittimer 28190 blt 0,0,640,480,0, 3102,640,480:waittimer 28199 blt 0,0,640,480,0, 3103,640,480:waittimer 28209 blt 0,0,640,480,0, 3104,640,480:waittimer 28218 blt 0,0,640,480,0, 3105,640,480:waittimer 28227 blt 0,0,640,480,0, 3106,640,480:waittimer 28236 blt 0,0,640,480,0, 3107,640,480:waittimer 28245 blt 0,0,640,480,0, 3108,640,480:waittimer 28254 blt 0,0,640,480,0, 3109,640,480:waittimer 28263 blt 0,0,640,480,0, 3110,640,480:waittimer 28272 blt 0,0,640,480,0, 3111,640,480:waittimer 28281 blt 0,0,640,480,0, 3112,640,480:waittimer 28290 blt 0,0,640,480,0, 3113,640,480:waittimer 28299 blt 0,0,640,480,0, 3114,640,480:waittimer 28309 blt 0,0,640,480,0, 3115,640,480:waittimer 28318 blt 0,0,640,480,0, 3116,640,480:waittimer 28327 blt 0,0,640,480,0, 3117,640,480:waittimer 28336 blt 0,0,640,480,0, 3118,640,480:waittimer 28345 blt 0,0,640,480,0, 3119,640,480:waittimer 28354 blt 0,0,640,480,0, 3120,640,480:waittimer 28363 blt 0,0,640,480,0, 3121,640,480:waittimer 28372 blt 0,0,640,480,0, 3122,640,480:waittimer 28381 blt 0,0,640,480,0, 3123,640,480:waittimer 28390 blt 0,0,640,480,0, 3124,640,480:waittimer 28399 blt 0,0,640,480,0, 3125,640,480:waittimer 28409 blt 0,0,640,480,0, 3126,640,480:waittimer 28418 blt 0,0,640,480,0, 3127,640,480:waittimer 28427 blt 0,0,640,480,0, 3128,640,480:waittimer 28436 blt 0,0,640,480,0, 3129,640,480:waittimer 28445 blt 0,0,640,480,0, 3130,640,480:waittimer 28454 blt 0,0,640,480,0, 3131,640,480:waittimer 28463 blt 0,0,640,480,0, 3132,640,480:waittimer 28472 blt 0,0,640,480,0, 3133,640,480:waittimer 28481 blt 0,0,640,480,0, 3134,640,480:waittimer 28490 blt 0,0,640,480,0, 3135,640,480:waittimer 28499 blt 0,0,640,480,0, 3136,640,480:waittimer 28509 blt 0,0,640,480,0, 3137,640,480:waittimer 28518 blt 0,0,640,480,0, 3138,640,480:waittimer 28527 blt 0,0,640,480,0, 3139,640,480:waittimer 28536 blt 0,0,640,480,0, 3140,640,480:waittimer 28545 blt 0,0,640,480,0, 3141,640,480:waittimer 28554 blt 0,0,640,480,0, 3142,640,480:waittimer 28563 blt 0,0,640,480,0, 3143,640,480:waittimer 28572 blt 0,0,640,480,0, 3144,640,480:waittimer 28581 blt 0,0,640,480,0, 3145,640,480:waittimer 28590 blt 0,0,640,480,0, 3146,640,480:waittimer 28599 blt 0,0,640,480,0, 3147,640,480:waittimer 28609 blt 0,0,640,480,0, 3148,640,480:waittimer 28618 blt 0,0,640,480,0, 3149,640,480:waittimer 28627 blt 0,0,640,480,0, 3150,640,480:waittimer 28636 blt 0,0,640,480,0, 3151,640,480:waittimer 28645 blt 0,0,640,480,0, 3152,640,480:waittimer 28654 blt 0,0,640,480,0, 3153,640,480:waittimer 28663 blt 0,0,640,480,0, 3154,640,480:waittimer 28672 blt 0,0,640,480,0, 3155,640,480:waittimer 28681 blt 0,0,640,480,0, 3156,640,480:waittimer 28690 blt 0,0,640,480,0, 3157,640,480:waittimer 28699 blt 0,0,640,480,0, 3158,640,480:waittimer 28709 blt 0,0,640,480,0, 3159,640,480:waittimer 28718 blt 0,0,640,480,0, 3160,640,480:waittimer 28727 blt 0,0,640,480,0, 3161,640,480:waittimer 28736 blt 0,0,640,480,0, 3162,640,480:waittimer 28745 blt 0,0,640,480,0, 3163,640,480:waittimer 28754 blt 0,0,640,480,0, 3164,640,480:waittimer 28763 blt 0,0,640,480,0, 3165,640,480:waittimer 28772 blt 0,0,640,480,0, 3166,640,480:waittimer 28781 blt 0,0,640,480,0, 3167,640,480:waittimer 28790 blt 0,0,640,480,0, 3168,640,480:waittimer 28799 blt 0,0,640,480,0, 3169,640,480:waittimer 28809 blt 0,0,640,480,0, 3170,640,480:waittimer 28818 blt 0,0,640,480,0, 3171,640,480:waittimer 28827 blt 0,0,640,480,0, 3172,640,480:waittimer 28836 blt 0,0,640,480,0, 3173,640,480:waittimer 28845 blt 0,0,640,480,0, 3174,640,480:waittimer 28854 blt 0,0,640,480,0, 3175,640,480:waittimer 28863 blt 0,0,640,480,0, 3176,640,480:waittimer 28872 blt 0,0,640,480,0, 3177,640,480:waittimer 28881 blt 0,0,640,480,0, 3178,640,480:waittimer 28890 blt 0,0,640,480,0, 3179,640,480:waittimer 28899 blt 0,0,640,480,0, 3180,640,480:waittimer 28909 blt 0,0,640,480,0, 3181,640,480:waittimer 28918 blt 0,0,640,480,0, 3182,640,480:waittimer 28927 blt 0,0,640,480,0, 3183,640,480:waittimer 28936 blt 0,0,640,480,0, 3184,640,480:waittimer 28945 blt 0,0,640,480,0, 3185,640,480:waittimer 28954 blt 0,0,640,480,0, 3186,640,480:waittimer 28963 blt 0,0,640,480,0, 3187,640,480:waittimer 28972 blt 0,0,640,480,0, 3188,640,480:waittimer 28981 blt 0,0,640,480,0, 3189,640,480:waittimer 28990 blt 0,0,640,480,0, 3190,640,480:waittimer 28999 blt 0,0,640,480,0, 3191,640,480:waittimer 29009 blt 0,0,640,480,0, 3192,640,480:waittimer 29018 blt 0,0,640,480,0, 3193,640,480:waittimer 29027 blt 0,0,640,480,0, 3194,640,480:waittimer 29036 blt 0,0,640,480,0, 3195,640,480:waittimer 29045 blt 0,0,640,480,0, 3196,640,480:waittimer 29054 blt 0,0,640,480,0, 3197,640,480:waittimer 29063 blt 0,0,640,480,0, 3198,640,480:waittimer 29072 blt 0,0,640,480,0, 3199,640,480:waittimer 29081 blt 0,0,640,480,0, 3200,640,480:waittimer 29090 blt 0,0,640,480,0, 3201,640,480:waittimer 29099 blt 0,0,640,480,0, 3202,640,480:waittimer 29109 blt 0,0,640,480,0, 3203,640,480:waittimer 29118 blt 0,0,640,480,0, 3204,640,480:waittimer 29127 blt 0,0,640,480,0, 3205,640,480:waittimer 29136 blt 0,0,640,480,0, 3206,640,480:waittimer 29145 blt 0,0,640,480,0, 3207,640,480:waittimer 29154 blt 0,0,640,480,0, 3208,640,480:waittimer 29163 blt 0,0,640,480,0, 3209,640,480:waittimer 29172 blt 0,0,640,480,0, 3210,640,480:waittimer 29181 blt 0,0,640,480,0, 3211,640,480:waittimer 29190 blt 0,0,640,480,0, 3212,640,480:waittimer 29199 blt 0,0,640,480,0, 3213,640,480:waittimer 29209 blt 0,0,640,480,0, 3214,640,480:waittimer 29218 blt 0,0,640,480,0, 3215,640,480:waittimer 29227 blt 0,0,640,480,0, 3216,640,480:waittimer 29236 blt 0,0,640,480,0, 3217,640,480:waittimer 29245 blt 0,0,640,480,0, 3218,640,480:waittimer 29254 blt 0,0,640,480,0, 3219,640,480:waittimer 29263 blt 0,0,640,480,0, 3220,640,480:waittimer 29272 blt 0,0,640,480,0, 3221,640,480:waittimer 29281 blt 0,0,640,480,0, 3222,640,480:waittimer 29290 blt 0,0,640,480,0, 3223,640,480:waittimer 29299 blt 0,0,640,480,0, 3224,640,480:waittimer 29309 blt 0,0,640,480,0, 3225,640,480:waittimer 29318 blt 0,0,640,480,0, 3226,640,480:waittimer 29327 blt 0,0,640,480,0, 3227,640,480:waittimer 29336 blt 0,0,640,480,0, 3228,640,480:waittimer 29345 blt 0,0,640,480,0, 3229,640,480:waittimer 29354 blt 0,0,640,480,0, 3230,640,480:waittimer 29363 blt 0,0,640,480,0, 3231,640,480:waittimer 29372 blt 0,0,640,480,0, 3232,640,480:waittimer 29381 blt 0,0,640,480,0, 3233,640,480:waittimer 29390 blt 0,0,640,480,0, 3234,640,480:waittimer 29399 blt 0,0,640,480,0, 3235,640,480:waittimer 29409 blt 0,0,640,480,0, 3236,640,480:waittimer 29418 blt 0,0,640,480,0, 3237,640,480:waittimer 29427 blt 0,0,640,480,0, 3238,640,480:waittimer 29436 blt 0,0,640,480,0, 3239,640,480:waittimer 29445 blt 0,0,640,480,0, 3240,640,480:waittimer 29454 blt 0,0,640,480,0, 3241,640,480:waittimer 29463 blt 0,0,640,480,0, 3242,640,480:waittimer 29472 blt 0,0,640,480,0, 3243,640,480:waittimer 29481 blt 0,0,640,480,0, 3244,640,480:waittimer 29490 blt 0,0,640,480,0, 3245,640,480:waittimer 29499 blt 0,0,640,480,0, 3246,640,480:waittimer 29509 blt 0,0,640,480,0, 3247,640,480:waittimer 29518 blt 0,0,640,480,0, 3248,640,480:waittimer 29527 blt 0,0,640,480,0, 3249,640,480:waittimer 29536 blt 0,0,640,480,0, 3250,640,480:waittimer 29545 blt 0,0,640,480,0, 3251,640,480:waittimer 29554 blt 0,0,640,480,0, 3252,640,480:waittimer 29563 blt 0,0,640,480,0, 3253,640,480:waittimer 29572 blt 0,0,640,480,0, 3254,640,480:waittimer 29581 blt 0,0,640,480,0, 3255,640,480:waittimer 29590 blt 0,0,640,480,0, 3256,640,480:waittimer 29599 blt 0,0,640,480,0, 3257,640,480:waittimer 29609 blt 0,0,640,480,0, 3258,640,480:waittimer 29618 blt 0,0,640,480,0, 3259,640,480:waittimer 29627 blt 0,0,640,480,0, 3260,640,480:waittimer 29636 blt 0,0,640,480,0, 3261,640,480:waittimer 29645 blt 0,0,640,480,0, 3262,640,480:waittimer 29654 blt 0,0,640,480,0, 3263,640,480:waittimer 29663 blt 0,0,640,480,0, 3264,640,480:waittimer 29672 blt 0,0,640,480,0, 3265,640,480:waittimer 29681 blt 0,0,640,480,0, 3266,640,480:waittimer 29690 blt 0,0,640,480,0, 3267,640,480:waittimer 29699 blt 0,0,640,480,0, 3268,640,480:waittimer 29709 blt 0,0,640,480,0, 3269,640,480:waittimer 29718 blt 0,0,640,480,0, 3270,640,480:waittimer 29727 blt 0,0,640,480,0, 3271,640,480:waittimer 29736 blt 0,0,640,480,0, 3272,640,480:waittimer 29745 blt 0,0,640,480,0, 3273,640,480:waittimer 29754 blt 0,0,640,480,0, 3274,640,480:waittimer 29763 blt 0,0,640,480,0, 3275,640,480:waittimer 29772 blt 0,0,640,480,0, 3276,640,480:waittimer 29781 blt 0,0,640,480,0, 3277,640,480:waittimer 29790 blt 0,0,640,480,0, 3278,640,480:waittimer 29799 blt 0,0,640,480,0, 3279,640,480:waittimer 29809 blt 0,0,640,480,0, 3280,640,480:waittimer 29818 blt 0,0,640,480,0, 3281,640,480:waittimer 29827 blt 0,0,640,480,0, 3282,640,480:waittimer 29836 blt 0,0,640,480,0, 3283,640,480:waittimer 29845 blt 0,0,640,480,0, 3284,640,480:waittimer 29854 blt 0,0,640,480,0, 3285,640,480:waittimer 29863 blt 0,0,640,480,0, 3286,640,480:waittimer 29872 blt 0,0,640,480,0, 3287,640,480:waittimer 29881 blt 0,0,640,480,0, 3288,640,480:waittimer 29890 blt 0,0,640,480,0, 3289,640,480:waittimer 29899 blt 0,0,640,480,0, 3290,640,480:waittimer 29909 blt 0,0,640,480,0, 3291,640,480:waittimer 29918 blt 0,0,640,480,0, 3292,640,480:waittimer 29927 blt 0,0,640,480,0, 3293,640,480:waittimer 29936 blt 0,0,640,480,0, 3294,640,480:waittimer 29945 blt 0,0,640,480,0, 3295,640,480:waittimer 29954 blt 0,0,640,480,0, 3296,640,480:waittimer 29963 blt 0,0,640,480,0, 3297,640,480:waittimer 29972 blt 0,0,640,480,0, 3298,640,480:waittimer 29981 blt 0,0,640,480,0, 3299,640,480:waittimer 29990 blt 0,0,640,480,0, 3300,640,480:waittimer 29999 blt 0,0,640,480,0, 3301,640,480:waittimer 30009 blt 0,0,640,480,0, 3302,640,480:waittimer 30018 blt 0,0,640,480,0, 3303,640,480:waittimer 30027 blt 0,0,640,480,0, 3304,640,480:waittimer 30036 blt 0,0,640,480,0, 3305,640,480:waittimer 30045 blt 0,0,640,480,0, 3306,640,480:waittimer 30054 blt 0,0,640,480,0, 3307,640,480:waittimer 30063 blt 0,0,640,480,0, 3308,640,480:waittimer 30072 blt 0,0,640,480,0, 3309,640,480:waittimer 30081 blt 0,0,640,480,0, 3310,640,480:waittimer 30090 blt 0,0,640,480,0, 3311,640,480:waittimer 30099 blt 0,0,640,480,0, 3312,640,480:waittimer 30109 blt 0,0,640,480,0, 3313,640,480:waittimer 30118 blt 0,0,640,480,0, 3314,640,480:waittimer 30127 blt 0,0,640,480,0, 3315,640,480:waittimer 30136 blt 0,0,640,480,0, 3316,640,480:waittimer 30145 blt 0,0,640,480,0, 3317,640,480:waittimer 30154 blt 0,0,640,480,0, 3318,640,480:waittimer 30163 blt 0,0,640,480,0, 3319,640,480:waittimer 30172 blt 0,0,640,480,0, 3320,640,480:waittimer 30181 blt 0,0,640,480,0, 3321,640,480:waittimer 30190 blt 0,0,640,480,0, 3322,640,480:waittimer 30199 blt 0,0,640,480,0, 3323,640,480:waittimer 30209 blt 0,0,640,480,0, 3324,640,480:waittimer 30218 blt 0,0,640,480,0, 3325,640,480:waittimer 30227 blt 0,0,640,480,0, 3326,640,480:waittimer 30236 blt 0,0,640,480,0, 3327,640,480:waittimer 30245 blt 0,0,640,480,0, 3328,640,480:waittimer 30254 blt 0,0,640,480,0, 3329,640,480:waittimer 30263 blt 0,0,640,480,0, 3330,640,480:waittimer 30272 blt 0,0,640,480,0, 3331,640,480:waittimer 30281 blt 0,0,640,480,0, 3332,640,480:waittimer 30290 blt 0,0,640,480,0, 3333,640,480:waittimer 30299 blt 0,0,640,480,0, 3334,640,480:waittimer 30309 blt 0,0,640,480,0, 3335,640,480:waittimer 30318 blt 0,0,640,480,0, 3336,640,480:waittimer 30327 blt 0,0,640,480,0, 3337,640,480:waittimer 30336 blt 0,0,640,480,0, 3338,640,480:waittimer 30345 blt 0,0,640,480,0, 3339,640,480:waittimer 30354 blt 0,0,640,480,0, 3340,640,480:waittimer 30363 blt 0,0,640,480,0, 3341,640,480:waittimer 30372 blt 0,0,640,480,0, 3342,640,480:waittimer 30381 blt 0,0,640,480,0, 3343,640,480:waittimer 30390 blt 0,0,640,480,0, 3344,640,480:waittimer 30399 blt 0,0,640,480,0, 3345,640,480:waittimer 30409 blt 0,0,640,480,0, 3346,640,480:waittimer 30418 blt 0,0,640,480,0, 3347,640,480:waittimer 30427 blt 0,0,640,480,0, 3348,640,480:waittimer 30436 blt 0,0,640,480,0, 3349,640,480:waittimer 30445 blt 0,0,640,480,0, 3350,640,480:waittimer 30454 blt 0,0,640,480,0, 3351,640,480:waittimer 30463 blt 0,0,640,480,0, 3352,640,480:waittimer 30472 blt 0,0,640,480,0, 3353,640,480:waittimer 30481 blt 0,0,640,480,0, 3354,640,480:waittimer 30490 blt 0,0,640,480,0, 3355,640,480:waittimer 30499 blt 0,0,640,480,0, 3356,640,480:waittimer 30509 blt 0,0,640,480,0, 3357,640,480:waittimer 30518 blt 0,0,640,480,0, 3358,640,480:waittimer 30527 blt 0,0,640,480,0, 3359,640,480:waittimer 30536 blt 0,0,640,480,0, 3360,640,480:waittimer 30545 blt 0,0,640,480,0, 3361,640,480:waittimer 30554 blt 0,0,640,480,0, 3362,640,480:waittimer 30563 blt 0,0,640,480,0, 3363,640,480:waittimer 30572 blt 0,0,640,480,0, 3364,640,480:waittimer 30581 blt 0,0,640,480,0, 3365,640,480:waittimer 30590 blt 0,0,640,480,0, 3366,640,480:waittimer 30599 blt 0,0,640,480,0, 3367,640,480:waittimer 30609 blt 0,0,640,480,0, 3368,640,480:waittimer 30618 blt 0,0,640,480,0, 3369,640,480:waittimer 30627 blt 0,0,640,480,0, 3370,640,480:waittimer 30636 blt 0,0,640,480,0, 3371,640,480:waittimer 30645 blt 0,0,640,480,0, 3372,640,480:waittimer 30654 blt 0,0,640,480,0, 3373,640,480:waittimer 30663 blt 0,0,640,480,0, 3374,640,480:waittimer 30672 blt 0,0,640,480,0, 3375,640,480:waittimer 30681 blt 0,0,640,480,0, 3376,640,480:waittimer 30690 blt 0,0,640,480,0, 3377,640,480:waittimer 30699 blt 0,0,640,480,0, 3378,640,480:waittimer 30709 blt 0,0,640,480,0, 3379,640,480:waittimer 30718 blt 0,0,640,480,0, 3380,640,480:waittimer 30727 blt 0,0,640,480,0, 3381,640,480:waittimer 30736 blt 0,0,640,480,0, 3382,640,480:waittimer 30745 blt 0,0,640,480,0, 3383,640,480:waittimer 30754 blt 0,0,640,480,0, 3384,640,480:waittimer 30763 blt 0,0,640,480,0, 3385,640,480:waittimer 30772 blt 0,0,640,480,0, 3386,640,480:waittimer 30781 blt 0,0,640,480,0, 3387,640,480:waittimer 30790 blt 0,0,640,480,0, 3388,640,480:waittimer 30799 blt 0,0,640,480,0, 3389,640,480:waittimer 30809 blt 0,0,640,480,0, 3390,640,480:waittimer 30818 blt 0,0,640,480,0, 3391,640,480:waittimer 30827 blt 0,0,640,480,0, 3392,640,480:waittimer 30836 blt 0,0,640,480,0, 3393,640,480:waittimer 30845 blt 0,0,640,480,0, 3394,640,480:waittimer 30854 blt 0,0,640,480,0, 3395,640,480:waittimer 30863 blt 0,0,640,480,0, 3396,640,480:waittimer 30872 blt 0,0,640,480,0, 3397,640,480:waittimer 30881 blt 0,0,640,480,0, 3398,640,480:waittimer 30890 blt 0,0,640,480,0, 3399,640,480:waittimer 30899 blt 0,0,640,480,0, 3400,640,480:waittimer 30909 blt 0,0,640,480,0, 3401,640,480:waittimer 30918 blt 0,0,640,480,0, 3402,640,480:waittimer 30927 blt 0,0,640,480,0, 3403,640,480:waittimer 30936 blt 0,0,640,480,0, 3404,640,480:waittimer 30945 blt 0,0,640,480,0, 3405,640,480:waittimer 30954 blt 0,0,640,480,0, 3406,640,480:waittimer 30963 blt 0,0,640,480,0, 3407,640,480:waittimer 30972 blt 0,0,640,480,0, 3408,640,480:waittimer 30981 blt 0,0,640,480,0, 3409,640,480:waittimer 30990 blt 0,0,640,480,0, 3410,640,480:waittimer 30999 blt 0,0,640,480,0, 3411,640,480:waittimer 31009 blt 0,0,640,480,0, 3412,640,480:waittimer 31018 blt 0,0,640,480,0, 3413,640,480:waittimer 31027 blt 0,0,640,480,0, 3414,640,480:waittimer 31036 blt 0,0,640,480,0, 3415,640,480:waittimer 31045 blt 0,0,640,480,0, 3416,640,480:waittimer 31054 blt 0,0,640,480,0, 3417,640,480:waittimer 31063 blt 0,0,640,480,0, 3418,640,480:waittimer 31072 blt 0,0,640,480,0, 3419,640,480:waittimer 31081 blt 0,0,640,480,0, 3420,640,480:waittimer 31090 blt 0,0,640,480,0, 3421,640,480:waittimer 31099 blt 0,0,640,480,0, 3422,640,480:waittimer 31109 blt 0,0,640,480,0, 3423,640,480:waittimer 31118 blt 0,0,640,480,0, 3424,640,480:waittimer 31127 blt 0,0,640,480,0, 3425,640,480:waittimer 31136 blt 0,0,640,480,0, 3426,640,480:waittimer 31145 blt 0,0,640,480,0, 3427,640,480:waittimer 31154 blt 0,0,640,480,0, 3428,640,480:waittimer 31163 blt 0,0,640,480,0, 3429,640,480:waittimer 31172 blt 0,0,640,480,0, 3430,640,480:waittimer 31181 blt 0,0,640,480,0, 3431,640,480:waittimer 31190 blt 0,0,640,480,0, 3432,640,480:waittimer 31199 blt 0,0,640,480,0, 3433,640,480:waittimer 31209 blt 0,0,640,480,0, 3434,640,480:waittimer 31218 blt 0,0,640,480,0, 3435,640,480:waittimer 31227 blt 0,0,640,480,0, 3436,640,480:waittimer 31236 blt 0,0,640,480,0, 3437,640,480:waittimer 31245 blt 0,0,640,480,0, 3438,640,480:waittimer 31254 blt 0,0,640,480,0, 3439,640,480:waittimer 31263 blt 0,0,640,480,0, 3440,640,480:waittimer 31272 blt 0,0,640,480,0, 3441,640,480:waittimer 31281 blt 0,0,640,480,0, 3442,640,480:waittimer 31290 blt 0,0,640,480,0, 3443,640,480:waittimer 31299 blt 0,0,640,480,0, 3444,640,480:waittimer 31309 blt 0,0,640,480,0, 3445,640,480:waittimer 31318 blt 0,0,640,480,0, 3446,640,480:waittimer 31327 blt 0,0,640,480,0, 3447,640,480:waittimer 31336 blt 0,0,640,480,0, 3448,640,480:waittimer 31345 blt 0,0,640,480,0, 3449,640,480:waittimer 31354 blt 0,0,640,480,0, 3450,640,480:waittimer 31363 blt 0,0,640,480,0, 3451,640,480:waittimer 31372 blt 0,0,640,480,0, 3452,640,480:waittimer 31381 blt 0,0,640,480,0, 3453,640,480:waittimer 31390 blt 0,0,640,480,0, 3454,640,480:waittimer 31399 blt 0,0,640,480,0, 3455,640,480:waittimer 31409 blt 0,0,640,480,0, 3456,640,480:waittimer 31418 blt 0,0,640,480,0, 3457,640,480:waittimer 31427 blt 0,0,640,480,0, 3458,640,480:waittimer 31436 blt 0,0,640,480,0, 3459,640,480:waittimer 31445 blt 0,0,640,480,0, 3460,640,480:waittimer 31454 blt 0,0,640,480,0, 3461,640,480:waittimer 31463 blt 0,0,640,480,0, 3462,640,480:waittimer 31472 blt 0,0,640,480,0, 3463,640,480:waittimer 31481 blt 0,0,640,480,0, 3464,640,480:waittimer 31490 blt 0,0,640,480,0, 3465,640,480:waittimer 31499 blt 0,0,640,480,0, 3466,640,480:waittimer 31509 blt 0,0,640,480,0, 3467,640,480:waittimer 31518 blt 0,0,640,480,0, 3468,640,480:waittimer 31527 blt 0,0,640,480,0, 3469,640,480:waittimer 31536 blt 0,0,640,480,0, 3470,640,480:waittimer 31545 blt 0,0,640,480,0, 3471,640,480:waittimer 31554 blt 0,0,640,480,0, 3472,640,480:waittimer 31563 blt 0,0,640,480,0, 3473,640,480:waittimer 31572 blt 0,0,640,480,0, 3474,640,480:waittimer 31581 blt 0,0,640,480,0, 3475,640,480:waittimer 31590 blt 0,0,640,480,0, 3476,640,480:waittimer 31599 blt 0,0,640,480,0, 3477,640,480:waittimer 31609 blt 0,0,640,480,0, 3478,640,480:waittimer 31618 blt 0,0,640,480,0, 3479,640,480:waittimer 31627 blt 0,0,640,480,0, 3480,640,480:waittimer 31636 blt 0,0,640,480,0, 3481,640,480:waittimer 31645 blt 0,0,640,480,0, 3482,640,480:waittimer 31654 blt 0,0,640,480,0, 3483,640,480:waittimer 31663 blt 0,0,640,480,0, 3484,640,480:waittimer 31672 blt 0,0,640,480,0, 3485,640,480:waittimer 31681 blt 0,0,640,480,0, 3486,640,480:waittimer 31690 blt 0,0,640,480,0, 3487,640,480:waittimer 31699 blt 0,0,640,480,0, 3488,640,480:waittimer 31709 blt 0,0,640,480,0, 3489,640,480:waittimer 31718 blt 0,0,640,480,0, 3490,640,480:waittimer 31727 blt 0,0,640,480,0, 3491,640,480:waittimer 31736 blt 0,0,640,480,0, 3492,640,480:waittimer 31745 blt 0,0,640,480,0, 3493,640,480:waittimer 31754 blt 0,0,640,480,0, 3494,640,480:waittimer 31763 blt 0,0,640,480,0, 3495,640,480:waittimer 31772 blt 0,0,640,480,0, 3496,640,480:waittimer 31781 blt 0,0,640,480,0, 3497,640,480:waittimer 31790 blt 0,0,640,480,0, 3498,640,480:waittimer 31799 blt 0,0,640,480,0, 3499,640,480:waittimer 31809 blt 0,0,640,480,0, 3500,640,480:waittimer 31818 blt 0,0,640,480,0, 3501,640,480:waittimer 31827 blt 0,0,640,480,0, 3502,640,480:waittimer 31836 blt 0,0,640,480,0, 3503,640,480:waittimer 31845 blt 0,0,640,480,0, 3504,640,480:waittimer 31854 blt 0,0,640,480,0, 3505,640,480:waittimer 31863 blt 0,0,640,480,0, 3506,640,480:waittimer 31872 blt 0,0,640,480,0, 3507,640,480:waittimer 31881 blt 0,0,640,480,0, 3508,640,480:waittimer 31890 blt 0,0,640,480,0, 3509,640,480:waittimer 31899 blt 0,0,640,480,0, 3510,640,480:waittimer 31909 blt 0,0,640,480,0, 3511,640,480:waittimer 31918 blt 0,0,640,480,0, 3512,640,480:waittimer 31927 blt 0,0,640,480,0, 3513,640,480:waittimer 31936 blt 0,0,640,480,0, 3514,640,480:waittimer 31945 blt 0,0,640,480,0, 3515,640,480:waittimer 31954 blt 0,0,640,480,0, 3516,640,480:waittimer 31963 blt 0,0,640,480,0, 3517,640,480:waittimer 31972 blt 0,0,640,480,0, 3518,640,480:waittimer 31981 blt 0,0,640,480,0, 3519,640,480:waittimer 31990 blt 0,0,640,480,0, 3520,640,480:waittimer 31999 blt 0,0,640,480,0, 3521,640,480:waittimer 32009 blt 0,0,640,480,0, 3522,640,480:waittimer 32018 blt 0,0,640,480,0, 3523,640,480:waittimer 32027 blt 0,0,640,480,0, 3524,640,480:waittimer 32036 blt 0,0,640,480,0, 3525,640,480:waittimer 32045 blt 0,0,640,480,0, 3526,640,480:waittimer 32054 blt 0,0,640,480,0, 3527,640,480:waittimer 32063 blt 0,0,640,480,0, 3528,640,480:waittimer 32072 blt 0,0,640,480,0, 3529,640,480:waittimer 32081 blt 0,0,640,480,0, 3530,640,480:waittimer 32090 blt 0,0,640,480,0, 3531,640,480:waittimer 32099 blt 0,0,640,480,0, 3532,640,480:waittimer 32109 blt 0,0,640,480,0, 3533,640,480:waittimer 32118 blt 0,0,640,480,0, 3534,640,480:waittimer 32127 blt 0,0,640,480,0, 3535,640,480:waittimer 32136 blt 0,0,640,480,0, 3536,640,480:waittimer 32145 blt 0,0,640,480,0, 3537,640,480:waittimer 32154 blt 0,0,640,480,0, 3538,640,480:waittimer 32163 blt 0,0,640,480,0, 3539,640,480:waittimer 32172 blt 0,0,640,480,0, 3540,640,480:waittimer 32181 blt 0,0,640,480,0, 3541,640,480:waittimer 32190 blt 0,0,640,480,0, 3542,640,480:waittimer 32199 blt 0,0,640,480,0, 3543,640,480:waittimer 32209 blt 0,0,640,480,0, 3544,640,480:waittimer 32218 blt 0,0,640,480,0, 3545,640,480:waittimer 32227 blt 0,0,640,480,0, 3546,640,480:waittimer 32236 blt 0,0,640,480,0, 3547,640,480:waittimer 32245 blt 0,0,640,480,0, 3548,640,480:waittimer 32254 blt 0,0,640,480,0, 3549,640,480:waittimer 32263 blt 0,0,640,480,0, 3550,640,480:waittimer 32272 blt 0,0,640,480,0, 3551,640,480:waittimer 32281 blt 0,0,640,480,0, 3552,640,480:waittimer 32290 blt 0,0,640,480,0, 3553,640,480:waittimer 32299 blt 0,0,640,480,0, 3554,640,480:waittimer 32309 blt 0,0,640,480,0, 3555,640,480:waittimer 32318 blt 0,0,640,480,0, 3556,640,480:waittimer 32327 blt 0,0,640,480,0, 3557,640,480:waittimer 32336 blt 0,0,640,480,0, 3558,640,480:waittimer 32345 blt 0,0,640,480,0, 3559,640,480:waittimer 32354 blt 0,0,640,480,0, 3560,640,480:waittimer 32363 blt 0,0,640,480,0, 3561,640,480:waittimer 32372 blt 0,0,640,480,0, 3562,640,480:waittimer 32381 blt 0,0,640,480,0, 3563,640,480:waittimer 32390 blt 0,0,640,480,0, 3564,640,480:waittimer 32399 blt 0,0,640,480,0, 3565,640,480:waittimer 32409 blt 0,0,640,480,0, 3566,640,480:waittimer 32418 blt 0,0,640,480,0, 3567,640,480:waittimer 32427 blt 0,0,640,480,0, 3568,640,480:waittimer 32436 blt 0,0,640,480,0, 3569,640,480:waittimer 32445 blt 0,0,640,480,0, 3570,640,480:waittimer 32454 blt 0,0,640,480,0, 3571,640,480:waittimer 32463 blt 0,0,640,480,0, 3572,640,480:waittimer 32472 blt 0,0,640,480,0, 3573,640,480:waittimer 32481 blt 0,0,640,480,0, 3574,640,480:waittimer 32490 blt 0,0,640,480,0, 3575,640,480:waittimer 32499 blt 0,0,640,480,0, 3576,640,480:waittimer 32509 blt 0,0,640,480,0, 3577,640,480:waittimer 32518 blt 0,0,640,480,0, 3578,640,480:waittimer 32527 blt 0,0,640,480,0, 3579,640,480:waittimer 32536 blt 0,0,640,480,0, 3580,640,480:waittimer 32545 blt 0,0,640,480,0, 3581,640,480:waittimer 32554 blt 0,0,640,480,0, 3582,640,480:waittimer 32563 blt 0,0,640,480,0, 3583,640,480:waittimer 32572 blt 0,0,640,480,0, 3584,640,480:waittimer 32581 blt 0,0,640,480,0, 3585,640,480:waittimer 32590 blt 0,0,640,480,0, 3586,640,480:waittimer 32599 blt 0,0,640,480,0, 3587,640,480:waittimer 32609 blt 0,0,640,480,0, 3588,640,480:waittimer 32618 blt 0,0,640,480,0, 3589,640,480:waittimer 32627 blt 0,0,640,480,0, 3590,640,480:waittimer 32636 blt 0,0,640,480,0, 3591,640,480:waittimer 32645 blt 0,0,640,480,0, 3592,640,480:waittimer 32654 blt 0,0,640,480,0, 3593,640,480:waittimer 32663 blt 0,0,640,480,0, 3594,640,480:waittimer 32672 blt 0,0,640,480,0, 3595,640,480:waittimer 32681 blt 0,0,640,480,0, 3596,640,480:waittimer 32690 blt 0,0,640,480,0, 3597,640,480:waittimer 32699 blt 0,0,640,480,0, 3598,640,480:waittimer 32709 blt 0,0,640,480,0, 3599,640,480:waittimer 32718 blt 0,0,640,480,0, 3600,640,480:waittimer 32727 blt 0,0,640,480,0, 3601,640,480:waittimer 32736 blt 0,0,640,480,0, 3602,640,480:waittimer 32745 blt 0,0,640,480,0, 3603,640,480:waittimer 32754 blt 0,0,640,480,0, 3604,640,480:waittimer 32763 blt 0,0,640,480,0, 3605,640,480:waittimer 32772 blt 0,0,640,480,0, 3606,640,480:waittimer 32781 blt 0,0,640,480,0, 3607,640,480:waittimer 32790 blt 0,0,640,480,0, 3608,640,480:waittimer 32799 blt 0,0,640,480,0, 3609,640,480:waittimer 32809 blt 0,0,640,480,0, 3610,640,480:waittimer 32818 blt 0,0,640,480,0, 3611,640,480:waittimer 32827 blt 0,0,640,480,0, 3612,640,480:waittimer 32836 blt 0,0,640,480,0, 3613,640,480:waittimer 32845 blt 0,0,640,480,0, 3614,640,480:waittimer 32854 blt 0,0,640,480,0, 3615,640,480:waittimer 32863 blt 0,0,640,480,0, 3616,640,480:waittimer 32872 blt 0,0,640,480,0, 3617,640,480:waittimer 32881 blt 0,0,640,480,0, 3618,640,480:waittimer 32890 blt 0,0,640,480,0, 3619,640,480:waittimer 32899 blt 0,0,640,480,0, 3620,640,480:waittimer 32909 blt 0,0,640,480,0, 3621,640,480:waittimer 32918 blt 0,0,640,480,0, 3622,640,480:waittimer 32927 blt 0,0,640,480,0, 3623,640,480:waittimer 32936 blt 0,0,640,480,0, 3624,640,480:waittimer 32945 blt 0,0,640,480,0, 3625,640,480:waittimer 32954 blt 0,0,640,480,0, 3626,640,480:waittimer 32963 blt 0,0,640,480,0, 3627,640,480:waittimer 32972 blt 0,0,640,480,0, 3628,640,480:waittimer 32981 blt 0,0,640,480,0, 3629,640,480:waittimer 32990 blt 0,0,640,480,0, 3630,640,480:waittimer 32999 blt 0,0,640,480,0, 3631,640,480:waittimer 33009 blt 0,0,640,480,0, 3632,640,480:waittimer 33018 blt 0,0,640,480,0, 3633,640,480:waittimer 33027 blt 0,0,640,480,0, 3634,640,480:waittimer 33036 blt 0,0,640,480,0, 3635,640,480:waittimer 33045 blt 0,0,640,480,0, 3636,640,480:waittimer 33054 blt 0,0,640,480,0, 3637,640,480:waittimer 33063 blt 0,0,640,480,0, 3638,640,480:waittimer 33072 blt 0,0,640,480,0, 3639,640,480:waittimer 33081 blt 0,0,640,480,0, 3640,640,480:waittimer 33090 blt 0,0,640,480,0, 3641,640,480:waittimer 33099 blt 0,0,640,480,0, 3642,640,480:waittimer 33109 blt 0,0,640,480,0, 3643,640,480:waittimer 33118 blt 0,0,640,480,0, 3644,640,480:waittimer 33127 blt 0,0,640,480,0, 3645,640,480:waittimer 33136 blt 0,0,640,480,0, 3646,640,480:waittimer 33145 blt 0,0,640,480,0, 3647,640,480:waittimer 33154 blt 0,0,640,480,0, 3648,640,480:waittimer 33163 blt 0,0,640,480,0, 3649,640,480:waittimer 33172 blt 0,0,640,480,0, 3650,640,480:waittimer 33181 blt 0,0,640,480,0, 3651,640,480:waittimer 33190 blt 0,0,640,480,0, 3652,640,480:waittimer 33199 blt 0,0,640,480,0, 3653,640,480:waittimer 33209 blt 0,0,640,480,0, 3654,640,480:waittimer 33218 blt 0,0,640,480,0, 3655,640,480:waittimer 33227 blt 0,0,640,480,0, 3656,640,480:waittimer 33236 blt 0,0,640,480,0, 3657,640,480:waittimer 33245 blt 0,0,640,480,0, 3658,640,480:waittimer 33254 blt 0,0,640,480,0, 3659,640,480:waittimer 33263 blt 0,0,640,480,0, 3660,640,480:waittimer 33272 blt 0,0,640,480,0, 3661,640,480:waittimer 33281 blt 0,0,640,480,0, 3662,640,480:waittimer 33290 blt 0,0,640,480,0, 3663,640,480:waittimer 33299 blt 0,0,640,480,0, 3664,640,480:waittimer 33309 blt 0,0,640,480,0, 3665,640,480:waittimer 33318 blt 0,0,640,480,0, 3666,640,480:waittimer 33327 blt 0,0,640,480,0, 3667,640,480:waittimer 33336 blt 0,0,640,480,0, 3668,640,480:waittimer 33345 blt 0,0,640,480,0, 3669,640,480:waittimer 33354 blt 0,0,640,480,0, 3670,640,480:waittimer 33363 blt 0,0,640,480,0, 3671,640,480:waittimer 33372 blt 0,0,640,480,0, 3672,640,480:waittimer 33381 blt 0,0,640,480,0, 3673,640,480:waittimer 33390 blt 0,0,640,480,0, 3674,640,480:waittimer 33399 blt 0,0,640,480,0, 3675,640,480:waittimer 33409 blt 0,0,640,480,0, 3676,640,480:waittimer 33418 blt 0,0,640,480,0, 3677,640,480:waittimer 33427 blt 0,0,640,480,0, 3678,640,480:waittimer 33436 blt 0,0,640,480,0, 3679,640,480:waittimer 33445 blt 0,0,640,480,0, 3680,640,480:waittimer 33454 blt 0,0,640,480,0, 3681,640,480:waittimer 33463 blt 0,0,640,480,0, 3682,640,480:waittimer 33472 blt 0,0,640,480,0, 3683,640,480:waittimer 33481 blt 0,0,640,480,0, 3684,640,480:waittimer 33490 blt 0,0,640,480,0, 3685,640,480:waittimer 33499 blt 0,0,640,480,0, 3686,640,480:waittimer 33509 blt 0,0,640,480,0, 3687,640,480:waittimer 33518 blt 0,0,640,480,0, 3688,640,480:waittimer 33527 blt 0,0,640,480,0, 3689,640,480:waittimer 33536 blt 0,0,640,480,0, 3690,640,480:waittimer 33545 blt 0,0,640,480,0, 3691,640,480:waittimer 33554 blt 0,0,640,480,0, 3692,640,480:waittimer 33563 blt 0,0,640,480,0, 3693,640,480:waittimer 33572 blt 0,0,640,480,0, 3694,640,480:waittimer 33581 blt 0,0,640,480,0, 3695,640,480:waittimer 33590 blt 0,0,640,480,0, 3696,640,480:waittimer 33599 blt 0,0,640,480,0, 3697,640,480:waittimer 33609 blt 0,0,640,480,0, 3698,640,480:waittimer 33618 blt 0,0,640,480,0, 3699,640,480:waittimer 33627 blt 0,0,640,480,0, 3700,640,480:waittimer 33636 blt 0,0,640,480,0, 3701,640,480:waittimer 33645 blt 0,0,640,480,0, 3702,640,480:waittimer 33654 blt 0,0,640,480,0, 3703,640,480:waittimer 33663 blt 0,0,640,480,0, 3704,640,480:waittimer 33672 blt 0,0,640,480,0, 3705,640,480:waittimer 33681 blt 0,0,640,480,0, 3706,640,480:waittimer 33690 blt 0,0,640,480,0, 3707,640,480:waittimer 33699 blt 0,0,640,480,0, 3708,640,480:waittimer 33709 blt 0,0,640,480,0, 3709,640,480:waittimer 33718 blt 0,0,640,480,0, 3710,640,480:waittimer 33727 blt 0,0,640,480,0, 3711,640,480:waittimer 33736 blt 0,0,640,480,0, 3712,640,480:waittimer 33745 blt 0,0,640,480,0, 3713,640,480:waittimer 33754 blt 0,0,640,480,0, 3714,640,480:waittimer 33763 blt 0,0,640,480,0, 3715,640,480:waittimer 33772 blt 0,0,640,480,0, 3716,640,480:waittimer 33781 blt 0,0,640,480,0, 3717,640,480:waittimer 33790 blt 0,0,640,480,0, 3718,640,480:waittimer 33799 blt 0,0,640,480,0, 3719,640,480:waittimer 33809 blt 0,0,640,480,0, 3720,640,480:waittimer 33818 blt 0,0,640,480,0, 3721,640,480:waittimer 33827 blt 0,0,640,480,0, 3722,640,480:waittimer 33836 blt 0,0,640,480,0, 3723,640,480:waittimer 33845 blt 0,0,640,480,0, 3724,640,480:waittimer 33854 blt 0,0,640,480,0, 3725,640,480:waittimer 33863 blt 0,0,640,480,0, 3726,640,480:waittimer 33872 blt 0,0,640,480,0, 3727,640,480:waittimer 33881 blt 0,0,640,480,0, 3728,640,480:waittimer 33890 blt 0,0,640,480,0, 3729,640,480:waittimer 33899 blt 0,0,640,480,0, 3730,640,480:waittimer 33909 blt 0,0,640,480,0, 3731,640,480:waittimer 33918 blt 0,0,640,480,0, 3732,640,480:waittimer 33927 blt 0,0,640,480,0, 3733,640,480:waittimer 33936 blt 0,0,640,480,0, 3734,640,480:waittimer 33945 blt 0,0,640,480,0, 3735,640,480:waittimer 33954 blt 0,0,640,480,0, 3736,640,480:waittimer 33963 blt 0,0,640,480,0, 3737,640,480:waittimer 33972 blt 0,0,640,480,0, 3738,640,480:waittimer 33981 blt 0,0,640,480,0, 3739,640,480:waittimer 33990 blt 0,0,640,480,0, 3740,640,480:waittimer 33999 blt 0,0,640,480,0, 3741,640,480:waittimer 34009 blt 0,0,640,480,0, 3742,640,480:waittimer 34018 blt 0,0,640,480,0, 3743,640,480:waittimer 34027 blt 0,0,640,480,0, 3744,640,480:waittimer 34036 blt 0,0,640,480,0, 3745,640,480:waittimer 34045 blt 0,0,640,480,0, 3746,640,480:waittimer 34054 blt 0,0,640,480,0, 3747,640,480:waittimer 34063 blt 0,0,640,480,0, 3748,640,480:waittimer 34072 blt 0,0,640,480,0, 3749,640,480:waittimer 34081 blt 0,0,640,480,0, 3750,640,480:waittimer 34090 blt 0,0,640,480,0, 3751,640,480:waittimer 34099 blt 0,0,640,480,0, 3752,640,480:waittimer 34109 blt 0,0,640,480,0, 3753,640,480:waittimer 34118 blt 0,0,640,480,0, 3754,640,480:waittimer 34127 blt 0,0,640,480,0, 3755,640,480:waittimer 34136 blt 0,0,640,480,0, 3756,640,480:waittimer 34145 blt 0,0,640,480,0, 3757,640,480:waittimer 34154 blt 0,0,640,480,0, 3758,640,480:waittimer 34163 blt 0,0,640,480,0, 3759,640,480:waittimer 34172 blt 0,0,640,480,0, 3760,640,480:waittimer 34181 blt 0,0,640,480,0, 3761,640,480:waittimer 34190 blt 0,0,640,480,0, 3762,640,480:waittimer 34199 blt 0,0,640,480,0, 3763,640,480:waittimer 34209 blt 0,0,640,480,0, 3764,640,480:waittimer 34218 blt 0,0,640,480,0, 3765,640,480:waittimer 34227 blt 0,0,640,480,0, 3766,640,480:waittimer 34236 blt 0,0,640,480,0, 3767,640,480:waittimer 34245 blt 0,0,640,480,0, 3768,640,480:waittimer 34254 blt 0,0,640,480,0, 3769,640,480:waittimer 34263 blt 0,0,640,480,0, 3770,640,480:waittimer 34272 blt 0,0,640,480,0, 3771,640,480:waittimer 34281 blt 0,0,640,480,0, 3772,640,480:waittimer 34290 blt 0,0,640,480,0, 3773,640,480:waittimer 34299 blt 0,0,640,480,0, 3774,640,480:waittimer 34309 blt 0,0,640,480,0, 3775,640,480:waittimer 34318 blt 0,0,640,480,0, 3776,640,480:waittimer 34327 blt 0,0,640,480,0, 3777,640,480:waittimer 34336 blt 0,0,640,480,0, 3778,640,480:waittimer 34345 blt 0,0,640,480,0, 3779,640,480:waittimer 34354 blt 0,0,640,480,0, 3780,640,480:waittimer 34363 blt 0,0,640,480,0, 3781,640,480:waittimer 34372 blt 0,0,640,480,0, 3782,640,480:waittimer 34381 blt 0,0,640,480,0, 3783,640,480:waittimer 34390 blt 0,0,640,480,0, 3784,640,480:waittimer 34399 blt 0,0,640,480,0, 3785,640,480:waittimer 34409 blt 0,0,640,480,0, 3786,640,480:waittimer 34418 blt 0,0,640,480,0, 3787,640,480:waittimer 34427 blt 0,0,640,480,0, 3788,640,480:waittimer 34436 blt 0,0,640,480,0, 3789,640,480:waittimer 34445 blt 0,0,640,480,0, 3790,640,480:waittimer 34454 blt 0,0,640,480,0, 3791,640,480:waittimer 34463 blt 0,0,640,480,0, 3792,640,480:waittimer 34472 blt 0,0,640,480,0, 3793,640,480:waittimer 34481 blt 0,0,640,480,0, 3794,640,480:waittimer 34490 blt 0,0,640,480,0, 3795,640,480:waittimer 34499 blt 0,0,640,480,0, 3796,640,480:waittimer 34509 blt 0,0,640,480,0, 3797,640,480:waittimer 34518 blt 0,0,640,480,0, 3798,640,480:waittimer 34527 blt 0,0,640,480,0, 3799,640,480:waittimer 34536 blt 0,0,640,480,0, 3800,640,480:waittimer 34545 blt 0,0,640,480,0, 3801,640,480:waittimer 34554 blt 0,0,640,480,0, 3802,640,480:waittimer 34563 blt 0,0,640,480,0, 3803,640,480:waittimer 34572 blt 0,0,640,480,0, 3804,640,480:waittimer 34581 blt 0,0,640,480,0, 3805,640,480:waittimer 34590 blt 0,0,640,480,0, 3806,640,480:waittimer 34599 blt 0,0,640,480,0, 3807,640,480:waittimer 34609 blt 0,0,640,480,0, 3808,640,480:waittimer 34618 blt 0,0,640,480,0, 3809,640,480:waittimer 34627 blt 0,0,640,480,0, 3810,640,480:waittimer 34636 blt 0,0,640,480,0, 3811,640,480:waittimer 34645 blt 0,0,640,480,0, 3812,640,480:waittimer 34654 blt 0,0,640,480,0, 3813,640,480:waittimer 34663 blt 0,0,640,480,0, 3814,640,480:waittimer 34672 blt 0,0,640,480,0, 3815,640,480:waittimer 34681 blt 0,0,640,480,0, 3816,640,480:waittimer 34690 blt 0,0,640,480,0, 3817,640,480:waittimer 34699 blt 0,0,640,480,0, 3818,640,480:waittimer 34709 blt 0,0,640,480,0, 3819,640,480:waittimer 34718 blt 0,0,640,480,0, 3820,640,480:waittimer 34727 blt 0,0,640,480,0, 3821,640,480:waittimer 34736 blt 0,0,640,480,0, 3822,640,480:waittimer 34745 blt 0,0,640,480,0, 3823,640,480:waittimer 34754 blt 0,0,640,480,0, 3824,640,480:waittimer 34763 blt 0,0,640,480,0, 3825,640,480:waittimer 34772 blt 0,0,640,480,0, 3826,640,480:waittimer 34781 blt 0,0,640,480,0, 3827,640,480:waittimer 34790 blt 0,0,640,480,0, 3828,640,480:waittimer 34799 blt 0,0,640,480,0, 3829,640,480:waittimer 34809 blt 0,0,640,480,0, 3830,640,480:waittimer 34818 blt 0,0,640,480,0, 3831,640,480:waittimer 34827 blt 0,0,640,480,0, 3832,640,480:waittimer 34836 blt 0,0,640,480,0, 3833,640,480:waittimer 34845 blt 0,0,640,480,0, 3834,640,480:waittimer 34854 blt 0,0,640,480,0, 3835,640,480:waittimer 34863 blt 0,0,640,480,0, 3836,640,480:waittimer 34872 blt 0,0,640,480,0, 3837,640,480:waittimer 34881 blt 0,0,640,480,0, 3838,640,480:waittimer 34890 blt 0,0,640,480,0, 3839,640,480:waittimer 34899 blt 0,0,640,480,0, 3840,640,480:waittimer 34909 blt 0,0,640,480,0, 3841,640,480:waittimer 34918 blt 0,0,640,480,0, 3842,640,480:waittimer 34927 blt 0,0,640,480,0, 3843,640,480:waittimer 34936 blt 0,0,640,480,0, 3844,640,480:waittimer 34945 blt 0,0,640,480,0, 3845,640,480:waittimer 34954 blt 0,0,640,480,0, 3846,640,480:waittimer 34963 blt 0,0,640,480,0, 3847,640,480:waittimer 34972 blt 0,0,640,480,0, 3848,640,480:waittimer 34981 blt 0,0,640,480,0, 3849,640,480:waittimer 34990 blt 0,0,640,480,0, 3850,640,480:waittimer 34999 blt 0,0,640,480,0, 3851,640,480:waittimer 35009 blt 0,0,640,480,0, 3852,640,480:waittimer 35018 blt 0,0,640,480,0, 3853,640,480:waittimer 35027 blt 0,0,640,480,0, 3854,640,480:waittimer 35036 blt 0,0,640,480,0, 3855,640,480:waittimer 35045 blt 0,0,640,480,0, 3856,640,480:waittimer 35054 blt 0,0,640,480,0, 3857,640,480:waittimer 35063 blt 0,0,640,480,0, 3858,640,480:waittimer 35072 blt 0,0,640,480,0, 3859,640,480:waittimer 35081 blt 0,0,640,480,0, 3860,640,480:waittimer 35090 blt 0,0,640,480,0, 3861,640,480:waittimer 35099 blt 0,0,640,480,0, 3862,640,480:waittimer 35109 blt 0,0,640,480,0, 3863,640,480:waittimer 35118 blt 0,0,640,480,0, 3864,640,480:waittimer 35127 blt 0,0,640,480,0, 3865,640,480:waittimer 35136 blt 0,0,640,480,0, 3866,640,480:waittimer 35145 blt 0,0,640,480,0, 3867,640,480:waittimer 35154 blt 0,0,640,480,0, 3868,640,480:waittimer 35163 blt 0,0,640,480,0, 3869,640,480:waittimer 35172 blt 0,0,640,480,0, 3870,640,480:waittimer 35181 blt 0,0,640,480,0, 3871,640,480:waittimer 35190 blt 0,0,640,480,0, 3872,640,480:waittimer 35199 blt 0,0,640,480,0, 3873,640,480:waittimer 35209 blt 0,0,640,480,0, 3874,640,480:waittimer 35218 blt 0,0,640,480,0, 3875,640,480:waittimer 35227 blt 0,0,640,480,0, 3876,640,480:waittimer 35236 blt 0,0,640,480,0, 3877,640,480:waittimer 35245 blt 0,0,640,480,0, 3878,640,480:waittimer 35254 blt 0,0,640,480,0, 3879,640,480:waittimer 35263 blt 0,0,640,480,0, 3880,640,480:waittimer 35272 blt 0,0,640,480,0, 3881,640,480:waittimer 35281 blt 0,0,640,480,0, 3882,640,480:waittimer 35290 blt 0,0,640,480,0, 3883,640,480:waittimer 35299 blt 0,0,640,480,0, 3884,640,480:waittimer 35309 blt 0,0,640,480,0, 3885,640,480:waittimer 35318 blt 0,0,640,480,0, 3886,640,480:waittimer 35327 blt 0,0,640,480,0, 3887,640,480:waittimer 35336 blt 0,0,640,480,0, 3888,640,480:waittimer 35345 blt 0,0,640,480,0, 3889,640,480:waittimer 35354 blt 0,0,640,480,0, 3890,640,480:waittimer 35363 blt 0,0,640,480,0, 3891,640,480:waittimer 35372 blt 0,0,640,480,0, 3892,640,480:waittimer 35381 blt 0,0,640,480,0, 3893,640,480:waittimer 35390 blt 0,0,640,480,0, 3894,640,480:waittimer 35399 blt 0,0,640,480,0, 3895,640,480:waittimer 35409 blt 0,0,640,480,0, 3896,640,480:waittimer 35418 blt 0,0,640,480,0, 3897,640,480:waittimer 35427 blt 0,0,640,480,0, 3898,640,480:waittimer 35436 blt 0,0,640,480,0, 3899,640,480:waittimer 35445 blt 0,0,640,480,0, 3900,640,480:waittimer 35454 blt 0,0,640,480,0, 3901,640,480:waittimer 35463 blt 0,0,640,480,0, 3902,640,480:waittimer 35472 blt 0,0,640,480,0, 3903,640,480:waittimer 35481 blt 0,0,640,480,0, 3904,640,480:waittimer 35490 blt 0,0,640,480,0, 3905,640,480:waittimer 35499 blt 0,0,640,480,0, 3906,640,480:waittimer 35509 blt 0,0,640,480,0, 3907,640,480:waittimer 35518 blt 0,0,640,480,0, 3908,640,480:waittimer 35527 blt 0,0,640,480,0, 3909,640,480:waittimer 35536 blt 0,0,640,480,0, 3910,640,480:waittimer 35545 blt 0,0,640,480,0, 3911,640,480:waittimer 35554 blt 0,0,640,480,0, 3912,640,480:waittimer 35563 blt 0,0,640,480,0, 3913,640,480:waittimer 35572 blt 0,0,640,480,0, 3914,640,480:waittimer 35581 blt 0,0,640,480,0, 3915,640,480:waittimer 35590 blt 0,0,640,480,0, 3916,640,480:waittimer 35599 blt 0,0,640,480,0, 3917,640,480:waittimer 35609 blt 0,0,640,480,0, 3918,640,480:waittimer 35618 blt 0,0,640,480,0, 3919,640,480:waittimer 35627 blt 0,0,640,480,0, 3920,640,480:waittimer 35636 blt 0,0,640,480,0, 3921,640,480:waittimer 35645 blt 0,0,640,480,0, 3922,640,480:waittimer 35654 blt 0,0,640,480,0, 3923,640,480:waittimer 35663 blt 0,0,640,480,0, 3924,640,480:waittimer 35672 blt 0,0,640,480,0, 3925,640,480:waittimer 35681 blt 0,0,640,480,0, 3926,640,480:waittimer 35690 blt 0,0,640,480,0, 3927,640,480:waittimer 35699 blt 0,0,640,480,0, 3928,640,480:waittimer 35709 blt 0,0,640,480,0, 3929,640,480:waittimer 35718 blt 0,0,640,480,0, 3930,640,480:waittimer 35727 blt 0,0,640,480,0, 3931,640,480:waittimer 35736 blt 0,0,640,480,0, 3932,640,480:waittimer 35745 blt 0,0,640,480,0, 3933,640,480:waittimer 35754 blt 0,0,640,480,0, 3934,640,480:waittimer 35763 blt 0,0,640,480,0, 3935,640,480:waittimer 35772 blt 0,0,640,480,0, 3936,640,480:waittimer 35781 blt 0,0,640,480,0, 3937,640,480:waittimer 35790 blt 0,0,640,480,0, 3938,640,480:waittimer 35799 blt 0,0,640,480,0, 3939,640,480:waittimer 35809 blt 0,0,640,480,0, 3940,640,480:waittimer 35818 blt 0,0,640,480,0, 3941,640,480:waittimer 35827 blt 0,0,640,480,0, 3942,640,480:waittimer 35836 blt 0,0,640,480,0, 3943,640,480:waittimer 35845 blt 0,0,640,480,0, 3944,640,480:waittimer 35854 blt 0,0,640,480,0, 3945,640,480:waittimer 35863 blt 0,0,640,480,0, 3946,640,480:waittimer 35872 blt 0,0,640,480,0, 3947,640,480:waittimer 35881 blt 0,0,640,480,0, 3948,640,480:waittimer 35890 blt 0,0,640,480,0, 3949,640,480:waittimer 35899 blt 0,0,640,480,0, 3950,640,480:waittimer 35909 blt 0,0,640,480,0, 3951,640,480:waittimer 35918 blt 0,0,640,480,0, 3952,640,480:waittimer 35927 blt 0,0,640,480,0, 3953,640,480:waittimer 35936 blt 0,0,640,480,0, 3954,640,480:waittimer 35945 blt 0,0,640,480,0, 3955,640,480:waittimer 35954 blt 0,0,640,480,0, 3956,640,480:waittimer 35963 blt 0,0,640,480,0, 3957,640,480:waittimer 35972 blt 0,0,640,480,0, 3958,640,480:waittimer 35981 blt 0,0,640,480,0, 3959,640,480:waittimer 35990 blt 0,0,640,480,0, 3960,640,480:waittimer 35999 blt 0,0,640,480,0, 3961,640,480:waittimer 36009 blt 0,0,640,480,0, 3962,640,480:waittimer 36018 blt 0,0,640,480,0, 3963,640,480:waittimer 36027 blt 0,0,640,480,0, 3964,640,480:waittimer 36036 blt 0,0,640,480,0, 3965,640,480:waittimer 36045 blt 0,0,640,480,0, 3966,640,480:waittimer 36054 blt 0,0,640,480,0, 3967,640,480:waittimer 36063 blt 0,0,640,480,0, 3968,640,480:waittimer 36072 blt 0,0,640,480,0, 3969,640,480:waittimer 36081 blt 0,0,640,480,0, 3970,640,480:waittimer 36090 blt 0,0,640,480,0, 3971,640,480:waittimer 36099 blt 0,0,640,480,0, 3972,640,480:waittimer 36109 blt 0,0,640,480,0, 3973,640,480:waittimer 36118 blt 0,0,640,480,0, 3974,640,480:waittimer 36127 blt 0,0,640,480,0, 3975,640,480:waittimer 36136 blt 0,0,640,480,0, 3976,640,480:waittimer 36145 blt 0,0,640,480,0, 3977,640,480:waittimer 36154 blt 0,0,640,480,0, 3978,640,480:waittimer 36163 blt 0,0,640,480,0, 3979,640,480:waittimer 36172 blt 0,0,640,480,0, 3980,640,480:waittimer 36181 blt 0,0,640,480,0, 3981,640,480:waittimer 36190 blt 0,0,640,480,0, 3982,640,480:waittimer 36199 blt 0,0,640,480,0, 3983,640,480:waittimer 36209 blt 0,0,640,480,0, 3984,640,480:waittimer 36218 blt 0,0,640,480,0, 3985,640,480:waittimer 36227 blt 0,0,640,480,0, 3986,640,480:waittimer 36236 blt 0,0,640,480,0, 3987,640,480:waittimer 36245 blt 0,0,640,480,0, 3988,640,480:waittimer 36254 blt 0,0,640,480,0, 3989,640,480:waittimer 36263 blt 0,0,640,480,0, 3990,640,480:waittimer 36272 blt 0,0,640,480,0, 3991,640,480:waittimer 36281 blt 0,0,640,480,0, 3992,640,480:waittimer 36290 blt 0,0,640,480,0, 3993,640,480:waittimer 36299 blt 0,0,640,480,0, 3994,640,480:waittimer 36309 blt 0,0,640,480,0, 3995,640,480:waittimer 36318 blt 0,0,640,480,0, 3996,640,480:waittimer 36327 blt 0,0,640,480,0, 3997,640,480:waittimer 36336 blt 0,0,640,480,0, 3998,640,480:waittimer 36345 blt 0,0,640,480,0, 3999,640,480:waittimer 36354 blt 0,0,640,480,0, 4000,640,480:waittimer 36363 blt 0,0,640,480,0, 4001,640,480:waittimer 36372 blt 0,0,640,480,0, 4002,640,480:waittimer 36381 blt 0,0,640,480,0, 4003,640,480:waittimer 36390 blt 0,0,640,480,0, 4004,640,480:waittimer 36399 blt 0,0,640,480,0, 4005,640,480:waittimer 36409 blt 0,0,640,480,0, 4006,640,480:waittimer 36418 blt 0,0,640,480,0, 4007,640,480:waittimer 36427 blt 0,0,640,480,0, 4008,640,480:waittimer 36436 blt 0,0,640,480,0, 4009,640,480:waittimer 36445 blt 0,0,640,480,0, 4010,640,480:waittimer 36454 blt 0,0,640,480,0, 4011,640,480:waittimer 36463 blt 0,0,640,480,0, 4012,640,480:waittimer 36472 blt 0,0,640,480,0, 4013,640,480:waittimer 36481 blt 0,0,640,480,0, 4014,640,480:waittimer 36490 blt 0,0,640,480,0, 4015,640,480:waittimer 36499 blt 0,0,640,480,0, 4016,640,480:waittimer 36509 blt 0,0,640,480,0, 4017,640,480:waittimer 36518 blt 0,0,640,480,0, 4018,640,480:waittimer 36527 blt 0,0,640,480,0, 4019,640,480:waittimer 36536 blt 0,0,640,480,0, 4020,640,480:waittimer 36545 blt 0,0,640,480,0, 4021,640,480:waittimer 36554 blt 0,0,640,480,0, 4022,640,480:waittimer 36563 blt 0,0,640,480,0, 4023,640,480:waittimer 36572 blt 0,0,640,480,0, 4024,640,480:waittimer 36581 blt 0,0,640,480,0, 4025,640,480:waittimer 36590 blt 0,0,640,480,0, 4026,640,480:waittimer 36599 blt 0,0,640,480,0, 4027,640,480:waittimer 36609 blt 0,0,640,480,0, 4028,640,480:waittimer 36618 blt 0,0,640,480,0, 4029,640,480:waittimer 36627 blt 0,0,640,480,0, 4030,640,480:waittimer 36636 blt 0,0,640,480,0, 4031,640,480:waittimer 36645 blt 0,0,640,480,0, 4032,640,480:waittimer 36654 blt 0,0,640,480,0, 4033,640,480:waittimer 36663 blt 0,0,640,480,0, 4034,640,480:waittimer 36672 blt 0,0,640,480,0, 4035,640,480:waittimer 36681 blt 0,0,640,480,0, 4036,640,480:waittimer 36690 blt 0,0,640,480,0, 4037,640,480:waittimer 36699 blt 0,0,640,480,0, 4038,640,480:waittimer 36709 blt 0,0,640,480,0, 4039,640,480:waittimer 36718 blt 0,0,640,480,0, 4040,640,480:waittimer 36727 blt 0,0,640,480,0, 4041,640,480:waittimer 36736 blt 0,0,640,480,0, 4042,640,480:waittimer 36745 blt 0,0,640,480,0, 4043,640,480:waittimer 36754 blt 0,0,640,480,0, 4044,640,480:waittimer 36763 blt 0,0,640,480,0, 4045,640,480:waittimer 36772 blt 0,0,640,480,0, 4046,640,480:waittimer 36781 blt 0,0,640,480,0, 4047,640,480:waittimer 36790 blt 0,0,640,480,0, 4048,640,480:waittimer 36799 blt 0,0,640,480,0, 4049,640,480:waittimer 36809 blt 0,0,640,480,0, 4050,640,480:waittimer 36818 blt 0,0,640,480,0, 4051,640,480:waittimer 36827 blt 0,0,640,480,0, 4052,640,480:waittimer 36836 blt 0,0,640,480,0, 4053,640,480:waittimer 36845 blt 0,0,640,480,0, 4054,640,480:waittimer 36854 blt 0,0,640,480,0, 4055,640,480:waittimer 36863 blt 0,0,640,480,0, 4056,640,480:waittimer 36872 blt 0,0,640,480,0, 4057,640,480:waittimer 36881 blt 0,0,640,480,0, 4058,640,480:waittimer 36890 blt 0,0,640,480,0, 4059,640,480:waittimer 36899 blt 0,0,640,480,0, 4060,640,480:waittimer 36909 blt 0,0,640,480,0, 4061,640,480:waittimer 36918 blt 0,0,640,480,0, 4062,640,480:waittimer 36927 blt 0,0,640,480,0, 4063,640,480:waittimer 36936 blt 0,0,640,480,0, 4064,640,480:waittimer 36945 blt 0,0,640,480,0, 4065,640,480:waittimer 36954 blt 0,0,640,480,0, 4066,640,480:waittimer 36963 blt 0,0,640,480,0, 4067,640,480:waittimer 36972 blt 0,0,640,480,0, 4068,640,480:waittimer 36981 blt 0,0,640,480,0, 4069,640,480:waittimer 36990 blt 0,0,640,480,0, 4070,640,480:waittimer 36999 blt 0,0,640,480,0, 4071,640,480:waittimer 37009 blt 0,0,640,480,0, 4072,640,480:waittimer 37018 blt 0,0,640,480,0, 4073,640,480:waittimer 37027 blt 0,0,640,480,0, 4074,640,480:waittimer 37036 blt 0,0,640,480,0, 4075,640,480:waittimer 37045 blt 0,0,640,480,0, 4076,640,480:waittimer 37054 blt 0,0,640,480,0, 4077,640,480:waittimer 37063 blt 0,0,640,480,0, 4078,640,480:waittimer 37072 blt 0,0,640,480,0, 4079,640,480:waittimer 37081 blt 0,0,640,480,0, 4080,640,480:waittimer 37090 blt 0,0,640,480,0, 4081,640,480:waittimer 37099 blt 0,0,640,480,0, 4082,640,480:waittimer 37109 blt 0,0,640,480,0, 4083,640,480:waittimer 37118 blt 0,0,640,480,0, 4084,640,480:waittimer 37127 blt 0,0,640,480,0, 4085,640,480:waittimer 37136 blt 0,0,640,480,0, 4086,640,480:waittimer 37145 blt 0,0,640,480,0, 4087,640,480:waittimer 37154 blt 0,0,640,480,0, 4088,640,480:waittimer 37163 blt 0,0,640,480,0, 4089,640,480:waittimer 37172 blt 0,0,640,480,0, 4090,640,480:waittimer 37181 blt 0,0,640,480,0, 4091,640,480:waittimer 37190 blt 0,0,640,480,0, 4092,640,480:waittimer 37199 blt 0,0,640,480,0, 4093,640,480:waittimer 37209 blt 0,0,640,480,0, 4094,640,480:waittimer 37218 blt 0,0,640,480,0, 4095,640,480:waittimer 37227 blt 0,0,640,480,0, 4096,640,480:waittimer 37236 blt 0,0,640,480,0, 4097,640,480:waittimer 37245 blt 0,0,640,480,0, 4098,640,480:waittimer 37254 blt 0,0,640,480,0, 4099,640,480:waittimer 37263 blt 0,0,640,480,0, 4100,640,480:waittimer 37272 blt 0,0,640,480,0, 4101,640,480:waittimer 37281 blt 0,0,640,480,0, 4102,640,480:waittimer 37290 blt 0,0,640,480,0, 4103,640,480:waittimer 37299 blt 0,0,640,480,0, 4104,640,480:waittimer 37309 blt 0,0,640,480,0, 4105,640,480:waittimer 37318 blt 0,0,640,480,0, 4106,640,480:waittimer 37327 blt 0,0,640,480,0, 4107,640,480:waittimer 37336 blt 0,0,640,480,0, 4108,640,480:waittimer 37345 blt 0,0,640,480,0, 4109,640,480:waittimer 37354 blt 0,0,640,480,0, 4110,640,480:waittimer 37363 blt 0,0,640,480,0, 4111,640,480:waittimer 37372 blt 0,0,640,480,0, 4112,640,480:waittimer 37381 blt 0,0,640,480,0, 4113,640,480:waittimer 37390 blt 0,0,640,480,0, 4114,640,480:waittimer 37399 blt 0,0,640,480,0, 4115,640,480:waittimer 37409 blt 0,0,640,480,0, 4116,640,480:waittimer 37418 blt 0,0,640,480,0, 4117,640,480:waittimer 37427 blt 0,0,640,480,0, 4118,640,480:waittimer 37436 blt 0,0,640,480,0, 4119,640,480:waittimer 37445 blt 0,0,640,480,0, 4120,640,480:waittimer 37454 blt 0,0,640,480,0, 4121,640,480:waittimer 37463 blt 0,0,640,480,0, 4122,640,480:waittimer 37472 blt 0,0,640,480,0, 4123,640,480:waittimer 37481 blt 0,0,640,480,0, 4124,640,480:waittimer 37490 blt 0,0,640,480,0, 4125,640,480:waittimer 37499 blt 0,0,640,480,0, 4126,640,480:waittimer 37509 blt 0,0,640,480,0, 4127,640,480:waittimer 37518 blt 0,0,640,480,0, 4128,640,480:waittimer 37527 blt 0,0,640,480,0, 4129,640,480:waittimer 37536 blt 0,0,640,480,0, 4130,640,480:waittimer 37545 blt 0,0,640,480,0, 4131,640,480:waittimer 37554 blt 0,0,640,480,0, 4132,640,480:waittimer 37563 blt 0,0,640,480,0, 4133,640,480:waittimer 37572 blt 0,0,640,480,0, 4134,640,480:waittimer 37581 blt 0,0,640,480,0, 4135,640,480:waittimer 37590 blt 0,0,640,480,0, 4136,640,480:waittimer 37599 blt 0,0,640,480,0, 4137,640,480:waittimer 37609 blt 0,0,640,480,0, 4138,640,480:waittimer 37618 blt 0,0,640,480,0, 4139,640,480:waittimer 37627 blt 0,0,640,480,0, 4140,640,480:waittimer 37636 blt 0,0,640,480,0, 4141,640,480:waittimer 37645 blt 0,0,640,480,0, 4142,640,480:waittimer 37654 blt 0,0,640,480,0, 4143,640,480:waittimer 37663 blt 0,0,640,480,0, 4144,640,480:waittimer 37672 blt 0,0,640,480,0, 4145,640,480:waittimer 37681 blt 0,0,640,480,0, 4146,640,480:waittimer 37690 blt 0,0,640,480,0, 4147,640,480:waittimer 37699 blt 0,0,640,480,0, 4148,640,480:waittimer 37709 blt 0,0,640,480,0, 4149,640,480:waittimer 37718 blt 0,0,640,480,0, 4150,640,480:waittimer 37727 blt 0,0,640,480,0, 4151,640,480:waittimer 37736 blt 0,0,640,480,0, 4152,640,480:waittimer 37745 blt 0,0,640,480,0, 4153,640,480:waittimer 37754 blt 0,0,640,480,0, 4154,640,480:waittimer 37763 blt 0,0,640,480,0, 4155,640,480:waittimer 37772 blt 0,0,640,480,0, 4156,640,480:waittimer 37781 blt 0,0,640,480,0, 4157,640,480:waittimer 37790 blt 0,0,640,480,0, 4158,640,480:waittimer 37799 blt 0,0,640,480,0, 4159,640,480:waittimer 37809 blt 0,0,640,480,0, 4160,640,480:waittimer 37818 blt 0,0,640,480,0, 4161,640,480:waittimer 37827 blt 0,0,640,480,0, 4162,640,480:waittimer 37836 blt 0,0,640,480,0, 4163,640,480:waittimer 37845 blt 0,0,640,480,0, 4164,640,480:waittimer 37854 blt 0,0,640,480,0, 4165,640,480:waittimer 37863 blt 0,0,640,480,0, 4166,640,480:waittimer 37872 blt 0,0,640,480,0, 4167,640,480:waittimer 37881 blt 0,0,640,480,0, 4168,640,480:waittimer 37890 blt 0,0,640,480,0, 4169,640,480:waittimer 37899 blt 0,0,640,480,0, 4170,640,480:waittimer 37909 blt 0,0,640,480,0, 4171,640,480:waittimer 37918 blt 0,0,640,480,0, 4172,640,480:waittimer 37927 blt 0,0,640,480,0, 4173,640,480:waittimer 37936 blt 0,0,640,480,0, 4174,640,480:waittimer 37945 blt 0,0,640,480,0, 4175,640,480:waittimer 37954 blt 0,0,640,480,0, 4176,640,480:waittimer 37963 blt 0,0,640,480,0, 4177,640,480:waittimer 37972 blt 0,0,640,480,0, 4178,640,480:waittimer 37981 blt 0,0,640,480,0, 4179,640,480:waittimer 37990 blt 0,0,640,480,0, 4180,640,480:waittimer 37999 blt 0,0,640,480,0, 4181,640,480:waittimer 38009 blt 0,0,640,480,0, 4182,640,480:waittimer 38018 blt 0,0,640,480,0, 4183,640,480:waittimer 38027 blt 0,0,640,480,0, 4184,640,480:waittimer 38036 blt 0,0,640,480,0, 4185,640,480:waittimer 38045 blt 0,0,640,480,0, 4186,640,480:waittimer 38054 blt 0,0,640,480,0, 4187,640,480:waittimer 38063 blt 0,0,640,480,0, 4188,640,480:waittimer 38072 blt 0,0,640,480,0, 4189,640,480:waittimer 38081 blt 0,0,640,480,0, 4190,640,480:waittimer 38090 blt 0,0,640,480,0, 4191,640,480:waittimer 38099 blt 0,0,640,480,0, 4192,640,480:waittimer 38109 blt 0,0,640,480,0, 4193,640,480:waittimer 38118 blt 0,0,640,480,0, 4194,640,480:waittimer 38127 blt 0,0,640,480,0, 4195,640,480:waittimer 38136 blt 0,0,640,480,0, 4196,640,480:waittimer 38145 blt 0,0,640,480,0, 4197,640,480:waittimer 38154 blt 0,0,640,480,0, 4198,640,480:waittimer 38163 blt 0,0,640,480,0, 4199,640,480:waittimer 38172 blt 0,0,640,480,0, 4200,640,480:waittimer 38181 blt 0,0,640,480,0, 4201,640,480:waittimer 38190 blt 0,0,640,480,0, 4202,640,480:waittimer 38199 blt 0,0,640,480,0, 4203,640,480:waittimer 38209 blt 0,0,640,480,0, 4204,640,480:waittimer 38218 blt 0,0,640,480,0, 4205,640,480:waittimer 38227 blt 0,0,640,480,0, 4206,640,480:waittimer 38236 blt 0,0,640,480,0, 4207,640,480:waittimer 38245 blt 0,0,640,480,0, 4208,640,480:waittimer 38254 blt 0,0,640,480,0, 4209,640,480:waittimer 38263 blt 0,0,640,480,0, 4210,640,480:waittimer 38272 blt 0,0,640,480,0, 4211,640,480:waittimer 38281 blt 0,0,640,480,0, 4212,640,480:waittimer 38290 blt 0,0,640,480,0, 4213,640,480:waittimer 38299 blt 0,0,640,480,0, 4214,640,480:waittimer 38309 blt 0,0,640,480,0, 4215,640,480:waittimer 38318 blt 0,0,640,480,0, 4216,640,480:waittimer 38327 blt 0,0,640,480,0, 4217,640,480:waittimer 38336 blt 0,0,640,480,0, 4218,640,480:waittimer 38345 blt 0,0,640,480,0, 4219,640,480:waittimer 38354 blt 0,0,640,480,0, 4220,640,480:waittimer 38363 blt 0,0,640,480,0, 4221,640,480:waittimer 38372 blt 0,0,640,480,0, 4222,640,480:waittimer 38381 blt 0,0,640,480,0, 4223,640,480:waittimer 38390 blt 0,0,640,480,0, 4224,640,480:waittimer 38399 blt 0,0,640,480,0, 4225,640,480:waittimer 38409 blt 0,0,640,480,0, 4226,640,480:waittimer 38418 blt 0,0,640,480,0, 4227,640,480:waittimer 38427 blt 0,0,640,480,0, 4228,640,480:waittimer 38436 blt 0,0,640,480,0, 4229,640,480:waittimer 38445 blt 0,0,640,480,0, 4230,640,480:waittimer 38454 blt 0,0,640,480,0, 4231,640,480:waittimer 38463 blt 0,0,640,480,0, 4232,640,480:waittimer 38472 blt 0,0,640,480,0, 4233,640,480:waittimer 38481 blt 0,0,640,480,0, 4234,640,480:waittimer 38490 blt 0,0,640,480,0, 4235,640,480:waittimer 38499 blt 0,0,640,480,0, 4236,640,480:waittimer 38509 blt 0,0,640,480,0, 4237,640,480:waittimer 38518 blt 0,0,640,480,0, 4238,640,480:waittimer 38527 blt 0,0,640,480,0, 4239,640,480:waittimer 38536 blt 0,0,640,480,0, 4240,640,480:waittimer 38545 blt 0,0,640,480,0, 4241,640,480:waittimer 38554 blt 0,0,640,480,0, 4242,640,480:waittimer 38563 blt 0,0,640,480,0, 4243,640,480:waittimer 38572 blt 0,0,640,480,0, 4244,640,480:waittimer 38581 blt 0,0,640,480,0, 4245,640,480:waittimer 38590 blt 0,0,640,480,0, 4246,640,480:waittimer 38599 blt 0,0,640,480,0, 4247,640,480:waittimer 38609 blt 0,0,640,480,0, 4248,640,480:waittimer 38618 blt 0,0,640,480,0, 4249,640,480:waittimer 38627 blt 0,0,640,480,0, 4250,640,480:waittimer 38636 blt 0,0,640,480,0, 4251,640,480:waittimer 38645 blt 0,0,640,480,0, 4252,640,480:waittimer 38654 blt 0,0,640,480,0, 4253,640,480:waittimer 38663 blt 0,0,640,480,0, 4254,640,480:waittimer 38672 blt 0,0,640,480,0, 4255,640,480:waittimer 38681 blt 0,0,640,480,0, 4256,640,480:waittimer 38690 blt 0,0,640,480,0, 4257,640,480:waittimer 38699 blt 0,0,640,480,0, 4258,640,480:waittimer 38709 blt 0,0,640,480,0, 4259,640,480:waittimer 38718 blt 0,0,640,480,0, 4260,640,480:waittimer 38727 blt 0,0,640,480,0, 4261,640,480:waittimer 38736 blt 0,0,640,480,0, 4262,640,480:waittimer 38745 blt 0,0,640,480,0, 4263,640,480:waittimer 38754 blt 0,0,640,480,0, 4264,640,480:waittimer 38763 blt 0,0,640,480,0, 4265,640,480:waittimer 38772 blt 0,0,640,480,0, 4266,640,480:waittimer 38781 blt 0,0,640,480,0, 4267,640,480:waittimer 38790 blt 0,0,640,480,0, 4268,640,480:waittimer 38799 blt 0,0,640,480,0, 4269,640,480:waittimer 38809 blt 0,0,640,480,0, 4270,640,480:waittimer 38818 blt 0,0,640,480,0, 4271,640,480:waittimer 38827 blt 0,0,640,480,0, 4272,640,480:waittimer 38836 blt 0,0,640,480,0, 4273,640,480:waittimer 38845 blt 0,0,640,480,0, 4274,640,480:waittimer 38854 blt 0,0,640,480,0, 4275,640,480:waittimer 38863 blt 0,0,640,480,0, 4276,640,480:waittimer 38872 blt 0,0,640,480,0, 4277,640,480:waittimer 38881 blt 0,0,640,480,0, 4278,640,480:waittimer 38890 blt 0,0,640,480,0, 4279,640,480:waittimer 38899 blt 0,0,640,480,0, 4280,640,480:waittimer 38909 blt 0,0,640,480,0, 4281,640,480:waittimer 38918 blt 0,0,640,480,0, 4282,640,480:waittimer 38927 blt 0,0,640,480,0, 4283,640,480:waittimer 38936 blt 0,0,640,480,0, 4284,640,480:waittimer 38945 blt 0,0,640,480,0, 4285,640,480:waittimer 38954 blt 0,0,640,480,0, 4286,640,480:waittimer 38963 blt 0,0,640,480,0, 4287,640,480:waittimer 38972 blt 0,0,640,480,0, 4288,640,480:waittimer 38981 blt 0,0,640,480,0, 4289,640,480:waittimer 38990 blt 0,0,640,480,0, 4290,640,480:waittimer 38999 blt 0,0,640,480,0, 4291,640,480:waittimer 39009 blt 0,0,640,480,0, 4292,640,480:waittimer 39018 blt 0,0,640,480,0, 4293,640,480:waittimer 39027 blt 0,0,640,480,0, 4294,640,480:waittimer 39036 blt 0,0,640,480,0, 4295,640,480:waittimer 39045 blt 0,0,640,480,0, 4296,640,480:waittimer 39054 blt 0,0,640,480,0, 4297,640,480:waittimer 39063 blt 0,0,640,480,0, 4298,640,480:waittimer 39072 blt 0,0,640,480,0, 4299,640,480:waittimer 39081 blt 0,0,640,480,0, 4300,640,480:waittimer 39090 blt 0,0,640,480,0, 4301,640,480:waittimer 39099 blt 0,0,640,480,0, 4302,640,480:waittimer 39109 blt 0,0,640,480,0, 4303,640,480:waittimer 39118 blt 0,0,640,480,0, 4304,640,480:waittimer 39127 blt 0,0,640,480,0, 4305,640,480:waittimer 39136 blt 0,0,640,480,0, 4306,640,480:waittimer 39145 blt 0,0,640,480,0, 4307,640,480:waittimer 39154 blt 0,0,640,480,0, 4308,640,480:waittimer 39163 blt 0,0,640,480,0, 4309,640,480:waittimer 39172 blt 0,0,640,480,0, 4310,640,480:waittimer 39181 blt 0,0,640,480,0, 4311,640,480:waittimer 39190 blt 0,0,640,480,0, 4312,640,480:waittimer 39199 blt 0,0,640,480,0, 4313,640,480:waittimer 39209 blt 0,0,640,480,0, 4314,640,480:waittimer 39218 blt 0,0,640,480,0, 4315,640,480:waittimer 39227 blt 0,0,640,480,0, 4316,640,480:waittimer 39236 blt 0,0,640,480,0, 4317,640,480:waittimer 39245 blt 0,0,640,480,0, 4318,640,480:waittimer 39254 blt 0,0,640,480,0, 4319,640,480:waittimer 39263 blt 0,0,640,480,0, 4320,640,480:waittimer 39272 blt 0,0,640,480,0, 4321,640,480:waittimer 39281 blt 0,0,640,480,0, 4322,640,480:waittimer 39290 blt 0,0,640,480,0, 4323,640,480:waittimer 39299 blt 0,0,640,480,0, 4324,640,480:waittimer 39309 blt 0,0,640,480,0, 4325,640,480:waittimer 39318 blt 0,0,640,480,0, 4326,640,480:waittimer 39327 blt 0,0,640,480,0, 4327,640,480:waittimer 39336 blt 0,0,640,480,0, 4328,640,480:waittimer 39345 blt 0,0,640,480,0, 4329,640,480:waittimer 39354 blt 0,0,640,480,0, 4330,640,480:waittimer 39363 blt 0,0,640,480,0, 4331,640,480:waittimer 39372 blt 0,0,640,480,0, 4332,640,480:waittimer 39381 blt 0,0,640,480,0, 4333,640,480:waittimer 39390 blt 0,0,640,480,0, 4334,640,480:waittimer 39399 blt 0,0,640,480,0, 4335,640,480:waittimer 39409 blt 0,0,640,480,0, 4336,640,480:waittimer 39418 blt 0,0,640,480,0, 4337,640,480:waittimer 39427 blt 0,0,640,480,0, 4338,640,480:waittimer 39436 blt 0,0,640,480,0, 4339,640,480:waittimer 39445 blt 0,0,640,480,0, 4340,640,480:waittimer 39454 blt 0,0,640,480,0, 4341,640,480:waittimer 39463 blt 0,0,640,480,0, 4342,640,480:waittimer 39472 blt 0,0,640,480,0, 4343,640,480:waittimer 39481 blt 0,0,640,480,0, 4344,640,480:waittimer 39490 blt 0,0,640,480,0, 4345,640,480:waittimer 39499 blt 0,0,640,480,0, 4346,640,480:waittimer 39509 blt 0,0,640,480,0, 4347,640,480:waittimer 39518 blt 0,0,640,480,0, 4348,640,480:waittimer 39527 blt 0,0,640,480,0, 4349,640,480:waittimer 39536 blt 0,0,640,480,0, 4350,640,480:waittimer 39545 blt 0,0,640,480,0, 4351,640,480:waittimer 39554 blt 0,0,640,480,0, 4352,640,480:waittimer 39563 blt 0,0,640,480,0, 4353,640,480:waittimer 39572 blt 0,0,640,480,0, 4354,640,480:waittimer 39581 blt 0,0,640,480,0, 4355,640,480:waittimer 39590 blt 0,0,640,480,0, 4356,640,480:waittimer 39599 blt 0,0,640,480,0, 4357,640,480:waittimer 39609 blt 0,0,640,480,0, 4358,640,480:waittimer 39618 blt 0,0,640,480,0, 4359,640,480:waittimer 39627 blt 0,0,640,480,0, 4360,640,480:waittimer 39636 blt 0,0,640,480,0, 4361,640,480:waittimer 39645 blt 0,0,640,480,0, 4362,640,480:waittimer 39654 blt 0,0,640,480,0, 4363,640,480:waittimer 39663 blt 0,0,640,480,0, 4364,640,480:waittimer 39672 blt 0,0,640,480,0, 4365,640,480:waittimer 39681 blt 0,0,640,480,0, 4366,640,480:waittimer 39690 blt 0,0,640,480,0, 4367,640,480:waittimer 39699 blt 0,0,640,480,0, 4368,640,480:waittimer 39709 blt 0,0,640,480,0, 4369,640,480:waittimer 39718 blt 0,0,640,480,0, 4370,640,480:waittimer 39727 blt 0,0,640,480,0, 4371,640,480:waittimer 39736 blt 0,0,640,480,0, 4372,640,480:waittimer 39745 blt 0,0,640,480,0, 4373,640,480:waittimer 39754 blt 0,0,640,480,0, 4374,640,480:waittimer 39763 blt 0,0,640,480,0, 4375,640,480:waittimer 39772 blt 0,0,640,480,0, 4376,640,480:waittimer 39781 blt 0,0,640,480,0, 4377,640,480:waittimer 39790 blt 0,0,640,480,0, 4378,640,480:waittimer 39799 blt 0,0,640,480,0, 4379,640,480:waittimer 39809 blt 0,0,640,480,0, 4380,640,480:waittimer 39818 blt 0,0,640,480,0, 4381,640,480:waittimer 39827 blt 0,0,640,480,0, 4382,640,480:waittimer 39836 blt 0,0,640,480,0, 4383,640,480:waittimer 39845 blt 0,0,640,480,0, 4384,640,480:waittimer 39854 blt 0,0,640,480,0, 4385,640,480:waittimer 39863 blt 0,0,640,480,0, 4386,640,480:waittimer 39872 blt 0,0,640,480,0, 4387,640,480:waittimer 39881 blt 0,0,640,480,0, 4388,640,480:waittimer 39890 blt 0,0,640,480,0, 4389,640,480:waittimer 39899 blt 0,0,640,480,0, 4390,640,480:waittimer 39909 blt 0,0,640,480,0, 4391,640,480:waittimer 39918 blt 0,0,640,480,0, 4392,640,480:waittimer 39927 blt 0,0,640,480,0, 4393,640,480:waittimer 39936 blt 0,0,640,480,0, 4394,640,480:waittimer 39945 blt 0,0,640,480,0, 4395,640,480:waittimer 39954 blt 0,0,640,480,0, 4396,640,480:waittimer 39963 blt 0,0,640,480,0, 4397,640,480:waittimer 39972 blt 0,0,640,480,0, 4398,640,480:waittimer 39981 blt 0,0,640,480,0, 4399,640,480:waittimer 39990 blt 0,0,640,480,0, 4400,640,480:waittimer 39999 blt 0,0,640,480,0, 4401,640,480:waittimer 40009 blt 0,0,640,480,0, 4402,640,480:waittimer 40018 blt 0,0,640,480,0, 4403,640,480:waittimer 40027 blt 0,0,640,480,0, 4404,640,480:waittimer 40036 blt 0,0,640,480,0, 4405,640,480:waittimer 40045 blt 0,0,640,480,0, 4406,640,480:waittimer 40054 blt 0,0,640,480,0, 4407,640,480:waittimer 40063 blt 0,0,640,480,0, 4408,640,480:waittimer 40072 blt 0,0,640,480,0, 4409,640,480:waittimer 40081 blt 0,0,640,480,0, 4410,640,480:waittimer 40090 blt 0,0,640,480,0, 4411,640,480:waittimer 40099 blt 0,0,640,480,0, 4412,640,480:waittimer 40109 blt 0,0,640,480,0, 4413,640,480:waittimer 40118 blt 0,0,640,480,0, 4414,640,480:waittimer 40127 blt 0,0,640,480,0, 4415,640,480:waittimer 40136 blt 0,0,640,480,0, 4416,640,480:waittimer 40145 blt 0,0,640,480,0, 4417,640,480:waittimer 40154 blt 0,0,640,480,0, 4418,640,480:waittimer 40163 blt 0,0,640,480,0, 4419,640,480:waittimer 40172 blt 0,0,640,480,0, 4420,640,480:waittimer 40181 blt 0,0,640,480,0, 4421,640,480:waittimer 40190 blt 0,0,640,480,0, 4422,640,480:waittimer 40199 blt 0,0,640,480,0, 4423,640,480:waittimer 40209 blt 0,0,640,480,0, 4424,640,480:waittimer 40218 blt 0,0,640,480,0, 4425,640,480:waittimer 40227 blt 0,0,640,480,0, 4426,640,480:waittimer 40236 blt 0,0,640,480,0, 4427,640,480:waittimer 40245 blt 0,0,640,480,0, 4428,640,480:waittimer 40254 blt 0,0,640,480,0, 4429,640,480:waittimer 40263 blt 0,0,640,480,0, 4430,640,480:waittimer 40272 blt 0,0,640,480,0, 4431,640,480:waittimer 40281 blt 0,0,640,480,0, 4432,640,480:waittimer 40290 blt 0,0,640,480,0, 4433,640,480:waittimer 40299 blt 0,0,640,480,0, 4434,640,480:waittimer 40309 blt 0,0,640,480,0, 4435,640,480:waittimer 40318 blt 0,0,640,480,0, 4436,640,480:waittimer 40327 blt 0,0,640,480,0, 4437,640,480:waittimer 40336 blt 0,0,640,480,0, 4438,640,480:waittimer 40345 blt 0,0,640,480,0, 4439,640,480:waittimer 40354 blt 0,0,640,480,0, 4440,640,480:waittimer 40363 blt 0,0,640,480,0, 4441,640,480:waittimer 40372 blt 0,0,640,480,0, 4442,640,480:waittimer 40381 blt 0,0,640,480,0, 4443,640,480:waittimer 40390 blt 0,0,640,480,0, 4444,640,480:waittimer 40399 blt 0,0,640,480,0, 4445,640,480:waittimer 40409 blt 0,0,640,480,0, 4446,640,480:waittimer 40418 blt 0,0,640,480,0, 4447,640,480:waittimer 40427 blt 0,0,640,480,0, 4448,640,480:waittimer 40436 blt 0,0,640,480,0, 4449,640,480:waittimer 40445 blt 0,0,640,480,0, 4450,640,480:waittimer 40454 blt 0,0,640,480,0, 4451,640,480:waittimer 40463 blt 0,0,640,480,0, 4452,640,480:waittimer 40472 blt 0,0,640,480,0, 4453,640,480:waittimer 40481 blt 0,0,640,480,0, 4454,640,480:waittimer 40490 blt 0,0,640,480,0, 4455,640,480:waittimer 40499 blt 0,0,640,480,0, 4456,640,480:waittimer 40509 blt 0,0,640,480,0, 4457,640,480:waittimer 40518 blt 0,0,640,480,0, 4458,640,480:waittimer 40527 blt 0,0,640,480,0, 4459,640,480:waittimer 40536 blt 0,0,640,480,0, 4460,640,480:waittimer 40545 blt 0,0,640,480,0, 4461,640,480:waittimer 40554 blt 0,0,640,480,0, 4462,640,480:waittimer 40563 blt 0,0,640,480,0, 4463,640,480:waittimer 40572 blt 0,0,640,480,0, 4464,640,480:waittimer 40581 blt 0,0,640,480,0, 4465,640,480:waittimer 40590 blt 0,0,640,480,0, 4466,640,480:waittimer 40599 blt 0,0,640,480,0, 4467,640,480:waittimer 40609 blt 0,0,640,480,0, 4468,640,480:waittimer 40618 blt 0,0,640,480,0, 4469,640,480:waittimer 40627 blt 0,0,640,480,0, 4470,640,480:waittimer 40636 blt 0,0,640,480,0, 4471,640,480:waittimer 40645 blt 0,0,640,480,0, 4472,640,480:waittimer 40654 blt 0,0,640,480,0, 4473,640,480:waittimer 40663 blt 0,0,640,480,0, 4474,640,480:waittimer 40672 blt 0,0,640,480,0, 4475,640,480:waittimer 40681 blt 0,0,640,480,0, 4476,640,480:waittimer 40690 blt 0,0,640,480,0, 4477,640,480:waittimer 40699 blt 0,0,640,480,0, 4478,640,480:waittimer 40709 blt 0,0,640,480,0, 4479,640,480:waittimer 40718 blt 0,0,640,480,0, 4480,640,480:waittimer 40727 blt 0,0,640,480,0, 4481,640,480:waittimer 40736 blt 0,0,640,480,0, 4482,640,480:waittimer 40745 blt 0,0,640,480,0, 4483,640,480:waittimer 40754 blt 0,0,640,480,0, 4484,640,480:waittimer 40763 blt 0,0,640,480,0, 4485,640,480:waittimer 40772 blt 0,0,640,480,0, 4486,640,480:waittimer 40781 blt 0,0,640,480,0, 4487,640,480:waittimer 40790 blt 0,0,640,480,0, 4488,640,480:waittimer 40799 blt 0,0,640,480,0, 4489,640,480:waittimer 40809 blt 0,0,640,480,0, 4490,640,480:waittimer 40818 blt 0,0,640,480,0, 4491,640,480:waittimer 40827 blt 0,0,640,480,0, 4492,640,480:waittimer 40836 blt 0,0,640,480,0, 4493,640,480:waittimer 40845 blt 0,0,640,480,0, 4494,640,480:waittimer 40854 blt 0,0,640,480,0, 4495,640,480:waittimer 40863 blt 0,0,640,480,0, 4496,640,480:waittimer 40872 blt 0,0,640,480,0, 4497,640,480:waittimer 40881 blt 0,0,640,480,0, 4498,640,480:waittimer 40890 blt 0,0,640,480,0, 4499,640,480:waittimer 40899 blt 0,0,640,480,0, 4500,640,480:waittimer 40909 blt 0,0,640,480,0, 4501,640,480:waittimer 40918 blt 0,0,640,480,0, 4502,640,480:waittimer 40927 blt 0,0,640,480,0, 4503,640,480:waittimer 40936 blt 0,0,640,480,0, 4504,640,480:waittimer 40945 blt 0,0,640,480,0, 4505,640,480:waittimer 40954 blt 0,0,640,480,0, 4506,640,480:waittimer 40963 blt 0,0,640,480,0, 4507,640,480:waittimer 40972 blt 0,0,640,480,0, 4508,640,480:waittimer 40981 blt 0,0,640,480,0, 4509,640,480:waittimer 40990 blt 0,0,640,480,0, 4510,640,480:waittimer 40999 blt 0,0,640,480,0, 4511,640,480:waittimer 41009 blt 0,0,640,480,0, 4512,640,480:waittimer 41018 blt 0,0,640,480,0, 4513,640,480:waittimer 41027 blt 0,0,640,480,0, 4514,640,480:waittimer 41036 blt 0,0,640,480,0, 4515,640,480:waittimer 41045 blt 0,0,640,480,0, 4516,640,480:waittimer 41054 blt 0,0,640,480,0, 4517,640,480:waittimer 41063 blt 0,0,640,480,0, 4518,640,480:waittimer 41072 blt 0,0,640,480,0, 4519,640,480:waittimer 41081 blt 0,0,640,480,0, 4520,640,480:waittimer 41090 blt 0,0,640,480,0, 4521,640,480:waittimer 41099 blt 0,0,640,480,0, 4522,640,480:waittimer 41109 blt 0,0,640,480,0, 4523,640,480:waittimer 41118 blt 0,0,640,480,0, 4524,640,480:waittimer 41127 blt 0,0,640,480,0, 4525,640,480:waittimer 41136 blt 0,0,640,480,0, 4526,640,480:waittimer 41145 blt 0,0,640,480,0, 4527,640,480:waittimer 41154 blt 0,0,640,480,0, 4528,640,480:waittimer 41163 blt 0,0,640,480,0, 4529,640,480:waittimer 41172 blt 0,0,640,480,0, 4530,640,480:waittimer 41181 blt 0,0,640,480,0, 4531,640,480:waittimer 41190 blt 0,0,640,480,0, 4532,640,480:waittimer 41199 blt 0,0,640,480,0, 4533,640,480:waittimer 41209 blt 0,0,640,480,0, 4534,640,480:waittimer 41218 blt 0,0,640,480,0, 4535,640,480:waittimer 41227 blt 0,0,640,480,0, 4536,640,480:waittimer 41236 blt 0,0,640,480,0, 4537,640,480:waittimer 41245 blt 0,0,640,480,0, 4538,640,480:waittimer 41254 blt 0,0,640,480,0, 4539,640,480:waittimer 41263 blt 0,0,640,480,0, 4540,640,480:waittimer 41272 blt 0,0,640,480,0, 4541,640,480:waittimer 41281 blt 0,0,640,480,0, 4542,640,480:waittimer 41290 blt 0,0,640,480,0, 4543,640,480:waittimer 41299 blt 0,0,640,480,0, 4544,640,480:waittimer 41309 blt 0,0,640,480,0, 4545,640,480:waittimer 41318 blt 0,0,640,480,0, 4546,640,480:waittimer 41327 blt 0,0,640,480,0, 4547,640,480:waittimer 41336 blt 0,0,640,480,0, 4548,640,480:waittimer 41345 blt 0,0,640,480,0, 4549,640,480:waittimer 41354 blt 0,0,640,480,0, 4550,640,480:waittimer 41363 blt 0,0,640,480,0, 4551,640,480:waittimer 41372 blt 0,0,640,480,0, 4552,640,480:waittimer 41381 blt 0,0,640,480,0, 4553,640,480:waittimer 41390 blt 0,0,640,480,0, 4554,640,480:waittimer 41399 blt 0,0,640,480,0, 4555,640,480:waittimer 41409 blt 0,0,640,480,0, 4556,640,480:waittimer 41418 blt 0,0,640,480,0, 4557,640,480:waittimer 41427 blt 0,0,640,480,0, 4558,640,480:waittimer 41436 blt 0,0,640,480,0, 4559,640,480:waittimer 41445 blt 0,0,640,480,0, 4560,640,480:waittimer 41454 blt 0,0,640,480,0, 4561,640,480:waittimer 41463 blt 0,0,640,480,0, 4562,640,480:waittimer 41472 blt 0,0,640,480,0, 4563,640,480:waittimer 41481 blt 0,0,640,480,0, 4564,640,480:waittimer 41490 blt 0,0,640,480,0, 4565,640,480:waittimer 41499 blt 0,0,640,480,0, 4566,640,480:waittimer 41509 blt 0,0,640,480,0, 4567,640,480:waittimer 41518 blt 0,0,640,480,0, 4568,640,480:waittimer 41527 blt 0,0,640,480,0, 4569,640,480:waittimer 41536 blt 0,0,640,480,0, 4570,640,480:waittimer 41545 blt 0,0,640,480,0, 4571,640,480:waittimer 41554 blt 0,0,640,480,0, 4572,640,480:waittimer 41563 blt 0,0,640,480,0, 4573,640,480:waittimer 41572 blt 0,0,640,480,0, 4574,640,480:waittimer 41581 blt 0,0,640,480,0, 4575,640,480:waittimer 41590 blt 0,0,640,480,0, 4576,640,480:waittimer 41599 blt 0,0,640,480,0, 4577,640,480:waittimer 41609 blt 0,0,640,480,0, 4578,640,480:waittimer 41618 blt 0,0,640,480,0, 4579,640,480:waittimer 41627 blt 0,0,640,480,0, 4580,640,480:waittimer 41636 blt 0,0,640,480,0, 4581,640,480:waittimer 41645 blt 0,0,640,480,0, 4582,640,480:waittimer 41654 blt 0,0,640,480,0, 4583,640,480:waittimer 41663 blt 0,0,640,480,0, 4584,640,480:waittimer 41672 blt 0,0,640,480,0, 4585,640,480:waittimer 41681 blt 0,0,640,480,0, 4586,640,480:waittimer 41690 blt 0,0,640,480,0, 4587,640,480:waittimer 41699 blt 0,0,640,480,0, 4588,640,480:waittimer 41709 blt 0,0,640,480,0, 4589,640,480:waittimer 41718 blt 0,0,640,480,0, 4590,640,480:waittimer 41727 blt 0,0,640,480,0, 4591,640,480:waittimer 41736 blt 0,0,640,480,0, 4592,640,480:waittimer 41745 blt 0,0,640,480,0, 4593,640,480:waittimer 41754 blt 0,0,640,480,0, 4594,640,480:waittimer 41763 blt 0,0,640,480,0, 4595,640,480:waittimer 41772 blt 0,0,640,480,0, 4596,640,480:waittimer 41781 blt 0,0,640,480,0, 4597,640,480:waittimer 41790 blt 0,0,640,480,0, 4598,640,480:waittimer 41799 blt 0,0,640,480,0, 4599,640,480:waittimer 41809 blt 0,0,640,480,0, 4600,640,480:waittimer 41818 blt 0,0,640,480,0, 4601,640,480:waittimer 41827 blt 0,0,640,480,0, 4602,640,480:waittimer 41836 blt 0,0,640,480,0, 4603,640,480:waittimer 41845 blt 0,0,640,480,0, 4604,640,480:waittimer 41854 blt 0,0,640,480,0, 4605,640,480:waittimer 41863 blt 0,0,640,480,0, 4606,640,480:waittimer 41872 blt 0,0,640,480,0, 4607,640,480:waittimer 41881 blt 0,0,640,480,0, 4608,640,480:waittimer 41890 blt 0,0,640,480,0, 4609,640,480:waittimer 41899 blt 0,0,640,480,0, 4610,640,480:waittimer 41909 blt 0,0,640,480,0, 4611,640,480:waittimer 41918 blt 0,0,640,480,0, 4612,640,480:waittimer 41927 blt 0,0,640,480,0, 4613,640,480:waittimer 41936 blt 0,0,640,480,0, 4614,640,480:waittimer 41945 blt 0,0,640,480,0, 4615,640,480:waittimer 41954 blt 0,0,640,480,0, 4616,640,480:waittimer 41963 blt 0,0,640,480,0, 4617,640,480:waittimer 41972 blt 0,0,640,480,0, 4618,640,480:waittimer 41981 blt 0,0,640,480,0, 4619,640,480:waittimer 41990 blt 0,0,640,480,0, 4620,640,480:waittimer 41999 blt 0,0,640,480,0, 4621,640,480:waittimer 42009 blt 0,0,640,480,0, 4622,640,480:waittimer 42018 blt 0,0,640,480,0, 4623,640,480:waittimer 42027 blt 0,0,640,480,0, 4624,640,480:waittimer 42036 blt 0,0,640,480,0, 4625,640,480:waittimer 42045 blt 0,0,640,480,0, 4626,640,480:waittimer 42054 blt 0,0,640,480,0, 4627,640,480:waittimer 42063 blt 0,0,640,480,0, 4628,640,480:waittimer 42072 blt 0,0,640,480,0, 4629,640,480:waittimer 42081 blt 0,0,640,480,0, 4630,640,480:waittimer 42090 blt 0,0,640,480,0, 4631,640,480:waittimer 42099 blt 0,0,640,480,0, 4632,640,480:waittimer 42109 blt 0,0,640,480,0, 4633,640,480:waittimer 42118 blt 0,0,640,480,0, 4634,640,480:waittimer 42127 blt 0,0,640,480,0, 4635,640,480:waittimer 42136 blt 0,0,640,480,0, 4636,640,480:waittimer 42145 blt 0,0,640,480,0, 4637,640,480:waittimer 42154 blt 0,0,640,480,0, 4638,640,480:waittimer 42163 blt 0,0,640,480,0, 4639,640,480:waittimer 42172 blt 0,0,640,480,0, 4640,640,480:waittimer 42181 blt 0,0,640,480,0, 4641,640,480:waittimer 42190 blt 0,0,640,480,0, 4642,640,480:waittimer 42199 blt 0,0,640,480,0, 4643,640,480:waittimer 42209 blt 0,0,640,480,0, 4644,640,480:waittimer 42218 blt 0,0,640,480,0, 4645,640,480:waittimer 42227 blt 0,0,640,480,0, 4646,640,480:waittimer 42236 blt 0,0,640,480,0, 4647,640,480:waittimer 42245 blt 0,0,640,480,0, 4648,640,480:waittimer 42254 blt 0,0,640,480,0, 4649,640,480:waittimer 42263 blt 0,0,640,480,0, 4650,640,480:waittimer 42272 blt 0,0,640,480,0, 4651,640,480:waittimer 42281 blt 0,0,640,480,0, 4652,640,480:waittimer 42290 blt 0,0,640,480,0, 4653,640,480:waittimer 42299 blt 0,0,640,480,0, 4654,640,480:waittimer 42309 blt 0,0,640,480,0, 4655,640,480:waittimer 42318 blt 0,0,640,480,0, 4656,640,480:waittimer 42327 blt 0,0,640,480,0, 4657,640,480:waittimer 42336 blt 0,0,640,480,0, 4658,640,480:waittimer 42345 blt 0,0,640,480,0, 4659,640,480:waittimer 42354 blt 0,0,640,480,0, 4660,640,480:waittimer 42363 blt 0,0,640,480,0, 4661,640,480:waittimer 42372 blt 0,0,640,480,0, 4662,640,480:waittimer 42381 blt 0,0,640,480,0, 4663,640,480:waittimer 42390 blt 0,0,640,480,0, 4664,640,480:waittimer 42399 blt 0,0,640,480,0, 4665,640,480:waittimer 42409 blt 0,0,640,480,0, 4666,640,480:waittimer 42418 blt 0,0,640,480,0, 4667,640,480:waittimer 42427 blt 0,0,640,480,0, 4668,640,480:waittimer 42436 blt 0,0,640,480,0, 4669,640,480:waittimer 42445 blt 0,0,640,480,0, 4670,640,480:waittimer 42454 blt 0,0,640,480,0, 4671,640,480:waittimer 42463 blt 0,0,640,480,0, 4672,640,480:waittimer 42472 blt 0,0,640,480,0, 4673,640,480:waittimer 42481 blt 0,0,640,480,0, 4674,640,480:waittimer 42490 blt 0,0,640,480,0, 4675,640,480:waittimer 42499 blt 0,0,640,480,0, 4676,640,480:waittimer 42509 blt 0,0,640,480,0, 4677,640,480:waittimer 42518 blt 0,0,640,480,0, 4678,640,480:waittimer 42527 blt 0,0,640,480,0, 4679,640,480:waittimer 42536 blt 0,0,640,480,0, 4680,640,480:waittimer 42545 blt 0,0,640,480,0, 4681,640,480:waittimer 42554 blt 0,0,640,480,0, 4682,640,480:waittimer 42563 blt 0,0,640,480,0, 4683,640,480:waittimer 42572 blt 0,0,640,480,0, 4684,640,480:waittimer 42581 blt 0,0,640,480,0, 4685,640,480:waittimer 42590 blt 0,0,640,480,0, 4686,640,480:waittimer 42599 blt 0,0,640,480,0, 4687,640,480:waittimer 42609 blt 0,0,640,480,0, 4688,640,480:waittimer 42618 blt 0,0,640,480,0, 4689,640,480:waittimer 42627 blt 0,0,640,480,0, 4690,640,480:waittimer 42636 blt 0,0,640,480,0, 4691,640,480:waittimer 42645 blt 0,0,640,480,0, 4692,640,480:waittimer 42654 blt 0,0,640,480,0, 4693,640,480:waittimer 42663 blt 0,0,640,480,0, 4694,640,480:waittimer 42672 blt 0,0,640,480,0, 4695,640,480:waittimer 42681 blt 0,0,640,480,0, 4696,640,480:waittimer 42690 blt 0,0,640,480,0, 4697,640,480:waittimer 42699 blt 0,0,640,480,0, 4698,640,480:waittimer 42709 blt 0,0,640,480,0, 4699,640,480:waittimer 42718 blt 0,0,640,480,0, 4700,640,480:waittimer 42727 blt 0,0,640,480,0, 4701,640,480:waittimer 42736 blt 0,0,640,480,0, 4702,640,480:waittimer 42745 blt 0,0,640,480,0, 4703,640,480:waittimer 42754 blt 0,0,640,480,0, 4704,640,480:waittimer 42763 blt 0,0,640,480,0, 4705,640,480:waittimer 42772 blt 0,0,640,480,0, 4706,640,480:waittimer 42781 blt 0,0,640,480,0, 4707,640,480:waittimer 42790 blt 0,0,640,480,0, 4708,640,480:waittimer 42799 blt 0,0,640,480,0, 4709,640,480:waittimer 42809 blt 0,0,640,480,0, 4710,640,480:waittimer 42818 blt 0,0,640,480,0, 4711,640,480:waittimer 42827 blt 0,0,640,480,0, 4712,640,480:waittimer 42836 blt 0,0,640,480,0, 4713,640,480:waittimer 42845 blt 0,0,640,480,0, 4714,640,480:waittimer 42854 blt 0,0,640,480,0, 4715,640,480:waittimer 42863 blt 0,0,640,480,0, 4716,640,480:waittimer 42872 blt 0,0,640,480,0, 4717,640,480:waittimer 42881 blt 0,0,640,480,0, 4718,640,480:waittimer 42890 blt 0,0,640,480,0, 4719,640,480:waittimer 42899 blt 0,0,640,480,0, 4720,640,480:waittimer 42909 blt 0,0,640,480,0, 4721,640,480:waittimer 42918 blt 0,0,640,480,0, 4722,640,480:waittimer 42927 blt 0,0,640,480,0, 4723,640,480:waittimer 42936 blt 0,0,640,480,0, 4724,640,480:waittimer 42945 blt 0,0,640,480,0, 4725,640,480:waittimer 42954 blt 0,0,640,480,0, 4726,640,480:waittimer 42963 blt 0,0,640,480,0, 4727,640,480:waittimer 42972 blt 0,0,640,480,0, 4728,640,480:waittimer 42981 blt 0,0,640,480,0, 4729,640,480:waittimer 42990 blt 0,0,640,480,0, 4730,640,480:waittimer 42999 blt 0,0,640,480,0, 4731,640,480:waittimer 43009 blt 0,0,640,480,0, 4732,640,480:waittimer 43018 blt 0,0,640,480,0, 4733,640,480:waittimer 43027 blt 0,0,640,480,0, 4734,640,480:waittimer 43036 blt 0,0,640,480,0, 4735,640,480:waittimer 43045 blt 0,0,640,480,0, 4736,640,480:waittimer 43054 blt 0,0,640,480,0, 4737,640,480:waittimer 43063 blt 0,0,640,480,0, 4738,640,480:waittimer 43072 blt 0,0,640,480,0, 4739,640,480:waittimer 43081 blt 0,0,640,480,0, 4740,640,480:waittimer 43090 blt 0,0,640,480,0, 4741,640,480:waittimer 43099 blt 0,0,640,480,0, 4742,640,480:waittimer 43109 blt 0,0,640,480,0, 4743,640,480:waittimer 43118 blt 0,0,640,480,0, 4744,640,480:waittimer 43127 blt 0,0,640,480,0, 4745,640,480:waittimer 43136 blt 0,0,640,480,0, 4746,640,480:waittimer 43145 blt 0,0,640,480,0, 4747,640,480:waittimer 43154 blt 0,0,640,480,0, 4748,640,480:waittimer 43163 blt 0,0,640,480,0, 4749,640,480:waittimer 43172 blt 0,0,640,480,0, 4750,640,480:waittimer 43181 blt 0,0,640,480,0, 4751,640,480:waittimer 43190 blt 0,0,640,480,0, 4752,640,480:waittimer 43199 blt 0,0,640,480,0, 4753,640,480:waittimer 43209 blt 0,0,640,480,0, 4754,640,480:waittimer 43218 blt 0,0,640,480,0, 4755,640,480:waittimer 43227 blt 0,0,640,480,0, 4756,640,480:waittimer 43236 blt 0,0,640,480,0, 4757,640,480:waittimer 43245 blt 0,0,640,480,0, 4758,640,480:waittimer 43254 blt 0,0,640,480,0, 4759,640,480:waittimer 43263 blt 0,0,640,480,0, 4760,640,480:waittimer 43272 blt 0,0,640,480,0, 4761,640,480:waittimer 43281 blt 0,0,640,480,0, 4762,640,480:waittimer 43290 blt 0,0,640,480,0, 4763,640,480:waittimer 43299 blt 0,0,640,480,0, 4764,640,480:waittimer 43309 blt 0,0,640,480,0, 4765,640,480:waittimer 43318 blt 0,0,640,480,0, 4766,640,480:waittimer 43327 blt 0,0,640,480,0, 4767,640,480:waittimer 43336 blt 0,0,640,480,0, 4768,640,480:waittimer 43345 blt 0,0,640,480,0, 4769,640,480:waittimer 43354 blt 0,0,640,480,0, 4770,640,480:waittimer 43363 blt 0,0,640,480,0, 4771,640,480:waittimer 43372 blt 0,0,640,480,0, 4772,640,480:waittimer 43381 blt 0,0,640,480,0, 4773,640,480:waittimer 43390 blt 0,0,640,480,0, 4774,640,480:waittimer 43399 blt 0,0,640,480,0, 4775,640,480:waittimer 43409 blt 0,0,640,480,0, 4776,640,480:waittimer 43418 blt 0,0,640,480,0, 4777,640,480:waittimer 43427 blt 0,0,640,480,0, 4778,640,480:waittimer 43436 blt 0,0,640,480,0, 4779,640,480:waittimer 43445 blt 0,0,640,480,0, 4780,640,480:waittimer 43454 blt 0,0,640,480,0, 4781,640,480:waittimer 43463 blt 0,0,640,480,0, 4782,640,480:waittimer 43472 blt 0,0,640,480,0, 4783,640,480:waittimer 43481 blt 0,0,640,480,0, 4784,640,480:waittimer 43490 blt 0,0,640,480,0, 4785,640,480:waittimer 43499 blt 0,0,640,480,0, 4786,640,480:waittimer 43509 blt 0,0,640,480,0, 4787,640,480:waittimer 43518 blt 0,0,640,480,0, 4788,640,480:waittimer 43527 blt 0,0,640,480,0, 4789,640,480:waittimer 43536 blt 0,0,640,480,0, 4790,640,480:waittimer 43545 blt 0,0,640,480,0, 4791,640,480:waittimer 43554 blt 0,0,640,480,0, 4792,640,480:waittimer 43563 blt 0,0,640,480,0, 4793,640,480:waittimer 43572 blt 0,0,640,480,0, 4794,640,480:waittimer 43581 blt 0,0,640,480,0, 4795,640,480:waittimer 43590 blt 0,0,640,480,0, 4796,640,480:waittimer 43599 blt 0,0,640,480,0, 4797,640,480:waittimer 43609 blt 0,0,640,480,0, 4798,640,480:waittimer 43618 blt 0,0,640,480,0, 4799,640,480:waittimer 43627 blt 0,0,640,480,0, 4800,640,480:waittimer 43636 blt 0,0,640,480,0, 4801,640,480:waittimer 43645 blt 0,0,640,480,0, 4802,640,480:waittimer 43654 blt 0,0,640,480,0, 4803,640,480:waittimer 43663 blt 0,0,640,480,0, 4804,640,480:waittimer 43672 blt 0,0,640,480,0, 4805,640,480:waittimer 43681 blt 0,0,640,480,0, 4806,640,480:waittimer 43690 blt 0,0,640,480,0, 4807,640,480:waittimer 43699 blt 0,0,640,480,0, 4808,640,480:waittimer 43709 blt 0,0,640,480,0, 4809,640,480:waittimer 43718 blt 0,0,640,480,0, 4810,640,480:waittimer 43727 blt 0,0,640,480,0, 4811,640,480:waittimer 43736 blt 0,0,640,480,0, 4812,640,480:waittimer 43745 blt 0,0,640,480,0, 4813,640,480:waittimer 43754 blt 0,0,640,480,0, 4814,640,480:waittimer 43763 blt 0,0,640,480,0, 4815,640,480:waittimer 43772 blt 0,0,640,480,0, 4816,640,480:waittimer 43781 blt 0,0,640,480,0, 4817,640,480:waittimer 43790 blt 0,0,640,480,0, 4818,640,480:waittimer 43799 blt 0,0,640,480,0, 4819,640,480:waittimer 43809 blt 0,0,640,480,0, 4820,640,480:waittimer 43818 blt 0,0,640,480,0, 4821,640,480:waittimer 43827 blt 0,0,640,480,0, 4822,640,480:waittimer 43836 blt 0,0,640,480,0, 4823,640,480:waittimer 43845 blt 0,0,640,480,0, 4824,640,480:waittimer 43854 blt 0,0,640,480,0, 4825,640,480:waittimer 43863 blt 0,0,640,480,0, 4826,640,480:waittimer 43872 blt 0,0,640,480,0, 4827,640,480:waittimer 43881 blt 0,0,640,480,0, 4828,640,480:waittimer 43890 blt 0,0,640,480,0, 4829,640,480:waittimer 43899 blt 0,0,640,480,0, 4830,640,480:waittimer 43909 blt 0,0,640,480,0, 4831,640,480:waittimer 43918 blt 0,0,640,480,0, 4832,640,480:waittimer 43927 blt 0,0,640,480,0, 4833,640,480:waittimer 43936 blt 0,0,640,480,0, 4834,640,480:waittimer 43945 blt 0,0,640,480,0, 4835,640,480:waittimer 43954 blt 0,0,640,480,0, 4836,640,480:waittimer 43963 blt 0,0,640,480,0, 4837,640,480:waittimer 43972 blt 0,0,640,480,0, 4838,640,480:waittimer 43981 blt 0,0,640,480,0, 4839,640,480:waittimer 43990 blt 0,0,640,480,0, 4840,640,480:waittimer 43999 blt 0,0,640,480,0, 4841,640,480:waittimer 44009 blt 0,0,640,480,0, 4842,640,480:waittimer 44018 blt 0,0,640,480,0, 4843,640,480:waittimer 44027 blt 0,0,640,480,0, 4844,640,480:waittimer 44036 blt 0,0,640,480,0, 4845,640,480:waittimer 44045 blt 0,0,640,480,0, 4846,640,480:waittimer 44054 blt 0,0,640,480,0, 4847,640,480:waittimer 44063 blt 0,0,640,480,0, 4848,640,480:waittimer 44072 blt 0,0,640,480,0, 4849,640,480:waittimer 44081 blt 0,0,640,480,0, 4850,640,480:waittimer 44090 blt 0,0,640,480,0, 4851,640,480:waittimer 44099 blt 0,0,640,480,0, 4852,640,480:waittimer 44109 blt 0,0,640,480,0, 4853,640,480:waittimer 44118 blt 0,0,640,480,0, 4854,640,480:waittimer 44127 blt 0,0,640,480,0, 4855,640,480:waittimer 44136 blt 0,0,640,480,0, 4856,640,480:waittimer 44145 blt 0,0,640,480,0, 4857,640,480:waittimer 44154 blt 0,0,640,480,0, 4858,640,480:waittimer 44163 blt 0,0,640,480,0, 4859,640,480:waittimer 44172 blt 0,0,640,480,0, 4860,640,480:waittimer 44181 blt 0,0,640,480,0, 4861,640,480:waittimer 44190 blt 0,0,640,480,0, 4862,640,480:waittimer 44199 blt 0,0,640,480,0, 4863,640,480:waittimer 44209 blt 0,0,640,480,0, 4864,640,480:waittimer 44218 blt 0,0,640,480,0, 4865,640,480:waittimer 44227 blt 0,0,640,480,0, 4866,640,480:waittimer 44236 blt 0,0,640,480,0, 4867,640,480:waittimer 44245 blt 0,0,640,480,0, 4868,640,480:waittimer 44254 blt 0,0,640,480,0, 4869,640,480:waittimer 44263 blt 0,0,640,480,0, 4870,640,480:waittimer 44272 blt 0,0,640,480,0, 4871,640,480:waittimer 44281 blt 0,0,640,480,0, 4872,640,480:waittimer 44290 blt 0,0,640,480,0, 4873,640,480:waittimer 44299 blt 0,0,640,480,0, 4874,640,480:waittimer 44309 blt 0,0,640,480,0, 4875,640,480:waittimer 44318 blt 0,0,640,480,0, 4876,640,480:waittimer 44327 blt 0,0,640,480,0, 4877,640,480:waittimer 44336 blt 0,0,640,480,0, 4878,640,480:waittimer 44345 blt 0,0,640,480,0, 4879,640,480:waittimer 44354 blt 0,0,640,480,0, 4880,640,480:waittimer 44363 blt 0,0,640,480,0, 4881,640,480:waittimer 44372 blt 0,0,640,480,0, 4882,640,480:waittimer 44381 blt 0,0,640,480,0, 4883,640,480:waittimer 44390 blt 0,0,640,480,0, 4884,640,480:waittimer 44399 blt 0,0,640,480,0, 4885,640,480:waittimer 44409 blt 0,0,640,480,0, 4886,640,480:waittimer 44418 blt 0,0,640,480,0, 4887,640,480:waittimer 44427 blt 0,0,640,480,0, 4888,640,480:waittimer 44436 blt 0,0,640,480,0, 4889,640,480:waittimer 44445 blt 0,0,640,480,0, 4890,640,480:waittimer 44454 blt 0,0,640,480,0, 4891,640,480:waittimer 44463 blt 0,0,640,480,0, 4892,640,480:waittimer 44472 blt 0,0,640,480,0, 4893,640,480:waittimer 44481 blt 0,0,640,480,0, 4894,640,480:waittimer 44490 blt 0,0,640,480,0, 4895,640,480:waittimer 44499 blt 0,0,640,480,0, 4896,640,480:waittimer 44509 blt 0,0,640,480,0, 4897,640,480:waittimer 44518 blt 0,0,640,480,0, 4898,640,480:waittimer 44527 blt 0,0,640,480,0, 4899,640,480:waittimer 44536 blt 0,0,640,480,0, 4900,640,480:waittimer 44545 blt 0,0,640,480,0, 4901,640,480:waittimer 44554 blt 0,0,640,480,0, 4902,640,480:waittimer 44563 blt 0,0,640,480,0, 4903,640,480:waittimer 44572 blt 0,0,640,480,0, 4904,640,480:waittimer 44581 blt 0,0,640,480,0, 4905,640,480:waittimer 44590 blt 0,0,640,480,0, 4906,640,480:waittimer 44599 blt 0,0,640,480,0, 4907,640,480:waittimer 44609 blt 0,0,640,480,0, 4908,640,480:waittimer 44618 blt 0,0,640,480,0, 4909,640,480:waittimer 44627 blt 0,0,640,480,0, 4910,640,480:waittimer 44636 blt 0,0,640,480,0, 4911,640,480:waittimer 44645 blt 0,0,640,480,0, 4912,640,480:waittimer 44654 blt 0,0,640,480,0, 4913,640,480:waittimer 44663 blt 0,0,640,480,0, 4914,640,480:waittimer 44672 blt 0,0,640,480,0, 4915,640,480:waittimer 44681 blt 0,0,640,480,0, 4916,640,480:waittimer 44690 blt 0,0,640,480,0, 4917,640,480:waittimer 44699 blt 0,0,640,480,0, 4918,640,480:waittimer 44709 blt 0,0,640,480,0, 4919,640,480:waittimer 44718 blt 0,0,640,480,0, 4920,640,480:waittimer 44727 blt 0,0,640,480,0, 4921,640,480:waittimer 44736 blt 0,0,640,480,0, 4922,640,480:waittimer 44745 blt 0,0,640,480,0, 4923,640,480:waittimer 44754 blt 0,0,640,480,0, 4924,640,480:waittimer 44763 blt 0,0,640,480,0, 4925,640,480:waittimer 44772 blt 0,0,640,480,0, 4926,640,480:waittimer 44781 blt 0,0,640,480,0, 4927,640,480:waittimer 44790 blt 0,0,640,480,0, 4928,640,480:waittimer 44799 blt 0,0,640,480,0, 4929,640,480:waittimer 44809 blt 0,0,640,480,0, 4930,640,480:waittimer 44818 blt 0,0,640,480,0, 4931,640,480:waittimer 44827 blt 0,0,640,480,0, 4932,640,480:waittimer 44836 blt 0,0,640,480,0, 4933,640,480:waittimer 44845 blt 0,0,640,480,0, 4934,640,480:waittimer 44854 blt 0,0,640,480,0, 4935,640,480:waittimer 44863 blt 0,0,640,480,0, 4936,640,480:waittimer 44872 blt 0,0,640,480,0, 4937,640,480:waittimer 44881 blt 0,0,640,480,0, 4938,640,480:waittimer 44890 blt 0,0,640,480,0, 4939,640,480:waittimer 44899 blt 0,0,640,480,0, 4940,640,480:waittimer 44909 blt 0,0,640,480,0, 4941,640,480:waittimer 44918 blt 0,0,640,480,0, 4942,640,480:waittimer 44927 blt 0,0,640,480,0, 4943,640,480:waittimer 44936 blt 0,0,640,480,0, 4944,640,480:waittimer 44945 blt 0,0,640,480,0, 4945,640,480:waittimer 44954 blt 0,0,640,480,0, 4946,640,480:waittimer 44963 blt 0,0,640,480,0, 4947,640,480:waittimer 44972 blt 0,0,640,480,0, 4948,640,480:waittimer 44981 blt 0,0,640,480,0, 4949,640,480:waittimer 44990 blt 0,0,640,480,0, 4950,640,480:waittimer 44999 blt 0,0,640,480,0, 4951,640,480:waittimer 45009 blt 0,0,640,480,0, 4952,640,480:waittimer 45018 blt 0,0,640,480,0, 4953,640,480:waittimer 45027 blt 0,0,640,480,0, 4954,640,480:waittimer 45036 blt 0,0,640,480,0, 4955,640,480:waittimer 45045 blt 0,0,640,480,0, 4956,640,480:waittimer 45054 blt 0,0,640,480,0, 4957,640,480:waittimer 45063 blt 0,0,640,480,0, 4958,640,480:waittimer 45072 blt 0,0,640,480,0, 4959,640,480:waittimer 45081 blt 0,0,640,480,0, 4960,640,480:waittimer 45090 blt 0,0,640,480,0, 4961,640,480:waittimer 45099 blt 0,0,640,480,0, 4962,640,480:waittimer 45109 blt 0,0,640,480,0, 4963,640,480:waittimer 45118 blt 0,0,640,480,0, 4964,640,480:waittimer 45127 blt 0,0,640,480,0, 4965,640,480:waittimer 45136 blt 0,0,640,480,0, 4966,640,480:waittimer 45145 blt 0,0,640,480,0, 4967,640,480:waittimer 45154 blt 0,0,640,480,0, 4968,640,480:waittimer 45163 blt 0,0,640,480,0, 4969,640,480:waittimer 45172 blt 0,0,640,480,0, 4970,640,480:waittimer 45181 blt 0,0,640,480,0, 4971,640,480:waittimer 45190 blt 0,0,640,480,0, 4972,640,480:waittimer 45199 blt 0,0,640,480,0, 4973,640,480:waittimer 45209 blt 0,0,640,480,0, 4974,640,480:waittimer 45218 blt 0,0,640,480,0, 4975,640,480:waittimer 45227 blt 0,0,640,480,0, 4976,640,480:waittimer 45236 blt 0,0,640,480,0, 4977,640,480:waittimer 45245 blt 0,0,640,480,0, 4978,640,480:waittimer 45254 blt 0,0,640,480,0, 4979,640,480:waittimer 45263 blt 0,0,640,480,0, 4980,640,480:waittimer 45272 blt 0,0,640,480,0, 4981,640,480:waittimer 45281 blt 0,0,640,480,0, 4982,640,480:waittimer 45290 blt 0,0,640,480,0, 4983,640,480:waittimer 45299 blt 0,0,640,480,0, 4984,640,480:waittimer 45309 blt 0,0,640,480,0, 4985,640,480:waittimer 45318 blt 0,0,640,480,0, 4986,640,480:waittimer 45327 blt 0,0,640,480,0, 4987,640,480:waittimer 45336 blt 0,0,640,480,0, 4988,640,480:waittimer 45345 blt 0,0,640,480,0, 4989,640,480:waittimer 45354 blt 0,0,640,480,0, 4990,640,480:waittimer 45363 blt 0,0,640,480,0, 4991,640,480:waittimer 45372 blt 0,0,640,480,0, 4992,640,480:waittimer 45381 blt 0,0,640,480,0, 4993,640,480:waittimer 45390 blt 0,0,640,480,0, 4994,640,480:waittimer 45399 blt 0,0,640,480,0, 4995,640,480:waittimer 45409 blt 0,0,640,480,0, 4996,640,480:waittimer 45418 blt 0,0,640,480,0, 4997,640,480:waittimer 45427 blt 0,0,640,480,0, 4998,640,480:waittimer 45436 blt 0,0,640,480,0, 4999,640,480:waittimer 45445 blt 0,0,640,480,0, 5000,640,480:waittimer 45454 blt 0,0,640,480,0, 5001,640,480:waittimer 45463 blt 0,0,640,480,0, 5002,640,480:waittimer 45472 blt 0,0,640,480,0, 5003,640,480:waittimer 45481 blt 0,0,640,480,0, 5004,640,480:waittimer 45490 blt 0,0,640,480,0, 5005,640,480:waittimer 45499 blt 0,0,640,480,0, 5006,640,480:waittimer 45509 blt 0,0,640,480,0, 5007,640,480:waittimer 45518 blt 0,0,640,480,0, 5008,640,480:waittimer 45527 blt 0,0,640,480,0, 5009,640,480:waittimer 45536 blt 0,0,640,480,0, 5010,640,480:waittimer 45545 blt 0,0,640,480,0, 5011,640,480:waittimer 45554 blt 0,0,640,480,0, 5012,640,480:waittimer 45563 blt 0,0,640,480,0, 5013,640,480:waittimer 45572 blt 0,0,640,480,0, 5014,640,480:waittimer 45581 blt 0,0,640,480,0, 5015,640,480:waittimer 45590 blt 0,0,640,480,0, 5016,640,480:waittimer 45599 blt 0,0,640,480,0, 5017,640,480:waittimer 45609 blt 0,0,640,480,0, 5018,640,480:waittimer 45618 blt 0,0,640,480,0, 5019,640,480:waittimer 45627 blt 0,0,640,480,0, 5020,640,480:waittimer 45636 blt 0,0,640,480,0, 5021,640,480:waittimer 45645 blt 0,0,640,480,0, 5022,640,480:waittimer 45654 blt 0,0,640,480,0, 5023,640,480:waittimer 45663 blt 0,0,640,480,0, 5024,640,480:waittimer 45672 blt 0,0,640,480,0, 5025,640,480:waittimer 45681 blt 0,0,640,480,0, 5026,640,480:waittimer 45690 blt 0,0,640,480,0, 5027,640,480:waittimer 45699 blt 0,0,640,480,0, 5028,640,480:waittimer 45709 blt 0,0,640,480,0, 5029,640,480:waittimer 45718 blt 0,0,640,480,0, 5030,640,480:waittimer 45727 blt 0,0,640,480,0, 5031,640,480:waittimer 45736 blt 0,0,640,480,0, 5032,640,480:waittimer 45745 blt 0,0,640,480,0, 5033,640,480:waittimer 45754 blt 0,0,640,480,0, 5034,640,480:waittimer 45763 blt 0,0,640,480,0, 5035,640,480:waittimer 45772 blt 0,0,640,480,0, 5036,640,480:waittimer 45781 blt 0,0,640,480,0, 5037,640,480:waittimer 45790 blt 0,0,640,480,0, 5038,640,480:waittimer 45799 blt 0,0,640,480,0, 5039,640,480:waittimer 45809 blt 0,0,640,480,0, 5040,640,480:waittimer 45818 blt 0,0,640,480,0, 5041,640,480:waittimer 45827 blt 0,0,640,480,0, 5042,640,480:waittimer 45836 blt 0,0,640,480,0, 5043,640,480:waittimer 45845 blt 0,0,640,480,0, 5044,640,480:waittimer 45854 blt 0,0,640,480,0, 5045,640,480:waittimer 45863 blt 0,0,640,480,0, 5046,640,480:waittimer 45872 blt 0,0,640,480,0, 5047,640,480:waittimer 45881 blt 0,0,640,480,0, 5048,640,480:waittimer 45890 blt 0,0,640,480,0, 5049,640,480:waittimer 45899 blt 0,0,640,480,0, 5050,640,480:waittimer 45909 blt 0,0,640,480,0, 5051,640,480:waittimer 45918 blt 0,0,640,480,0, 5052,640,480:waittimer 45927 blt 0,0,640,480,0, 5053,640,480:waittimer 45936 blt 0,0,640,480,0, 5054,640,480:waittimer 45945 blt 0,0,640,480,0, 5055,640,480:waittimer 45954 blt 0,0,640,480,0, 5056,640,480:waittimer 45963 blt 0,0,640,480,0, 5057,640,480:waittimer 45972 blt 0,0,640,480,0, 5058,640,480:waittimer 45981 blt 0,0,640,480,0, 5059,640,480:waittimer 45990 blt 0,0,640,480,0, 5060,640,480:waittimer 45999 blt 0,0,640,480,0, 5061,640,480:waittimer 46009 blt 0,0,640,480,0, 5062,640,480:waittimer 46018 blt 0,0,640,480,0, 5063,640,480:waittimer 46027 blt 0,0,640,480,0, 5064,640,480:waittimer 46036 blt 0,0,640,480,0, 5065,640,480:waittimer 46045 blt 0,0,640,480,0, 5066,640,480:waittimer 46054 blt 0,0,640,480,0, 5067,640,480:waittimer 46063 blt 0,0,640,480,0, 5068,640,480:waittimer 46072 blt 0,0,640,480,0, 5069,640,480:waittimer 46081 blt 0,0,640,480,0, 5070,640,480:waittimer 46090 blt 0,0,640,480,0, 5071,640,480:waittimer 46099 blt 0,0,640,480,0, 5072,640,480:waittimer 46109 blt 0,0,640,480,0, 5073,640,480:waittimer 46118 blt 0,0,640,480,0, 5074,640,480:waittimer 46127 blt 0,0,640,480,0, 5075,640,480:waittimer 46136 blt 0,0,640,480,0, 5076,640,480:waittimer 46145 blt 0,0,640,480,0, 5077,640,480:waittimer 46154 blt 0,0,640,480,0, 5078,640,480:waittimer 46163 blt 0,0,640,480,0, 5079,640,480:waittimer 46172 blt 0,0,640,480,0, 5080,640,480:waittimer 46181 blt 0,0,640,480,0, 5081,640,480:waittimer 46190 blt 0,0,640,480,0, 5082,640,480:waittimer 46199 blt 0,0,640,480,0, 5083,640,480:waittimer 46209 blt 0,0,640,480,0, 5084,640,480:waittimer 46218 blt 0,0,640,480,0, 5085,640,480:waittimer 46227 blt 0,0,640,480,0, 5086,640,480:waittimer 46236 blt 0,0,640,480,0, 5087,640,480:waittimer 46245 blt 0,0,640,480,0, 5088,640,480:waittimer 46254 blt 0,0,640,480,0, 5089,640,480:waittimer 46263 blt 0,0,640,480,0, 5090,640,480:waittimer 46272 blt 0,0,640,480,0, 5091,640,480:waittimer 46281 blt 0,0,640,480,0, 5092,640,480:waittimer 46290 blt 0,0,640,480,0, 5093,640,480:waittimer 46299 blt 0,0,640,480,0, 5094,640,480:waittimer 46309 blt 0,0,640,480,0, 5095,640,480:waittimer 46318 blt 0,0,640,480,0, 5096,640,480:waittimer 46327 blt 0,0,640,480,0, 5097,640,480:waittimer 46336 blt 0,0,640,480,0, 5098,640,480:waittimer 46345 blt 0,0,640,480,0, 5099,640,480:waittimer 46354 blt 0,0,640,480,0, 5100,640,480:waittimer 46363 blt 0,0,640,480,0, 5101,640,480:waittimer 46372 blt 0,0,640,480,0, 5102,640,480:waittimer 46381 blt 0,0,640,480,0, 5103,640,480:waittimer 46390 blt 0,0,640,480,0, 5104,640,480:waittimer 46399 blt 0,0,640,480,0, 5105,640,480:waittimer 46409 blt 0,0,640,480,0, 5106,640,480:waittimer 46418 blt 0,0,640,480,0, 5107,640,480:waittimer 46427 blt 0,0,640,480,0, 5108,640,480:waittimer 46436 blt 0,0,640,480,0, 5109,640,480:waittimer 46445 blt 0,0,640,480,0, 5110,640,480:waittimer 46454 blt 0,0,640,480,0, 5111,640,480:waittimer 46463 blt 0,0,640,480,0, 5112,640,480:waittimer 46472 blt 0,0,640,480,0, 5113,640,480:waittimer 46481 blt 0,0,640,480,0, 5114,640,480:waittimer 46490 blt 0,0,640,480,0, 5115,640,480:waittimer 46499 blt 0,0,640,480,0, 5116,640,480:waittimer 46509 blt 0,0,640,480,0, 5117,640,480:waittimer 46518 blt 0,0,640,480,0, 5118,640,480:waittimer 46527 blt 0,0,640,480,0, 5119,640,480:waittimer 46536 blt 0,0,640,480,0, 5120,640,480:waittimer 46545 blt 0,0,640,480,0, 5121,640,480:waittimer 46554 blt 0,0,640,480,0, 5122,640,480:waittimer 46563 blt 0,0,640,480,0, 5123,640,480:waittimer 46572 blt 0,0,640,480,0, 5124,640,480:waittimer 46581 blt 0,0,640,480,0, 5125,640,480:waittimer 46590 blt 0,0,640,480,0, 5126,640,480:waittimer 46599 blt 0,0,640,480,0, 5127,640,480:waittimer 46609 blt 0,0,640,480,0, 5128,640,480:waittimer 46618 blt 0,0,640,480,0, 5129,640,480:waittimer 46627 blt 0,0,640,480,0, 5130,640,480:waittimer 46636 blt 0,0,640,480,0, 5131,640,480:waittimer 46645 blt 0,0,640,480,0, 5132,640,480:waittimer 46654 blt 0,0,640,480,0, 5133,640,480:waittimer 46663 blt 0,0,640,480,0, 5134,640,480:waittimer 46672 blt 0,0,640,480,0, 5135,640,480:waittimer 46681 blt 0,0,640,480,0, 5136,640,480:waittimer 46690 blt 0,0,640,480,0, 5137,640,480:waittimer 46699 blt 0,0,640,480,0, 5138,640,480:waittimer 46709 blt 0,0,640,480,0, 5139,640,480:waittimer 46718 blt 0,0,640,480,0, 5140,640,480:waittimer 46727 blt 0,0,640,480,0, 5141,640,480:waittimer 46736 blt 0,0,640,480,0, 5142,640,480:waittimer 46745 blt 0,0,640,480,0, 5143,640,480:waittimer 46754 blt 0,0,640,480,0, 5144,640,480:waittimer 46763 blt 0,0,640,480,0, 5145,640,480:waittimer 46772 blt 0,0,640,480,0, 5146,640,480:waittimer 46781 blt 0,0,640,480,0, 5147,640,480:waittimer 46790 blt 0,0,640,480,0, 5148,640,480:waittimer 46799 blt 0,0,640,480,0, 5149,640,480:waittimer 46809 blt 0,0,640,480,0, 5150,640,480:waittimer 46818 blt 0,0,640,480,0, 5151,640,480:waittimer 46827 blt 0,0,640,480,0, 5152,640,480:waittimer 46836 blt 0,0,640,480,0, 5153,640,480:waittimer 46845 blt 0,0,640,480,0, 5154,640,480:waittimer 46854 blt 0,0,640,480,0, 5155,640,480:waittimer 46863 blt 0,0,640,480,0, 5156,640,480:waittimer 46872 blt 0,0,640,480,0, 5157,640,480:waittimer 46881 blt 0,0,640,480,0, 5158,640,480:waittimer 46890 blt 0,0,640,480,0, 5159,640,480:waittimer 46899 blt 0,0,640,480,0, 5160,640,480:waittimer 46909 blt 0,0,640,480,0, 5161,640,480:waittimer 46918 blt 0,0,640,480,0, 5162,640,480:waittimer 46927 blt 0,0,640,480,0, 5163,640,480:waittimer 46936 blt 0,0,640,480,0, 5164,640,480:waittimer 46945 blt 0,0,640,480,0, 5165,640,480:waittimer 46954 blt 0,0,640,480,0, 5166,640,480:waittimer 46963 blt 0,0,640,480,0, 5167,640,480:waittimer 46972 blt 0,0,640,480,0, 5168,640,480:waittimer 46981 blt 0,0,640,480,0, 5169,640,480:waittimer 46990 blt 0,0,640,480,0, 5170,640,480:waittimer 46999 blt 0,0,640,480,0, 5171,640,480:waittimer 47009 blt 0,0,640,480,0, 5172,640,480:waittimer 47018 blt 0,0,640,480,0, 5173,640,480:waittimer 47027 blt 0,0,640,480,0, 5174,640,480:waittimer 47036 blt 0,0,640,480,0, 5175,640,480:waittimer 47045 blt 0,0,640,480,0, 5176,640,480:waittimer 47054 blt 0,0,640,480,0, 5177,640,480:waittimer 47063 blt 0,0,640,480,0, 5178,640,480:waittimer 47072 blt 0,0,640,480,0, 5179,640,480:waittimer 47081 blt 0,0,640,480,0, 5180,640,480:waittimer 47090 blt 0,0,640,480,0, 5181,640,480:waittimer 47099 blt 0,0,640,480,0, 5182,640,480:waittimer 47109 blt 0,0,640,480,0, 5183,640,480:waittimer 47118 blt 0,0,640,480,0, 5184,640,480:waittimer 47127 blt 0,0,640,480,0, 5185,640,480:waittimer 47136 blt 0,0,640,480,0, 5186,640,480:waittimer 47145 blt 0,0,640,480,0, 5187,640,480:waittimer 47154 blt 0,0,640,480,0, 5188,640,480:waittimer 47163 blt 0,0,640,480,0, 5189,640,480:waittimer 47172 blt 0,0,640,480,0, 5190,640,480:waittimer 47181 blt 0,0,640,480,0, 5191,640,480:waittimer 47190 blt 0,0,640,480,0, 5192,640,480:waittimer 47199 blt 0,0,640,480,0, 5193,640,480:waittimer 47209 blt 0,0,640,480,0, 5194,640,480:waittimer 47218 blt 0,0,640,480,0, 5195,640,480:waittimer 47227 blt 0,0,640,480,0, 5196,640,480:waittimer 47236 blt 0,0,640,480,0, 5197,640,480:waittimer 47245 blt 0,0,640,480,0, 5198,640,480:waittimer 47254 blt 0,0,640,480,0, 5199,640,480:waittimer 47263 blt 0,0,640,480,0, 5200,640,480:waittimer 47272 blt 0,0,640,480,0, 5201,640,480:waittimer 47281 blt 0,0,640,480,0, 5202,640,480:waittimer 47290 blt 0,0,640,480,0, 5203,640,480:waittimer 47299 blt 0,0,640,480,0, 5204,640,480:waittimer 47309 blt 0,0,640,480,0, 5205,640,480:waittimer 47318 blt 0,0,640,480,0, 5206,640,480:waittimer 47327 blt 0,0,640,480,0, 5207,640,480:waittimer 47336 blt 0,0,640,480,0, 5208,640,480:waittimer 47345 blt 0,0,640,480,0, 5209,640,480:waittimer 47354 blt 0,0,640,480,0, 5210,640,480:waittimer 47363 blt 0,0,640,480,0, 5211,640,480:waittimer 47372 blt 0,0,640,480,0, 5212,640,480:waittimer 47381 blt 0,0,640,480,0, 5213,640,480:waittimer 47390 blt 0,0,640,480,0, 5214,640,480:waittimer 47399 blt 0,0,640,480,0, 5215,640,480:waittimer 47409 blt 0,0,640,480,0, 5216,640,480:waittimer 47418 blt 0,0,640,480,0, 5217,640,480:waittimer 47427 blt 0,0,640,480,0, 5218,640,480:waittimer 47436 blt 0,0,640,480,0, 5219,640,480:waittimer 47445 blt 0,0,640,480,0, 5220,640,480:waittimer 47454 blt 0,0,640,480,0, 5221,640,480:waittimer 47463 blt 0,0,640,480,0, 5222,640,480:waittimer 47472 blt 0,0,640,480,0, 5223,640,480:waittimer 47481 blt 0,0,640,480,0, 5224,640,480:waittimer 47490 blt 0,0,640,480,0, 5225,640,480:waittimer 47499 blt 0,0,640,480,0, 5226,640,480:waittimer 47509 blt 0,0,640,480,0, 5227,640,480:waittimer 47518 blt 0,0,640,480,0, 5228,640,480:waittimer 47527 blt 0,0,640,480,0, 5229,640,480:waittimer 47536 blt 0,0,640,480,0, 5230,640,480:waittimer 47545 blt 0,0,640,480,0, 5231,640,480:waittimer 47554 blt 0,0,640,480,0, 5232,640,480:waittimer 47563 blt 0,0,640,480,0, 5233,640,480:waittimer 47572 blt 0,0,640,480,0, 5234,640,480:waittimer 47581 blt 0,0,640,480,0, 5235,640,480:waittimer 47590 blt 0,0,640,480,0, 5236,640,480:waittimer 47599 blt 0,0,640,480,0, 5237,640,480:waittimer 47609 blt 0,0,640,480,0, 5238,640,480:waittimer 47618 blt 0,0,640,480,0, 5239,640,480:waittimer 47627 blt 0,0,640,480,0, 5240,640,480:waittimer 47636 blt 0,0,640,480,0, 5241,640,480:waittimer 47645 blt 0,0,640,480,0, 5242,640,480:waittimer 47654 blt 0,0,640,480,0, 5243,640,480:waittimer 47663 blt 0,0,640,480,0, 5244,640,480:waittimer 47672 blt 0,0,640,480,0, 5245,640,480:waittimer 47681 blt 0,0,640,480,0, 5246,640,480:waittimer 47690 blt 0,0,640,480,0, 5247,640,480:waittimer 47699 blt 0,0,640,480,0, 5248,640,480:waittimer 47709 blt 0,0,640,480,0, 5249,640,480:waittimer 47718 blt 0,0,640,480,0, 5250,640,480:waittimer 47727 blt 0,0,640,480,0, 5251,640,480:waittimer 47736 blt 0,0,640,480,0, 5252,640,480:waittimer 47745 blt 0,0,640,480,0, 5253,640,480:waittimer 47754 blt 0,0,640,480,0, 5254,640,480:waittimer 47763 blt 0,0,640,480,0, 5255,640,480:waittimer 47772 blt 0,0,640,480,0, 5256,640,480:waittimer 47781 blt 0,0,640,480,0, 5257,640,480:waittimer 47790 blt 0,0,640,480,0, 5258,640,480:waittimer 47799 blt 0,0,640,480,0, 5259,640,480:waittimer 47809 blt 0,0,640,480,0, 5260,640,480:waittimer 47818 blt 0,0,640,480,0, 5261,640,480:waittimer 47827 blt 0,0,640,480,0, 5262,640,480:waittimer 47836 blt 0,0,640,480,0, 5263,640,480:waittimer 47845 blt 0,0,640,480,0, 5264,640,480:waittimer 47854 blt 0,0,640,480,0, 5265,640,480:waittimer 47863 blt 0,0,640,480,0, 5266,640,480:waittimer 47872 blt 0,0,640,480,0, 5267,640,480:waittimer 47881 blt 0,0,640,480,0, 5268,640,480:waittimer 47890 blt 0,0,640,480,0, 5269,640,480:waittimer 47899 blt 0,0,640,480,0, 5270,640,480:waittimer 47909 blt 0,0,640,480,0, 5271,640,480:waittimer 47918 blt 0,0,640,480,0, 5272,640,480:waittimer 47927 blt 0,0,640,480,0, 5273,640,480:waittimer 47936 blt 0,0,640,480,0, 5274,640,480:waittimer 47945 blt 0,0,640,480,0, 5275,640,480:waittimer 47954 blt 0,0,640,480,0, 5276,640,480:waittimer 47963 blt 0,0,640,480,0, 5277,640,480:waittimer 47972 blt 0,0,640,480,0, 5278,640,480:waittimer 47981 blt 0,0,640,480,0, 5279,640,480:waittimer 47990 blt 0,0,640,480,0, 5280,640,480:waittimer 47999 blt 0,0,640,480,0, 5281,640,480:waittimer 48009 blt 0,0,640,480,0, 5282,640,480:waittimer 48018 blt 0,0,640,480,0, 5283,640,480:waittimer 48027 blt 0,0,640,480,0, 5284,640,480:waittimer 48036 blt 0,0,640,480,0, 5285,640,480:waittimer 48045 blt 0,0,640,480,0, 5286,640,480:waittimer 48054 blt 0,0,640,480,0, 5287,640,480:waittimer 48063 blt 0,0,640,480,0, 5288,640,480:waittimer 48072 blt 0,0,640,480,0, 5289,640,480:waittimer 48081 blt 0,0,640,480,0, 5290,640,480:waittimer 48090 blt 0,0,640,480,0, 5291,640,480:waittimer 48099 blt 0,0,640,480,0, 5292,640,480:waittimer 48109 blt 0,0,640,480,0, 5293,640,480:waittimer 48118 blt 0,0,640,480,0, 5294,640,480:waittimer 48127 blt 0,0,640,480,0, 5295,640,480:waittimer 48136 blt 0,0,640,480,0, 5296,640,480:waittimer 48145 blt 0,0,640,480,0, 5297,640,480:waittimer 48154 blt 0,0,640,480,0, 5298,640,480:waittimer 48163 blt 0,0,640,480,0, 5299,640,480:waittimer 48172 blt 0,0,640,480,0, 5300,640,480:waittimer 48181 blt 0,0,640,480,0, 5301,640,480:waittimer 48190 blt 0,0,640,480,0, 5302,640,480:waittimer 48199 blt 0,0,640,480,0, 5303,640,480:waittimer 48209 blt 0,0,640,480,0, 5304,640,480:waittimer 48218 blt 0,0,640,480,0, 5305,640,480:waittimer 48227 blt 0,0,640,480,0, 5306,640,480:waittimer 48236 blt 0,0,640,480,0, 5307,640,480:waittimer 48245 blt 0,0,640,480,0, 5308,640,480:waittimer 48254 blt 0,0,640,480,0, 5309,640,480:waittimer 48263 blt 0,0,640,480,0, 5310,640,480:waittimer 48272 blt 0,0,640,480,0, 5311,640,480:waittimer 48281 blt 0,0,640,480,0, 5312,640,480:waittimer 48290 blt 0,0,640,480,0, 5313,640,480:waittimer 48299 blt 0,0,640,480,0, 5314,640,480:waittimer 48309 blt 0,0,640,480,0, 5315,640,480:waittimer 48318 blt 0,0,640,480,0, 5316,640,480:waittimer 48327 blt 0,0,640,480,0, 5317,640,480:waittimer 48336 blt 0,0,640,480,0, 5318,640,480:waittimer 48345 blt 0,0,640,480,0, 5319,640,480:waittimer 48354 blt 0,0,640,480,0, 5320,640,480:waittimer 48363 blt 0,0,640,480,0, 5321,640,480:waittimer 48372 blt 0,0,640,480,0, 5322,640,480:waittimer 48381 blt 0,0,640,480,0, 5323,640,480:waittimer 48390 blt 0,0,640,480,0, 5324,640,480:waittimer 48399 blt 0,0,640,480,0, 5325,640,480:waittimer 48409 blt 0,0,640,480,0, 5326,640,480:waittimer 48418 blt 0,0,640,480,0, 5327,640,480:waittimer 48427 blt 0,0,640,480,0, 5328,640,480:waittimer 48436 blt 0,0,640,480,0, 5329,640,480:waittimer 48445 blt 0,0,640,480,0, 5330,640,480:waittimer 48454 blt 0,0,640,480,0, 5331,640,480:waittimer 48463 blt 0,0,640,480,0, 5332,640,480:waittimer 48472 blt 0,0,640,480,0, 5333,640,480:waittimer 48481 blt 0,0,640,480,0, 5334,640,480:waittimer 48490 blt 0,0,640,480,0, 5335,640,480:waittimer 48499 blt 0,0,640,480,0, 5336,640,480:waittimer 48509 blt 0,0,640,480,0, 5337,640,480:waittimer 48518 blt 0,0,640,480,0, 5338,640,480:waittimer 48527 blt 0,0,640,480,0, 5339,640,480:waittimer 48536 blt 0,0,640,480,0, 5340,640,480:waittimer 48545 blt 0,0,640,480,0, 5341,640,480:waittimer 48554 blt 0,0,640,480,0, 5342,640,480:waittimer 48563 blt 0,0,640,480,0, 5343,640,480:waittimer 48572 blt 0,0,640,480,0, 5344,640,480:waittimer 48581 blt 0,0,640,480,0, 5345,640,480:waittimer 48590 blt 0,0,640,480,0, 5346,640,480:waittimer 48599 blt 0,0,640,480,0, 5347,640,480:waittimer 48609 blt 0,0,640,480,0, 5348,640,480:waittimer 48618 blt 0,0,640,480,0, 5349,640,480:waittimer 48627 blt 0,0,640,480,0, 5350,640,480:waittimer 48636 blt 0,0,640,480,0, 5351,640,480:waittimer 48645 blt 0,0,640,480,0, 5352,640,480:waittimer 48654 blt 0,0,640,480,0, 5353,640,480:waittimer 48663 blt 0,0,640,480,0, 5354,640,480:waittimer 48672 blt 0,0,640,480,0, 5355,640,480:waittimer 48681 blt 0,0,640,480,0, 5356,640,480:waittimer 48690 blt 0,0,640,480,0, 5357,640,480:waittimer 48699 blt 0,0,640,480,0, 5358,640,480:waittimer 48709 blt 0,0,640,480,0, 5359,640,480:waittimer 48718 blt 0,0,640,480,0, 5360,640,480:waittimer 48727 blt 0,0,640,480,0, 5361,640,480:waittimer 48736 blt 0,0,640,480,0, 5362,640,480:waittimer 48745 blt 0,0,640,480,0, 5363,640,480:waittimer 48754 blt 0,0,640,480,0, 5364,640,480:waittimer 48763 blt 0,0,640,480,0, 5365,640,480:waittimer 48772 blt 0,0,640,480,0, 5366,640,480:waittimer 48781 blt 0,0,640,480,0, 5367,640,480:waittimer 48790 blt 0,0,640,480,0, 5368,640,480:waittimer 48799 blt 0,0,640,480,0, 5369,640,480:waittimer 48809 blt 0,0,640,480,0, 5370,640,480:waittimer 48818 blt 0,0,640,480,0, 5371,640,480:waittimer 48827 blt 0,0,640,480,0, 5372,640,480:waittimer 48836 blt 0,0,640,480,0, 5373,640,480:waittimer 48845 blt 0,0,640,480,0, 5374,640,480:waittimer 48854 blt 0,0,640,480,0, 5375,640,480:waittimer 48863 blt 0,0,640,480,0, 5376,640,480:waittimer 48872 blt 0,0,640,480,0, 5377,640,480:waittimer 48881 blt 0,0,640,480,0, 5378,640,480:waittimer 48890 blt 0,0,640,480,0, 5379,640,480:waittimer 48899 blt 0,0,640,480,0, 5380,640,480:waittimer 48909 blt 0,0,640,480,0, 5381,640,480:waittimer 48918 blt 0,0,640,480,0, 5382,640,480:waittimer 48927 blt 0,0,640,480,0, 5383,640,480:waittimer 48936 blt 0,0,640,480,0, 5384,640,480:waittimer 48945 blt 0,0,640,480,0, 5385,640,480:waittimer 48954 blt 0,0,640,480,0, 5386,640,480:waittimer 48963 blt 0,0,640,480,0, 5387,640,480:waittimer 48972 blt 0,0,640,480,0, 5388,640,480:waittimer 48981 blt 0,0,640,480,0, 5389,640,480:waittimer 48990 blt 0,0,640,480,0, 5390,640,480:waittimer 48999 blt 0,0,640,480,0, 5391,640,480:waittimer 49009 blt 0,0,640,480,0, 5392,640,480:waittimer 49018 blt 0,0,640,480,0, 5393,640,480:waittimer 49027 blt 0,0,640,480,0, 5394,640,480:waittimer 49036 blt 0,0,640,480,0, 5395,640,480:waittimer 49045 blt 0,0,640,480,0, 5396,640,480:waittimer 49054 blt 0,0,640,480,0, 5397,640,480:waittimer 49063 blt 0,0,640,480,0, 5398,640,480:waittimer 49072 blt 0,0,640,480,0, 5399,640,480:waittimer 49081 blt 0,0,640,480,0, 5400,640,480:waittimer 49090 blt 0,0,640,480,0, 5401,640,480:waittimer 49099 blt 0,0,640,480,0, 5402,640,480:waittimer 49109 blt 0,0,640,480,0, 5403,640,480:waittimer 49118 blt 0,0,640,480,0, 5404,640,480:waittimer 49127 blt 0,0,640,480,0, 5405,640,480:waittimer 49136 blt 0,0,640,480,0, 5406,640,480:waittimer 49145 blt 0,0,640,480,0, 5407,640,480:waittimer 49154 blt 0,0,640,480,0, 5408,640,480:waittimer 49163 blt 0,0,640,480,0, 5409,640,480:waittimer 49172 blt 0,0,640,480,0, 5410,640,480:waittimer 49181 blt 0,0,640,480,0, 5411,640,480:waittimer 49190 blt 0,0,640,480,0, 5412,640,480:waittimer 49199 blt 0,0,640,480,0, 5413,640,480:waittimer 49209 blt 0,0,640,480,0, 5414,640,480:waittimer 49218 blt 0,0,640,480,0, 5415,640,480:waittimer 49227 blt 0,0,640,480,0, 5416,640,480:waittimer 49236 blt 0,0,640,480,0, 5417,640,480:waittimer 49245 blt 0,0,640,480,0, 5418,640,480:waittimer 49254 blt 0,0,640,480,0, 5419,640,480:waittimer 49263 blt 0,0,640,480,0, 5420,640,480:waittimer 49272 blt 0,0,640,480,0, 5421,640,480:waittimer 49281 blt 0,0,640,480,0, 5422,640,480:waittimer 49290 blt 0,0,640,480,0, 5423,640,480:waittimer 49299 blt 0,0,640,480,0, 5424,640,480:waittimer 49309 blt 0,0,640,480,0, 5425,640,480:waittimer 49318 blt 0,0,640,480,0, 5426,640,480:waittimer 49327 blt 0,0,640,480,0, 5427,640,480:waittimer 49336 blt 0,0,640,480,0, 5428,640,480:waittimer 49345 blt 0,0,640,480,0, 5429,640,480:waittimer 49354 blt 0,0,640,480,0, 5430,640,480:waittimer 49363 blt 0,0,640,480,0, 5431,640,480:waittimer 49372 blt 0,0,640,480,0, 5432,640,480:waittimer 49381 blt 0,0,640,480,0, 5433,640,480:waittimer 49390 blt 0,0,640,480,0, 5434,640,480:waittimer 49399 blt 0,0,640,480,0, 5435,640,480:waittimer 49409 blt 0,0,640,480,0, 5436,640,480:waittimer 49418 blt 0,0,640,480,0, 5437,640,480:waittimer 49427 blt 0,0,640,480,0, 5438,640,480:waittimer 49436 blt 0,0,640,480,0, 5439,640,480:waittimer 49445 blt 0,0,640,480,0, 5440,640,480:waittimer 49454 blt 0,0,640,480,0, 5441,640,480:waittimer 49463 blt 0,0,640,480,0, 5442,640,480:waittimer 49472 blt 0,0,640,480,0, 5443,640,480:waittimer 49481 blt 0,0,640,480,0, 5444,640,480:waittimer 49490 blt 0,0,640,480,0, 5445,640,480:waittimer 49499 blt 0,0,640,480,0, 5446,640,480:waittimer 49509 blt 0,0,640,480,0, 5447,640,480:waittimer 49518 blt 0,0,640,480,0, 5448,640,480:waittimer 49527 blt 0,0,640,480,0, 5449,640,480:waittimer 49536 blt 0,0,640,480,0, 5450,640,480:waittimer 49545 blt 0,0,640,480,0, 5451,640,480:waittimer 49554 blt 0,0,640,480,0, 5452,640,480:waittimer 49563 blt 0,0,640,480,0, 5453,640,480:waittimer 49572 blt 0,0,640,480,0, 5454,640,480:waittimer 49581 blt 0,0,640,480,0, 5455,640,480:waittimer 49590 blt 0,0,640,480,0, 5456,640,480:waittimer 49599 blt 0,0,640,480,0, 5457,640,480:waittimer 49609 blt 0,0,640,480,0, 5458,640,480:waittimer 49618 blt 0,0,640,480,0, 5459,640,480:waittimer 49627 blt 0,0,640,480,0, 5460,640,480:waittimer 49636 blt 0,0,640,480,0, 5461,640,480:waittimer 49645 blt 0,0,640,480,0, 5462,640,480:waittimer 49654 blt 0,0,640,480,0, 5463,640,480:waittimer 49663 blt 0,0,640,480,0, 5464,640,480:waittimer 49672 blt 0,0,640,480,0, 5465,640,480:waittimer 49681 blt 0,0,640,480,0, 5466,640,480:waittimer 49690 blt 0,0,640,480,0, 5467,640,480:waittimer 49699 blt 0,0,640,480,0, 5468,640,480:waittimer 49709 blt 0,0,640,480,0, 5469,640,480:waittimer 49718 blt 0,0,640,480,0, 5470,640,480:waittimer 49727 blt 0,0,640,480,0, 5471,640,480:waittimer 49736 blt 0,0,640,480,0, 5472,640,480:waittimer 49745 blt 0,0,640,480,0, 5473,640,480:waittimer 49754 blt 0,0,640,480,0, 5474,640,480:waittimer 49763 blt 0,0,640,480,0, 5475,640,480:waittimer 49772 blt 0,0,640,480,0, 5476,640,480:waittimer 49781 blt 0,0,640,480,0, 5477,640,480:waittimer 49790 blt 0,0,640,480,0, 5478,640,480:waittimer 49799 blt 0,0,640,480,0, 5479,640,480:waittimer 49809 blt 0,0,640,480,0, 5480,640,480:waittimer 49818 blt 0,0,640,480,0, 5481,640,480:waittimer 49827 blt 0,0,640,480,0, 5482,640,480:waittimer 49836 blt 0,0,640,480,0, 5483,640,480:waittimer 49845 blt 0,0,640,480,0, 5484,640,480:waittimer 49854 blt 0,0,640,480,0, 5485,640,480:waittimer 49863 blt 0,0,640,480,0, 5486,640,480:waittimer 49872 blt 0,0,640,480,0, 5487,640,480:waittimer 49881 blt 0,0,640,480,0, 5488,640,480:waittimer 49890 blt 0,0,640,480,0, 5489,640,480:waittimer 49899 blt 0,0,640,480,0, 5490,640,480:waittimer 49909 blt 0,0,640,480,0, 5491,640,480:waittimer 49918 blt 0,0,640,480,0, 5492,640,480:waittimer 49927 blt 0,0,640,480,0, 5493,640,480:waittimer 49936 blt 0,0,640,480,0, 5494,640,480:waittimer 49945 blt 0,0,640,480,0, 5495,640,480:waittimer 49954 blt 0,0,640,480,0, 5496,640,480:waittimer 49963 blt 0,0,640,480,0, 5497,640,480:waittimer 49972 blt 0,0,640,480,0, 5498,640,480:waittimer 49981 blt 0,0,640,480,0, 5499,640,480:waittimer 49990 blt 0,0,640,480,0, 5500,640,480:waittimer 49999 blt 0,0,640,480,0, 5501,640,480:waittimer 50009 blt 0,0,640,480,0, 5502,640,480:waittimer 50018 blt 0,0,640,480,0, 5503,640,480:waittimer 50027 blt 0,0,640,480,0, 5504,640,480:waittimer 50036 blt 0,0,640,480,0, 5505,640,480:waittimer 50045 blt 0,0,640,480,0, 5506,640,480:waittimer 50054 blt 0,0,640,480,0, 5507,640,480:waittimer 50063 blt 0,0,640,480,0, 5508,640,480:waittimer 50072 blt 0,0,640,480,0, 5509,640,480:waittimer 50081 blt 0,0,640,480,0, 5510,640,480:waittimer 50090 blt 0,0,640,480,0, 5511,640,480:waittimer 50099 blt 0,0,640,480,0, 5512,640,480:waittimer 50109 blt 0,0,640,480,0, 5513,640,480:waittimer 50118 blt 0,0,640,480,0, 5514,640,480:waittimer 50127 blt 0,0,640,480,0, 5515,640,480:waittimer 50136 blt 0,0,640,480,0, 5516,640,480:waittimer 50145 blt 0,0,640,480,0, 5517,640,480:waittimer 50154 blt 0,0,640,480,0, 5518,640,480:waittimer 50163 blt 0,0,640,480,0, 5519,640,480:waittimer 50172 blt 0,0,640,480,0, 5520,640,480:waittimer 50181 blt 0,0,640,480,0, 5521,640,480:waittimer 50190 blt 0,0,640,480,0, 5522,640,480:waittimer 50199 blt 0,0,640,480,0, 5523,640,480:waittimer 50209 blt 0,0,640,480,0, 5524,640,480:waittimer 50218 blt 0,0,640,480,0, 5525,640,480:waittimer 50227 blt 0,0,640,480,0, 5526,640,480:waittimer 50236 blt 0,0,640,480,0, 5527,640,480:waittimer 50245 blt 0,0,640,480,0, 5528,640,480:waittimer 50254 blt 0,0,640,480,0, 5529,640,480:waittimer 50263 blt 0,0,640,480,0, 5530,640,480:waittimer 50272 blt 0,0,640,480,0, 5531,640,480:waittimer 50281 blt 0,0,640,480,0, 5532,640,480:waittimer 50290 blt 0,0,640,480,0, 5533,640,480:waittimer 50299 blt 0,0,640,480,0, 5534,640,480:waittimer 50309 blt 0,0,640,480,0, 5535,640,480:waittimer 50318 blt 0,0,640,480,0, 5536,640,480:waittimer 50327 blt 0,0,640,480,0, 5537,640,480:waittimer 50336 blt 0,0,640,480,0, 5538,640,480:waittimer 50345 blt 0,0,640,480,0, 5539,640,480:waittimer 50354 blt 0,0,640,480,0, 5540,640,480:waittimer 50363 blt 0,0,640,480,0, 5541,640,480:waittimer 50372 blt 0,0,640,480,0, 5542,640,480:waittimer 50381 blt 0,0,640,480,0, 5543,640,480:waittimer 50390 blt 0,0,640,480,0, 5544,640,480:waittimer 50399 blt 0,0,640,480,0, 5545,640,480:waittimer 50409 blt 0,0,640,480,0, 5546,640,480:waittimer 50418 blt 0,0,640,480,0, 5547,640,480:waittimer 50427 blt 0,0,640,480,0, 5548,640,480:waittimer 50436 blt 0,0,640,480,0, 5549,640,480:waittimer 50445 blt 0,0,640,480,0, 5550,640,480:waittimer 50454 blt 0,0,640,480,0, 5551,640,480:waittimer 50463 blt 0,0,640,480,0, 5552,640,480:waittimer 50472 blt 0,0,640,480,0, 5553,640,480:waittimer 50481 blt 0,0,640,480,0, 5554,640,480:waittimer 50490 blt 0,0,640,480,0, 5555,640,480:waittimer 50499 blt 0,0,640,480,0, 5556,640,480:waittimer 50509 blt 0,0,640,480,0, 5557,640,480:waittimer 50518 blt 0,0,640,480,0, 5558,640,480:waittimer 50527 blt 0,0,640,480,0, 5559,640,480:waittimer 50536 blt 0,0,640,480,0, 5560,640,480:waittimer 50545 blt 0,0,640,480,0, 5561,640,480:waittimer 50554 blt 0,0,640,480,0, 5562,640,480:waittimer 50563 blt 0,0,640,480,0, 5563,640,480:waittimer 50572 blt 0,0,640,480,0, 5564,640,480:waittimer 50581 blt 0,0,640,480,0, 5565,640,480:waittimer 50590 blt 0,0,640,480,0, 5566,640,480:waittimer 50599 blt 0,0,640,480,0, 5567,640,480:waittimer 50609 blt 0,0,640,480,0, 5568,640,480:waittimer 50618 blt 0,0,640,480,0, 5569,640,480:waittimer 50627 blt 0,0,640,480,0, 5570,640,480:waittimer 50636 blt 0,0,640,480,0, 5571,640,480:waittimer 50645 blt 0,0,640,480,0, 5572,640,480:waittimer 50654 blt 0,0,640,480,0, 5573,640,480:waittimer 50663 blt 0,0,640,480,0, 5574,640,480:waittimer 50672 blt 0,0,640,480,0, 5575,640,480:waittimer 50681 blt 0,0,640,480,0, 5576,640,480:waittimer 50690 blt 0,0,640,480,0, 5577,640,480:waittimer 50699 blt 0,0,640,480,0, 5578,640,480:waittimer 50709 blt 0,0,640,480,0, 5579,640,480:waittimer 50718 blt 0,0,640,480,0, 5580,640,480:waittimer 50727 blt 0,0,640,480,0, 5581,640,480:waittimer 50736 blt 0,0,640,480,0, 5582,640,480:waittimer 50745 blt 0,0,640,480,0, 5583,640,480:waittimer 50754 blt 0,0,640,480,0, 5584,640,480:waittimer 50763 blt 0,0,640,480,0, 5585,640,480:waittimer 50772 blt 0,0,640,480,0, 5586,640,480:waittimer 50781 blt 0,0,640,480,0, 5587,640,480:waittimer 50790 blt 0,0,640,480,0, 5588,640,480:waittimer 50799 blt 0,0,640,480,0, 5589,640,480:waittimer 50809 blt 0,0,640,480,0, 5590,640,480:waittimer 50818 blt 0,0,640,480,0, 5591,640,480:waittimer 50827 blt 0,0,640,480,0, 5592,640,480:waittimer 50836 blt 0,0,640,480,0, 5593,640,480:waittimer 50845 blt 0,0,640,480,0, 5594,640,480:waittimer 50854 blt 0,0,640,480,0, 5595,640,480:waittimer 50863 blt 0,0,640,480,0, 5596,640,480:waittimer 50872 blt 0,0,640,480,0, 5597,640,480:waittimer 50881 blt 0,0,640,480,0, 5598,640,480:waittimer 50890 blt 0,0,640,480,0, 5599,640,480:waittimer 50899 blt 0,0,640,480,0, 5600,640,480:waittimer 50909 blt 0,0,640,480,0, 5601,640,480:waittimer 50918 blt 0,0,640,480,0, 5602,640,480:waittimer 50927 blt 0,0,640,480,0, 5603,640,480:waittimer 50936 blt 0,0,640,480,0, 5604,640,480:waittimer 50945 blt 0,0,640,480,0, 5605,640,480:waittimer 50954 blt 0,0,640,480,0, 5606,640,480:waittimer 50963 blt 0,0,640,480,0, 5607,640,480:waittimer 50972 blt 0,0,640,480,0, 5608,640,480:waittimer 50981 blt 0,0,640,480,0, 5609,640,480:waittimer 50990 blt 0,0,640,480,0, 5610,640,480:waittimer 50999 blt 0,0,640,480,0, 5611,640,480:waittimer 51009 blt 0,0,640,480,0, 5612,640,480:waittimer 51018 blt 0,0,640,480,0, 5613,640,480:waittimer 51027 blt 0,0,640,480,0, 5614,640,480:waittimer 51036 blt 0,0,640,480,0, 5615,640,480:waittimer 51045 blt 0,0,640,480,0, 5616,640,480:waittimer 51054 blt 0,0,640,480,0, 5617,640,480:waittimer 51063 blt 0,0,640,480,0, 5618,640,480:waittimer 51072 blt 0,0,640,480,0, 5619,640,480:waittimer 51081 blt 0,0,640,480,0, 5620,640,480:waittimer 51090 blt 0,0,640,480,0, 5621,640,480:waittimer 51099 blt 0,0,640,480,0, 5622,640,480:waittimer 51109 blt 0,0,640,480,0, 5623,640,480:waittimer 51118 blt 0,0,640,480,0, 5624,640,480:waittimer 51127 blt 0,0,640,480,0, 5625,640,480:waittimer 51136 blt 0,0,640,480,0, 5626,640,480:waittimer 51145 blt 0,0,640,480,0, 5627,640,480:waittimer 51154 blt 0,0,640,480,0, 5628,640,480:waittimer 51163 blt 0,0,640,480,0, 5629,640,480:waittimer 51172 blt 0,0,640,480,0, 5630,640,480:waittimer 51181 blt 0,0,640,480,0, 5631,640,480:waittimer 51190 blt 0,0,640,480,0, 5632,640,480:waittimer 51199 blt 0,0,640,480,0, 5633,640,480:waittimer 51209 blt 0,0,640,480,0, 5634,640,480:waittimer 51218 blt 0,0,640,480,0, 5635,640,480:waittimer 51227 blt 0,0,640,480,0, 5636,640,480:waittimer 51236 blt 0,0,640,480,0, 5637,640,480:waittimer 51245 blt 0,0,640,480,0, 5638,640,480:waittimer 51254 blt 0,0,640,480,0, 5639,640,480:waittimer 51263 blt 0,0,640,480,0, 5640,640,480:waittimer 51272 blt 0,0,640,480,0, 5641,640,480:waittimer 51281 blt 0,0,640,480,0, 5642,640,480:waittimer 51290 blt 0,0,640,480,0, 5643,640,480:waittimer 51299 blt 0,0,640,480,0, 5644,640,480:waittimer 51309 blt 0,0,640,480,0, 5645,640,480:waittimer 51318 blt 0,0,640,480,0, 5646,640,480:waittimer 51327 blt 0,0,640,480,0, 5647,640,480:waittimer 51336 blt 0,0,640,480,0, 5648,640,480:waittimer 51345 blt 0,0,640,480,0, 5649,640,480:waittimer 51354 blt 0,0,640,480,0, 5650,640,480:waittimer 51363 blt 0,0,640,480,0, 5651,640,480:waittimer 51372 blt 0,0,640,480,0, 5652,640,480:waittimer 51381 blt 0,0,640,480,0, 5653,640,480:waittimer 51390 blt 0,0,640,480,0, 5654,640,480:waittimer 51399 blt 0,0,640,480,0, 5655,640,480:waittimer 51409 blt 0,0,640,480,0, 5656,640,480:waittimer 51418 blt 0,0,640,480,0, 5657,640,480:waittimer 51427 blt 0,0,640,480,0, 5658,640,480:waittimer 51436 blt 0,0,640,480,0, 5659,640,480:waittimer 51445 blt 0,0,640,480,0, 5660,640,480:waittimer 51454 blt 0,0,640,480,0, 5661,640,480:waittimer 51463 blt 0,0,640,480,0, 5662,640,480:waittimer 51472 blt 0,0,640,480,0, 5663,640,480:waittimer 51481 blt 0,0,640,480,0, 5664,640,480:waittimer 51490 blt 0,0,640,480,0, 5665,640,480:waittimer 51499 blt 0,0,640,480,0, 5666,640,480:waittimer 51509 blt 0,0,640,480,0, 5667,640,480:waittimer 51518 blt 0,0,640,480,0, 5668,640,480:waittimer 51527 blt 0,0,640,480,0, 5669,640,480:waittimer 51536 blt 0,0,640,480,0, 5670,640,480:waittimer 51545 blt 0,0,640,480,0, 5671,640,480:waittimer 51554 blt 0,0,640,480,0, 5672,640,480:waittimer 51563 blt 0,0,640,480,0, 5673,640,480:waittimer 51572 blt 0,0,640,480,0, 5674,640,480:waittimer 51581 blt 0,0,640,480,0, 5675,640,480:waittimer 51590 blt 0,0,640,480,0, 5676,640,480:waittimer 51599 blt 0,0,640,480,0, 5677,640,480:waittimer 51609 blt 0,0,640,480,0, 5678,640,480:waittimer 51618 blt 0,0,640,480,0, 5679,640,480:waittimer 51627 blt 0,0,640,480,0, 5680,640,480:waittimer 51636 blt 0,0,640,480,0, 5681,640,480:waittimer 51645 blt 0,0,640,480,0, 5682,640,480:waittimer 51654 blt 0,0,640,480,0, 5683,640,480:waittimer 51663 blt 0,0,640,480,0, 5684,640,480:waittimer 51672 blt 0,0,640,480,0, 5685,640,480:waittimer 51681 blt 0,0,640,480,0, 5686,640,480:waittimer 51690 blt 0,0,640,480,0, 5687,640,480:waittimer 51699 blt 0,0,640,480,0, 5688,640,480:waittimer 51709 blt 0,0,640,480,0, 5689,640,480:waittimer 51718 blt 0,0,640,480,0, 5690,640,480:waittimer 51727 blt 0,0,640,480,0, 5691,640,480:waittimer 51736 blt 0,0,640,480,0, 5692,640,480:waittimer 51745 blt 0,0,640,480,0, 5693,640,480:waittimer 51754 blt 0,0,640,480,0, 5694,640,480:waittimer 51763 blt 0,0,640,480,0, 5695,640,480:waittimer 51772 blt 0,0,640,480,0, 5696,640,480:waittimer 51781 blt 0,0,640,480,0, 5697,640,480:waittimer 51790 blt 0,0,640,480,0, 5698,640,480:waittimer 51799 blt 0,0,640,480,0, 5699,640,480:waittimer 51809 blt 0,0,640,480,0, 5700,640,480:waittimer 51818 blt 0,0,640,480,0, 5701,640,480:waittimer 51827 blt 0,0,640,480,0, 5702,640,480:waittimer 51836 blt 0,0,640,480,0, 5703,640,480:waittimer 51845 blt 0,0,640,480,0, 5704,640,480:waittimer 51854 blt 0,0,640,480,0, 5705,640,480:waittimer 51863 blt 0,0,640,480,0, 5706,640,480:waittimer 51872 blt 0,0,640,480,0, 5707,640,480:waittimer 51881 blt 0,0,640,480,0, 5708,640,480:waittimer 51890 blt 0,0,640,480,0, 5709,640,480:waittimer 51899 blt 0,0,640,480,0, 5710,640,480:waittimer 51909 blt 0,0,640,480,0, 5711,640,480:waittimer 51918 blt 0,0,640,480,0, 5712,640,480:waittimer 51927 blt 0,0,640,480,0, 5713,640,480:waittimer 51936 blt 0,0,640,480,0, 5714,640,480:waittimer 51945 blt 0,0,640,480,0, 5715,640,480:waittimer 51954 blt 0,0,640,480,0, 5716,640,480:waittimer 51963 blt 0,0,640,480,0, 5717,640,480:waittimer 51972 blt 0,0,640,480,0, 5718,640,480:waittimer 51981 blt 0,0,640,480,0, 5719,640,480:waittimer 51990 blt 0,0,640,480,0, 5720,640,480:waittimer 51999 blt 0,0,640,480,0, 5721,640,480:waittimer 52009 blt 0,0,640,480,0, 5722,640,480:waittimer 52018 blt 0,0,640,480,0, 5723,640,480:waittimer 52027 blt 0,0,640,480,0, 5724,640,480:waittimer 52036 blt 0,0,640,480,0, 5725,640,480:waittimer 52045 blt 0,0,640,480,0, 5726,640,480:waittimer 52054 blt 0,0,640,480,0, 5727,640,480:waittimer 52063 blt 0,0,640,480,0, 5728,640,480:waittimer 52072 blt 0,0,640,480,0, 5729,640,480:waittimer 52081 blt 0,0,640,480,0, 5730,640,480:waittimer 52090 blt 0,0,640,480,0, 5731,640,480:waittimer 52099 blt 0,0,640,480,0, 5732,640,480:waittimer 52109 blt 0,0,640,480,0, 5733,640,480:waittimer 52118 blt 0,0,640,480,0, 5734,640,480:waittimer 52127 blt 0,0,640,480,0, 5735,640,480:waittimer 52136 blt 0,0,640,480,0, 5736,640,480:waittimer 52145 blt 0,0,640,480,0, 5737,640,480:waittimer 52154 blt 0,0,640,480,0, 5738,640,480:waittimer 52163 blt 0,0,640,480,0, 5739,640,480:waittimer 52172 blt 0,0,640,480,0, 5740,640,480:waittimer 52181 blt 0,0,640,480,0, 5741,640,480:waittimer 52190 blt 0,0,640,480,0, 5742,640,480:waittimer 52199 blt 0,0,640,480,0, 5743,640,480:waittimer 52209 blt 0,0,640,480,0, 5744,640,480:waittimer 52218 blt 0,0,640,480,0, 5745,640,480:waittimer 52227 blt 0,0,640,480,0, 5746,640,480:waittimer 52236 blt 0,0,640,480,0, 5747,640,480:waittimer 52245 blt 0,0,640,480,0, 5748,640,480:waittimer 52254 blt 0,0,640,480,0, 5749,640,480:waittimer 52263 blt 0,0,640,480,0, 5750,640,480:waittimer 52272 blt 0,0,640,480,0, 5751,640,480:waittimer 52281 blt 0,0,640,480,0, 5752,640,480:waittimer 52290 blt 0,0,640,480,0, 5753,640,480:waittimer 52299 blt 0,0,640,480,0, 5754,640,480:waittimer 52309 blt 0,0,640,480,0, 5755,640,480:waittimer 52318 blt 0,0,640,480,0, 5756,640,480:waittimer 52327 blt 0,0,640,480,0, 5757,640,480:waittimer 52336 blt 0,0,640,480,0, 5758,640,480:waittimer 52345 blt 0,0,640,480,0, 5759,640,480:waittimer 52354 blt 0,0,640,480,0, 5760,640,480:waittimer 52363 blt 0,0,640,480,0, 5761,640,480:waittimer 52372 blt 0,0,640,480,0, 5762,640,480:waittimer 52381 blt 0,0,640,480,0, 5763,640,480:waittimer 52390 blt 0,0,640,480,0, 5764,640,480:waittimer 52399 blt 0,0,640,480,0, 5765,640,480:waittimer 52409 blt 0,0,640,480,0, 5766,640,480:waittimer 52418 blt 0,0,640,480,0, 5767,640,480:waittimer 52427 blt 0,0,640,480,0, 5768,640,480:waittimer 52436 blt 0,0,640,480,0, 5769,640,480:waittimer 52445 blt 0,0,640,480,0, 5770,640,480:waittimer 52454 blt 0,0,640,480,0, 5771,640,480:waittimer 52463 blt 0,0,640,480,0, 5772,640,480:waittimer 52472 blt 0,0,640,480,0, 5773,640,480:waittimer 52481 blt 0,0,640,480,0, 5774,640,480:waittimer 52490 blt 0,0,640,480,0, 5775,640,480:waittimer 52499 blt 0,0,640,480,0, 5776,640,480:waittimer 52509 blt 0,0,640,480,0, 5777,640,480:waittimer 52518 blt 0,0,640,480,0, 5778,640,480:waittimer 52527 blt 0,0,640,480,0, 5779,640,480:waittimer 52536 blt 0,0,640,480,0, 5780,640,480:waittimer 52545 blt 0,0,640,480,0, 5781,640,480:waittimer 52554 blt 0,0,640,480,0, 5782,640,480:waittimer 52563 blt 0,0,640,480,0, 5783,640,480:waittimer 52572 blt 0,0,640,480,0, 5784,640,480:waittimer 52581 blt 0,0,640,480,0, 5785,640,480:waittimer 52590 blt 0,0,640,480,0, 5786,640,480:waittimer 52599 blt 0,0,640,480,0, 5787,640,480:waittimer 52609 blt 0,0,640,480,0, 5788,640,480:waittimer 52618 blt 0,0,640,480,0, 5789,640,480:waittimer 52627 blt 0,0,640,480,0, 5790,640,480:waittimer 52636 blt 0,0,640,480,0, 5791,640,480:waittimer 52645 blt 0,0,640,480,0, 5792,640,480:waittimer 52654 blt 0,0,640,480,0, 5793,640,480:waittimer 52663 blt 0,0,640,480,0, 5794,640,480:waittimer 52672 blt 0,0,640,480,0, 5795,640,480:waittimer 52681 blt 0,0,640,480,0, 5796,640,480:waittimer 52690 blt 0,0,640,480,0, 5797,640,480:waittimer 52699 blt 0,0,640,480,0, 5798,640,480:waittimer 52709 blt 0,0,640,480,0, 5799,640,480:waittimer 52718 blt 0,0,640,480,0, 5800,640,480:waittimer 52727 blt 0,0,640,480,0, 5801,640,480:waittimer 52736 blt 0,0,640,480,0, 5802,640,480:waittimer 52745 blt 0,0,640,480,0, 5803,640,480:waittimer 52754 blt 0,0,640,480,0, 5804,640,480:waittimer 52763 blt 0,0,640,480,0, 5805,640,480:waittimer 52772 blt 0,0,640,480,0, 5806,640,480:waittimer 52781 blt 0,0,640,480,0, 5807,640,480:waittimer 52790 blt 0,0,640,480,0, 5808,640,480:waittimer 52799 blt 0,0,640,480,0, 5809,640,480:waittimer 52809 blt 0,0,640,480,0, 5810,640,480:waittimer 52818 blt 0,0,640,480,0, 5811,640,480:waittimer 52827 blt 0,0,640,480,0, 5812,640,480:waittimer 52836 blt 0,0,640,480,0, 5813,640,480:waittimer 52845 blt 0,0,640,480,0, 5814,640,480:waittimer 52854 blt 0,0,640,480,0, 5815,640,480:waittimer 52863 blt 0,0,640,480,0, 5816,640,480:waittimer 52872 blt 0,0,640,480,0, 5817,640,480:waittimer 52881 blt 0,0,640,480,0, 5818,640,480:waittimer 52890 blt 0,0,640,480,0, 5819,640,480:waittimer 52899 blt 0,0,640,480,0, 5820,640,480:waittimer 52909 blt 0,0,640,480,0, 5821,640,480:waittimer 52918 blt 0,0,640,480,0, 5822,640,480:waittimer 52927 blt 0,0,640,480,0, 5823,640,480:waittimer 52936 blt 0,0,640,480,0, 5824,640,480:waittimer 52945 blt 0,0,640,480,0, 5825,640,480:waittimer 52954 blt 0,0,640,480,0, 5826,640,480:waittimer 52963 blt 0,0,640,480,0, 5827,640,480:waittimer 52972 blt 0,0,640,480,0, 5828,640,480:waittimer 52981 blt 0,0,640,480,0, 5829,640,480:waittimer 52990 blt 0,0,640,480,0, 5830,640,480:waittimer 52999 blt 0,0,640,480,0, 5831,640,480:waittimer 53009 blt 0,0,640,480,0, 5832,640,480:waittimer 53018 blt 0,0,640,480,0, 5833,640,480:waittimer 53027 blt 0,0,640,480,0, 5834,640,480:waittimer 53036 blt 0,0,640,480,0, 5835,640,480:waittimer 53045 blt 0,0,640,480,0, 5836,640,480:waittimer 53054 blt 0,0,640,480,0, 5837,640,480:waittimer 53063 blt 0,0,640,480,0, 5838,640,480:waittimer 53072 blt 0,0,640,480,0, 5839,640,480:waittimer 53081 blt 0,0,640,480,0, 5840,640,480:waittimer 53090 blt 0,0,640,480,0, 5841,640,480:waittimer 53099 blt 0,0,640,480,0, 5842,640,480:waittimer 53109 blt 0,0,640,480,0, 5843,640,480:waittimer 53118 blt 0,0,640,480,0, 5844,640,480:waittimer 53127 blt 0,0,640,480,0, 5845,640,480:waittimer 53136 blt 0,0,640,480,0, 5846,640,480:waittimer 53145 blt 0,0,640,480,0, 5847,640,480:waittimer 53154 blt 0,0,640,480,0, 5848,640,480:waittimer 53163 blt 0,0,640,480,0, 5849,640,480:waittimer 53172 blt 0,0,640,480,0, 5850,640,480:waittimer 53181 blt 0,0,640,480,0, 5851,640,480:waittimer 53190 blt 0,0,640,480,0, 5852,640,480:waittimer 53199 blt 0,0,640,480,0, 5853,640,480:waittimer 53209 blt 0,0,640,480,0, 5854,640,480:waittimer 53218 blt 0,0,640,480,0, 5855,640,480:waittimer 53227 blt 0,0,640,480,0, 5856,640,480:waittimer 53236 blt 0,0,640,480,0, 5857,640,480:waittimer 53245 blt 0,0,640,480,0, 5858,640,480:waittimer 53254 blt 0,0,640,480,0, 5859,640,480:waittimer 53263 blt 0,0,640,480,0, 5860,640,480:waittimer 53272 blt 0,0,640,480,0, 5861,640,480:waittimer 53281 blt 0,0,640,480,0, 5862,640,480:waittimer 53290 blt 0,0,640,480,0, 5863,640,480:waittimer 53299 blt 0,0,640,480,0, 5864,640,480:waittimer 53309 blt 0,0,640,480,0, 5865,640,480:waittimer 53318 blt 0,0,640,480,0, 5866,640,480:waittimer 53327 blt 0,0,640,480,0, 5867,640,480:waittimer 53336 blt 0,0,640,480,0, 5868,640,480:waittimer 53345 blt 0,0,640,480,0, 5869,640,480:waittimer 53354 blt 0,0,640,480,0, 5870,640,480:waittimer 53363 blt 0,0,640,480,0, 5871,640,480:waittimer 53372 blt 0,0,640,480,0, 5872,640,480:waittimer 53381 blt 0,0,640,480,0, 5873,640,480:waittimer 53390 blt 0,0,640,480,0, 5874,640,480:waittimer 53399 blt 0,0,640,480,0, 5875,640,480:waittimer 53409 blt 0,0,640,480,0, 5876,640,480:waittimer 53418 blt 0,0,640,480,0, 5877,640,480:waittimer 53427 blt 0,0,640,480,0, 5878,640,480:waittimer 53436 blt 0,0,640,480,0, 5879,640,480:waittimer 53445 blt 0,0,640,480,0, 5880,640,480:waittimer 53454 blt 0,0,640,480,0, 5881,640,480:waittimer 53463 blt 0,0,640,480,0, 5882,640,480:waittimer 53472 blt 0,0,640,480,0, 5883,640,480:waittimer 53481 blt 0,0,640,480,0, 5884,640,480:waittimer 53490 blt 0,0,640,480,0, 5885,640,480:waittimer 53499 blt 0,0,640,480,0, 5886,640,480:waittimer 53509 blt 0,0,640,480,0, 5887,640,480:waittimer 53518 blt 0,0,640,480,0, 5888,640,480:waittimer 53527 blt 0,0,640,480,0, 5889,640,480:waittimer 53536 blt 0,0,640,480,0, 5890,640,480:waittimer 53545 blt 0,0,640,480,0, 5891,640,480:waittimer 53554 blt 0,0,640,480,0, 5892,640,480:waittimer 53563 blt 0,0,640,480,0, 5893,640,480:waittimer 53572 blt 0,0,640,480,0, 5894,640,480:waittimer 53581 blt 0,0,640,480,0, 5895,640,480:waittimer 53590 blt 0,0,640,480,0, 5896,640,480:waittimer 53599 blt 0,0,640,480,0, 5897,640,480:waittimer 53609 blt 0,0,640,480,0, 5898,640,480:waittimer 53618 blt 0,0,640,480,0, 5899,640,480:waittimer 53627 blt 0,0,640,480,0, 5900,640,480:waittimer 53636 blt 0,0,640,480,0, 5901,640,480:waittimer 53645 blt 0,0,640,480,0, 5902,640,480:waittimer 53654 blt 0,0,640,480,0, 5903,640,480:waittimer 53663 blt 0,0,640,480,0, 5904,640,480:waittimer 53672 blt 0,0,640,480,0, 5905,640,480:waittimer 53681 blt 0,0,640,480,0, 5906,640,480:waittimer 53690 blt 0,0,640,480,0, 5907,640,480:waittimer 53699 blt 0,0,640,480,0, 5908,640,480:waittimer 53709 blt 0,0,640,480,0, 5909,640,480:waittimer 53718 blt 0,0,640,480,0, 5910,640,480:waittimer 53727 blt 0,0,640,480,0, 5911,640,480:waittimer 53736 blt 0,0,640,480,0, 5912,640,480:waittimer 53745 blt 0,0,640,480,0, 5913,640,480:waittimer 53754 blt 0,0,640,480,0, 5914,640,480:waittimer 53763 blt 0,0,640,480,0, 5915,640,480:waittimer 53772 blt 0,0,640,480,0, 5916,640,480:waittimer 53781 blt 0,0,640,480,0, 5917,640,480:waittimer 53790 blt 0,0,640,480,0, 5918,640,480:waittimer 53799 blt 0,0,640,480,0, 5919,640,480:waittimer 53809 blt 0,0,640,480,0, 5920,640,480:waittimer 53818 blt 0,0,640,480,0, 5921,640,480:waittimer 53827 blt 0,0,640,480,0, 5922,640,480:waittimer 53836 blt 0,0,640,480,0, 5923,640,480:waittimer 53845 blt 0,0,640,480,0, 5924,640,480:waittimer 53854 blt 0,0,640,480,0, 5925,640,480:waittimer 53863 blt 0,0,640,480,0, 5926,640,480:waittimer 53872 blt 0,0,640,480,0, 5927,640,480:waittimer 53881 blt 0,0,640,480,0, 5928,640,480:waittimer 53890 blt 0,0,640,480,0, 5929,640,480:waittimer 53899 blt 0,0,640,480,0, 5930,640,480:waittimer 53909 blt 0,0,640,480,0, 5931,640,480:waittimer 53918 blt 0,0,640,480,0, 5932,640,480:waittimer 53927 blt 0,0,640,480,0, 5933,640,480:waittimer 53936 blt 0,0,640,480,0, 5934,640,480:waittimer 53945 blt 0,0,640,480,0, 5935,640,480:waittimer 53954 blt 0,0,640,480,0, 5936,640,480:waittimer 53963 blt 0,0,640,480,0, 5937,640,480:waittimer 53972 blt 0,0,640,480,0, 5938,640,480:waittimer 53981 blt 0,0,640,480,0, 5939,640,480:waittimer 53990 blt 0,0,640,480,0, 5940,640,480:waittimer 53999 blt 0,0,640,480,0, 5941,640,480:waittimer 54009 blt 0,0,640,480,0, 5942,640,480:waittimer 54018 blt 0,0,640,480,0, 5943,640,480:waittimer 54027 blt 0,0,640,480,0, 5944,640,480:waittimer 54036 blt 0,0,640,480,0, 5945,640,480:waittimer 54045 blt 0,0,640,480,0, 5946,640,480:waittimer 54054 blt 0,0,640,480,0, 5947,640,480:waittimer 54063 blt 0,0,640,480,0, 5948,640,480:waittimer 54072 blt 0,0,640,480,0, 5949,640,480:waittimer 54081 blt 0,0,640,480,0, 5950,640,480:waittimer 54090 blt 0,0,640,480,0, 5951,640,480:waittimer 54099 blt 0,0,640,480,0, 5952,640,480:waittimer 54109 blt 0,0,640,480,0, 5953,640,480:waittimer 54118 blt 0,0,640,480,0, 5954,640,480:waittimer 54127 blt 0,0,640,480,0, 5955,640,480:waittimer 54136 blt 0,0,640,480,0, 5956,640,480:waittimer 54145 blt 0,0,640,480,0, 5957,640,480:waittimer 54154 blt 0,0,640,480,0, 5958,640,480:waittimer 54163 blt 0,0,640,480,0, 5959,640,480:waittimer 54172 blt 0,0,640,480,0, 5960,640,480:waittimer 54181 blt 0,0,640,480,0, 5961,640,480:waittimer 54190 blt 0,0,640,480,0, 5962,640,480:waittimer 54199 blt 0,0,640,480,0, 5963,640,480:waittimer 54209 blt 0,0,640,480,0, 5964,640,480:waittimer 54218 blt 0,0,640,480,0, 5965,640,480:waittimer 54227 blt 0,0,640,480,0, 5966,640,480:waittimer 54236 blt 0,0,640,480,0, 5967,640,480:waittimer 54245 blt 0,0,640,480,0, 5968,640,480:waittimer 54254 blt 0,0,640,480,0, 5969,640,480:waittimer 54263 blt 0,0,640,480,0, 5970,640,480:waittimer 54272 blt 0,0,640,480,0, 5971,640,480:waittimer 54281 blt 0,0,640,480,0, 5972,640,480:waittimer 54290 blt 0,0,640,480,0, 5973,640,480:waittimer 54299 blt 0,0,640,480,0, 5974,640,480:waittimer 54309 blt 0,0,640,480,0, 5975,640,480:waittimer 54318 blt 0,0,640,480,0, 5976,640,480:waittimer 54327 blt 0,0,640,480,0, 5977,640,480:waittimer 54336 blt 0,0,640,480,0, 5978,640,480:waittimer 54345 blt 0,0,640,480,0, 5979,640,480:waittimer 54354 blt 0,0,640,480,0, 5980,640,480:waittimer 54363 blt 0,0,640,480,0, 5981,640,480:waittimer 54372 blt 0,0,640,480,0, 5982,640,480:waittimer 54381 blt 0,0,640,480,0, 5983,640,480:waittimer 54390 blt 0,0,640,480,0, 5984,640,480:waittimer 54399 blt 0,0,640,480,0, 5985,640,480:waittimer 54409 blt 0,0,640,480,0, 5986,640,480:waittimer 54418 blt 0,0,640,480,0, 5987,640,480:waittimer 54427 blt 0,0,640,480,0, 5988,640,480:waittimer 54436 blt 0,0,640,480,0, 5989,640,480:waittimer 54445 blt 0,0,640,480,0, 5990,640,480:waittimer 54454 blt 0,0,640,480,0, 5991,640,480:waittimer 54463 blt 0,0,640,480,0, 5992,640,480:waittimer 54472 blt 0,0,640,480,0, 5993,640,480:waittimer 54481 blt 0,0,640,480,0, 5994,640,480:waittimer 54490 blt 0,0,640,480,0, 5995,640,480:waittimer 54499 blt 0,0,640,480,0, 5996,640,480:waittimer 54509 blt 0,0,640,480,0, 5997,640,480:waittimer 54518 blt 0,0,640,480,0, 5998,640,480:waittimer 54527 blt 0,0,640,480,0, 5999,640,480:waittimer 54536 blt 0,0,640,480,0, 6000,640,480:waittimer 54545 blt 0,0,640,480,0, 6001,640,480:waittimer 54554 blt 0,0,640,480,0, 6002,640,480:waittimer 54563 blt 0,0,640,480,0, 6003,640,480:waittimer 54572 blt 0,0,640,480,0, 6004,640,480:waittimer 54581 blt 0,0,640,480,0, 6005,640,480:waittimer 54590 blt 0,0,640,480,0, 6006,640,480:waittimer 54599 blt 0,0,640,480,0, 6007,640,480:waittimer 54609 blt 0,0,640,480,0, 6008,640,480:waittimer 54618 blt 0,0,640,480,0, 6009,640,480:waittimer 54627 blt 0,0,640,480,0, 6010,640,480:waittimer 54636 blt 0,0,640,480,0, 6011,640,480:waittimer 54645 blt 0,0,640,480,0, 6012,640,480:waittimer 54654 blt 0,0,640,480,0, 6013,640,480:waittimer 54663 blt 0,0,640,480,0, 6014,640,480:waittimer 54672 blt 0,0,640,480,0, 6015,640,480:waittimer 54681 blt 0,0,640,480,0, 6016,640,480:waittimer 54690 blt 0,0,640,480,0, 6017,640,480:waittimer 54699 blt 0,0,640,480,0, 6018,640,480:waittimer 54709 blt 0,0,640,480,0, 6019,640,480:waittimer 54718 blt 0,0,640,480,0, 6020,640,480:waittimer 54727 blt 0,0,640,480,0, 6021,640,480:waittimer 54736 blt 0,0,640,480,0, 6022,640,480:waittimer 54745 blt 0,0,640,480,0, 6023,640,480:waittimer 54754 blt 0,0,640,480,0, 6024,640,480:waittimer 54763 blt 0,0,640,480,0, 6025,640,480:waittimer 54772 blt 0,0,640,480,0, 6026,640,480:waittimer 54781 blt 0,0,640,480,0, 6027,640,480:waittimer 54790 blt 0,0,640,480,0, 6028,640,480:waittimer 54799 blt 0,0,640,480,0, 6029,640,480:waittimer 54809 blt 0,0,640,480,0, 6030,640,480:waittimer 54818 blt 0,0,640,480,0, 6031,640,480:waittimer 54827 blt 0,0,640,480,0, 6032,640,480:waittimer 54836 blt 0,0,640,480,0, 6033,640,480:waittimer 54845 blt 0,0,640,480,0, 6034,640,480:waittimer 54854 blt 0,0,640,480,0, 6035,640,480:waittimer 54863 blt 0,0,640,480,0, 6036,640,480:waittimer 54872 blt 0,0,640,480,0, 6037,640,480:waittimer 54881 blt 0,0,640,480,0, 6038,640,480:waittimer 54890 blt 0,0,640,480,0, 6039,640,480:waittimer 54899 blt 0,0,640,480,0, 6040,640,480:waittimer 54909 blt 0,0,640,480,0, 6041,640,480:waittimer 54918 blt 0,0,640,480,0, 6042,640,480:waittimer 54927 blt 0,0,640,480,0, 6043,640,480:waittimer 54936 blt 0,0,640,480,0, 6044,640,480:waittimer 54945 blt 0,0,640,480,0, 6045,640,480:waittimer 54954 blt 0,0,640,480,0, 6046,640,480:waittimer 54963 blt 0,0,640,480,0, 6047,640,480:waittimer 54972 blt 0,0,640,480,0, 6048,640,480:waittimer 54981 blt 0,0,640,480,0, 6049,640,480:waittimer 54990 blt 0,0,640,480,0, 6050,640,480:waittimer 54999 blt 0,0,640,480,0, 6051,640,480:waittimer 55009 blt 0,0,640,480,0, 6052,640,480:waittimer 55018 blt 0,0,640,480,0, 6053,640,480:waittimer 55027 blt 0,0,640,480,0, 6054,640,480:waittimer 55036 blt 0,0,640,480,0, 6055,640,480:waittimer 55045 blt 0,0,640,480,0, 6056,640,480:waittimer 55054 blt 0,0,640,480,0, 6057,640,480:waittimer 55063 blt 0,0,640,480,0, 6058,640,480:waittimer 55072 blt 0,0,640,480,0, 6059,640,480:waittimer 55081 blt 0,0,640,480,0, 6060,640,480:waittimer 55090 blt 0,0,640,480,0, 6061,640,480:waittimer 55099 blt 0,0,640,480,0, 6062,640,480:waittimer 55109 blt 0,0,640,480,0, 6063,640,480:waittimer 55118 blt 0,0,640,480,0, 6064,640,480:waittimer 55127 blt 0,0,640,480,0, 6065,640,480:waittimer 55136 blt 0,0,640,480,0, 6066,640,480:waittimer 55145 blt 0,0,640,480,0, 6067,640,480:waittimer 55154 blt 0,0,640,480,0, 6068,640,480:waittimer 55163 blt 0,0,640,480,0, 6069,640,480:waittimer 55172 blt 0,0,640,480,0, 6070,640,480:waittimer 55181 blt 0,0,640,480,0, 6071,640,480:waittimer 55190 blt 0,0,640,480,0, 6072,640,480:waittimer 55199 blt 0,0,640,480,0, 6073,640,480:waittimer 55209 blt 0,0,640,480,0, 6074,640,480:waittimer 55218 blt 0,0,640,480,0, 6075,640,480:waittimer 55227 blt 0,0,640,480,0, 6076,640,480:waittimer 55236 blt 0,0,640,480,0, 6077,640,480:waittimer 55245 blt 0,0,640,480,0, 6078,640,480:waittimer 55254 blt 0,0,640,480,0, 6079,640,480:waittimer 55263 blt 0,0,640,480,0, 6080,640,480:waittimer 55272 blt 0,0,640,480,0, 6081,640,480:waittimer 55281 blt 0,0,640,480,0, 6082,640,480:waittimer 55290 blt 0,0,640,480,0, 6083,640,480:waittimer 55299 blt 0,0,640,480,0, 6084,640,480:waittimer 55309 blt 0,0,640,480,0, 6085,640,480:waittimer 55318 blt 0,0,640,480,0, 6086,640,480:waittimer 55327 blt 0,0,640,480,0, 6087,640,480:waittimer 55336 blt 0,0,640,480,0, 6088,640,480:waittimer 55345 blt 0,0,640,480,0, 6089,640,480:waittimer 55354 blt 0,0,640,480,0, 6090,640,480:waittimer 55363 blt 0,0,640,480,0, 6091,640,480:waittimer 55372 blt 0,0,640,480,0, 6092,640,480:waittimer 55381 blt 0,0,640,480,0, 6093,640,480:waittimer 55390 blt 0,0,640,480,0, 6094,640,480:waittimer 55399 blt 0,0,640,480,0, 6095,640,480:waittimer 55409 blt 0,0,640,480,0, 6096,640,480:waittimer 55418 blt 0,0,640,480,0, 6097,640,480:waittimer 55427 blt 0,0,640,480,0, 6098,640,480:waittimer 55436 blt 0,0,640,480,0, 6099,640,480:waittimer 55445 blt 0,0,640,480,0, 6100,640,480:waittimer 55454 blt 0,0,640,480,0, 6101,640,480:waittimer 55463 blt 0,0,640,480,0, 6102,640,480:waittimer 55472 blt 0,0,640,480,0, 6103,640,480:waittimer 55481 blt 0,0,640,480,0, 6104,640,480:waittimer 55490 blt 0,0,640,480,0, 6105,640,480:waittimer 55499 blt 0,0,640,480,0, 6106,640,480:waittimer 55509 blt 0,0,640,480,0, 6107,640,480:waittimer 55518 blt 0,0,640,480,0, 6108,640,480:waittimer 55527 blt 0,0,640,480,0, 6109,640,480:waittimer 55536 blt 0,0,640,480,0, 6110,640,480:waittimer 55545 blt 0,0,640,480,0, 6111,640,480:waittimer 55554 blt 0,0,640,480,0, 6112,640,480:waittimer 55563 blt 0,0,640,480,0, 6113,640,480:waittimer 55572 blt 0,0,640,480,0, 6114,640,480:waittimer 55581 blt 0,0,640,480,0, 6115,640,480:waittimer 55590 blt 0,0,640,480,0, 6116,640,480:waittimer 55599 blt 0,0,640,480,0, 6117,640,480:waittimer 55609 blt 0,0,640,480,0, 6118,640,480:waittimer 55618 blt 0,0,640,480,0, 6119,640,480:waittimer 55627 blt 0,0,640,480,0, 6120,640,480:waittimer 55636 blt 0,0,640,480,0, 6121,640,480:waittimer 55645 blt 0,0,640,480,0, 6122,640,480:waittimer 55654 blt 0,0,640,480,0, 6123,640,480:waittimer 55663 blt 0,0,640,480,0, 6124,640,480:waittimer 55672 blt 0,0,640,480,0, 6125,640,480:waittimer 55681 blt 0,0,640,480,0, 6126,640,480:waittimer 55690 blt 0,0,640,480,0, 6127,640,480:waittimer 55699 blt 0,0,640,480,0, 6128,640,480:waittimer 55709 blt 0,0,640,480,0, 6129,640,480:waittimer 55718 blt 0,0,640,480,0, 6130,640,480:waittimer 55727 blt 0,0,640,480,0, 6131,640,480:waittimer 55736 blt 0,0,640,480,0, 6132,640,480:waittimer 55745 blt 0,0,640,480,0, 6133,640,480:waittimer 55754 blt 0,0,640,480,0, 6134,640,480:waittimer 55763 blt 0,0,640,480,0, 6135,640,480:waittimer 55772 blt 0,0,640,480,0, 6136,640,480:waittimer 55781 blt 0,0,640,480,0, 6137,640,480:waittimer 55790 blt 0,0,640,480,0, 6138,640,480:waittimer 55799 blt 0,0,640,480,0, 6139,640,480:waittimer 55809 blt 0,0,640,480,0, 6140,640,480:waittimer 55818 blt 0,0,640,480,0, 6141,640,480:waittimer 55827 blt 0,0,640,480,0, 6142,640,480:waittimer 55836 blt 0,0,640,480,0, 6143,640,480:waittimer 55845 blt 0,0,640,480,0, 6144,640,480:waittimer 55854 blt 0,0,640,480,0, 6145,640,480:waittimer 55863 blt 0,0,640,480,0, 6146,640,480:waittimer 55872 blt 0,0,640,480,0, 6147,640,480:waittimer 55881 blt 0,0,640,480,0, 6148,640,480:waittimer 55890 blt 0,0,640,480,0, 6149,640,480:waittimer 55899 blt 0,0,640,480,0, 6150,640,480:waittimer 55909 blt 0,0,640,480,0, 6151,640,480:waittimer 55918 blt 0,0,640,480,0, 6152,640,480:waittimer 55927 blt 0,0,640,480,0, 6153,640,480:waittimer 55936 blt 0,0,640,480,0, 6154,640,480:waittimer 55945 blt 0,0,640,480,0, 6155,640,480:waittimer 55954 blt 0,0,640,480,0, 6156,640,480:waittimer 55963 blt 0,0,640,480,0, 6157,640,480:waittimer 55972 blt 0,0,640,480,0, 6158,640,480:waittimer 55981 blt 0,0,640,480,0, 6159,640,480:waittimer 55990 blt 0,0,640,480,0, 6160,640,480:waittimer 55999 blt 0,0,640,480,0, 6161,640,480:waittimer 56009 blt 0,0,640,480,0, 6162,640,480:waittimer 56018 blt 0,0,640,480,0, 6163,640,480:waittimer 56027 blt 0,0,640,480,0, 6164,640,480:waittimer 56036 blt 0,0,640,480,0, 6165,640,480:waittimer 56045 blt 0,0,640,480,0, 6166,640,480:waittimer 56054 blt 0,0,640,480,0, 6167,640,480:waittimer 56063 blt 0,0,640,480,0, 6168,640,480:waittimer 56072 blt 0,0,640,480,0, 6169,640,480:waittimer 56081 blt 0,0,640,480,0, 6170,640,480:waittimer 56090 blt 0,0,640,480,0, 6171,640,480:waittimer 56099 blt 0,0,640,480,0, 6172,640,480:waittimer 56109 blt 0,0,640,480,0, 6173,640,480:waittimer 56118 blt 0,0,640,480,0, 6174,640,480:waittimer 56127 blt 0,0,640,480,0, 6175,640,480:waittimer 56136 blt 0,0,640,480,0, 6176,640,480:waittimer 56145 blt 0,0,640,480,0, 6177,640,480:waittimer 56154 blt 0,0,640,480,0, 6178,640,480:waittimer 56163 blt 0,0,640,480,0, 6179,640,480:waittimer 56172 blt 0,0,640,480,0, 6180,640,480:waittimer 56181 blt 0,0,640,480,0, 6181,640,480:waittimer 56190 blt 0,0,640,480,0, 6182,640,480:waittimer 56199 blt 0,0,640,480,0, 6183,640,480:waittimer 56209 blt 0,0,640,480,0, 6184,640,480:waittimer 56218 blt 0,0,640,480,0, 6185,640,480:waittimer 56227 blt 0,0,640,480,0, 6186,640,480:waittimer 56236 blt 0,0,640,480,0, 6187,640,480:waittimer 56245 blt 0,0,640,480,0, 6188,640,480:waittimer 56254 blt 0,0,640,480,0, 6189,640,480:waittimer 56263 blt 0,0,640,480,0, 6190,640,480:waittimer 56272 blt 0,0,640,480,0, 6191,640,480:waittimer 56281 blt 0,0,640,480,0, 6192,640,480:waittimer 56290 blt 0,0,640,480,0, 6193,640,480:waittimer 56299 blt 0,0,640,480,0, 6194,640,480:waittimer 56309 blt 0,0,640,480,0, 6195,640,480:waittimer 56318 blt 0,0,640,480,0, 6196,640,480:waittimer 56327 blt 0,0,640,480,0, 6197,640,480:waittimer 56336 blt 0,0,640,480,0, 6198,640,480:waittimer 56345 blt 0,0,640,480,0, 6199,640,480:waittimer 56354 blt 0,0,640,480,0, 6200,640,480:waittimer 56363 blt 0,0,640,480,0, 6201,640,480:waittimer 56372 blt 0,0,640,480,0, 6202,640,480:waittimer 56381 blt 0,0,640,480,0, 6203,640,480:waittimer 56390 blt 0,0,640,480,0, 6204,640,480:waittimer 56399 blt 0,0,640,480,0, 6205,640,480:waittimer 56409 blt 0,0,640,480,0, 6206,640,480:waittimer 56418 blt 0,0,640,480,0, 6207,640,480:waittimer 56427 blt 0,0,640,480,0, 6208,640,480:waittimer 56436 blt 0,0,640,480,0, 6209,640,480:waittimer 56445 blt 0,0,640,480,0, 6210,640,480:waittimer 56454 blt 0,0,640,480,0, 6211,640,480:waittimer 56463 blt 0,0,640,480,0, 6212,640,480:waittimer 56472 blt 0,0,640,480,0, 6213,640,480:waittimer 56481 blt 0,0,640,480,0, 6214,640,480:waittimer 56490 blt 0,0,640,480,0, 6215,640,480:waittimer 56499 blt 0,0,640,480,0, 6216,640,480:waittimer 56509 blt 0,0,640,480,0, 6217,640,480:waittimer 56518 blt 0,0,640,480,0, 6218,640,480:waittimer 56527 blt 0,0,640,480,0, 6219,640,480:waittimer 56536 blt 0,0,640,480,0, 6220,640,480:waittimer 56545 blt 0,0,640,480,0, 6221,640,480:waittimer 56554 blt 0,0,640,480,0, 6222,640,480:waittimer 56563 blt 0,0,640,480,0, 6223,640,480:waittimer 56572 blt 0,0,640,480,0, 6224,640,480:waittimer 56581 blt 0,0,640,480,0, 6225,640,480:waittimer 56590 blt 0,0,640,480,0, 6226,640,480:waittimer 56599 blt 0,0,640,480,0, 6227,640,480:waittimer 56609 blt 0,0,640,480,0, 6228,640,480:waittimer 56618 blt 0,0,640,480,0, 6229,640,480:waittimer 56627 blt 0,0,640,480,0, 6230,640,480:waittimer 56636 blt 0,0,640,480,0, 6231,640,480:waittimer 56645 blt 0,0,640,480,0, 6232,640,480:waittimer 56654 blt 0,0,640,480,0, 6233,640,480:waittimer 56663 blt 0,0,640,480,0, 6234,640,480:waittimer 56672 blt 0,0,640,480,0, 6235,640,480:waittimer 56681 blt 0,0,640,480,0, 6236,640,480:waittimer 56690 blt 0,0,640,480,0, 6237,640,480:waittimer 56699 blt 0,0,640,480,0, 6238,640,480:waittimer 56709 blt 0,0,640,480,0, 6239,640,480:waittimer 56718 blt 0,0,640,480,0, 6240,640,480:waittimer 56727 blt 0,0,640,480,0, 6241,640,480:waittimer 56736 blt 0,0,640,480,0, 6242,640,480:waittimer 56745 blt 0,0,640,480,0, 6243,640,480:waittimer 56754 blt 0,0,640,480,0, 6244,640,480:waittimer 56763 blt 0,0,640,480,0, 6245,640,480:waittimer 56772 blt 0,0,640,480,0, 6246,640,480:waittimer 56781 blt 0,0,640,480,0, 6247,640,480:waittimer 56790 blt 0,0,640,480,0, 6248,640,480:waittimer 56799 blt 0,0,640,480,0, 6249,640,480:waittimer 56809 blt 0,0,640,480,0, 6250,640,480:waittimer 56818 blt 0,0,640,480,0, 6251,640,480:waittimer 56827 blt 0,0,640,480,0, 6252,640,480:waittimer 56836 blt 0,0,640,480,0, 6253,640,480:waittimer 56845 blt 0,0,640,480,0, 6254,640,480:waittimer 56854 blt 0,0,640,480,0, 6255,640,480:waittimer 56863 blt 0,0,640,480,0, 6256,640,480:waittimer 56872 blt 0,0,640,480,0, 6257,640,480:waittimer 56881 blt 0,0,640,480,0, 6258,640,480:waittimer 56890 blt 0,0,640,480,0, 6259,640,480:waittimer 56899 blt 0,0,640,480,0, 6260,640,480:waittimer 56909 blt 0,0,640,480,0, 6261,640,480:waittimer 56918 blt 0,0,640,480,0, 6262,640,480:waittimer 56927 blt 0,0,640,480,0, 6263,640,480:waittimer 56936 blt 0,0,640,480,0, 6264,640,480:waittimer 56945 blt 0,0,640,480,0, 6265,640,480:waittimer 56954 blt 0,0,640,480,0, 6266,640,480:waittimer 56963 blt 0,0,640,480,0, 6267,640,480:waittimer 56972 blt 0,0,640,480,0, 6268,640,480:waittimer 56981 blt 0,0,640,480,0, 6269,640,480:waittimer 56990 blt 0,0,640,480,0, 6270,640,480:waittimer 56999 blt 0,0,640,480,0, 6271,640,480:waittimer 57009 blt 0,0,640,480,0, 6272,640,480:waittimer 57018 blt 0,0,640,480,0, 6273,640,480:waittimer 57027 blt 0,0,640,480,0, 6274,640,480:waittimer 57036 blt 0,0,640,480,0, 6275,640,480:waittimer 57045 blt 0,0,640,480,0, 6276,640,480:waittimer 57054 blt 0,0,640,480,0, 6277,640,480:waittimer 57063 blt 0,0,640,480,0, 6278,640,480:waittimer 57072 blt 0,0,640,480,0, 6279,640,480:waittimer 57081 blt 0,0,640,480,0, 6280,640,480:waittimer 57090 blt 0,0,640,480,0, 6281,640,480:waittimer 57099 blt 0,0,640,480,0, 6282,640,480:waittimer 57109 blt 0,0,640,480,0, 6283,640,480:waittimer 57118 blt 0,0,640,480,0, 6284,640,480:waittimer 57127 blt 0,0,640,480,0, 6285,640,480:waittimer 57136 blt 0,0,640,480,0, 6286,640,480:waittimer 57145 blt 0,0,640,480,0, 6287,640,480:waittimer 57154 blt 0,0,640,480,0, 6288,640,480:waittimer 57163 blt 0,0,640,480,0, 6289,640,480:waittimer 57172 blt 0,0,640,480,0, 6290,640,480:waittimer 57181 blt 0,0,640,480,0, 6291,640,480:waittimer 57190 blt 0,0,640,480,0, 6292,640,480:waittimer 57199 blt 0,0,640,480,0, 6293,640,480:waittimer 57209 blt 0,0,640,480,0, 6294,640,480:waittimer 57218 blt 0,0,640,480,0, 6295,640,480:waittimer 57227 blt 0,0,640,480,0, 6296,640,480:waittimer 57236 blt 0,0,640,480,0, 6297,640,480:waittimer 57245 blt 0,0,640,480,0, 6298,640,480:waittimer 57254 blt 0,0,640,480,0, 6299,640,480:waittimer 57263 blt 0,0,640,480,0, 6300,640,480:waittimer 57272 blt 0,0,640,480,0, 6301,640,480:waittimer 57281 blt 0,0,640,480,0, 6302,640,480:waittimer 57290 blt 0,0,640,480,0, 6303,640,480:waittimer 57299 blt 0,0,640,480,0, 6304,640,480:waittimer 57309 blt 0,0,640,480,0, 6305,640,480:waittimer 57318 blt 0,0,640,480,0, 6306,640,480:waittimer 57327 blt 0,0,640,480,0, 6307,640,480:waittimer 57336 blt 0,0,640,480,0, 6308,640,480:waittimer 57345 blt 0,0,640,480,0, 6309,640,480:waittimer 57354 blt 0,0,640,480,0, 6310,640,480:waittimer 57363 blt 0,0,640,480,0, 6311,640,480:waittimer 57372 blt 0,0,640,480,0, 6312,640,480:waittimer 57381 blt 0,0,640,480,0, 6313,640,480:waittimer 57390 blt 0,0,640,480,0, 6314,640,480:waittimer 57399 blt 0,0,640,480,0, 6315,640,480:waittimer 57409 blt 0,0,640,480,0, 6316,640,480:waittimer 57418 blt 0,0,640,480,0, 6317,640,480:waittimer 57427 blt 0,0,640,480,0, 6318,640,480:waittimer 57436 blt 0,0,640,480,0, 6319,640,480:waittimer 57445 blt 0,0,640,480,0, 6320,640,480:waittimer 57454 blt 0,0,640,480,0, 6321,640,480:waittimer 57463 blt 0,0,640,480,0, 6322,640,480:waittimer 57472 blt 0,0,640,480,0, 6323,640,480:waittimer 57481 blt 0,0,640,480,0, 6324,640,480:waittimer 57490 blt 0,0,640,480,0, 6325,640,480:waittimer 57499 blt 0,0,640,480,0, 6326,640,480:waittimer 57509 blt 0,0,640,480,0, 6327,640,480:waittimer 57518 blt 0,0,640,480,0, 6328,640,480:waittimer 57527 blt 0,0,640,480,0, 6329,640,480:waittimer 57536 blt 0,0,640,480,0, 6330,640,480:waittimer 57545 blt 0,0,640,480,0, 6331,640,480:waittimer 57554 blt 0,0,640,480,0, 6332,640,480:waittimer 57563 blt 0,0,640,480,0, 6333,640,480:waittimer 57572 blt 0,0,640,480,0, 6334,640,480:waittimer 57581 blt 0,0,640,480,0, 6335,640,480:waittimer 57590 blt 0,0,640,480,0, 6336,640,480:waittimer 57599 blt 0,0,640,480,0, 6337,640,480:waittimer 57609 blt 0,0,640,480,0, 6338,640,480:waittimer 57618 blt 0,0,640,480,0, 6339,640,480:waittimer 57627 blt 0,0,640,480,0, 6340,640,480:waittimer 57636 blt 0,0,640,480,0, 6341,640,480:waittimer 57645 blt 0,0,640,480,0, 6342,640,480:waittimer 57654 blt 0,0,640,480,0, 6343,640,480:waittimer 57663 blt 0,0,640,480,0, 6344,640,480:waittimer 57672 blt 0,0,640,480,0, 6345,640,480:waittimer 57681 blt 0,0,640,480,0, 6346,640,480:waittimer 57690 blt 0,0,640,480,0, 6347,640,480:waittimer 57699 blt 0,0,640,480,0, 6348,640,480:waittimer 57709 blt 0,0,640,480,0, 6349,640,480:waittimer 57718 blt 0,0,640,480,0, 6350,640,480:waittimer 57727 blt 0,0,640,480,0, 6351,640,480:waittimer 57736 blt 0,0,640,480,0, 6352,640,480:waittimer 57745 blt 0,0,640,480,0, 6353,640,480:waittimer 57754 blt 0,0,640,480,0, 6354,640,480:waittimer 57763 blt 0,0,640,480,0, 6355,640,480:waittimer 57772 blt 0,0,640,480,0, 6356,640,480:waittimer 57781 blt 0,0,640,480,0, 6357,640,480:waittimer 57790 blt 0,0,640,480,0, 6358,640,480:waittimer 57799 blt 0,0,640,480,0, 6359,640,480:waittimer 57809 blt 0,0,640,480,0, 6360,640,480:waittimer 57818 blt 0,0,640,480,0, 6361,640,480:waittimer 57827 blt 0,0,640,480,0, 6362,640,480:waittimer 57836 blt 0,0,640,480,0, 6363,640,480:waittimer 57845 blt 0,0,640,480,0, 6364,640,480:waittimer 57854 blt 0,0,640,480,0, 6365,640,480:waittimer 57863 blt 0,0,640,480,0, 6366,640,480:waittimer 57872 blt 0,0,640,480,0, 6367,640,480:waittimer 57881 blt 0,0,640,480,0, 6368,640,480:waittimer 57890 blt 0,0,640,480,0, 6369,640,480:waittimer 57899 blt 0,0,640,480,0, 6370,640,480:waittimer 57909 blt 0,0,640,480,0, 6371,640,480:waittimer 57918 blt 0,0,640,480,0, 6372,640,480:waittimer 57927 blt 0,0,640,480,0, 6373,640,480:waittimer 57936 blt 0,0,640,480,0, 6374,640,480:waittimer 57945 blt 0,0,640,480,0, 6375,640,480:waittimer 57954 blt 0,0,640,480,0, 6376,640,480:waittimer 57963 blt 0,0,640,480,0, 6377,640,480:waittimer 57972 blt 0,0,640,480,0, 6378,640,480:waittimer 57981 blt 0,0,640,480,0, 6379,640,480:waittimer 57990 blt 0,0,640,480,0, 6380,640,480:waittimer 57999 blt 0,0,640,480,0, 6381,640,480:waittimer 58009 blt 0,0,640,480,0, 6382,640,480:waittimer 58018 blt 0,0,640,480,0, 6383,640,480:waittimer 58027 blt 0,0,640,480,0, 6384,640,480:waittimer 58036 blt 0,0,640,480,0, 6385,640,480:waittimer 58045 blt 0,0,640,480,0, 6386,640,480:waittimer 58054 blt 0,0,640,480,0, 6387,640,480:waittimer 58063 blt 0,0,640,480,0, 6388,640,480:waittimer 58072 blt 0,0,640,480,0, 6389,640,480:waittimer 58081 blt 0,0,640,480,0, 6390,640,480:waittimer 58090 blt 0,0,640,480,0, 6391,640,480:waittimer 58099 blt 0,0,640,480,0, 6392,640,480:waittimer 58109 blt 0,0,640,480,0, 6393,640,480:waittimer 58118 blt 0,0,640,480,0, 6394,640,480:waittimer 58127 blt 0,0,640,480,0, 6395,640,480:waittimer 58136 blt 0,0,640,480,0, 6396,640,480:waittimer 58145 blt 0,0,640,480,0, 6397,640,480:waittimer 58154 blt 0,0,640,480,0, 6398,640,480:waittimer 58163 blt 0,0,640,480,0, 6399,640,480:waittimer 58172 blt 0,0,640,480,0, 6400,640,480:waittimer 58181 blt 0,0,640,480,0, 6401,640,480:waittimer 58190 blt 0,0,640,480,0, 6402,640,480:waittimer 58199 blt 0,0,640,480,0, 6403,640,480:waittimer 58209 blt 0,0,640,480,0, 6404,640,480:waittimer 58218 blt 0,0,640,480,0, 6405,640,480:waittimer 58227 blt 0,0,640,480,0, 6406,640,480:waittimer 58236 blt 0,0,640,480,0, 6407,640,480:waittimer 58245 blt 0,0,640,480,0, 6408,640,480:waittimer 58254 blt 0,0,640,480,0, 6409,640,480:waittimer 58263 blt 0,0,640,480,0, 6410,640,480:waittimer 58272 blt 0,0,640,480,0, 6411,640,480:waittimer 58281 blt 0,0,640,480,0, 6412,640,480:waittimer 58290 blt 0,0,640,480,0, 6413,640,480:waittimer 58299 blt 0,0,640,480,0, 6414,640,480:waittimer 58309 blt 0,0,640,480,0, 6415,640,480:waittimer 58318 blt 0,0,640,480,0, 6416,640,480:waittimer 58327 blt 0,0,640,480,0, 6417,640,480:waittimer 58336 blt 0,0,640,480,0, 6418,640,480:waittimer 58345 blt 0,0,640,480,0, 6419,640,480:waittimer 58354 blt 0,0,640,480,0, 6420,640,480:waittimer 58363 blt 0,0,640,480,0, 6421,640,480:waittimer 58372 blt 0,0,640,480,0, 6422,640,480:waittimer 58381 blt 0,0,640,480,0, 6423,640,480:waittimer 58390 blt 0,0,640,480,0, 6424,640,480:waittimer 58399 blt 0,0,640,480,0, 6425,640,480:waittimer 58409 blt 0,0,640,480,0, 6426,640,480:waittimer 58418 blt 0,0,640,480,0, 6427,640,480:waittimer 58427 blt 0,0,640,480,0, 6428,640,480:waittimer 58436 blt 0,0,640,480,0, 6429,640,480:waittimer 58445 blt 0,0,640,480,0, 6430,640,480:waittimer 58454 blt 0,0,640,480,0, 6431,640,480:waittimer 58463 blt 0,0,640,480,0, 6432,640,480:waittimer 58472 blt 0,0,640,480,0, 6433,640,480:waittimer 58481 blt 0,0,640,480,0, 6434,640,480:waittimer 58490 blt 0,0,640,480,0, 6435,640,480:waittimer 58499 blt 0,0,640,480,0, 6436,640,480:waittimer 58509 blt 0,0,640,480,0, 6437,640,480:waittimer 58518 blt 0,0,640,480,0, 6438,640,480:waittimer 58527 blt 0,0,640,480,0, 6439,640,480:waittimer 58536 blt 0,0,640,480,0, 6440,640,480:waittimer 58545 blt 0,0,640,480,0, 6441,640,480:waittimer 58554 blt 0,0,640,480,0, 6442,640,480:waittimer 58563 blt 0,0,640,480,0, 6443,640,480:waittimer 58572 blt 0,0,640,480,0, 6444,640,480:waittimer 58581 blt 0,0,640,480,0, 6445,640,480:waittimer 58590 blt 0,0,640,480,0, 6446,640,480:waittimer 58599 blt 0,0,640,480,0, 6447,640,480:waittimer 58609 blt 0,0,640,480,0, 6448,640,480:waittimer 58618 blt 0,0,640,480,0, 6449,640,480:waittimer 58627 blt 0,0,640,480,0, 6450,640,480:waittimer 58636 blt 0,0,640,480,0, 6451,640,480:waittimer 58645 blt 0,0,640,480,0, 6452,640,480:waittimer 58654 blt 0,0,640,480,0, 6453,640,480:waittimer 58663 blt 0,0,640,480,0, 6454,640,480:waittimer 58672 blt 0,0,640,480,0, 6455,640,480:waittimer 58681 blt 0,0,640,480,0, 6456,640,480:waittimer 58690 blt 0,0,640,480,0, 6457,640,480:waittimer 58699 blt 0,0,640,480,0, 6458,640,480:waittimer 58709 blt 0,0,640,480,0, 6459,640,480:waittimer 58718 blt 0,0,640,480,0, 6460,640,480:waittimer 58727 blt 0,0,640,480,0, 6461,640,480:waittimer 58736 blt 0,0,640,480,0, 6462,640,480:waittimer 58745 blt 0,0,640,480,0, 6463,640,480:waittimer 58754 blt 0,0,640,480,0, 6464,640,480:waittimer 58763 blt 0,0,640,480,0, 6465,640,480:waittimer 58772 blt 0,0,640,480,0, 6466,640,480:waittimer 58781 blt 0,0,640,480,0, 6467,640,480:waittimer 58790 blt 0,0,640,480,0, 6468,640,480:waittimer 58799 blt 0,0,640,480,0, 6469,640,480:waittimer 58809 blt 0,0,640,480,0, 6470,640,480:waittimer 58818 blt 0,0,640,480,0, 6471,640,480:waittimer 58827 blt 0,0,640,480,0, 6472,640,480:waittimer 58836 blt 0,0,640,480,0, 6473,640,480:waittimer 58845 blt 0,0,640,480,0, 6474,640,480:waittimer 58854 blt 0,0,640,480,0, 6475,640,480:waittimer 58863 blt 0,0,640,480,0, 6476,640,480:waittimer 58872 blt 0,0,640,480,0, 6477,640,480:waittimer 58881 blt 0,0,640,480,0, 6478,640,480:waittimer 58890 blt 0,0,640,480,0, 6479,640,480:waittimer 58899 blt 0,0,640,480,0, 6480,640,480:waittimer 58909 blt 0,0,640,480,0, 6481,640,480:waittimer 58918 blt 0,0,640,480,0, 6482,640,480:waittimer 58927 blt 0,0,640,480,0, 6483,640,480:waittimer 58936 blt 0,0,640,480,0, 6484,640,480:waittimer 58945 blt 0,0,640,480,0, 6485,640,480:waittimer 58954 blt 0,0,640,480,0, 6486,640,480:waittimer 58963 blt 0,0,640,480,0, 6487,640,480:waittimer 58972 blt 0,0,640,480,0, 6488,640,480:waittimer 58981 blt 0,0,640,480,0, 6489,640,480:waittimer 58990 blt 0,0,640,480,0, 6490,640,480:waittimer 58999 blt 0,0,640,480,0, 6491,640,480:waittimer 59009 blt 0,0,640,480,0, 6492,640,480:waittimer 59018 blt 0,0,640,480,0, 6493,640,480:waittimer 59027 blt 0,0,640,480,0, 6494,640,480:waittimer 59036 blt 0,0,640,480,0, 6495,640,480:waittimer 59045 blt 0,0,640,480,0, 6496,640,480:waittimer 59054 blt 0,0,640,480,0, 6497,640,480:waittimer 59063 blt 0,0,640,480,0, 6498,640,480:waittimer 59072 blt 0,0,640,480,0, 6499,640,480:waittimer 59081 blt 0,0,640,480,0, 6500,640,480:waittimer 59090 blt 0,0,640,480,0, 6501,640,480:waittimer 59099 blt 0,0,640,480,0, 6502,640,480:waittimer 59109 blt 0,0,640,480,0, 6503,640,480:waittimer 59118 blt 0,0,640,480,0, 6504,640,480:waittimer 59127 blt 0,0,640,480,0, 6505,640,480:waittimer 59136 blt 0,0,640,480,0, 6506,640,480:waittimer 59145 blt 0,0,640,480,0, 6507,640,480:waittimer 59154 blt 0,0,640,480,0, 6508,640,480:waittimer 59163 blt 0,0,640,480,0, 6509,640,480:waittimer 59172 blt 0,0,640,480,0, 6510,640,480:waittimer 59181 blt 0,0,640,480,0, 6511,640,480:waittimer 59190 blt 0,0,640,480,0, 6512,640,480:waittimer 59199 blt 0,0,640,480,0, 6513,640,480:waittimer 59209 blt 0,0,640,480,0, 6514,640,480:waittimer 59218 blt 0,0,640,480,0, 6515,640,480:waittimer 59227 blt 0,0,640,480,0, 6516,640,480:waittimer 59236 blt 0,0,640,480,0, 6517,640,480:waittimer 59245 blt 0,0,640,480,0, 6518,640,480:waittimer 59254 blt 0,0,640,480,0, 6519,640,480:waittimer 59263 blt 0,0,640,480,0, 6520,640,480:waittimer 59272 blt 0,0,640,480,0, 6521,640,480:waittimer 59281 blt 0,0,640,480,0, 6522,640,480:waittimer 59290 blt 0,0,640,480,0, 6523,640,480:waittimer 59299 blt 0,0,640,480,0, 6524,640,480:waittimer 59309 blt 0,0,640,480,0, 6525,640,480:waittimer 59318 blt 0,0,640,480,0, 6526,640,480:waittimer 59327 blt 0,0,640,480,0, 6527,640,480:waittimer 59336 blt 0,0,640,480,0, 6528,640,480:waittimer 59345 blt 0,0,640,480,0, 6529,640,480:waittimer 59354 blt 0,0,640,480,0, 6530,640,480:waittimer 59363 blt 0,0,640,480,0, 6531,640,480:waittimer 59372 blt 0,0,640,480,0, 6532,640,480:waittimer 59381 blt 0,0,640,480,0, 6533,640,480:waittimer 59390 blt 0,0,640,480,0, 6534,640,480:waittimer 59399 blt 0,0,640,480,0, 6535,640,480:waittimer 59409 blt 0,0,640,480,0, 6536,640,480:waittimer 59418 blt 0,0,640,480,0, 6537,640,480:waittimer 59427 blt 0,0,640,480,0, 6538,640,480:waittimer 59436 blt 0,0,640,480,0, 6539,640,480:waittimer 59445 blt 0,0,640,480,0, 6540,640,480:waittimer 59454 blt 0,0,640,480,0, 6541,640,480:waittimer 59463 blt 0,0,640,480,0, 6542,640,480:waittimer 59472 blt 0,0,640,480,0, 6543,640,480:waittimer 59481 blt 0,0,640,480,0, 6544,640,480:waittimer 59490 blt 0,0,640,480,0, 6545,640,480:waittimer 59499 blt 0,0,640,480,0, 6546,640,480:waittimer 59509 blt 0,0,640,480,0, 6547,640,480:waittimer 59518 blt 0,0,640,480,0, 6548,640,480:waittimer 59527 blt 0,0,640,480,0, 6549,640,480:waittimer 59536 blt 0,0,640,480,0, 6550,640,480:waittimer 59545 blt 0,0,640,480,0, 6551,640,480:waittimer 59554 blt 0,0,640,480,0, 6552,640,480:waittimer 59563 blt 0,0,640,480,0, 6553,640,480:waittimer 59572 blt 0,0,640,480,0, 6554,640,480:waittimer 59581 blt 0,0,640,480,0, 6555,640,480:waittimer 59590 blt 0,0,640,480,0, 6556,640,480:waittimer 59599 blt 0,0,640,480,0, 6557,640,480:waittimer 59609 blt 0,0,640,480,0, 6558,640,480:waittimer 59618 blt 0,0,640,480,0, 6559,640,480:waittimer 59627 blt 0,0,640,480,0, 6560,640,480:waittimer 59636 blt 0,0,640,480,0, 6561,640,480:waittimer 59645 blt 0,0,640,480,0, 6562,640,480:waittimer 59654 blt 0,0,640,480,0, 6563,640,480:waittimer 59663 blt 0,0,640,480,0, 6564,640,480:waittimer 59672 blt 0,0,640,480,0, 6565,640,480:waittimer 59681 blt 0,0,640,480,0, 6566,640,480:waittimer 59690 blt 0,0,640,480,0, 6567,640,480:waittimer 59699 blt 0,0,640,480,0, 6568,640,480:waittimer 59709 blt 0,0,640,480,0, 6569,640,480:waittimer 59718 blt 0,0,640,480,0, 6570,640,480:waittimer 59727 blt 0,0,640,480,0, 6571,640,480:waittimer 59736 blt 0,0,640,480,0, 6572,640,480:waittimer 59745 blt 0,0,640,480,0, 6573,640,480:waittimer 59754 blt 0,0,640,480,0, 6574,640,480:waittimer 59763 blt 0,0,640,480,0, 6575,640,480:waittimer 59772 blt 0,0,640,480,0, 6576,640,480:waittimer 59781 blt 0,0,640,480,0, 6577,640,480:waittimer 59790 blt 0,0,640,480,0, 6578,640,480:waittimer 59799 blt 0,0,640,480,0, 6579,640,480:waittimer 59809 blt 0,0,640,480,0, 6580,640,480:waittimer 59818 blt 0,0,640,480,0, 6581,640,480:waittimer 59827 blt 0,0,640,480,0, 6582,640,480:waittimer 59836 blt 0,0,640,480,0, 6583,640,480:waittimer 59845 blt 0,0,640,480,0, 6584,640,480:waittimer 59854 blt 0,0,640,480,0, 6585,640,480:waittimer 59863 blt 0,0,640,480,0, 6586,640,480:waittimer 59872 blt 0,0,640,480,0, 6587,640,480:waittimer 59881 blt 0,0,640,480,0, 6588,640,480:waittimer 59890 blt 0,0,640,480,0, 6589,640,480:waittimer 59899 blt 0,0,640,480,0, 6590,640,480:waittimer 59909 blt 0,0,640,480,0, 6591,640,480:waittimer 59918 blt 0,0,640,480,0, 6592,640,480:waittimer 59927 blt 0,0,640,480,0, 6593,640,480:waittimer 59936 blt 0,0,640,480,0, 6594,640,480:waittimer 59945 blt 0,0,640,480,0, 6595,640,480:waittimer 59954 blt 0,0,640,480,0, 6596,640,480:waittimer 59963 blt 0,0,640,480,0, 6597,640,480:waittimer 59972 blt 0,0,640,480,0, 6598,640,480:waittimer 59981 blt 0,0,640,480,0, 6599,640,480:waittimer 59990 blt 0,0,640,480,0, 6600,640,480:waittimer 59999 blt 0,0,640,480,0, 6601,640,480:waittimer 60009 blt 0,0,640,480,0, 6602,640,480:waittimer 60018 blt 0,0,640,480,0, 6603,640,480:waittimer 60027 blt 0,0,640,480,0, 6604,640,480:waittimer 60036 blt 0,0,640,480,0, 6605,640,480:waittimer 60045 blt 0,0,640,480,0, 6606,640,480:waittimer 60054 blt 0,0,640,480,0, 6607,640,480:waittimer 60063 blt 0,0,640,480,0, 6608,640,480:waittimer 60072 blt 0,0,640,480,0, 6609,640,480:waittimer 60081 blt 0,0,640,480,0, 6610,640,480:waittimer 60090 blt 0,0,640,480,0, 6611,640,480:waittimer 60099 blt 0,0,640,480,0, 6612,640,480:waittimer 60109 blt 0,0,640,480,0, 6613,640,480:waittimer 60118 blt 0,0,640,480,0, 6614,640,480:waittimer 60127 blt 0,0,640,480,0, 6615,640,480:waittimer 60136 blt 0,0,640,480,0, 6616,640,480:waittimer 60145 blt 0,0,640,480,0, 6617,640,480:waittimer 60154 blt 0,0,640,480,0, 6618,640,480:waittimer 60163 blt 0,0,640,480,0, 6619,640,480:waittimer 60172 blt 0,0,640,480,0, 6620,640,480:waittimer 60181 blt 0,0,640,480,0, 6621,640,480:waittimer 60190 blt 0,0,640,480,0, 6622,640,480:waittimer 60199 blt 0,0,640,480,0, 6623,640,480:waittimer 60209 blt 0,0,640,480,0, 6624,640,480:waittimer 60218 blt 0,0,640,480,0, 6625,640,480:waittimer 60227 blt 0,0,640,480,0, 6626,640,480:waittimer 60236 blt 0,0,640,480,0, 6627,640,480:waittimer 60245 blt 0,0,640,480,0, 6628,640,480:waittimer 60254 blt 0,0,640,480,0, 6629,640,480:waittimer 60263 blt 0,0,640,480,0, 6630,640,480:waittimer 60272 blt 0,0,640,480,0, 6631,640,480:waittimer 60281 blt 0,0,640,480,0, 6632,640,480:waittimer 60290 blt 0,0,640,480,0, 6633,640,480:waittimer 60299 blt 0,0,640,480,0, 6634,640,480:waittimer 60309 blt 0,0,640,480,0, 6635,640,480:waittimer 60318 blt 0,0,640,480,0, 6636,640,480:waittimer 60327 blt 0,0,640,480,0, 6637,640,480:waittimer 60336 blt 0,0,640,480,0, 6638,640,480:waittimer 60345 blt 0,0,640,480,0, 6639,640,480:waittimer 60354 blt 0,0,640,480,0, 6640,640,480:waittimer 60363 blt 0,0,640,480,0, 6641,640,480:waittimer 60372 blt 0,0,640,480,0, 6642,640,480:waittimer 60381 blt 0,0,640,480,0, 6643,640,480:waittimer 60390 blt 0,0,640,480,0, 6644,640,480:waittimer 60399 blt 0,0,640,480,0, 6645,640,480:waittimer 60409 blt 0,0,640,480,0, 6646,640,480:waittimer 60418 blt 0,0,640,480,0, 6647,640,480:waittimer 60427 blt 0,0,640,480,0, 6648,640,480:waittimer 60436 blt 0,0,640,480,0, 6649,640,480:waittimer 60445 blt 0,0,640,480,0, 6650,640,480:waittimer 60454 blt 0,0,640,480,0, 6651,640,480:waittimer 60463 blt 0,0,640,480,0, 6652,640,480:waittimer 60472 blt 0,0,640,480,0, 6653,640,480:waittimer 60481 blt 0,0,640,480,0, 6654,640,480:waittimer 60490 blt 0,0,640,480,0, 6655,640,480:waittimer 60499 blt 0,0,640,480,0, 6656,640,480:waittimer 60509 blt 0,0,640,480,0, 6657,640,480:waittimer 60518 blt 0,0,640,480,0, 6658,640,480:waittimer 60527 blt 0,0,640,480,0, 6659,640,480:waittimer 60536 blt 0,0,640,480,0, 6660,640,480:waittimer 60545 blt 0,0,640,480,0, 6661,640,480:waittimer 60554 blt 0,0,640,480,0, 6662,640,480:waittimer 60563 blt 0,0,640,480,0, 6663,640,480:waittimer 60572 blt 0,0,640,480,0, 6664,640,480:waittimer 60581 blt 0,0,640,480,0, 6665,640,480:waittimer 60590 blt 0,0,640,480,0, 6666,640,480:waittimer 60599 blt 0,0,640,480,0, 6667,640,480:waittimer 60609 blt 0,0,640,480,0, 6668,640,480:waittimer 60618 blt 0,0,640,480,0, 6669,640,480:waittimer 60627 blt 0,0,640,480,0, 6670,640,480:waittimer 60636 blt 0,0,640,480,0, 6671,640,480:waittimer 60645 blt 0,0,640,480,0, 6672,640,480:waittimer 60654 blt 0,0,640,480,0, 6673,640,480:waittimer 60663 blt 0,0,640,480,0, 6674,640,480:waittimer 60672 blt 0,0,640,480,0, 6675,640,480:waittimer 60681 blt 0,0,640,480,0, 6676,640,480:waittimer 60690 blt 0,0,640,480,0, 6677,640,480:waittimer 60699 blt 0,0,640,480,0, 6678,640,480:waittimer 60709 blt 0,0,640,480,0, 6679,640,480:waittimer 60718 blt 0,0,640,480,0, 6680,640,480:waittimer 60727 blt 0,0,640,480,0, 6681,640,480:waittimer 60736 blt 0,0,640,480,0, 6682,640,480:waittimer 60745 blt 0,0,640,480,0, 6683,640,480:waittimer 60754 blt 0,0,640,480,0, 6684,640,480:waittimer 60763 blt 0,0,640,480,0, 6685,640,480:waittimer 60772 blt 0,0,640,480,0, 6686,640,480:waittimer 60781 blt 0,0,640,480,0, 6687,640,480:waittimer 60790 blt 0,0,640,480,0, 6688,640,480:waittimer 60799 blt 0,0,640,480,0, 6689,640,480:waittimer 60809 blt 0,0,640,480,0, 6690,640,480:waittimer 60818 blt 0,0,640,480,0, 6691,640,480:waittimer 60827 blt 0,0,640,480,0, 6692,640,480:waittimer 60836 blt 0,0,640,480,0, 6693,640,480:waittimer 60845 blt 0,0,640,480,0, 6694,640,480:waittimer 60854 blt 0,0,640,480,0, 6695,640,480:waittimer 60863 blt 0,0,640,480,0, 6696,640,480:waittimer 60872 blt 0,0,640,480,0, 6697,640,480:waittimer 60881 blt 0,0,640,480,0, 6698,640,480:waittimer 60890 blt 0,0,640,480,0, 6699,640,480:waittimer 60899 blt 0,0,640,480,0, 6700,640,480:waittimer 60909 blt 0,0,640,480,0, 6701,640,480:waittimer 60918 blt 0,0,640,480,0, 6702,640,480:waittimer 60927 blt 0,0,640,480,0, 6703,640,480:waittimer 60936 blt 0,0,640,480,0, 6704,640,480:waittimer 60945 blt 0,0,640,480,0, 6705,640,480:waittimer 60954 blt 0,0,640,480,0, 6706,640,480:waittimer 60963 blt 0,0,640,480,0, 6707,640,480:waittimer 60972 blt 0,0,640,480,0, 6708,640,480:waittimer 60981 blt 0,0,640,480,0, 6709,640,480:waittimer 60990 blt 0,0,640,480,0, 6710,640,480:waittimer 60999 blt 0,0,640,480,0, 6711,640,480:waittimer 61009 blt 0,0,640,480,0, 6712,640,480:waittimer 61018 blt 0,0,640,480,0, 6713,640,480:waittimer 61027 blt 0,0,640,480,0, 6714,640,480:waittimer 61036 blt 0,0,640,480,0, 6715,640,480:waittimer 61045 blt 0,0,640,480,0, 6716,640,480:waittimer 61054 blt 0,0,640,480,0, 6717,640,480:waittimer 61063 blt 0,0,640,480,0, 6718,640,480:waittimer 61072 blt 0,0,640,480,0, 6719,640,480:waittimer 61081 blt 0,0,640,480,0, 6720,640,480:waittimer 61090 blt 0,0,640,480,0, 6721,640,480:waittimer 61099 blt 0,0,640,480,0, 6722,640,480:waittimer 61109 blt 0,0,640,480,0, 6723,640,480:waittimer 61118 blt 0,0,640,480,0, 6724,640,480:waittimer 61127 blt 0,0,640,480,0, 6725,640,480:waittimer 61136 blt 0,0,640,480,0, 6726,640,480:waittimer 61145 blt 0,0,640,480,0, 6727,640,480:waittimer 61154 blt 0,0,640,480,0, 6728,640,480:waittimer 61163 blt 0,0,640,480,0, 6729,640,480:waittimer 61172 blt 0,0,640,480,0, 6730,640,480:waittimer 61181 blt 0,0,640,480,0, 6731,640,480:waittimer 61190 blt 0,0,640,480,0, 6732,640,480:waittimer 61199 blt 0,0,640,480,0, 6733,640,480:waittimer 61209 blt 0,0,640,480,0, 6734,640,480:waittimer 61218 blt 0,0,640,480,0, 6735,640,480:waittimer 61227 blt 0,0,640,480,0, 6736,640,480:waittimer 61236 blt 0,0,640,480,0, 6737,640,480:waittimer 61245 blt 0,0,640,480,0, 6738,640,480:waittimer 61254 blt 0,0,640,480,0, 6739,640,480:waittimer 61263 blt 0,0,640,480,0, 6740,640,480:waittimer 61272 blt 0,0,640,480,0, 6741,640,480:waittimer 61281 blt 0,0,640,480,0, 6742,640,480:waittimer 61290 blt 0,0,640,480,0, 6743,640,480:waittimer 61299 blt 0,0,640,480,0, 6744,640,480:waittimer 61309 blt 0,0,640,480,0, 6745,640,480:waittimer 61318 blt 0,0,640,480,0, 6746,640,480:waittimer 61327 blt 0,0,640,480,0, 6747,640,480:waittimer 61336 blt 0,0,640,480,0, 6748,640,480:waittimer 61345 blt 0,0,640,480,0, 6749,640,480:waittimer 61354 blt 0,0,640,480,0, 6750,640,480:waittimer 61363 blt 0,0,640,480,0, 6751,640,480:waittimer 61372 blt 0,0,640,480,0, 6752,640,480:waittimer 61381 blt 0,0,640,480,0, 6753,640,480:waittimer 61390 blt 0,0,640,480,0, 6754,640,480:waittimer 61399 blt 0,0,640,480,0, 6755,640,480:waittimer 61409 blt 0,0,640,480,0, 6756,640,480:waittimer 61418 blt 0,0,640,480,0, 6757,640,480:waittimer 61427 blt 0,0,640,480,0, 6758,640,480:waittimer 61436 blt 0,0,640,480,0, 6759,640,480:waittimer 61445 blt 0,0,640,480,0, 6760,640,480:waittimer 61454 blt 0,0,640,480,0, 6761,640,480:waittimer 61463 blt 0,0,640,480,0, 6762,640,480:waittimer 61472 blt 0,0,640,480,0, 6763,640,480:waittimer 61481 blt 0,0,640,480,0, 6764,640,480:waittimer 61490 blt 0,0,640,480,0, 6765,640,480:waittimer 61499 blt 0,0,640,480,0, 6766,640,480:waittimer 61509 blt 0,0,640,480,0, 6767,640,480:waittimer 61518 blt 0,0,640,480,0, 6768,640,480:waittimer 61527 blt 0,0,640,480,0, 6769,640,480:waittimer 61536 blt 0,0,640,480,0, 6770,640,480:waittimer 61545 blt 0,0,640,480,0, 6771,640,480:waittimer 61554 blt 0,0,640,480,0, 6772,640,480:waittimer 61563 blt 0,0,640,480,0, 6773,640,480:waittimer 61572 blt 0,0,640,480,0, 6774,640,480:waittimer 61581 blt 0,0,640,480,0, 6775,640,480:waittimer 61590 blt 0,0,640,480,0, 6776,640,480:waittimer 61599 blt 0,0,640,480,0, 6777,640,480:waittimer 61609 blt 0,0,640,480,0, 6778,640,480:waittimer 61618 blt 0,0,640,480,0, 6779,640,480:waittimer 61627 blt 0,0,640,480,0, 6780,640,480:waittimer 61636 blt 0,0,640,480,0, 6781,640,480:waittimer 61645 blt 0,0,640,480,0, 6782,640,480:waittimer 61654 blt 0,0,640,480,0, 6783,640,480:waittimer 61663 blt 0,0,640,480,0, 6784,640,480:waittimer 61672 blt 0,0,640,480,0, 6785,640,480:waittimer 61681 blt 0,0,640,480,0, 6786,640,480:waittimer 61690 blt 0,0,640,480,0, 6787,640,480:waittimer 61699 blt 0,0,640,480,0, 6788,640,480:waittimer 61709 blt 0,0,640,480,0, 6789,640,480:waittimer 61718 blt 0,0,640,480,0, 6790,640,480:waittimer 61727 blt 0,0,640,480,0, 6791,640,480:waittimer 61736 blt 0,0,640,480,0, 6792,640,480:waittimer 61745 blt 0,0,640,480,0, 6793,640,480:waittimer 61754 blt 0,0,640,480,0, 6794,640,480:waittimer 61763 blt 0,0,640,480,0, 6795,640,480:waittimer 61772 blt 0,0,640,480,0, 6796,640,480:waittimer 61781 blt 0,0,640,480,0, 6797,640,480:waittimer 61790 blt 0,0,640,480,0, 6798,640,480:waittimer 61799 blt 0,0,640,480,0, 6799,640,480:waittimer 61809 blt 0,0,640,480,0, 6800,640,480:waittimer 61818 blt 0,0,640,480,0, 6801,640,480:waittimer 61827 blt 0,0,640,480,0, 6802,640,480:waittimer 61836 blt 0,0,640,480,0, 6803,640,480:waittimer 61845 blt 0,0,640,480,0, 6804,640,480:waittimer 61854 blt 0,0,640,480,0, 6805,640,480:waittimer 61863 blt 0,0,640,480,0, 6806,640,480:waittimer 61872 blt 0,0,640,480,0, 6807,640,480:waittimer 61881 blt 0,0,640,480,0, 6808,640,480:waittimer 61890 blt 0,0,640,480,0, 6809,640,480:waittimer 61899 blt 0,0,640,480,0, 6810,640,480:waittimer 61909 blt 0,0,640,480,0, 6811,640,480:waittimer 61918 blt 0,0,640,480,0, 6812,640,480:waittimer 61927 blt 0,0,640,480,0, 6813,640,480:waittimer 61936 blt 0,0,640,480,0, 6814,640,480:waittimer 61945 blt 0,0,640,480,0, 6815,640,480:waittimer 61954 blt 0,0,640,480,0, 6816,640,480:waittimer 61963 blt 0,0,640,480,0, 6817,640,480:waittimer 61972 blt 0,0,640,480,0, 6818,640,480:waittimer 61981 blt 0,0,640,480,0, 6819,640,480:waittimer 61990 blt 0,0,640,480,0, 6820,640,480:waittimer 61999 blt 0,0,640,480,0, 6821,640,480:waittimer 62009 blt 0,0,640,480,0, 6822,640,480:waittimer 62018 blt 0,0,640,480,0, 6823,640,480:waittimer 62027 blt 0,0,640,480,0, 6824,640,480:waittimer 62036 blt 0,0,640,480,0, 6825,640,480:waittimer 62045 blt 0,0,640,480,0, 6826,640,480:waittimer 62054 blt 0,0,640,480,0, 6827,640,480:waittimer 62063 blt 0,0,640,480,0, 6828,640,480:waittimer 62072 blt 0,0,640,480,0, 6829,640,480:waittimer 62081 blt 0,0,640,480,0, 6830,640,480:waittimer 62090 blt 0,0,640,480,0, 6831,640,480:waittimer 62099 blt 0,0,640,480,0, 6832,640,480:waittimer 62109 blt 0,0,640,480,0, 6833,640,480:waittimer 62118 blt 0,0,640,480,0, 6834,640,480:waittimer 62127 blt 0,0,640,480,0, 6835,640,480:waittimer 62136 blt 0,0,640,480,0, 6836,640,480:waittimer 62145 blt 0,0,640,480,0, 6837,640,480:waittimer 62154 blt 0,0,640,480,0, 6838,640,480:waittimer 62163 blt 0,0,640,480,0, 6839,640,480:waittimer 62172 blt 0,0,640,480,0, 6840,640,480:waittimer 62181 blt 0,0,640,480,0, 6841,640,480:waittimer 62190 blt 0,0,640,480,0, 6842,640,480:waittimer 62199 blt 0,0,640,480,0, 6843,640,480:waittimer 62209 blt 0,0,640,480,0, 6844,640,480:waittimer 62218 blt 0,0,640,480,0, 6845,640,480:waittimer 62227 blt 0,0,640,480,0, 6846,640,480:waittimer 62236 blt 0,0,640,480,0, 6847,640,480:waittimer 62245 blt 0,0,640,480,0, 6848,640,480:waittimer 62254 blt 0,0,640,480,0, 6849,640,480:waittimer 62263 blt 0,0,640,480,0, 6850,640,480:waittimer 62272 blt 0,0,640,480,0, 6851,640,480:waittimer 62281 blt 0,0,640,480,0, 6852,640,480:waittimer 62290 blt 0,0,640,480,0, 6853,640,480:waittimer 62299 blt 0,0,640,480,0, 6854,640,480:waittimer 62309 blt 0,0,640,480,0, 6855,640,480:waittimer 62318 blt 0,0,640,480,0, 6856,640,480:waittimer 62327 blt 0,0,640,480,0, 6857,640,480:waittimer 62336 blt 0,0,640,480,0, 6858,640,480:waittimer 62345 blt 0,0,640,480,0, 6859,640,480:waittimer 62354 blt 0,0,640,480,0, 6860,640,480:waittimer 62363 blt 0,0,640,480,0, 6861,640,480:waittimer 62372 blt 0,0,640,480,0, 6862,640,480:waittimer 62381 blt 0,0,640,480,0, 6863,640,480:waittimer 62390 blt 0,0,640,480,0, 6864,640,480:waittimer 62399 blt 0,0,640,480,0, 6865,640,480:waittimer 62409 blt 0,0,640,480,0, 6866,640,480:waittimer 62418 blt 0,0,640,480,0, 6867,640,480:waittimer 62427 blt 0,0,640,480,0, 6868,640,480:waittimer 62436 blt 0,0,640,480,0, 6869,640,480:waittimer 62445 blt 0,0,640,480,0, 6870,640,480:waittimer 62454 blt 0,0,640,480,0, 6871,640,480:waittimer 62463 blt 0,0,640,480,0, 6872,640,480:waittimer 62472 blt 0,0,640,480,0, 6873,640,480:waittimer 62481 blt 0,0,640,480,0, 6874,640,480:waittimer 62490 blt 0,0,640,480,0, 6875,640,480:waittimer 62499 blt 0,0,640,480,0, 6876,640,480:waittimer 62509 blt 0,0,640,480,0, 6877,640,480:waittimer 62518 blt 0,0,640,480,0, 6878,640,480:waittimer 62527 blt 0,0,640,480,0, 6879,640,480:waittimer 62536 blt 0,0,640,480,0, 6880,640,480:waittimer 62545 blt 0,0,640,480,0, 6881,640,480:waittimer 62554 blt 0,0,640,480,0, 6882,640,480:waittimer 62563 blt 0,0,640,480,0, 6883,640,480:waittimer 62572 blt 0,0,640,480,0, 6884,640,480:waittimer 62581 blt 0,0,640,480,0, 6885,640,480:waittimer 62590 blt 0,0,640,480,0, 6886,640,480:waittimer 62599 blt 0,0,640,480,0, 6887,640,480:waittimer 62609 blt 0,0,640,480,0, 6888,640,480:waittimer 62618 blt 0,0,640,480,0, 6889,640,480:waittimer 62627 blt 0,0,640,480,0, 6890,640,480:waittimer 62636 blt 0,0,640,480,0, 6891,640,480:waittimer 62645 blt 0,0,640,480,0, 6892,640,480:waittimer 62654 blt 0,0,640,480,0, 6893,640,480:waittimer 62663 blt 0,0,640,480,0, 6894,640,480:waittimer 62672 blt 0,0,640,480,0, 6895,640,480:waittimer 62681 blt 0,0,640,480,0, 6896,640,480:waittimer 62690 blt 0,0,640,480,0, 6897,640,480:waittimer 62699 blt 0,0,640,480,0, 6898,640,480:waittimer 62709 blt 0,0,640,480,0, 6899,640,480:waittimer 62718 blt 0,0,640,480,0, 6900,640,480:waittimer 62727 blt 0,0,640,480,0, 6901,640,480:waittimer 62736 blt 0,0,640,480,0, 6902,640,480:waittimer 62745 blt 0,0,640,480,0, 6903,640,480:waittimer 62754 blt 0,0,640,480,0, 6904,640,480:waittimer 62763 blt 0,0,640,480,0, 6905,640,480:waittimer 62772 blt 0,0,640,480,0, 6906,640,480:waittimer 62781 blt 0,0,640,480,0, 6907,640,480:waittimer 62790 blt 0,0,640,480,0, 6908,640,480:waittimer 62799 blt 0,0,640,480,0, 6909,640,480:waittimer 62809 blt 0,0,640,480,0, 6910,640,480:waittimer 62818 blt 0,0,640,480,0, 6911,640,480:waittimer 62827 blt 0,0,640,480,0, 6912,640,480:waittimer 62836 blt 0,0,640,480,0, 6913,640,480:waittimer 62845 blt 0,0,640,480,0, 6914,640,480:waittimer 62854 blt 0,0,640,480,0, 6915,640,480:waittimer 62863 blt 0,0,640,480,0, 6916,640,480:waittimer 62872 blt 0,0,640,480,0, 6917,640,480:waittimer 62881 blt 0,0,640,480,0, 6918,640,480:waittimer 62890 blt 0,0,640,480,0, 6919,640,480:waittimer 62899 blt 0,0,640,480,0, 6920,640,480:waittimer 62909 blt 0,0,640,480,0, 6921,640,480:waittimer 62918 blt 0,0,640,480,0, 6922,640,480:waittimer 62927 blt 0,0,640,480,0, 6923,640,480:waittimer 62936 blt 0,0,640,480,0, 6924,640,480:waittimer 62945 blt 0,0,640,480,0, 6925,640,480:waittimer 62954 blt 0,0,640,480,0, 6926,640,480:waittimer 62963 blt 0,0,640,480,0, 6927,640,480:waittimer 62972 blt 0,0,640,480,0, 6928,640,480:waittimer 62981 blt 0,0,640,480,0, 6929,640,480:waittimer 62990 blt 0,0,640,480,0, 6930,640,480:waittimer 62999 blt 0,0,640,480,0, 6931,640,480:waittimer 63009 blt 0,0,640,480,0, 6932,640,480:waittimer 63018 blt 0,0,640,480,0, 6933,640,480:waittimer 63027 blt 0,0,640,480,0, 6934,640,480:waittimer 63036 blt 0,0,640,480,0, 6935,640,480:waittimer 63045 blt 0,0,640,480,0, 6936,640,480:waittimer 63054 blt 0,0,640,480,0, 6937,640,480:waittimer 63063 blt 0,0,640,480,0, 6938,640,480:waittimer 63072 blt 0,0,640,480,0, 6939,640,480:waittimer 63081 blt 0,0,640,480,0, 6940,640,480:waittimer 63090 blt 0,0,640,480,0, 6941,640,480:waittimer 63099 blt 0,0,640,480,0, 6942,640,480:waittimer 63109 blt 0,0,640,480,0, 6943,640,480:waittimer 63118 blt 0,0,640,480,0, 6944,640,480:waittimer 63127 blt 0,0,640,480,0, 6945,640,480:waittimer 63136 blt 0,0,640,480,0, 6946,640,480:waittimer 63145 blt 0,0,640,480,0, 6947,640,480:waittimer 63154 blt 0,0,640,480,0, 6948,640,480:waittimer 63163 blt 0,0,640,480,0, 6949,640,480:waittimer 63172 blt 0,0,640,480,0, 6950,640,480:waittimer 63181 blt 0,0,640,480,0, 6951,640,480:waittimer 63190 blt 0,0,640,480,0, 6952,640,480:waittimer 63199 blt 0,0,640,480,0, 6953,640,480:waittimer 63209 blt 0,0,640,480,0, 6954,640,480:waittimer 63218 blt 0,0,640,480,0, 6955,640,480:waittimer 63227 blt 0,0,640,480,0, 6956,640,480:waittimer 63236 blt 0,0,640,480,0, 6957,640,480:waittimer 63245 blt 0,0,640,480,0, 6958,640,480:waittimer 63254 blt 0,0,640,480,0, 6959,640,480:waittimer 63263 blt 0,0,640,480,0, 6960,640,480:waittimer 63272 blt 0,0,640,480,0, 6961,640,480:waittimer 63281 blt 0,0,640,480,0, 6962,640,480:waittimer 63290 blt 0,0,640,480,0, 6963,640,480:waittimer 63299 blt 0,0,640,480,0, 6964,640,480:waittimer 63309 blt 0,0,640,480,0, 6965,640,480:waittimer 63318 blt 0,0,640,480,0, 6966,640,480:waittimer 63327 blt 0,0,640,480,0, 6967,640,480:waittimer 63336 blt 0,0,640,480,0, 6968,640,480:waittimer 63345 blt 0,0,640,480,0, 6969,640,480:waittimer 63354 blt 0,0,640,480,0, 6970,640,480:waittimer 63363 blt 0,0,640,480,0, 6971,640,480:waittimer 63372 blt 0,0,640,480,0, 6972,640,480:waittimer 63381 blt 0,0,640,480,0, 6973,640,480:waittimer 63390 blt 0,0,640,480,0, 6974,640,480:waittimer 63399 blt 0,0,640,480,0, 6975,640,480:waittimer 63409 blt 0,0,640,480,0, 6976,640,480:waittimer 63418 blt 0,0,640,480,0, 6977,640,480:waittimer 63427 blt 0,0,640,480,0, 6978,640,480:waittimer 63436 blt 0,0,640,480,0, 6979,640,480:waittimer 63445 blt 0,0,640,480,0, 6980,640,480:waittimer 63454 blt 0,0,640,480,0, 6981,640,480:waittimer 63463 blt 0,0,640,480,0, 6982,640,480:waittimer 63472 blt 0,0,640,480,0, 6983,640,480:waittimer 63481 blt 0,0,640,480,0, 6984,640,480:waittimer 63490 blt 0,0,640,480,0, 6985,640,480:waittimer 63499 blt 0,0,640,480,0, 6986,640,480:waittimer 63509 blt 0,0,640,480,0, 6987,640,480:waittimer 63518 blt 0,0,640,480,0, 6988,640,480:waittimer 63527 blt 0,0,640,480,0, 6989,640,480:waittimer 63536 blt 0,0,640,480,0, 6990,640,480:waittimer 63545 blt 0,0,640,480,0, 6991,640,480:waittimer 63554 blt 0,0,640,480,0, 6992,640,480:waittimer 63563 blt 0,0,640,480,0, 6993,640,480:waittimer 63572 blt 0,0,640,480,0, 6994,640,480:waittimer 63581 blt 0,0,640,480,0, 6995,640,480:waittimer 63590 blt 0,0,640,480,0, 6996,640,480:waittimer 63599 blt 0,0,640,480,0, 6997,640,480:waittimer 63609 blt 0,0,640,480,0, 6998,640,480:waittimer 63618 blt 0,0,640,480,0, 6999,640,480:waittimer 63627 blt 0,0,640,480,0, 7000,640,480:waittimer 63636 blt 0,0,640,480,0, 7001,640,480:waittimer 63645 blt 0,0,640,480,0, 7002,640,480:waittimer 63654 blt 0,0,640,480,0, 7003,640,480:waittimer 63663 blt 0,0,640,480,0, 7004,640,480:waittimer 63672 blt 0,0,640,480,0, 7005,640,480:waittimer 63681 blt 0,0,640,480,0, 7006,640,480:waittimer 63690 blt 0,0,640,480,0, 7007,640,480:waittimer 63699 blt 0,0,640,480,0, 7008,640,480:waittimer 63709 blt 0,0,640,480,0, 7009,640,480:waittimer 63718 blt 0,0,640,480,0, 7010,640,480:waittimer 63727 blt 0,0,640,480,0, 7011,640,480:waittimer 63736 blt 0,0,640,480,0, 7012,640,480:waittimer 63745 blt 0,0,640,480,0, 7013,640,480:waittimer 63754 blt 0,0,640,480,0, 7014,640,480:waittimer 63763 blt 0,0,640,480,0, 7015,640,480:waittimer 63772 blt 0,0,640,480,0, 7016,640,480:waittimer 63781 blt 0,0,640,480,0, 7017,640,480:waittimer 63790 blt 0,0,640,480,0, 7018,640,480:waittimer 63799 blt 0,0,640,480,0, 7019,640,480:waittimer 63809 blt 0,0,640,480,0, 7020,640,480:waittimer 63818 blt 0,0,640,480,0, 7021,640,480:waittimer 63827 blt 0,0,640,480,0, 7022,640,480:waittimer 63836 blt 0,0,640,480,0, 7023,640,480:waittimer 63845 blt 0,0,640,480,0, 7024,640,480:waittimer 63854 blt 0,0,640,480,0, 7025,640,480:waittimer 63863 blt 0,0,640,480,0, 7026,640,480:waittimer 63872 blt 0,0,640,480,0, 7027,640,480:waittimer 63881 blt 0,0,640,480,0, 7028,640,480:waittimer 63890 blt 0,0,640,480,0, 7029,640,480:waittimer 63899 blt 0,0,640,480,0, 7030,640,480:waittimer 63909 blt 0,0,640,480,0, 7031,640,480:waittimer 63918 blt 0,0,640,480,0, 7032,640,480:waittimer 63927 blt 0,0,640,480,0, 7033,640,480:waittimer 63936 blt 0,0,640,480,0, 7034,640,480:waittimer 63945 blt 0,0,640,480,0, 7035,640,480:waittimer 63954 blt 0,0,640,480,0, 7036,640,480:waittimer 63963 blt 0,0,640,480,0, 7037,640,480:waittimer 63972 blt 0,0,640,480,0, 7038,640,480:waittimer 63981 blt 0,0,640,480,0, 7039,640,480:waittimer 63990 blt 0,0,640,480,0, 7040,640,480:waittimer 63999 blt 0,0,640,480,0, 7041,640,480:waittimer 64009 blt 0,0,640,480,0, 7042,640,480:waittimer 64018 blt 0,0,640,480,0, 7043,640,480:waittimer 64027 blt 0,0,640,480,0, 7044,640,480:waittimer 64036 blt 0,0,640,480,0, 7045,640,480:waittimer 64045 blt 0,0,640,480,0, 7046,640,480:waittimer 64054 blt 0,0,640,480,0, 7047,640,480:waittimer 64063 blt 0,0,640,480,0, 7048,640,480:waittimer 64072 blt 0,0,640,480,0, 7049,640,480:waittimer 64081 blt 0,0,640,480,0, 7050,640,480:waittimer 64090 blt 0,0,640,480,0, 7051,640,480:waittimer 64099 blt 0,0,640,480,0, 7052,640,480:waittimer 64109 blt 0,0,640,480,0, 7053,640,480:waittimer 64118 blt 0,0,640,480,0, 7054,640,480:waittimer 64127 blt 0,0,640,480,0, 7055,640,480:waittimer 64136 blt 0,0,640,480,0, 7056,640,480:waittimer 64145 blt 0,0,640,480,0, 7057,640,480:waittimer 64154 blt 0,0,640,480,0, 7058,640,480:waittimer 64163 blt 0,0,640,480,0, 7059,640,480:waittimer 64172 blt 0,0,640,480,0, 7060,640,480:waittimer 64181 blt 0,0,640,480,0, 7061,640,480:waittimer 64190 blt 0,0,640,480,0, 7062,640,480:waittimer 64199 blt 0,0,640,480,0, 7063,640,480:waittimer 64209 blt 0,0,640,480,0, 7064,640,480:waittimer 64218 blt 0,0,640,480,0, 7065,640,480:waittimer 64227 blt 0,0,640,480,0, 7066,640,480:waittimer 64236 blt 0,0,640,480,0, 7067,640,480:waittimer 64245 blt 0,0,640,480,0, 7068,640,480:waittimer 64254 blt 0,0,640,480,0, 7069,640,480:waittimer 64263 blt 0,0,640,480,0, 7070,640,480:waittimer 64272 blt 0,0,640,480,0, 7071,640,480:waittimer 64281 blt 0,0,640,480,0, 7072,640,480:waittimer 64290 blt 0,0,640,480,0, 7073,640,480:waittimer 64299 blt 0,0,640,480,0, 7074,640,480:waittimer 64309 blt 0,0,640,480,0, 7075,640,480:waittimer 64318 blt 0,0,640,480,0, 7076,640,480:waittimer 64327 blt 0,0,640,480,0, 7077,640,480:waittimer 64336 blt 0,0,640,480,0, 7078,640,480:waittimer 64345 blt 0,0,640,480,0, 7079,640,480:waittimer 64354 blt 0,0,640,480,0, 7080,640,480:waittimer 64363 blt 0,0,640,480,0, 7081,640,480:waittimer 64372 blt 0,0,640,480,0, 7082,640,480:waittimer 64381 blt 0,0,640,480,0, 7083,640,480:waittimer 64390 blt 0,0,640,480,0, 7084,640,480:waittimer 64399 blt 0,0,640,480,0, 7085,640,480:waittimer 64409 blt 0,0,640,480,0, 7086,640,480:waittimer 64418 blt 0,0,640,480,0, 7087,640,480:waittimer 64427 blt 0,0,640,480,0, 7088,640,480:waittimer 64436 blt 0,0,640,480,0, 7089,640,480:waittimer 64445 blt 0,0,640,480,0, 7090,640,480:waittimer 64454 blt 0,0,640,480,0, 7091,640,480:waittimer 64463 blt 0,0,640,480,0, 7092,640,480:waittimer 64472 blt 0,0,640,480,0, 7093,640,480:waittimer 64481 blt 0,0,640,480,0, 7094,640,480:waittimer 64490 blt 0,0,640,480,0, 7095,640,480:waittimer 64499 blt 0,0,640,480,0, 7096,640,480:waittimer 64509 blt 0,0,640,480,0, 7097,640,480:waittimer 64518 blt 0,0,640,480,0, 7098,640,480:waittimer 64527 blt 0,0,640,480,0, 7099,640,480:waittimer 64536 blt 0,0,640,480,0, 7100,640,480:waittimer 64545 blt 0,0,640,480,0, 7101,640,480:waittimer 64554 blt 0,0,640,480,0, 7102,640,480:waittimer 64563 blt 0,0,640,480,0, 7103,640,480:waittimer 64572 blt 0,0,640,480,0, 7104,640,480:waittimer 64581 blt 0,0,640,480,0, 7105,640,480:waittimer 64590 blt 0,0,640,480,0, 7106,640,480:waittimer 64599 blt 0,0,640,480,0, 7107,640,480:waittimer 64609 blt 0,0,640,480,0, 7108,640,480:waittimer 64618 blt 0,0,640,480,0, 7109,640,480:waittimer 64627 blt 0,0,640,480,0, 7110,640,480:waittimer 64636 blt 0,0,640,480,0, 7111,640,480:waittimer 64645 blt 0,0,640,480,0, 7112,640,480:waittimer 64654 blt 0,0,640,480,0, 7113,640,480:waittimer 64663 blt 0,0,640,480,0, 7114,640,480:waittimer 64672 blt 0,0,640,480,0, 7115,640,480:waittimer 64681 blt 0,0,640,480,0, 7116,640,480:waittimer 64690 blt 0,0,640,480,0, 7117,640,480:waittimer 64699 blt 0,0,640,480,0, 7118,640,480:waittimer 64709 blt 0,0,640,480,0, 7119,640,480:waittimer 64718 blt 0,0,640,480,0, 7120,640,480:waittimer 64727 blt 0,0,640,480,0, 7121,640,480:waittimer 64736 blt 0,0,640,480,0, 7122,640,480:waittimer 64745 blt 0,0,640,480,0, 7123,640,480:waittimer 64754 blt 0,0,640,480,0, 7124,640,480:waittimer 64763 blt 0,0,640,480,0, 7125,640,480:waittimer 64772 blt 0,0,640,480,0, 7126,640,480:waittimer 64781 blt 0,0,640,480,0, 7127,640,480:waittimer 64790 blt 0,0,640,480,0, 7128,640,480:waittimer 64799 blt 0,0,640,480,0, 7129,640,480:waittimer 64809 blt 0,0,640,480,0, 7130,640,480:waittimer 64818 blt 0,0,640,480,0, 7131,640,480:waittimer 64827 blt 0,0,640,480,0, 7132,640,480:waittimer 64836 blt 0,0,640,480,0, 7133,640,480:waittimer 64845 blt 0,0,640,480,0, 7134,640,480:waittimer 64854 blt 0,0,640,480,0, 7135,640,480:waittimer 64863 blt 0,0,640,480,0, 7136,640,480:waittimer 64872 blt 0,0,640,480,0, 7137,640,480:waittimer 64881 blt 0,0,640,480,0, 7138,640,480:waittimer 64890 blt 0,0,640,480,0, 7139,640,480:waittimer 64899 blt 0,0,640,480,0, 7140,640,480:waittimer 64909 blt 0,0,640,480,0, 7141,640,480:waittimer 64918 blt 0,0,640,480,0, 7142,640,480:waittimer 64927 blt 0,0,640,480,0, 7143,640,480:waittimer 64936 blt 0,0,640,480,0, 7144,640,480:waittimer 64945 blt 0,0,640,480,0, 7145,640,480:waittimer 64954 blt 0,0,640,480,0, 7146,640,480:waittimer 64963 blt 0,0,640,480,0, 7147,640,480:waittimer 64972 blt 0,0,640,480,0, 7148,640,480:waittimer 64981 blt 0,0,640,480,0, 7149,640,480:waittimer 64990 blt 0,0,640,480,0, 7150,640,480:waittimer 64999 blt 0,0,640,480,0, 7151,640,480:waittimer 65009 blt 0,0,640,480,0, 7152,640,480:waittimer 65018 blt 0,0,640,480,0, 7153,640,480:waittimer 65027 blt 0,0,640,480,0, 7154,640,480:waittimer 65036 blt 0,0,640,480,0, 7155,640,480:waittimer 65045 blt 0,0,640,480,0, 7156,640,480:waittimer 65054 blt 0,0,640,480,0, 7157,640,480:waittimer 65063 blt 0,0,640,480,0, 7158,640,480:waittimer 65072 blt 0,0,640,480,0, 7159,640,480:waittimer 65081 blt 0,0,640,480,0, 7160,640,480:waittimer 65090 blt 0,0,640,480,0, 7161,640,480:waittimer 65099 blt 0,0,640,480,0, 7162,640,480:waittimer 65109 blt 0,0,640,480,0, 7163,640,480:waittimer 65118 blt 0,0,640,480,0, 7164,640,480:waittimer 65127 blt 0,0,640,480,0, 7165,640,480:waittimer 65136 blt 0,0,640,480,0, 7166,640,480:waittimer 65145 blt 0,0,640,480,0, 7167,640,480:waittimer 65154 blt 0,0,640,480,0, 7168,640,480:waittimer 65163 blt 0,0,640,480,0, 7169,640,480:waittimer 65172 blt 0,0,640,480,0, 7170,640,480:waittimer 65181 blt 0,0,640,480,0, 7171,640,480:waittimer 65190 blt 0,0,640,480,0, 7172,640,480:waittimer 65199 blt 0,0,640,480,0, 7173,640,480:waittimer 65209 blt 0,0,640,480,0, 7174,640,480:waittimer 65218 blt 0,0,640,480,0, 7175,640,480:waittimer 65227 blt 0,0,640,480,0, 7176,640,480:waittimer 65236 blt 0,0,640,480,0, 7177,640,480:waittimer 65245 blt 0,0,640,480,0, 7178,640,480:waittimer 65254 blt 0,0,640,480,0, 7179,640,480:waittimer 65263 blt 0,0,640,480,0, 7180,640,480:waittimer 65272 blt 0,0,640,480,0, 7181,640,480:waittimer 65281 blt 0,0,640,480,0, 7182,640,480:waittimer 65290 blt 0,0,640,480,0, 7183,640,480:waittimer 65299 blt 0,0,640,480,0, 7184,640,480:waittimer 65309 blt 0,0,640,480,0, 7185,640,480:waittimer 65318 blt 0,0,640,480,0, 7186,640,480:waittimer 65327 blt 0,0,640,480,0, 7187,640,480:waittimer 65336 blt 0,0,640,480,0, 7188,640,480:waittimer 65345 blt 0,0,640,480,0, 7189,640,480:waittimer 65354 blt 0,0,640,480,0, 7190,640,480:waittimer 65363 blt 0,0,640,480,0, 7191,640,480:waittimer 65372 blt 0,0,640,480,0, 7192,640,480:waittimer 65381 blt 0,0,640,480,0, 7193,640,480:waittimer 65390 blt 0,0,640,480,0, 7194,640,480:waittimer 65399 blt 0,0,640,480,0, 7195,640,480:waittimer 65409 blt 0,0,640,480,0, 7196,640,480:waittimer 65418 blt 0,0,640,480,0, 7197,640,480:waittimer 65427 blt 0,0,640,480,0, 7198,640,480:waittimer 65436 blt 0,0,640,480,0, 7199,640,480:waittimer 65445 blt 0,0,640,480,0, 7200,640,480:waittimer 65454 blt 0,0,640,480,0, 7201,640,480:waittimer 65463 blt 0,0,640,480,0, 7202,640,480:waittimer 65472 blt 0,0,640,480,0, 7203,640,480:waittimer 65481 blt 0,0,640,480,0, 7204,640,480:waittimer 65490 blt 0,0,640,480,0, 7205,640,480:waittimer 65499 blt 0,0,640,480,0, 7206,640,480:waittimer 65509 blt 0,0,640,480,0, 7207,640,480:waittimer 65518 blt 0,0,640,480,0, 7208,640,480:waittimer 65527 blt 0,0,640,480,0, 7209,640,480:waittimer 65536 blt 0,0,640,480,0, 7210,640,480:waittimer 65545 blt 0,0,640,480,0, 7211,640,480:waittimer 65554 blt 0,0,640,480,0, 7212,640,480:waittimer 65563 blt 0,0,640,480,0, 7213,640,480:waittimer 65572 blt 0,0,640,480,0, 7214,640,480:waittimer 65581 blt 0,0,640,480,0, 7215,640,480:waittimer 65590 blt 0,0,640,480,0, 7216,640,480:waittimer 65599 blt 0,0,640,480,0, 7217,640,480:waittimer 65609 blt 0,0,640,480,0, 7218,640,480:waittimer 65618 blt 0,0,640,480,0, 7219,640,480:waittimer 65627 blt 0,0,640,480,0, 7220,640,480:waittimer 65636 blt 0,0,640,480,0, 7221,640,480:waittimer 65645 blt 0,0,640,480,0, 7222,640,480:waittimer 65654 blt 0,0,640,480,0, 7223,640,480:waittimer 65663 blt 0,0,640,480,0, 7224,640,480:waittimer 65672 blt 0,0,640,480,0, 7225,640,480:waittimer 65681 blt 0,0,640,480,0, 7226,640,480:waittimer 65690 blt 0,0,640,480,0, 7227,640,480:waittimer 65699 blt 0,0,640,480,0, 7228,640,480:waittimer 65709 blt 0,0,640,480,0, 7229,640,480:waittimer 65718 blt 0,0,640,480,0, 7230,640,480:waittimer 65727 blt 0,0,640,480,0, 7231,640,480:waittimer 65736 blt 0,0,640,480,0, 7232,640,480:waittimer 65745 blt 0,0,640,480,0, 7233,640,480:waittimer 65754 blt 0,0,640,480,0, 7234,640,480:waittimer 65763 blt 0,0,640,480,0, 7235,640,480:waittimer 65772 blt 0,0,640,480,0, 7236,640,480:waittimer 65781 blt 0,0,640,480,0, 7237,640,480:waittimer 65790 blt 0,0,640,480,0, 7238,640,480:waittimer 65799 blt 0,0,640,480,0, 7239,640,480:waittimer 65809 blt 0,0,640,480,0, 7240,640,480:waittimer 65818 blt 0,0,640,480,0, 7241,640,480:waittimer 65827 blt 0,0,640,480,0, 7242,640,480:waittimer 65836 blt 0,0,640,480,0, 7243,640,480:waittimer 65845 blt 0,0,640,480,0, 7244,640,480:waittimer 65854 blt 0,0,640,480,0, 7245,640,480:waittimer 65863 blt 0,0,640,480,0, 7246,640,480:waittimer 65872 blt 0,0,640,480,0, 7247,640,480:waittimer 65881 blt 0,0,640,480,0, 7248,640,480:waittimer 65890 blt 0,0,640,480,0, 7249,640,480:waittimer 65899 blt 0,0,640,480,0, 7250,640,480:waittimer 65909 blt 0,0,640,480,0, 7251,640,480:waittimer 65918 blt 0,0,640,480,0, 7252,640,480:waittimer 65927 blt 0,0,640,480,0, 7253,640,480:waittimer 65936 blt 0,0,640,480,0, 7254,640,480:waittimer 65945 blt 0,0,640,480,0, 7255,640,480:waittimer 65954 blt 0,0,640,480,0, 7256,640,480:waittimer 65963 blt 0,0,640,480,0, 7257,640,480:waittimer 65972 blt 0,0,640,480,0, 7258,640,480:waittimer 65981 blt 0,0,640,480,0, 7259,640,480:waittimer 65990 blt 0,0,640,480,0, 7260,640,480:waittimer 65999 blt 0,0,640,480,0, 7261,640,480:waittimer 66009 blt 0,0,640,480,0, 7262,640,480:waittimer 66018 blt 0,0,640,480,0, 7263,640,480:waittimer 66027 blt 0,0,640,480,0, 7264,640,480:waittimer 66036 blt 0,0,640,480,0, 7265,640,480:waittimer 66045 blt 0,0,640,480,0, 7266,640,480:waittimer 66054 blt 0,0,640,480,0, 7267,640,480:waittimer 66063 blt 0,0,640,480,0, 7268,640,480:waittimer 66072 blt 0,0,640,480,0, 7269,640,480:waittimer 66081 blt 0,0,640,480,0, 7270,640,480:waittimer 66090 blt 0,0,640,480,0, 7271,640,480:waittimer 66099 blt 0,0,640,480,0, 7272,640,480:waittimer 66109 blt 0,0,640,480,0, 7273,640,480:waittimer 66118 blt 0,0,640,480,0, 7274,640,480:waittimer 66127 blt 0,0,640,480,0, 7275,640,480:waittimer 66136 blt 0,0,640,480,0, 7276,640,480:waittimer 66145 blt 0,0,640,480,0, 7277,640,480:waittimer 66154 blt 0,0,640,480,0, 7278,640,480:waittimer 66163 blt 0,0,640,480,0, 7279,640,480:waittimer 66172 blt 0,0,640,480,0, 7280,640,480:waittimer 66181 blt 0,0,640,480,0, 7281,640,480:waittimer 66190 blt 0,0,640,480,0, 7282,640,480:waittimer 66199 blt 0,0,640,480,0, 7283,640,480:waittimer 66209 blt 0,0,640,480,0, 7284,640,480:waittimer 66218 blt 0,0,640,480,0, 7285,640,480:waittimer 66227 blt 0,0,640,480,0, 7286,640,480:waittimer 66236 blt 0,0,640,480,0, 7287,640,480:waittimer 66245 blt 0,0,640,480,0, 7288,640,480:waittimer 66254 blt 0,0,640,480,0, 7289,640,480:waittimer 66263 blt 0,0,640,480,0, 7290,640,480:waittimer 66272 blt 0,0,640,480,0, 7291,640,480:waittimer 66281 blt 0,0,640,480,0, 7292,640,480:waittimer 66290 blt 0,0,640,480,0, 7293,640,480:waittimer 66299 blt 0,0,640,480,0, 7294,640,480:waittimer 66309 blt 0,0,640,480,0, 7295,640,480:waittimer 66318 blt 0,0,640,480,0, 7296,640,480:waittimer 66327 blt 0,0,640,480,0, 7297,640,480:waittimer 66336 blt 0,0,640,480,0, 7298,640,480:waittimer 66345 blt 0,0,640,480,0, 7299,640,480:waittimer 66354 blt 0,0,640,480,0, 7300,640,480:waittimer 66363 blt 0,0,640,480,0, 7301,640,480:waittimer 66372 blt 0,0,640,480,0, 7302,640,480:waittimer 66381 blt 0,0,640,480,0, 7303,640,480:waittimer 66390 blt 0,0,640,480,0, 7304,640,480:waittimer 66399 blt 0,0,640,480,0, 7305,640,480:waittimer 66409 blt 0,0,640,480,0, 7306,640,480:waittimer 66418 blt 0,0,640,480,0, 7307,640,480:waittimer 66427 blt 0,0,640,480,0, 7308,640,480:waittimer 66436 blt 0,0,640,480,0, 7309,640,480:waittimer 66445 blt 0,0,640,480,0, 7310,640,480:waittimer 66454 blt 0,0,640,480,0, 7311,640,480:waittimer 66463 blt 0,0,640,480,0, 7312,640,480:waittimer 66472 blt 0,0,640,480,0, 7313,640,480:waittimer 66481 blt 0,0,640,480,0, 7314,640,480:waittimer 66490 blt 0,0,640,480,0, 7315,640,480:waittimer 66499 blt 0,0,640,480,0, 7316,640,480:waittimer 66509 blt 0,0,640,480,0, 7317,640,480:waittimer 66518 blt 0,0,640,480,0, 7318,640,480:waittimer 66527 blt 0,0,640,480,0, 7319,640,480:waittimer 66536 blt 0,0,640,480,0, 7320,640,480:waittimer 66545 blt 0,0,640,480,0, 7321,640,480:waittimer 66554 blt 0,0,640,480,0, 7322,640,480:waittimer 66563 blt 0,0,640,480,0, 7323,640,480:waittimer 66572 blt 0,0,640,480,0, 7324,640,480:waittimer 66581 blt 0,0,640,480,0, 7325,640,480:waittimer 66590 blt 0,0,640,480,0, 7326,640,480:waittimer 66599 blt 0,0,640,480,0, 7327,640,480:waittimer 66609 blt 0,0,640,480,0, 7328,640,480:waittimer 66618 blt 0,0,640,480,0, 7329,640,480:waittimer 66627 blt 0,0,640,480,0, 7330,640,480:waittimer 66636 blt 0,0,640,480,0, 7331,640,480:waittimer 66645 blt 0,0,640,480,0, 7332,640,480:waittimer 66654 blt 0,0,640,480,0, 7333,640,480:waittimer 66663 blt 0,0,640,480,0, 7334,640,480:waittimer 66672 blt 0,0,640,480,0, 7335,640,480:waittimer 66681 blt 0,0,640,480,0, 7336,640,480:waittimer 66690 blt 0,0,640,480,0, 7337,640,480:waittimer 66699 blt 0,0,640,480,0, 7338,640,480:waittimer 66709 blt 0,0,640,480,0, 7339,640,480:waittimer 66718 blt 0,0,640,480,0, 7340,640,480:waittimer 66727 blt 0,0,640,480,0, 7341,640,480:waittimer 66736 blt 0,0,640,480,0, 7342,640,480:waittimer 66745 blt 0,0,640,480,0, 7343,640,480:waittimer 66754 blt 0,0,640,480,0, 7344,640,480:waittimer 66763 blt 0,0,640,480,0, 7345,640,480:waittimer 66772 blt 0,0,640,480,0, 7346,640,480:waittimer 66781 blt 0,0,640,480,0, 7347,640,480:waittimer 66790 blt 0,0,640,480,0, 7348,640,480:waittimer 66799 blt 0,0,640,480,0, 7349,640,480:waittimer 66809 blt 0,0,640,480,0, 7350,640,480:waittimer 66818 blt 0,0,640,480,0, 7351,640,480:waittimer 66827 blt 0,0,640,480,0, 7352,640,480:waittimer 66836 blt 0,0,640,480,0, 7353,640,480:waittimer 66845 blt 0,0,640,480,0, 7354,640,480:waittimer 66854 blt 0,0,640,480,0, 7355,640,480:waittimer 66863 blt 0,0,640,480,0, 7356,640,480:waittimer 66872 blt 0,0,640,480,0, 7357,640,480:waittimer 66881 blt 0,0,640,480,0, 7358,640,480:waittimer 66890 blt 0,0,640,480,0, 7359,640,480:waittimer 66899 blt 0,0,640,480,0, 7360,640,480:waittimer 66909 blt 0,0,640,480,0, 7361,640,480:waittimer 66918 blt 0,0,640,480,0, 7362,640,480:waittimer 66927 blt 0,0,640,480,0, 7363,640,480:waittimer 66936 blt 0,0,640,480,0, 7364,640,480:waittimer 66945 blt 0,0,640,480,0, 7365,640,480:waittimer 66954 blt 0,0,640,480,0, 7366,640,480:waittimer 66963 blt 0,0,640,480,0, 7367,640,480:waittimer 66972 blt 0,0,640,480,0, 7368,640,480:waittimer 66981 blt 0,0,640,480,0, 7369,640,480:waittimer 66990 blt 0,0,640,480,0, 7370,640,480:waittimer 66999 blt 0,0,640,480,0, 7371,640,480:waittimer 67009 blt 0,0,640,480,0, 7372,640,480:waittimer 67018 blt 0,0,640,480,0, 7373,640,480:waittimer 67027 blt 0,0,640,480,0, 7374,640,480:waittimer 67036 blt 0,0,640,480,0, 7375,640,480:waittimer 67045 blt 0,0,640,480,0, 7376,640,480:waittimer 67054 blt 0,0,640,480,0, 7377,640,480:waittimer 67063 blt 0,0,640,480,0, 7378,640,480:waittimer 67072 blt 0,0,640,480,0, 7379,640,480:waittimer 67081 blt 0,0,640,480,0, 7380,640,480:waittimer 67090 blt 0,0,640,480,0, 7381,640,480:waittimer 67099 blt 0,0,640,480,0, 7382,640,480:waittimer 67109 blt 0,0,640,480,0, 7383,640,480:waittimer 67118 blt 0,0,640,480,0, 7384,640,480:waittimer 67127 blt 0,0,640,480,0, 7385,640,480:waittimer 67136 blt 0,0,640,480,0, 7386,640,480:waittimer 67145 blt 0,0,640,480,0, 7387,640,480:waittimer 67154 blt 0,0,640,480,0, 7388,640,480:waittimer 67163 blt 0,0,640,480,0, 7389,640,480:waittimer 67172 blt 0,0,640,480,0, 7390,640,480:waittimer 67181 blt 0,0,640,480,0, 7391,640,480:waittimer 67190 blt 0,0,640,480,0, 7392,640,480:waittimer 67199 blt 0,0,640,480,0, 7393,640,480:waittimer 67209 blt 0,0,640,480,0, 7394,640,480:waittimer 67218 blt 0,0,640,480,0, 7395,640,480:waittimer 67227 blt 0,0,640,480,0, 7396,640,480:waittimer 67236 blt 0,0,640,480,0, 7397,640,480:waittimer 67245 blt 0,0,640,480,0, 7398,640,480:waittimer 67254 blt 0,0,640,480,0, 7399,640,480:waittimer 67263 blt 0,0,640,480,0, 7400,640,480:waittimer 67272 blt 0,0,640,480,0, 7401,640,480:waittimer 67281 blt 0,0,640,480,0, 7402,640,480:waittimer 67290 blt 0,0,640,480,0, 7403,640,480:waittimer 67299 blt 0,0,640,480,0, 7404,640,480:waittimer 67309 blt 0,0,640,480,0, 7405,640,480:waittimer 67318 blt 0,0,640,480,0, 7406,640,480:waittimer 67327 blt 0,0,640,480,0, 7407,640,480:waittimer 67336 blt 0,0,640,480,0, 7408,640,480:waittimer 67345 blt 0,0,640,480,0, 7409,640,480:waittimer 67354 blt 0,0,640,480,0, 7410,640,480:waittimer 67363 blt 0,0,640,480,0, 7411,640,480:waittimer 67372 blt 0,0,640,480,0, 7412,640,480:waittimer 67381 blt 0,0,640,480,0, 7413,640,480:waittimer 67390 blt 0,0,640,480,0, 7414,640,480:waittimer 67399 blt 0,0,640,480,0, 7415,640,480:waittimer 67409 blt 0,0,640,480,0, 7416,640,480:waittimer 67418 blt 0,0,640,480,0, 7417,640,480:waittimer 67427 blt 0,0,640,480,0, 7418,640,480:waittimer 67436 blt 0,0,640,480,0, 7419,640,480:waittimer 67445 blt 0,0,640,480,0, 7420,640,480:waittimer 67454 blt 0,0,640,480,0, 7421,640,480:waittimer 67463 blt 0,0,640,480,0, 7422,640,480:waittimer 67472 blt 0,0,640,480,0, 7423,640,480:waittimer 67481 blt 0,0,640,480,0, 7424,640,480:waittimer 67490 blt 0,0,640,480,0, 7425,640,480:waittimer 67499 blt 0,0,640,480,0, 7426,640,480:waittimer 67509 blt 0,0,640,480,0, 7427,640,480:waittimer 67518 blt 0,0,640,480,0, 7428,640,480:waittimer 67527 blt 0,0,640,480,0, 7429,640,480:waittimer 67536 blt 0,0,640,480,0, 7430,640,480:waittimer 67545 blt 0,0,640,480,0, 7431,640,480:waittimer 67554 blt 0,0,640,480,0, 7432,640,480:waittimer 67563 blt 0,0,640,480,0, 7433,640,480:waittimer 67572 blt 0,0,640,480,0, 7434,640,480:waittimer 67581 blt 0,0,640,480,0, 7435,640,480:waittimer 67590 blt 0,0,640,480,0, 7436,640,480:waittimer 67599 blt 0,0,640,480,0, 7437,640,480:waittimer 67609 blt 0,0,640,480,0, 7438,640,480:waittimer 67618 blt 0,0,640,480,0, 7439,640,480:waittimer 67627 blt 0,0,640,480,0, 7440,640,480:waittimer 67636 blt 0,0,640,480,0, 7441,640,480:waittimer 67645 blt 0,0,640,480,0, 7442,640,480:waittimer 67654 blt 0,0,640,480,0, 7443,640,480:waittimer 67663 blt 0,0,640,480,0, 7444,640,480:waittimer 67672 blt 0,0,640,480,0, 7445,640,480:waittimer 67681 blt 0,0,640,480,0, 7446,640,480:waittimer 67690 blt 0,0,640,480,0, 7447,640,480:waittimer 67699 blt 0,0,640,480,0, 7448,640,480:waittimer 67709 blt 0,0,640,480,0, 7449,640,480:waittimer 67718 blt 0,0,640,480,0, 7450,640,480:waittimer 67727 blt 0,0,640,480,0, 7451,640,480:waittimer 67736 blt 0,0,640,480,0, 7452,640,480:waittimer 67745 blt 0,0,640,480,0, 7453,640,480:waittimer 67754 blt 0,0,640,480,0, 7454,640,480:waittimer 67763 blt 0,0,640,480,0, 7455,640,480:waittimer 67772 blt 0,0,640,480,0, 7456,640,480:waittimer 67781 blt 0,0,640,480,0, 7457,640,480:waittimer 67790 blt 0,0,640,480,0, 7458,640,480:waittimer 67799 blt 0,0,640,480,0, 7459,640,480:waittimer 67809 blt 0,0,640,480,0, 7460,640,480:waittimer 67818 blt 0,0,640,480,0, 7461,640,480:waittimer 67827 blt 0,0,640,480,0, 7462,640,480:waittimer 67836 blt 0,0,640,480,0, 7463,640,480:waittimer 67845 blt 0,0,640,480,0, 7464,640,480:waittimer 67854 blt 0,0,640,480,0, 7465,640,480:waittimer 67863 blt 0,0,640,480,0, 7466,640,480:waittimer 67872 blt 0,0,640,480,0, 7467,640,480:waittimer 67881 blt 0,0,640,480,0, 7468,640,480:waittimer 67890 blt 0,0,640,480,0, 7469,640,480:waittimer 67899 blt 0,0,640,480,0, 7470,640,480:waittimer 67909 blt 0,0,640,480,0, 7471,640,480:waittimer 67918 blt 0,0,640,480,0, 7472,640,480:waittimer 67927 blt 0,0,640,480,0, 7473,640,480:waittimer 67936 blt 0,0,640,480,0, 7474,640,480:waittimer 67945 blt 0,0,640,480,0, 7475,640,480:waittimer 67954 blt 0,0,640,480,0, 7476,640,480:waittimer 67963 blt 0,0,640,480,0, 7477,640,480:waittimer 67972 blt 0,0,640,480,0, 7478,640,480:waittimer 67981 blt 0,0,640,480,0, 7479,640,480:waittimer 67990 blt 0,0,640,480,0, 7480,640,480:waittimer 67999 blt 0,0,640,480,0, 7481,640,480:waittimer 68009 blt 0,0,640,480,0, 7482,640,480:waittimer 68018 blt 0,0,640,480,0, 7483,640,480:waittimer 68027 blt 0,0,640,480,0, 7484,640,480:waittimer 68036 blt 0,0,640,480,0, 7485,640,480:waittimer 68045 blt 0,0,640,480,0, 7486,640,480:waittimer 68054 blt 0,0,640,480,0, 7487,640,480:waittimer 68063 blt 0,0,640,480,0, 7488,640,480:waittimer 68072 blt 0,0,640,480,0, 7489,640,480:waittimer 68081 blt 0,0,640,480,0, 7490,640,480:waittimer 68090 blt 0,0,640,480,0, 7491,640,480:waittimer 68099 blt 0,0,640,480,0, 7492,640,480:waittimer 68109 blt 0,0,640,480,0, 7493,640,480:waittimer 68118 blt 0,0,640,480,0, 7494,640,480:waittimer 68127 blt 0,0,640,480,0, 7495,640,480:waittimer 68136 blt 0,0,640,480,0, 7496,640,480:waittimer 68145 blt 0,0,640,480,0, 7497,640,480:waittimer 68154 blt 0,0,640,480,0, 7498,640,480:waittimer 68163 blt 0,0,640,480,0, 7499,640,480:waittimer 68172 blt 0,0,640,480,0, 7500,640,480:waittimer 68181 blt 0,0,640,480,0, 7501,640,480:waittimer 68190 blt 0,0,640,480,0, 7502,640,480:waittimer 68199 blt 0,0,640,480,0, 7503,640,480:waittimer 68209 blt 0,0,640,480,0, 7504,640,480:waittimer 68218 blt 0,0,640,480,0, 7505,640,480:waittimer 68227 blt 0,0,640,480,0, 7506,640,480:waittimer 68236 blt 0,0,640,480,0, 7507,640,480:waittimer 68245 blt 0,0,640,480,0, 7508,640,480:waittimer 68254 blt 0,0,640,480,0, 7509,640,480:waittimer 68263 blt 0,0,640,480,0, 7510,640,480:waittimer 68272 blt 0,0,640,480,0, 7511,640,480:waittimer 68281 blt 0,0,640,480,0, 7512,640,480:waittimer 68290 blt 0,0,640,480,0, 7513,640,480:waittimer 68299 blt 0,0,640,480,0, 7514,640,480:waittimer 68309 blt 0,0,640,480,0, 7515,640,480:waittimer 68318 blt 0,0,640,480,0, 7516,640,480:waittimer 68327 blt 0,0,640,480,0, 7517,640,480:waittimer 68336 blt 0,0,640,480,0, 7518,640,480:waittimer 68345 blt 0,0,640,480,0, 7519,640,480:waittimer 68354 blt 0,0,640,480,0, 7520,640,480:waittimer 68363 blt 0,0,640,480,0, 7521,640,480:waittimer 68372 blt 0,0,640,480,0, 7522,640,480:waittimer 68381 blt 0,0,640,480,0, 7523,640,480:waittimer 68390 blt 0,0,640,480,0, 7524,640,480:waittimer 68399 blt 0,0,640,480,0, 7525,640,480:waittimer 68409 blt 0,0,640,480,0, 7526,640,480:waittimer 68418 blt 0,0,640,480,0, 7527,640,480:waittimer 68427 blt 0,0,640,480,0, 7528,640,480:waittimer 68436 blt 0,0,640,480,0, 7529,640,480:waittimer 68445 blt 0,0,640,480,0, 7530,640,480:waittimer 68454 blt 0,0,640,480,0, 7531,640,480:waittimer 68463 blt 0,0,640,480,0, 7532,640,480:waittimer 68472 blt 0,0,640,480,0, 7533,640,480:waittimer 68481 blt 0,0,640,480,0, 7534,640,480:waittimer 68490 blt 0,0,640,480,0, 7535,640,480:waittimer 68499 blt 0,0,640,480,0, 7536,640,480:waittimer 68509 blt 0,0,640,480,0, 7537,640,480:waittimer 68518 blt 0,0,640,480,0, 7538,640,480:waittimer 68527 blt 0,0,640,480,0, 7539,640,480:waittimer 68536 blt 0,0,640,480,0, 7540,640,480:waittimer 68545 blt 0,0,640,480,0, 7541,640,480:waittimer 68554 blt 0,0,640,480,0, 7542,640,480:waittimer 68563 blt 0,0,640,480,0, 7543,640,480:waittimer 68572 blt 0,0,640,480,0, 7544,640,480:waittimer 68581 blt 0,0,640,480,0, 7545,640,480:waittimer 68590 blt 0,0,640,480,0, 7546,640,480:waittimer 68599 blt 0,0,640,480,0, 7547,640,480:waittimer 68609 blt 0,0,640,480,0, 7548,640,480:waittimer 68618 blt 0,0,640,480,0, 7549,640,480:waittimer 68627 blt 0,0,640,480,0, 7550,640,480:waittimer 68636 blt 0,0,640,480,0, 7551,640,480:waittimer 68645 blt 0,0,640,480,0, 7552,640,480:waittimer 68654 blt 0,0,640,480,0, 7553,640,480:waittimer 68663 blt 0,0,640,480,0, 7554,640,480:waittimer 68672 blt 0,0,640,480,0, 7555,640,480:waittimer 68681 blt 0,0,640,480,0, 7556,640,480:waittimer 68690 blt 0,0,640,480,0, 7557,640,480:waittimer 68699 blt 0,0,640,480,0, 7558,640,480:waittimer 68709 blt 0,0,640,480,0, 7559,640,480:waittimer 68718 blt 0,0,640,480,0, 7560,640,480:waittimer 68727 blt 0,0,640,480,0, 7561,640,480:waittimer 68736 blt 0,0,640,480,0, 7562,640,480:waittimer 68745 blt 0,0,640,480,0, 7563,640,480:waittimer 68754 blt 0,0,640,480,0, 7564,640,480:waittimer 68763 blt 0,0,640,480,0, 7565,640,480:waittimer 68772 blt 0,0,640,480,0, 7566,640,480:waittimer 68781 blt 0,0,640,480,0, 7567,640,480:waittimer 68790 blt 0,0,640,480,0, 7568,640,480:waittimer 68799 blt 0,0,640,480,0, 7569,640,480:waittimer 68809 blt 0,0,640,480,0, 7570,640,480:waittimer 68818 blt 0,0,640,480,0, 7571,640,480:waittimer 68827 blt 0,0,640,480,0, 7572,640,480:waittimer 68836 blt 0,0,640,480,0, 7573,640,480:waittimer 68845 blt 0,0,640,480,0, 7574,640,480:waittimer 68854 blt 0,0,640,480,0, 7575,640,480:waittimer 68863 blt 0,0,640,480,0, 7576,640,480:waittimer 68872 blt 0,0,640,480,0, 7577,640,480:waittimer 68881 blt 0,0,640,480,0, 7578,640,480:waittimer 68890 blt 0,0,640,480,0, 7579,640,480:waittimer 68899 blt 0,0,640,480,0, 7580,640,480:waittimer 68909 blt 0,0,640,480,0, 7581,640,480:waittimer 68918 blt 0,0,640,480,0, 7582,640,480:waittimer 68927 blt 0,0,640,480,0, 7583,640,480:waittimer 68936 blt 0,0,640,480,0, 7584,640,480:waittimer 68945 blt 0,0,640,480,0, 7585,640,480:waittimer 68954 blt 0,0,640,480,0, 7586,640,480:waittimer 68963 blt 0,0,640,480,0, 7587,640,480:waittimer 68972 blt 0,0,640,480,0, 7588,640,480:waittimer 68981 blt 0,0,640,480,0, 7589,640,480:waittimer 68990 blt 0,0,640,480,0, 7590,640,480:waittimer 68999 blt 0,0,640,480,0, 7591,640,480:waittimer 69009 blt 0,0,640,480,0, 7592,640,480:waittimer 69018 blt 0,0,640,480,0, 7593,640,480:waittimer 69027 blt 0,0,640,480,0, 7594,640,480:waittimer 69036 blt 0,0,640,480,0, 7595,640,480:waittimer 69045 blt 0,0,640,480,0, 7596,640,480:waittimer 69054 blt 0,0,640,480,0, 7597,640,480:waittimer 69063 blt 0,0,640,480,0, 7598,640,480:waittimer 69072 blt 0,0,640,480,0, 7599,640,480:waittimer 69081 blt 0,0,640,480,0, 7600,640,480:waittimer 69090 blt 0,0,640,480,0, 7601,640,480:waittimer 69099 blt 0,0,640,480,0, 7602,640,480:waittimer 69109 blt 0,0,640,480,0, 7603,640,480:waittimer 69118 blt 0,0,640,480,0, 7604,640,480:waittimer 69127 blt 0,0,640,480,0, 7605,640,480:waittimer 69136 blt 0,0,640,480,0, 7606,640,480:waittimer 69145 blt 0,0,640,480,0, 7607,640,480:waittimer 69154 blt 0,0,640,480,0, 7608,640,480:waittimer 69163 blt 0,0,640,480,0, 7609,640,480:waittimer 69172 blt 0,0,640,480,0, 7610,640,480:waittimer 69181 blt 0,0,640,480,0, 7611,640,480:waittimer 69190 blt 0,0,640,480,0, 7612,640,480:waittimer 69199 blt 0,0,640,480,0, 7613,640,480:waittimer 69209 blt 0,0,640,480,0, 7614,640,480:waittimer 69218 blt 0,0,640,480,0, 7615,640,480:waittimer 69227 blt 0,0,640,480,0, 7616,640,480:waittimer 69236 blt 0,0,640,480,0, 7617,640,480:waittimer 69245 blt 0,0,640,480,0, 7618,640,480:waittimer 69254 blt 0,0,640,480,0, 7619,640,480:waittimer 69263 blt 0,0,640,480,0, 7620,640,480:waittimer 69272 blt 0,0,640,480,0, 7621,640,480:waittimer 69281 blt 0,0,640,480,0, 7622,640,480:waittimer 69290 blt 0,0,640,480,0, 7623,640,480:waittimer 69299 blt 0,0,640,480,0, 7624,640,480:waittimer 69309 blt 0,0,640,480,0, 7625,640,480:waittimer 69318 blt 0,0,640,480,0, 7626,640,480:waittimer 69327 blt 0,0,640,480,0, 7627,640,480:waittimer 69336 blt 0,0,640,480,0, 7628,640,480:waittimer 69345 blt 0,0,640,480,0, 7629,640,480:waittimer 69354 blt 0,0,640,480,0, 7630,640,480:waittimer 69363 blt 0,0,640,480,0, 7631,640,480:waittimer 69372 blt 0,0,640,480,0, 7632,640,480:waittimer 69381 blt 0,0,640,480,0, 7633,640,480:waittimer 69390 blt 0,0,640,480,0, 7634,640,480:waittimer 69399 blt 0,0,640,480,0, 7635,640,480:waittimer 69409 blt 0,0,640,480,0, 7636,640,480:waittimer 69418 blt 0,0,640,480,0, 7637,640,480:waittimer 69427 blt 0,0,640,480,0, 7638,640,480:waittimer 69436 blt 0,0,640,480,0, 7639,640,480:waittimer 69445 blt 0,0,640,480,0, 7640,640,480:waittimer 69454 blt 0,0,640,480,0, 7641,640,480:waittimer 69463 blt 0,0,640,480,0, 7642,640,480:waittimer 69472 blt 0,0,640,480,0, 7643,640,480:waittimer 69481 blt 0,0,640,480,0, 7644,640,480:waittimer 69490 blt 0,0,640,480,0, 7645,640,480:waittimer 69499 blt 0,0,640,480,0, 7646,640,480:waittimer 69509 blt 0,0,640,480,0, 7647,640,480:waittimer 69518 blt 0,0,640,480,0, 7648,640,480:waittimer 69527 blt 0,0,640,480,0, 7649,640,480:waittimer 69536 blt 0,0,640,480,0, 7650,640,480:waittimer 69545 blt 0,0,640,480,0, 7651,640,480:waittimer 69554 blt 0,0,640,480,0, 7652,640,480:waittimer 69563 blt 0,0,640,480,0, 7653,640,480:waittimer 69572 blt 0,0,640,480,0, 7654,640,480:waittimer 69581 blt 0,0,640,480,0, 7655,640,480:waittimer 69590 blt 0,0,640,480,0, 7656,640,480:waittimer 69599 blt 0,0,640,480,0, 7657,640,480:waittimer 69609 blt 0,0,640,480,0, 7658,640,480:waittimer 69618 blt 0,0,640,480,0, 7659,640,480:waittimer 69627 blt 0,0,640,480,0, 7660,640,480:waittimer 69636 blt 0,0,640,480,0, 7661,640,480:waittimer 69645 blt 0,0,640,480,0, 7662,640,480:waittimer 69654 blt 0,0,640,480,0, 7663,640,480:waittimer 69663 blt 0,0,640,480,0, 7664,640,480:waittimer 69672 blt 0,0,640,480,0, 7665,640,480:waittimer 69681 blt 0,0,640,480,0, 7666,640,480:waittimer 69690 blt 0,0,640,480,0, 7667,640,480:waittimer 69699 blt 0,0,640,480,0, 7668,640,480:waittimer 69709 blt 0,0,640,480,0, 7669,640,480:waittimer 69718 blt 0,0,640,480,0, 7670,640,480:waittimer 69727 blt 0,0,640,480,0, 7671,640,480:waittimer 69736 blt 0,0,640,480,0, 7672,640,480:waittimer 69745 blt 0,0,640,480,0, 7673,640,480:waittimer 69754 blt 0,0,640,480,0, 7674,640,480:waittimer 69763 blt 0,0,640,480,0, 7675,640,480:waittimer 69772 blt 0,0,640,480,0, 7676,640,480:waittimer 69781 blt 0,0,640,480,0, 7677,640,480:waittimer 69790 blt 0,0,640,480,0, 7678,640,480:waittimer 69799 blt 0,0,640,480,0, 7679,640,480:waittimer 69809 blt 0,0,640,480,0, 7680,640,480:waittimer 69818 blt 0,0,640,480,0, 7681,640,480:waittimer 69827 blt 0,0,640,480,0, 7682,640,480:waittimer 69836 blt 0,0,640,480,0, 7683,640,480:waittimer 69845 blt 0,0,640,480,0, 7684,640,480:waittimer 69854 blt 0,0,640,480,0, 7685,640,480:waittimer 69863 blt 0,0,640,480,0, 7686,640,480:waittimer 69872 blt 0,0,640,480,0, 7687,640,480:waittimer 69881 blt 0,0,640,480,0, 7688,640,480:waittimer 69890 blt 0,0,640,480,0, 7689,640,480:waittimer 69899 blt 0,0,640,480,0, 7690,640,480:waittimer 69909 blt 0,0,640,480,0, 7691,640,480:waittimer 69918 blt 0,0,640,480,0, 7692,640,480:waittimer 69927 blt 0,0,640,480,0, 7693,640,480:waittimer 69936 blt 0,0,640,480,0, 7694,640,480:waittimer 69945 blt 0,0,640,480,0, 7695,640,480:waittimer 69954 blt 0,0,640,480,0, 7696,640,480:waittimer 69963 blt 0,0,640,480,0, 7697,640,480:waittimer 69972 blt 0,0,640,480,0, 7698,640,480:waittimer 69981 blt 0,0,640,480,0, 7699,640,480:waittimer 69990 blt 0,0,640,480,0, 7700,640,480:waittimer 69999 blt 0,0,640,480,0, 7701,640,480:waittimer 70009 blt 0,0,640,480,0, 7702,640,480:waittimer 70018 blt 0,0,640,480,0, 7703,640,480:waittimer 70027 blt 0,0,640,480,0, 7704,640,480:waittimer 70036 blt 0,0,640,480,0, 7705,640,480:waittimer 70045 blt 0,0,640,480,0, 7706,640,480:waittimer 70054 blt 0,0,640,480,0, 7707,640,480:waittimer 70063 blt 0,0,640,480,0, 7708,640,480:waittimer 70072 blt 0,0,640,480,0, 7709,640,480:waittimer 70081 blt 0,0,640,480,0, 7710,640,480:waittimer 70090 blt 0,0,640,480,0, 7711,640,480:waittimer 70099 blt 0,0,640,480,0, 7712,640,480:waittimer 70109 blt 0,0,640,480,0, 7713,640,480:waittimer 70118 blt 0,0,640,480,0, 7714,640,480:waittimer 70127 blt 0,0,640,480,0, 7715,640,480:waittimer 70136 blt 0,0,640,480,0, 7716,640,480:waittimer 70145 blt 0,0,640,480,0, 7717,640,480:waittimer 70154 blt 0,0,640,480,0, 7718,640,480:waittimer 70163 blt 0,0,640,480,0, 7719,640,480:waittimer 70172 blt 0,0,640,480,0, 7720,640,480:waittimer 70181 blt 0,0,640,480,0, 7721,640,480:waittimer 70190 blt 0,0,640,480,0, 7722,640,480:waittimer 70199 blt 0,0,640,480,0, 7723,640,480:waittimer 70209 blt 0,0,640,480,0, 7724,640,480:waittimer 70218 blt 0,0,640,480,0, 7725,640,480:waittimer 70227 blt 0,0,640,480,0, 7726,640,480:waittimer 70236 blt 0,0,640,480,0, 7727,640,480:waittimer 70245 blt 0,0,640,480,0, 7728,640,480:waittimer 70254 blt 0,0,640,480,0, 7729,640,480:waittimer 70263 blt 0,0,640,480,0, 7730,640,480:waittimer 70272 blt 0,0,640,480,0, 7731,640,480:waittimer 70281 blt 0,0,640,480,0, 7732,640,480:waittimer 70290 blt 0,0,640,480,0, 7733,640,480:waittimer 70299 blt 0,0,640,480,0, 7734,640,480:waittimer 70309 blt 0,0,640,480,0, 7735,640,480:waittimer 70318 blt 0,0,640,480,0, 7736,640,480:waittimer 70327 blt 0,0,640,480,0, 7737,640,480:waittimer 70336 blt 0,0,640,480,0, 7738,640,480:waittimer 70345 blt 0,0,640,480,0, 7739,640,480:waittimer 70354 blt 0,0,640,480,0, 7740,640,480:waittimer 70363 blt 0,0,640,480,0, 7741,640,480:waittimer 70372 blt 0,0,640,480,0, 7742,640,480:waittimer 70381 blt 0,0,640,480,0, 7743,640,480:waittimer 70390 blt 0,0,640,480,0, 7744,640,480:waittimer 70399 blt 0,0,640,480,0, 7745,640,480:waittimer 70409 blt 0,0,640,480,0, 7746,640,480:waittimer 70418 blt 0,0,640,480,0, 7747,640,480:waittimer 70427 blt 0,0,640,480,0, 7748,640,480:waittimer 70436 blt 0,0,640,480,0, 7749,640,480:waittimer 70445 blt 0,0,640,480,0, 7750,640,480:waittimer 70454 blt 0,0,640,480,0, 7751,640,480:waittimer 70463 blt 0,0,640,480,0, 7752,640,480:waittimer 70472 blt 0,0,640,480,0, 7753,640,480:waittimer 70481 blt 0,0,640,480,0, 7754,640,480:waittimer 70490 blt 0,0,640,480,0, 7755,640,480:waittimer 70499 blt 0,0,640,480,0, 7756,640,480:waittimer 70509 blt 0,0,640,480,0, 7757,640,480:waittimer 70518 blt 0,0,640,480,0, 7758,640,480:waittimer 70527 blt 0,0,640,480,0, 7759,640,480:waittimer 70536 blt 0,0,640,480,0, 7760,640,480:waittimer 70545 blt 0,0,640,480,0, 7761,640,480:waittimer 70554 blt 0,0,640,480,0, 7762,640,480:waittimer 70563 blt 0,0,640,480,0, 7763,640,480:waittimer 70572 blt 0,0,640,480,0, 7764,640,480:waittimer 70581 blt 0,0,640,480,0, 7765,640,480:waittimer 70590 blt 0,0,640,480,0, 7766,640,480:waittimer 70599 blt 0,0,640,480,0, 7767,640,480:waittimer 70609 blt 0,0,640,480,0, 7768,640,480:waittimer 70618 blt 0,0,640,480,0, 7769,640,480:waittimer 70627 blt 0,0,640,480,0, 7770,640,480:waittimer 70636 blt 0,0,640,480,0, 7771,640,480:waittimer 70645 blt 0,0,640,480,0, 7772,640,480:waittimer 70654 blt 0,0,640,480,0, 7773,640,480:waittimer 70663 blt 0,0,640,480,0, 7774,640,480:waittimer 70672 blt 0,0,640,480,0, 7775,640,480:waittimer 70681 blt 0,0,640,480,0, 7776,640,480:waittimer 70690 blt 0,0,640,480,0, 7777,640,480:waittimer 70699 blt 0,0,640,480,0, 7778,640,480:waittimer 70709 blt 0,0,640,480,0, 7779,640,480:waittimer 70718 blt 0,0,640,480,0, 7780,640,480:waittimer 70727 blt 0,0,640,480,0, 7781,640,480:waittimer 70736 blt 0,0,640,480,0, 7782,640,480:waittimer 70745 blt 0,0,640,480,0, 7783,640,480:waittimer 70754 blt 0,0,640,480,0, 7784,640,480:waittimer 70763 blt 0,0,640,480,0, 7785,640,480:waittimer 70772 blt 0,0,640,480,0, 7786,640,480:waittimer 70781 blt 0,0,640,480,0, 7787,640,480:waittimer 70790 blt 0,0,640,480,0, 7788,640,480:waittimer 70799 blt 0,0,640,480,0, 7789,640,480:waittimer 70809 blt 0,0,640,480,0, 7790,640,480:waittimer 70818 blt 0,0,640,480,0, 7791,640,480:waittimer 70827 blt 0,0,640,480,0, 7792,640,480:waittimer 70836 blt 0,0,640,480,0, 7793,640,480:waittimer 70845 blt 0,0,640,480,0, 7794,640,480:waittimer 70854 blt 0,0,640,480,0, 7795,640,480:waittimer 70863 blt 0,0,640,480,0, 7796,640,480:waittimer 70872 blt 0,0,640,480,0, 7797,640,480:waittimer 70881 blt 0,0,640,480,0, 7798,640,480:waittimer 70890 blt 0,0,640,480,0, 7799,640,480:waittimer 70899 blt 0,0,640,480,0, 7800,640,480:waittimer 70909 blt 0,0,640,480,0, 7801,640,480:waittimer 70918 blt 0,0,640,480,0, 7802,640,480:waittimer 70927 blt 0,0,640,480,0, 7803,640,480:waittimer 70936 blt 0,0,640,480,0, 7804,640,480:waittimer 70945 blt 0,0,640,480,0, 7805,640,480:waittimer 70954 blt 0,0,640,480,0, 7806,640,480:waittimer 70963 blt 0,0,640,480,0, 7807,640,480:waittimer 70972 blt 0,0,640,480,0, 7808,640,480:waittimer 70981 blt 0,0,640,480,0, 7809,640,480:waittimer 70990 blt 0,0,640,480,0, 7810,640,480:waittimer 70999 blt 0,0,640,480,0, 7811,640,480:waittimer 71009 blt 0,0,640,480,0, 7812,640,480:waittimer 71018 blt 0,0,640,480,0, 7813,640,480:waittimer 71027 blt 0,0,640,480,0, 7814,640,480:waittimer 71036 blt 0,0,640,480,0, 7815,640,480:waittimer 71045 blt 0,0,640,480,0, 7816,640,480:waittimer 71054 blt 0,0,640,480,0, 7817,640,480:waittimer 71063 blt 0,0,640,480,0, 7818,640,480:waittimer 71072 blt 0,0,640,480,0, 7819,640,480:waittimer 71081 blt 0,0,640,480,0, 7820,640,480:waittimer 71090 blt 0,0,640,480,0, 7821,640,480:waittimer 71099 blt 0,0,640,480,0, 7822,640,480:waittimer 71109 blt 0,0,640,480,0, 7823,640,480:waittimer 71118 blt 0,0,640,480,0, 7824,640,480:waittimer 71127 blt 0,0,640,480,0, 7825,640,480:waittimer 71136 blt 0,0,640,480,0, 7826,640,480:waittimer 71145 blt 0,0,640,480,0, 7827,640,480:waittimer 71154 blt 0,0,640,480,0, 7828,640,480:waittimer 71163 blt 0,0,640,480,0, 7829,640,480:waittimer 71172 blt 0,0,640,480,0, 7830,640,480:waittimer 71181 blt 0,0,640,480,0, 7831,640,480:waittimer 71190 blt 0,0,640,480,0, 7832,640,480:waittimer 71199 blt 0,0,640,480,0, 7833,640,480:waittimer 71209 blt 0,0,640,480,0, 7834,640,480:waittimer 71218 blt 0,0,640,480,0, 7835,640,480:waittimer 71227 blt 0,0,640,480,0, 7836,640,480:waittimer 71236 blt 0,0,640,480,0, 7837,640,480:waittimer 71245 blt 0,0,640,480,0, 7838,640,480:waittimer 71254 blt 0,0,640,480,0, 7839,640,480:waittimer 71263 blt 0,0,640,480,0, 7840,640,480:waittimer 71272 blt 0,0,640,480,0, 7841,640,480:waittimer 71281 blt 0,0,640,480,0, 7842,640,480:waittimer 71290 blt 0,0,640,480,0, 7843,640,480:waittimer 71299 blt 0,0,640,480,0, 7844,640,480:waittimer 71309 blt 0,0,640,480,0, 7845,640,480:waittimer 71318 blt 0,0,640,480,0, 7846,640,480:waittimer 71327 blt 0,0,640,480,0, 7847,640,480:waittimer 71336 blt 0,0,640,480,0, 7848,640,480:waittimer 71345 blt 0,0,640,480,0, 7849,640,480:waittimer 71354 blt 0,0,640,480,0, 7850,640,480:waittimer 71363 blt 0,0,640,480,0, 7851,640,480:waittimer 71372 blt 0,0,640,480,0, 7852,640,480:waittimer 71381 blt 0,0,640,480,0, 7853,640,480:waittimer 71390 blt 0,0,640,480,0, 7854,640,480:waittimer 71399 blt 0,0,640,480,0, 7855,640,480:waittimer 71409 blt 0,0,640,480,0, 7856,640,480:waittimer 71418 blt 0,0,640,480,0, 7857,640,480:waittimer 71427 blt 0,0,640,480,0, 7858,640,480:waittimer 71436 blt 0,0,640,480,0, 7859,640,480:waittimer 71445 blt 0,0,640,480,0, 7860,640,480:waittimer 71454 blt 0,0,640,480,0, 7861,640,480:waittimer 71463 blt 0,0,640,480,0, 7862,640,480:waittimer 71472 blt 0,0,640,480,0, 7863,640,480:waittimer 71481 blt 0,0,640,480,0, 7864,640,480:waittimer 71490 blt 0,0,640,480,0, 7865,640,480:waittimer 71499 blt 0,0,640,480,0, 7866,640,480:waittimer 71509 blt 0,0,640,480,0, 7867,640,480:waittimer 71518 blt 0,0,640,480,0, 7868,640,480:waittimer 71527 blt 0,0,640,480,0, 7869,640,480:waittimer 71536 blt 0,0,640,480,0, 7870,640,480:waittimer 71545 blt 0,0,640,480,0, 7871,640,480:waittimer 71554 blt 0,0,640,480,0, 7872,640,480:waittimer 71563 blt 0,0,640,480,0, 7873,640,480:waittimer 71572 blt 0,0,640,480,0, 7874,640,480:waittimer 71581 blt 0,0,640,480,0, 7875,640,480:waittimer 71590 blt 0,0,640,480,0, 7876,640,480:waittimer 71599 blt 0,0,640,480,0, 7877,640,480:waittimer 71609 blt 0,0,640,480,0, 7878,640,480:waittimer 71618 blt 0,0,640,480,0, 7879,640,480:waittimer 71627 blt 0,0,640,480,0, 7880,640,480:waittimer 71636 blt 0,0,640,480,0, 7881,640,480:waittimer 71645 blt 0,0,640,480,0, 7882,640,480:waittimer 71654 blt 0,0,640,480,0, 7883,640,480:waittimer 71663 blt 0,0,640,480,0, 7884,640,480:waittimer 71672 blt 0,0,640,480,0, 7885,640,480:waittimer 71681 blt 0,0,640,480,0, 7886,640,480:waittimer 71690 blt 0,0,640,480,0, 7887,640,480:waittimer 71699 blt 0,0,640,480,0, 7888,640,480:waittimer 71709 blt 0,0,640,480,0, 7889,640,480:waittimer 71718 blt 0,0,640,480,0, 7890,640,480:waittimer 71727 blt 0,0,640,480,0, 7891,640,480:waittimer 71736 blt 0,0,640,480,0, 7892,640,480:waittimer 71745 blt 0,0,640,480,0, 7893,640,480:waittimer 71754 blt 0,0,640,480,0, 7894,640,480:waittimer 71763 blt 0,0,640,480,0, 7895,640,480:waittimer 71772 blt 0,0,640,480,0, 7896,640,480:waittimer 71781 blt 0,0,640,480,0, 7897,640,480:waittimer 71790 blt 0,0,640,480,0, 7898,640,480:waittimer 71799 blt 0,0,640,480,0, 7899,640,480:waittimer 71809 blt 0,0,640,480,0, 7900,640,480:waittimer 71818 blt 0,0,640,480,0, 7901,640,480:waittimer 71827 blt 0,0,640,480,0, 7902,640,480:waittimer 71836 blt 0,0,640,480,0, 7903,640,480:waittimer 71845 blt 0,0,640,480,0, 7904,640,480:waittimer 71854 blt 0,0,640,480,0, 7905,640,480:waittimer 71863 blt 0,0,640,480,0, 7906,640,480:waittimer 71872 blt 0,0,640,480,0, 7907,640,480:waittimer 71881 blt 0,0,640,480,0, 7908,640,480:waittimer 71890 blt 0,0,640,480,0, 7909,640,480:waittimer 71899 blt 0,0,640,480,0, 7910,640,480:waittimer 71909 blt 0,0,640,480,0, 7911,640,480:waittimer 71918 blt 0,0,640,480,0, 7912,640,480:waittimer 71927 blt 0,0,640,480,0, 7913,640,480:waittimer 71936 blt 0,0,640,480,0, 7914,640,480:waittimer 71945 blt 0,0,640,480,0, 7915,640,480:waittimer 71954 blt 0,0,640,480,0, 7916,640,480:waittimer 71963 blt 0,0,640,480,0, 7917,640,480:waittimer 71972 blt 0,0,640,480,0, 7918,640,480:waittimer 71981 blt 0,0,640,480,0, 7919,640,480:waittimer 71990 blt 0,0,640,480,0, 7920,640,480:waittimer 71999 blt 0,0,640,480,0, 7921,640,480:waittimer 72009 blt 0,0,640,480,0, 7922,640,480:waittimer 72018 blt 0,0,640,480,0, 7923,640,480:waittimer 72027 blt 0,0,640,480,0, 7924,640,480:waittimer 72036 blt 0,0,640,480,0, 7925,640,480:waittimer 72045 blt 0,0,640,480,0, 7926,640,480:waittimer 72054 blt 0,0,640,480,0, 7927,640,480:waittimer 72063 blt 0,0,640,480,0, 7928,640,480:waittimer 72072 blt 0,0,640,480,0, 7929,640,480:waittimer 72081 blt 0,0,640,480,0, 7930,640,480:waittimer 72090 blt 0,0,640,480,0, 7931,640,480:waittimer 72099 blt 0,0,640,480,0, 7932,640,480:waittimer 72109 blt 0,0,640,480,0, 7933,640,480:waittimer 72118 blt 0,0,640,480,0, 7934,640,480:waittimer 72127 blt 0,0,640,480,0, 7935,640,480:waittimer 72136 blt 0,0,640,480,0, 7936,640,480:waittimer 72145 blt 0,0,640,480,0, 7937,640,480:waittimer 72154 blt 0,0,640,480,0, 7938,640,480:waittimer 72163 blt 0,0,640,480,0, 7939,640,480:waittimer 72172 blt 0,0,640,480,0, 7940,640,480:waittimer 72181 blt 0,0,640,480,0, 7941,640,480:waittimer 72190 blt 0,0,640,480,0, 7942,640,480:waittimer 72199 blt 0,0,640,480,0, 7943,640,480:waittimer 72209 blt 0,0,640,480,0, 7944,640,480:waittimer 72218 blt 0,0,640,480,0, 7945,640,480:waittimer 72227 blt 0,0,640,480,0, 7946,640,480:waittimer 72236 blt 0,0,640,480,0, 7947,640,480:waittimer 72245 blt 0,0,640,480,0, 7948,640,480:waittimer 72254 blt 0,0,640,480,0, 7949,640,480:waittimer 72263 blt 0,0,640,480,0, 7950,640,480:waittimer 72272 blt 0,0,640,480,0, 7951,640,480:waittimer 72281 blt 0,0,640,480,0, 7952,640,480:waittimer 72290 blt 0,0,640,480,0, 7953,640,480:waittimer 72299 blt 0,0,640,480,0, 7954,640,480:waittimer 72309 blt 0,0,640,480,0, 7955,640,480:waittimer 72318 blt 0,0,640,480,0, 7956,640,480:waittimer 72327 blt 0,0,640,480,0, 7957,640,480:waittimer 72336 blt 0,0,640,480,0, 7958,640,480:waittimer 72345 blt 0,0,640,480,0, 7959,640,480:waittimer 72354 blt 0,0,640,480,0, 7960,640,480:waittimer 72363 blt 0,0,640,480,0, 7961,640,480:waittimer 72372 blt 0,0,640,480,0, 7962,640,480:waittimer 72381 blt 0,0,640,480,0, 7963,640,480:waittimer 72390 blt 0,0,640,480,0, 7964,640,480:waittimer 72399 blt 0,0,640,480,0, 7965,640,480:waittimer 72409 blt 0,0,640,480,0, 7966,640,480:waittimer 72418 blt 0,0,640,480,0, 7967,640,480:waittimer 72427 blt 0,0,640,480,0, 7968,640,480:waittimer 72436 blt 0,0,640,480,0, 7969,640,480:waittimer 72445 blt 0,0,640,480,0, 7970,640,480:waittimer 72454 blt 0,0,640,480,0, 7971,640,480:waittimer 72463 blt 0,0,640,480,0, 7972,640,480:waittimer 72472 blt 0,0,640,480,0, 7973,640,480:waittimer 72481 blt 0,0,640,480,0, 7974,640,480:waittimer 72490 blt 0,0,640,480,0, 7975,640,480:waittimer 72499 blt 0,0,640,480,0, 7976,640,480:waittimer 72509 blt 0,0,640,480,0, 7977,640,480:waittimer 72518 blt 0,0,640,480,0, 7978,640,480:waittimer 72527 blt 0,0,640,480,0, 7979,640,480:waittimer 72536 blt 0,0,640,480,0, 7980,640,480:waittimer 72545 blt 0,0,640,480,0, 7981,640,480:waittimer 72554 blt 0,0,640,480,0, 7982,640,480:waittimer 72563 blt 0,0,640,480,0, 7983,640,480:waittimer 72572 blt 0,0,640,480,0, 7984,640,480:waittimer 72581 blt 0,0,640,480,0, 7985,640,480:waittimer 72590 blt 0,0,640,480,0, 7986,640,480:waittimer 72599 blt 0,0,640,480,0, 7987,640,480:waittimer 72609 blt 0,0,640,480,0, 7988,640,480:waittimer 72618 blt 0,0,640,480,0, 7989,640,480:waittimer 72627 blt 0,0,640,480,0, 7990,640,480:waittimer 72636 blt 0,0,640,480,0, 7991,640,480:waittimer 72645 blt 0,0,640,480,0, 7992,640,480:waittimer 72654 blt 0,0,640,480,0, 7993,640,480:waittimer 72663 blt 0,0,640,480,0, 7994,640,480:waittimer 72672 blt 0,0,640,480,0, 7995,640,480:waittimer 72681 blt 0,0,640,480,0, 7996,640,480:waittimer 72690 blt 0,0,640,480,0, 7997,640,480:waittimer 72699 blt 0,0,640,480,0, 7998,640,480:waittimer 72709 blt 0,0,640,480,0, 7999,640,480:waittimer 72718 blt 0,0,640,480,0, 8000,640,480:waittimer 72727 blt 0,0,640,480,0, 8001,640,480:waittimer 72736 blt 0,0,640,480,0, 8002,640,480:waittimer 72745 blt 0,0,640,480,0, 8003,640,480:waittimer 72754 blt 0,0,640,480,0, 8004,640,480:waittimer 72763 blt 0,0,640,480,0, 8005,640,480:waittimer 72772 blt 0,0,640,480,0, 8006,640,480:waittimer 72781 blt 0,0,640,480,0, 8007,640,480:waittimer 72790 blt 0,0,640,480,0, 8008,640,480:waittimer 72799 blt 0,0,640,480,0, 8009,640,480:waittimer 72809 blt 0,0,640,480,0, 8010,640,480:waittimer 72818 blt 0,0,640,480,0, 8011,640,480:waittimer 72827 blt 0,0,640,480,0, 8012,640,480:waittimer 72836 blt 0,0,640,480,0, 8013,640,480:waittimer 72845 blt 0,0,640,480,0, 8014,640,480:waittimer 72854 blt 0,0,640,480,0, 8015,640,480:waittimer 72863 blt 0,0,640,480,0, 8016,640,480:waittimer 72872 blt 0,0,640,480,0, 8017,640,480:waittimer 72881 blt 0,0,640,480,0, 8018,640,480:waittimer 72890 blt 0,0,640,480,0, 8019,640,480:waittimer 72899 blt 0,0,640,480,0, 8020,640,480:waittimer 72909 blt 0,0,640,480,0, 8021,640,480:waittimer 72918 blt 0,0,640,480,0, 8022,640,480:waittimer 72927 blt 0,0,640,480,0, 8023,640,480:waittimer 72936 blt 0,0,640,480,0, 8024,640,480:waittimer 72945 blt 0,0,640,480,0, 8025,640,480:waittimer 72954 blt 0,0,640,480,0, 8026,640,480:waittimer 72963 blt 0,0,640,480,0, 8027,640,480:waittimer 72972 blt 0,0,640,480,0, 8028,640,480:waittimer 72981 blt 0,0,640,480,0, 8029,640,480:waittimer 72990 blt 0,0,640,480,0, 8030,640,480:waittimer 72999 blt 0,0,640,480,0, 8031,640,480:waittimer 73009 blt 0,0,640,480,0, 8032,640,480:waittimer 73018 blt 0,0,640,480,0, 8033,640,480:waittimer 73027 blt 0,0,640,480,0, 8034,640,480:waittimer 73036 blt 0,0,640,480,0, 8035,640,480:waittimer 73045 blt 0,0,640,480,0, 8036,640,480:waittimer 73054 blt 0,0,640,480,0, 8037,640,480:waittimer 73063 blt 0,0,640,480,0, 8038,640,480:waittimer 73072 blt 0,0,640,480,0, 8039,640,480:waittimer 73081 blt 0,0,640,480,0, 8040,640,480:waittimer 73090 blt 0,0,640,480,0, 8041,640,480:waittimer 73099 blt 0,0,640,480,0, 8042,640,480:waittimer 73109 blt 0,0,640,480,0, 8043,640,480:waittimer 73118 blt 0,0,640,480,0, 8044,640,480:waittimer 73127 blt 0,0,640,480,0, 8045,640,480:waittimer 73136 blt 0,0,640,480,0, 8046,640,480:waittimer 73145 blt 0,0,640,480,0, 8047,640,480:waittimer 73154 blt 0,0,640,480,0, 8048,640,480:waittimer 73163 blt 0,0,640,480,0, 8049,640,480:waittimer 73172 blt 0,0,640,480,0, 8050,640,480:waittimer 73181 blt 0,0,640,480,0, 8051,640,480:waittimer 73190 blt 0,0,640,480,0, 8052,640,480:waittimer 73199 blt 0,0,640,480,0, 8053,640,480:waittimer 73209 blt 0,0,640,480,0, 8054,640,480:waittimer 73218 blt 0,0,640,480,0, 8055,640,480:waittimer 73227 blt 0,0,640,480,0, 8056,640,480:waittimer 73236 blt 0,0,640,480,0, 8057,640,480:waittimer 73245 blt 0,0,640,480,0, 8058,640,480:waittimer 73254 blt 0,0,640,480,0, 8059,640,480:waittimer 73263 blt 0,0,640,480,0, 8060,640,480:waittimer 73272 blt 0,0,640,480,0, 8061,640,480:waittimer 73281 blt 0,0,640,480,0, 8062,640,480:waittimer 73290 blt 0,0,640,480,0, 8063,640,480:waittimer 73299 blt 0,0,640,480,0, 8064,640,480:waittimer 73309 blt 0,0,640,480,0, 8065,640,480:waittimer 73318 blt 0,0,640,480,0, 8066,640,480:waittimer 73327 blt 0,0,640,480,0, 8067,640,480:waittimer 73336 blt 0,0,640,480,0, 8068,640,480:waittimer 73345 blt 0,0,640,480,0, 8069,640,480:waittimer 73354 blt 0,0,640,480,0, 8070,640,480:waittimer 73363 blt 0,0,640,480,0, 8071,640,480:waittimer 73372 blt 0,0,640,480,0, 8072,640,480:waittimer 73381 blt 0,0,640,480,0, 8073,640,480:waittimer 73390 blt 0,0,640,480,0, 8074,640,480:waittimer 73399 blt 0,0,640,480,0, 8075,640,480:waittimer 73409 blt 0,0,640,480,0, 8076,640,480:waittimer 73418 blt 0,0,640,480,0, 8077,640,480:waittimer 73427 blt 0,0,640,480,0, 8078,640,480:waittimer 73436 blt 0,0,640,480,0, 8079,640,480:waittimer 73445 blt 0,0,640,480,0, 8080,640,480:waittimer 73454 blt 0,0,640,480,0, 8081,640,480:waittimer 73463 blt 0,0,640,480,0, 8082,640,480:waittimer 73472 blt 0,0,640,480,0, 8083,640,480:waittimer 73481 blt 0,0,640,480,0, 8084,640,480:waittimer 73490 blt 0,0,640,480,0, 8085,640,480:waittimer 73499 blt 0,0,640,480,0, 8086,640,480:waittimer 73509 blt 0,0,640,480,0, 8087,640,480:waittimer 73518 blt 0,0,640,480,0, 8088,640,480:waittimer 73527 blt 0,0,640,480,0, 8089,640,480:waittimer 73536 blt 0,0,640,480,0, 8090,640,480:waittimer 73545 blt 0,0,640,480,0, 8091,640,480:waittimer 73554 blt 0,0,640,480,0, 8092,640,480:waittimer 73563 blt 0,0,640,480,0, 8093,640,480:waittimer 73572 blt 0,0,640,480,0, 8094,640,480:waittimer 73581 blt 0,0,640,480,0, 8095,640,480:waittimer 73590 blt 0,0,640,480,0, 8096,640,480:waittimer 73599 blt 0,0,640,480,0, 8097,640,480:waittimer 73609 blt 0,0,640,480,0, 8098,640,480:waittimer 73618 blt 0,0,640,480,0, 8099,640,480:waittimer 73627 blt 0,0,640,480,0, 8100,640,480:waittimer 73636 blt 0,0,640,480,0, 8101,640,480:waittimer 73645 blt 0,0,640,480,0, 8102,640,480:waittimer 73654 blt 0,0,640,480,0, 8103,640,480:waittimer 73663 blt 0,0,640,480,0, 8104,640,480:waittimer 73672 blt 0,0,640,480,0, 8105,640,480:waittimer 73681 blt 0,0,640,480,0, 8106,640,480:waittimer 73690 blt 0,0,640,480,0, 8107,640,480:waittimer 73699 blt 0,0,640,480,0, 8108,640,480:waittimer 73709 blt 0,0,640,480,0, 8109,640,480:waittimer 73718 blt 0,0,640,480,0, 8110,640,480:waittimer 73727 blt 0,0,640,480,0, 8111,640,480:waittimer 73736 blt 0,0,640,480,0, 8112,640,480:waittimer 73745 blt 0,0,640,480,0, 8113,640,480:waittimer 73754 blt 0,0,640,480,0, 8114,640,480:waittimer 73763 blt 0,0,640,480,0, 8115,640,480:waittimer 73772 blt 0,0,640,480,0, 8116,640,480:waittimer 73781 blt 0,0,640,480,0, 8117,640,480:waittimer 73790 blt 0,0,640,480,0, 8118,640,480:waittimer 73799 blt 0,0,640,480,0, 8119,640,480:waittimer 73809 blt 0,0,640,480,0, 8120,640,480:waittimer 73818 blt 0,0,640,480,0, 8121,640,480:waittimer 73827 blt 0,0,640,480,0, 8122,640,480:waittimer 73836 blt 0,0,640,480,0, 8123,640,480:waittimer 73845 blt 0,0,640,480,0, 8124,640,480:waittimer 73854 blt 0,0,640,480,0, 8125,640,480:waittimer 73863 blt 0,0,640,480,0, 8126,640,480:waittimer 73872 blt 0,0,640,480,0, 8127,640,480:waittimer 73881 blt 0,0,640,480,0, 8128,640,480:waittimer 73890 blt 0,0,640,480,0, 8129,640,480:waittimer 73899 blt 0,0,640,480,0, 8130,640,480:waittimer 73909 blt 0,0,640,480,0, 8131,640,480:waittimer 73918 blt 0,0,640,480,0, 8132,640,480:waittimer 73927 blt 0,0,640,480,0, 8133,640,480:waittimer 73936 blt 0,0,640,480,0, 8134,640,480:waittimer 73945 blt 0,0,640,480,0, 8135,640,480:waittimer 73954 blt 0,0,640,480,0, 8136,640,480:waittimer 73963 blt 0,0,640,480,0, 8137,640,480:waittimer 73972 blt 0,0,640,480,0, 8138,640,480:waittimer 73981 blt 0,0,640,480,0, 8139,640,480:waittimer 73990 blt 0,0,640,480,0, 8140,640,480:waittimer 73999 blt 0,0,640,480,0, 8141,640,480:waittimer 74009 blt 0,0,640,480,0, 8142,640,480:waittimer 74018 blt 0,0,640,480,0, 8143,640,480:waittimer 74027 blt 0,0,640,480,0, 8144,640,480:waittimer 74036 blt 0,0,640,480,0, 8145,640,480:waittimer 74045 blt 0,0,640,480,0, 8146,640,480:waittimer 74054 blt 0,0,640,480,0, 8147,640,480:waittimer 74063 blt 0,0,640,480,0, 8148,640,480:waittimer 74072 blt 0,0,640,480,0, 8149,640,480:waittimer 74081 blt 0,0,640,480,0, 8150,640,480:waittimer 74090 blt 0,0,640,480,0, 8151,640,480:waittimer 74099 blt 0,0,640,480,0, 8152,640,480:waittimer 74109 blt 0,0,640,480,0, 8153,640,480:waittimer 74118 blt 0,0,640,480,0, 8154,640,480:waittimer 74127 blt 0,0,640,480,0, 8155,640,480:waittimer 74136 blt 0,0,640,480,0, 8156,640,480:waittimer 74145 blt 0,0,640,480,0, 8157,640,480:waittimer 74154 blt 0,0,640,480,0, 8158,640,480:waittimer 74163 blt 0,0,640,480,0, 8159,640,480:waittimer 74172 blt 0,0,640,480,0, 8160,640,480:waittimer 74181 blt 0,0,640,480,0, 8161,640,480:waittimer 74190 blt 0,0,640,480,0, 8162,640,480:waittimer 74199 blt 0,0,640,480,0, 8163,640,480:waittimer 74209 blt 0,0,640,480,0, 8164,640,480:waittimer 74218 blt 0,0,640,480,0, 8165,640,480:waittimer 74227 blt 0,0,640,480,0, 8166,640,480:waittimer 74236 blt 0,0,640,480,0, 8167,640,480:waittimer 74245 blt 0,0,640,480,0, 8168,640,480:waittimer 74254 blt 0,0,640,480,0, 8169,640,480:waittimer 74263 blt 0,0,640,480,0, 8170,640,480:waittimer 74272 blt 0,0,640,480,0, 8171,640,480:waittimer 74281 blt 0,0,640,480,0, 8172,640,480:waittimer 74290 blt 0,0,640,480,0, 8173,640,480:waittimer 74299 blt 0,0,640,480,0, 8174,640,480:waittimer 74309 blt 0,0,640,480,0, 8175,640,480:waittimer 74318 blt 0,0,640,480,0, 8176,640,480:waittimer 74327 blt 0,0,640,480,0, 8177,640,480:waittimer 74336 blt 0,0,640,480,0, 8178,640,480:waittimer 74345 blt 0,0,640,480,0, 8179,640,480:waittimer 74354 blt 0,0,640,480,0, 8180,640,480:waittimer 74363 blt 0,0,640,480,0, 8181,640,480:waittimer 74372 blt 0,0,640,480,0, 8182,640,480:waittimer 74381 blt 0,0,640,480,0, 8183,640,480:waittimer 74390 blt 0,0,640,480,0, 8184,640,480:waittimer 74399 blt 0,0,640,480,0, 8185,640,480:waittimer 74409 blt 0,0,640,480,0, 8186,640,480:waittimer 74418 blt 0,0,640,480,0, 8187,640,480:waittimer 74427 blt 0,0,640,480,0, 8188,640,480:waittimer 74436 blt 0,0,640,480,0, 8189,640,480:waittimer 74445 blt 0,0,640,480,0, 8190,640,480:waittimer 74454 blt 0,0,640,480,0, 8191,640,480:waittimer 74463 blt 0,0,640,480,0, 8192,640,480:waittimer 74472 blt 0,0,640,480,0, 8193,640,480:waittimer 74481 blt 0,0,640,480,0, 8194,640,480:waittimer 74490 blt 0,0,640,480,0, 8195,640,480:waittimer 74499 blt 0,0,640,480,0, 8196,640,480:waittimer 74509 blt 0,0,640,480,0, 8197,640,480:waittimer 74518 blt 0,0,640,480,0, 8198,640,480:waittimer 74527 blt 0,0,640,480,0, 8199,640,480:waittimer 74536 blt 0,0,640,480,0, 8200,640,480:waittimer 74545 blt 0,0,640,480,0, 8201,640,480:waittimer 74554 blt 0,0,640,480,0, 8202,640,480:waittimer 74563 blt 0,0,640,480,0, 8203,640,480:waittimer 74572 blt 0,0,640,480,0, 8204,640,480:waittimer 74581 blt 0,0,640,480,0, 8205,640,480:waittimer 74590 blt 0,0,640,480,0, 8206,640,480:waittimer 74599 blt 0,0,640,480,0, 8207,640,480:waittimer 74609 blt 0,0,640,480,0, 8208,640,480:waittimer 74618 blt 0,0,640,480,0, 8209,640,480:waittimer 74627 blt 0,0,640,480,0, 8210,640,480:waittimer 74636 blt 0,0,640,480,0, 8211,640,480:waittimer 74645 blt 0,0,640,480,0, 8212,640,480:waittimer 74654 blt 0,0,640,480,0, 8213,640,480:waittimer 74663 blt 0,0,640,480,0, 8214,640,480:waittimer 74672 blt 0,0,640,480,0, 8215,640,480:waittimer 74681 blt 0,0,640,480,0, 8216,640,480:waittimer 74690 blt 0,0,640,480,0, 8217,640,480:waittimer 74699 blt 0,0,640,480,0, 8218,640,480:waittimer 74709 blt 0,0,640,480,0, 8219,640,480:waittimer 74718 blt 0,0,640,480,0, 8220,640,480:waittimer 74727 blt 0,0,640,480,0, 8221,640,480:waittimer 74736 blt 0,0,640,480,0, 8222,640,480:waittimer 74745 blt 0,0,640,480,0, 8223,640,480:waittimer 74754 blt 0,0,640,480,0, 8224,640,480:waittimer 74763 blt 0,0,640,480,0, 8225,640,480:waittimer 74772 blt 0,0,640,480,0, 8226,640,480:waittimer 74781 blt 0,0,640,480,0, 8227,640,480:waittimer 74790 blt 0,0,640,480,0, 8228,640,480:waittimer 74799 blt 0,0,640,480,0, 8229,640,480:waittimer 74809 blt 0,0,640,480,0, 8230,640,480:waittimer 74818 blt 0,0,640,480,0, 8231,640,480:waittimer 74827 blt 0,0,640,480,0, 8232,640,480:waittimer 74836 blt 0,0,640,480,0, 8233,640,480:waittimer 74845 blt 0,0,640,480,0, 8234,640,480:waittimer 74854 blt 0,0,640,480,0, 8235,640,480:waittimer 74863 blt 0,0,640,480,0, 8236,640,480:waittimer 74872 blt 0,0,640,480,0, 8237,640,480:waittimer 74881 blt 0,0,640,480,0, 8238,640,480:waittimer 74890 blt 0,0,640,480,0, 8239,640,480:waittimer 74899 blt 0,0,640,480,0, 8240,640,480:waittimer 74909 blt 0,0,640,480,0, 8241,640,480:waittimer 74918 blt 0,0,640,480,0, 8242,640,480:waittimer 74927 blt 0,0,640,480,0, 8243,640,480:waittimer 74936 blt 0,0,640,480,0, 8244,640,480:waittimer 74945 blt 0,0,640,480,0, 8245,640,480:waittimer 74954 blt 0,0,640,480,0, 8246,640,480:waittimer 74963 blt 0,0,640,480,0, 8247,640,480:waittimer 74972 blt 0,0,640,480,0, 8248,640,480:waittimer 74981 blt 0,0,640,480,0, 8249,640,480:waittimer 74990 blt 0,0,640,480,0, 8250,640,480:waittimer 74999 blt 0,0,640,480,0, 8251,640,480:waittimer 75009 blt 0,0,640,480,0, 8252,640,480:waittimer 75018 blt 0,0,640,480,0, 8253,640,480:waittimer 75027 blt 0,0,640,480,0, 8254,640,480:waittimer 75036 blt 0,0,640,480,0, 8255,640,480:waittimer 75045 blt 0,0,640,480,0, 8256,640,480:waittimer 75054 blt 0,0,640,480,0, 8257,640,480:waittimer 75063 blt 0,0,640,480,0, 8258,640,480:waittimer 75072 blt 0,0,640,480,0, 8259,640,480:waittimer 75081 blt 0,0,640,480,0, 8260,640,480:waittimer 75090 blt 0,0,640,480,0, 8261,640,480:waittimer 75099 blt 0,0,640,480,0, 8262,640,480:waittimer 75109 blt 0,0,640,480,0, 8263,640,480:waittimer 75118 blt 0,0,640,480,0, 8264,640,480:waittimer 75127 blt 0,0,640,480,0, 8265,640,480:waittimer 75136 blt 0,0,640,480,0, 8266,640,480:waittimer 75145 blt 0,0,640,480,0, 8267,640,480:waittimer 75154 blt 0,0,640,480,0, 8268,640,480:waittimer 75163 blt 0,0,640,480,0, 8269,640,480:waittimer 75172 blt 0,0,640,480,0, 8270,640,480:waittimer 75181 blt 0,0,640,480,0, 8271,640,480:waittimer 75190 blt 0,0,640,480,0, 8272,640,480:waittimer 75199 blt 0,0,640,480,0, 8273,640,480:waittimer 75209 blt 0,0,640,480,0, 8274,640,480:waittimer 75218 blt 0,0,640,480,0, 8275,640,480:waittimer 75227 blt 0,0,640,480,0, 8276,640,480:waittimer 75236 blt 0,0,640,480,0, 8277,640,480:waittimer 75245 blt 0,0,640,480,0, 8278,640,480:waittimer 75254 blt 0,0,640,480,0, 8279,640,480:waittimer 75263 blt 0,0,640,480,0, 8280,640,480:waittimer 75272 blt 0,0,640,480,0, 8281,640,480:waittimer 75281 blt 0,0,640,480,0, 8282,640,480:waittimer 75290 blt 0,0,640,480,0, 8283,640,480:waittimer 75299 blt 0,0,640,480,0, 8284,640,480:waittimer 75309 blt 0,0,640,480,0, 8285,640,480:waittimer 75318 blt 0,0,640,480,0, 8286,640,480:waittimer 75327 blt 0,0,640,480,0, 8287,640,480:waittimer 75336 blt 0,0,640,480,0, 8288,640,480:waittimer 75345 blt 0,0,640,480,0, 8289,640,480:waittimer 75354 blt 0,0,640,480,0, 8290,640,480:waittimer 75363 blt 0,0,640,480,0, 8291,640,480:waittimer 75372 blt 0,0,640,480,0, 8292,640,480:waittimer 75381 blt 0,0,640,480,0, 8293,640,480:waittimer 75390 blt 0,0,640,480,0, 8294,640,480:waittimer 75399 blt 0,0,640,480,0, 8295,640,480:waittimer 75409 blt 0,0,640,480,0, 8296,640,480:waittimer 75418 blt 0,0,640,480,0, 8297,640,480:waittimer 75427 blt 0,0,640,480,0, 8298,640,480:waittimer 75436 blt 0,0,640,480,0, 8299,640,480:waittimer 75445 blt 0,0,640,480,0, 8300,640,480:waittimer 75454 blt 0,0,640,480,0, 8301,640,480:waittimer 75463 blt 0,0,640,480,0, 8302,640,480:waittimer 75472 blt 0,0,640,480,0, 8303,640,480:waittimer 75481 blt 0,0,640,480,0, 8304,640,480:waittimer 75490 blt 0,0,640,480,0, 8305,640,480:waittimer 75499 blt 0,0,640,480,0, 8306,640,480:waittimer 75509 blt 0,0,640,480,0, 8307,640,480:waittimer 75518 blt 0,0,640,480,0, 8308,640,480:waittimer 75527 blt 0,0,640,480,0, 8309,640,480:waittimer 75536 blt 0,0,640,480,0, 8310,640,480:waittimer 75545 blt 0,0,640,480,0, 8311,640,480:waittimer 75554 blt 0,0,640,480,0, 8312,640,480:waittimer 75563 blt 0,0,640,480,0, 8313,640,480:waittimer 75572 blt 0,0,640,480,0, 8314,640,480:waittimer 75581 blt 0,0,640,480,0, 8315,640,480:waittimer 75590 blt 0,0,640,480,0, 8316,640,480:waittimer 75599 blt 0,0,640,480,0, 8317,640,480:waittimer 75609 blt 0,0,640,480,0, 8318,640,480:waittimer 75618 blt 0,0,640,480,0, 8319,640,480:waittimer 75627 blt 0,0,640,480,0, 8320,640,480:waittimer 75636 blt 0,0,640,480,0, 8321,640,480:waittimer 75645 blt 0,0,640,480,0, 8322,640,480:waittimer 75654 blt 0,0,640,480,0, 8323,640,480:waittimer 75663 blt 0,0,640,480,0, 8324,640,480:waittimer 75672 blt 0,0,640,480,0, 8325,640,480:waittimer 75681 blt 0,0,640,480,0, 8326,640,480:waittimer 75690 blt 0,0,640,480,0, 8327,640,480:waittimer 75699 blt 0,0,640,480,0, 8328,640,480:waittimer 75709 blt 0,0,640,480,0, 8329,640,480:waittimer 75718 blt 0,0,640,480,0, 8330,640,480:waittimer 75727 blt 0,0,640,480,0, 8331,640,480:waittimer 75736 blt 0,0,640,480,0, 8332,640,480:waittimer 75745 blt 0,0,640,480,0, 8333,640,480:waittimer 75754 blt 0,0,640,480,0, 8334,640,480:waittimer 75763 blt 0,0,640,480,0, 8335,640,480:waittimer 75772 blt 0,0,640,480,0, 8336,640,480:waittimer 75781 blt 0,0,640,480,0, 8337,640,480:waittimer 75790 blt 0,0,640,480,0, 8338,640,480:waittimer 75799 blt 0,0,640,480,0, 8339,640,480:waittimer 75809 blt 0,0,640,480,0, 8340,640,480:waittimer 75818 blt 0,0,640,480,0, 8341,640,480:waittimer 75827 blt 0,0,640,480,0, 8342,640,480:waittimer 75836 blt 0,0,640,480,0, 8343,640,480:waittimer 75845 blt 0,0,640,480,0, 8344,640,480:waittimer 75854 blt 0,0,640,480,0, 8345,640,480:waittimer 75863 blt 0,0,640,480,0, 8346,640,480:waittimer 75872 blt 0,0,640,480,0, 8347,640,480:waittimer 75881 blt 0,0,640,480,0, 8348,640,480:waittimer 75890 blt 0,0,640,480,0, 8349,640,480:waittimer 75899 blt 0,0,640,480,0, 8350,640,480:waittimer 75909 blt 0,0,640,480,0, 8351,640,480:waittimer 75918 blt 0,0,640,480,0, 8352,640,480:waittimer 75927 blt 0,0,640,480,0, 8353,640,480:waittimer 75936 blt 0,0,640,480,0, 8354,640,480:waittimer 75945 blt 0,0,640,480,0, 8355,640,480:waittimer 75954 blt 0,0,640,480,0, 8356,640,480:waittimer 75963 blt 0,0,640,480,0, 8357,640,480:waittimer 75972 blt 0,0,640,480,0, 8358,640,480:waittimer 75981 blt 0,0,640,480,0, 8359,640,480:waittimer 75990 blt 0,0,640,480,0, 8360,640,480:waittimer 75999 blt 0,0,640,480,0, 8361,640,480:waittimer 76009 blt 0,0,640,480,0, 8362,640,480:waittimer 76018 blt 0,0,640,480,0, 8363,640,480:waittimer 76027 blt 0,0,640,480,0, 8364,640,480:waittimer 76036 blt 0,0,640,480,0, 8365,640,480:waittimer 76045 blt 0,0,640,480,0, 8366,640,480:waittimer 76054 blt 0,0,640,480,0, 8367,640,480:waittimer 76063 blt 0,0,640,480,0, 8368,640,480:waittimer 76072 blt 0,0,640,480,0, 8369,640,480:waittimer 76081 blt 0,0,640,480,0, 8370,640,480:waittimer 76090 blt 0,0,640,480,0, 8371,640,480:waittimer 76099 blt 0,0,640,480,0, 8372,640,480:waittimer 76109 blt 0,0,640,480,0, 8373,640,480:waittimer 76118 blt 0,0,640,480,0, 8374,640,480:waittimer 76127 blt 0,0,640,480,0, 8375,640,480:waittimer 76136 blt 0,0,640,480,0, 8376,640,480:waittimer 76145 blt 0,0,640,480,0, 8377,640,480:waittimer 76154 blt 0,0,640,480,0, 8378,640,480:waittimer 76163 blt 0,0,640,480,0, 8379,640,480:waittimer 76172 blt 0,0,640,480,0, 8380,640,480:waittimer 76181 blt 0,0,640,480,0, 8381,640,480:waittimer 76190 blt 0,0,640,480,0, 8382,640,480:waittimer 76199 blt 0,0,640,480,0, 8383,640,480:waittimer 76209 blt 0,0,640,480,0, 8384,640,480:waittimer 76218 blt 0,0,640,480,0, 8385,640,480:waittimer 76227 blt 0,0,640,480,0, 8386,640,480:waittimer 76236 blt 0,0,640,480,0, 8387,640,480:waittimer 76245 blt 0,0,640,480,0, 8388,640,480:waittimer 76254 blt 0,0,640,480,0, 8389,640,480:waittimer 76263 blt 0,0,640,480,0, 8390,640,480:waittimer 76272 blt 0,0,640,480,0, 8391,640,480:waittimer 76281 blt 0,0,640,480,0, 8392,640,480:waittimer 76290 blt 0,0,640,480,0, 8393,640,480:waittimer 76299 blt 0,0,640,480,0, 8394,640,480:waittimer 76309 blt 0,0,640,480,0, 8395,640,480:waittimer 76318 blt 0,0,640,480,0, 8396,640,480:waittimer 76327 blt 0,0,640,480,0, 8397,640,480:waittimer 76336 blt 0,0,640,480,0, 8398,640,480:waittimer 76345 blt 0,0,640,480,0, 8399,640,480:waittimer 76354 blt 0,0,640,480,0, 8400,640,480:waittimer 76363 blt 0,0,640,480,0, 8401,640,480:waittimer 76372 blt 0,0,640,480,0, 8402,640,480:waittimer 76381 blt 0,0,640,480,0, 8403,640,480:waittimer 76390 blt 0,0,640,480,0, 8404,640,480:waittimer 76399 blt 0,0,640,480,0, 8405,640,480:waittimer 76409 blt 0,0,640,480,0, 8406,640,480:waittimer 76418 blt 0,0,640,480,0, 8407,640,480:waittimer 76427 blt 0,0,640,480,0, 8408,640,480:waittimer 76436 blt 0,0,640,480,0, 8409,640,480:waittimer 76445 blt 0,0,640,480,0, 8410,640,480:waittimer 76454 blt 0,0,640,480,0, 8411,640,480:waittimer 76463 blt 0,0,640,480,0, 8412,640,480:waittimer 76472 blt 0,0,640,480,0, 8413,640,480:waittimer 76481 blt 0,0,640,480,0, 8414,640,480:waittimer 76490 blt 0,0,640,480,0, 8415,640,480:waittimer 76499 blt 0,0,640,480,0, 8416,640,480:waittimer 76509 blt 0,0,640,480,0, 8417,640,480:waittimer 76518 blt 0,0,640,480,0, 8418,640,480:waittimer 76527 blt 0,0,640,480,0, 8419,640,480:waittimer 76536 blt 0,0,640,480,0, 8420,640,480:waittimer 76545 blt 0,0,640,480,0, 8421,640,480:waittimer 76554 blt 0,0,640,480,0, 8422,640,480:waittimer 76563 blt 0,0,640,480,0, 8423,640,480:waittimer 76572 blt 0,0,640,480,0, 8424,640,480:waittimer 76581 blt 0,0,640,480,0, 8425,640,480:waittimer 76590 blt 0,0,640,480,0, 8426,640,480:waittimer 76599 blt 0,0,640,480,0, 8427,640,480:waittimer 76609 blt 0,0,640,480,0, 8428,640,480:waittimer 76618 blt 0,0,640,480,0, 8429,640,480:waittimer 76627 blt 0,0,640,480,0, 8430,640,480:waittimer 76636 blt 0,0,640,480,0, 8431,640,480:waittimer 76645 blt 0,0,640,480,0, 8432,640,480:waittimer 76654 blt 0,0,640,480,0, 8433,640,480:waittimer 76663 blt 0,0,640,480,0, 8434,640,480:waittimer 76672 blt 0,0,640,480,0, 8435,640,480:waittimer 76681 blt 0,0,640,480,0, 8436,640,480:waittimer 76690 blt 0,0,640,480,0, 8437,640,480:waittimer 76699 blt 0,0,640,480,0, 8438,640,480:waittimer 76709 blt 0,0,640,480,0, 8439,640,480:waittimer 76718 blt 0,0,640,480,0, 8440,640,480:waittimer 76727 blt 0,0,640,480,0, 8441,640,480:waittimer 76736 blt 0,0,640,480,0, 8442,640,480:waittimer 76745 blt 0,0,640,480,0, 8443,640,480:waittimer 76754 blt 0,0,640,480,0, 8444,640,480:waittimer 76763 blt 0,0,640,480,0, 8445,640,480:waittimer 76772 blt 0,0,640,480,0, 8446,640,480:waittimer 76781 blt 0,0,640,480,0, 8447,640,480:waittimer 76790 blt 0,0,640,480,0, 8448,640,480:waittimer 76799 blt 0,0,640,480,0, 8449,640,480:waittimer 76809 blt 0,0,640,480,0, 8450,640,480:waittimer 76818 blt 0,0,640,480,0, 8451,640,480:waittimer 76827 blt 0,0,640,480,0, 8452,640,480:waittimer 76836 blt 0,0,640,480,0, 8453,640,480:waittimer 76845 blt 0,0,640,480,0, 8454,640,480:waittimer 76854 blt 0,0,640,480,0, 8455,640,480:waittimer 76863 blt 0,0,640,480,0, 8456,640,480:waittimer 76872 blt 0,0,640,480,0, 8457,640,480:waittimer 76881 blt 0,0,640,480,0, 8458,640,480:waittimer 76890 blt 0,0,640,480,0, 8459,640,480:waittimer 76899 blt 0,0,640,480,0, 8460,640,480:waittimer 76909 blt 0,0,640,480,0, 8461,640,480:waittimer 76918 blt 0,0,640,480,0, 8462,640,480:waittimer 76927 blt 0,0,640,480,0, 8463,640,480:waittimer 76936 blt 0,0,640,480,0, 8464,640,480:waittimer 76945 blt 0,0,640,480,0, 8465,640,480:waittimer 76954 blt 0,0,640,480,0, 8466,640,480:waittimer 76963 blt 0,0,640,480,0, 8467,640,480:waittimer 76972 blt 0,0,640,480,0, 8468,640,480:waittimer 76981 blt 0,0,640,480,0, 8469,640,480:waittimer 76990 blt 0,0,640,480,0, 8470,640,480:waittimer 76999 blt 0,0,640,480,0, 8471,640,480:waittimer 77009 blt 0,0,640,480,0, 8472,640,480:waittimer 77018 blt 0,0,640,480,0, 8473,640,480:waittimer 77027 blt 0,0,640,480,0, 8474,640,480:waittimer 77036 blt 0,0,640,480,0, 8475,640,480:waittimer 77045 blt 0,0,640,480,0, 8476,640,480:waittimer 77054 blt 0,0,640,480,0, 8477,640,480:waittimer 77063 blt 0,0,640,480,0, 8478,640,480:waittimer 77072 blt 0,0,640,480,0, 8479,640,480:waittimer 77081 blt 0,0,640,480,0, 8480,640,480:waittimer 77090 blt 0,0,640,480,0, 8481,640,480:waittimer 77099 blt 0,0,640,480,0, 8482,640,480:waittimer 77109 blt 0,0,640,480,0, 8483,640,480:waittimer 77118 blt 0,0,640,480,0, 8484,640,480:waittimer 77127 blt 0,0,640,480,0, 8485,640,480:waittimer 77136 blt 0,0,640,480,0, 8486,640,480:waittimer 77145 blt 0,0,640,480,0, 8487,640,480:waittimer 77154 blt 0,0,640,480,0, 8488,640,480:waittimer 77163 blt 0,0,640,480,0, 8489,640,480:waittimer 77172 blt 0,0,640,480,0, 8490,640,480:waittimer 77181 blt 0,0,640,480,0, 8491,640,480:waittimer 77190 blt 0,0,640,480,0, 8492,640,480:waittimer 77199 blt 0,0,640,480,0, 8493,640,480:waittimer 77209 blt 0,0,640,480,0, 8494,640,480:waittimer 77218 blt 0,0,640,480,0, 8495,640,480:waittimer 77227 blt 0,0,640,480,0, 8496,640,480:waittimer 77236 blt 0,0,640,480,0, 8497,640,480:waittimer 77245 blt 0,0,640,480,0, 8498,640,480:waittimer 77254 blt 0,0,640,480,0, 8499,640,480:waittimer 77263 blt 0,0,640,480,0, 8500,640,480:waittimer 77272 blt 0,0,640,480,0, 8501,640,480:waittimer 77281 blt 0,0,640,480,0, 8502,640,480:waittimer 77290 blt 0,0,640,480,0, 8503,640,480:waittimer 77299 blt 0,0,640,480,0, 8504,640,480:waittimer 77309 blt 0,0,640,480,0, 8505,640,480:waittimer 77318 blt 0,0,640,480,0, 8506,640,480:waittimer 77327 blt 0,0,640,480,0, 8507,640,480:waittimer 77336 blt 0,0,640,480,0, 8508,640,480:waittimer 77345 blt 0,0,640,480,0, 8509,640,480:waittimer 77354 blt 0,0,640,480,0, 8510,640,480:waittimer 77363 blt 0,0,640,480,0, 8511,640,480:waittimer 77372 blt 0,0,640,480,0, 8512,640,480:waittimer 77381 blt 0,0,640,480,0, 8513,640,480:waittimer 77390 blt 0,0,640,480,0, 8514,640,480:waittimer 77399 blt 0,0,640,480,0, 8515,640,480:waittimer 77409 blt 0,0,640,480,0, 8516,640,480:waittimer 77418 blt 0,0,640,480,0, 8517,640,480:waittimer 77427 blt 0,0,640,480,0, 8518,640,480:waittimer 77436 blt 0,0,640,480,0, 8519,640,480:waittimer 77445 blt 0,0,640,480,0, 8520,640,480:waittimer 77454 blt 0,0,640,480,0, 8521,640,480:waittimer 77463 blt 0,0,640,480,0, 8522,640,480:waittimer 77472 blt 0,0,640,480,0, 8523,640,480:waittimer 77481 blt 0,0,640,480,0, 8524,640,480:waittimer 77490 blt 0,0,640,480,0, 8525,640,480:waittimer 77499 blt 0,0,640,480,0, 8526,640,480:waittimer 77509 blt 0,0,640,480,0, 8527,640,480:waittimer 77518 blt 0,0,640,480,0, 8528,640,480:waittimer 77527 blt 0,0,640,480,0, 8529,640,480:waittimer 77536 blt 0,0,640,480,0, 8530,640,480:waittimer 77545 blt 0,0,640,480,0, 8531,640,480:waittimer 77554 blt 0,0,640,480,0, 8532,640,480:waittimer 77563 blt 0,0,640,480,0, 8533,640,480:waittimer 77572 blt 0,0,640,480,0, 8534,640,480:waittimer 77581 blt 0,0,640,480,0, 8535,640,480:waittimer 77590 blt 0,0,640,480,0, 8536,640,480:waittimer 77599 blt 0,0,640,480,0, 8537,640,480:waittimer 77609 blt 0,0,640,480,0, 8538,640,480:waittimer 77618 blt 0,0,640,480,0, 8539,640,480:waittimer 77627 blt 0,0,640,480,0, 8540,640,480:waittimer 77636 blt 0,0,640,480,0, 8541,640,480:waittimer 77645 blt 0,0,640,480,0, 8542,640,480:waittimer 77654 blt 0,0,640,480,0, 8543,640,480:waittimer 77663 blt 0,0,640,480,0, 8544,640,480:waittimer 77672 blt 0,0,640,480,0, 8545,640,480:waittimer 77681 blt 0,0,640,480,0, 8546,640,480:waittimer 77690 blt 0,0,640,480,0, 8547,640,480:waittimer 77699 blt 0,0,640,480,0, 8548,640,480:waittimer 77709 blt 0,0,640,480,0, 8549,640,480:waittimer 77718 blt 0,0,640,480,0, 8550,640,480:waittimer 77727 blt 0,0,640,480,0, 8551,640,480:waittimer 77736 blt 0,0,640,480,0, 8552,640,480:waittimer 77745 blt 0,0,640,480,0, 8553,640,480:waittimer 77754 blt 0,0,640,480,0, 8554,640,480:waittimer 77763 blt 0,0,640,480,0, 8555,640,480:waittimer 77772 blt 0,0,640,480,0, 8556,640,480:waittimer 77781 blt 0,0,640,480,0, 8557,640,480:waittimer 77790 blt 0,0,640,480,0, 8558,640,480:waittimer 77799 blt 0,0,640,480,0, 8559,640,480:waittimer 77809 blt 0,0,640,480,0, 8560,640,480:waittimer 77818 blt 0,0,640,480,0, 8561,640,480:waittimer 77827 blt 0,0,640,480,0, 8562,640,480:waittimer 77836 blt 0,0,640,480,0, 8563,640,480:waittimer 77845 blt 0,0,640,480,0, 8564,640,480:waittimer 77854 blt 0,0,640,480,0, 8565,640,480:waittimer 77863 blt 0,0,640,480,0, 8566,640,480:waittimer 77872 blt 0,0,640,480,0, 8567,640,480:waittimer 77881 blt 0,0,640,480,0, 8568,640,480:waittimer 77890 blt 0,0,640,480,0, 8569,640,480:waittimer 77899 blt 0,0,640,480,0, 8570,640,480:waittimer 77909 blt 0,0,640,480,0, 8571,640,480:waittimer 77918 blt 0,0,640,480,0, 8572,640,480:waittimer 77927 blt 0,0,640,480,0, 8573,640,480:waittimer 77936 blt 0,0,640,480,0, 8574,640,480:waittimer 77945 blt 0,0,640,480,0, 8575,640,480:waittimer 77954 blt 0,0,640,480,0, 8576,640,480:waittimer 77963 blt 0,0,640,480,0, 8577,640,480:waittimer 77972 blt 0,0,640,480,0, 8578,640,480:waittimer 77981 blt 0,0,640,480,0, 8579,640,480:waittimer 77990 blt 0,0,640,480,0, 8580,640,480:waittimer 77999 blt 0,0,640,480,0, 8581,640,480:waittimer 78009 blt 0,0,640,480,0, 8582,640,480:waittimer 78018 blt 0,0,640,480,0, 8583,640,480:waittimer 78027 blt 0,0,640,480,0, 8584,640,480:waittimer 78036 blt 0,0,640,480,0, 8585,640,480:waittimer 78045 blt 0,0,640,480,0, 8586,640,480:waittimer 78054 blt 0,0,640,480,0, 8587,640,480:waittimer 78063 blt 0,0,640,480,0, 8588,640,480:waittimer 78072 blt 0,0,640,480,0, 8589,640,480:waittimer 78081 blt 0,0,640,480,0, 8590,640,480:waittimer 78090 blt 0,0,640,480,0, 8591,640,480:waittimer 78099 blt 0,0,640,480,0, 8592,640,480:waittimer 78109 blt 0,0,640,480,0, 8593,640,480:waittimer 78118 blt 0,0,640,480,0, 8594,640,480:waittimer 78127 blt 0,0,640,480,0, 8595,640,480:waittimer 78136 blt 0,0,640,480,0, 8596,640,480:waittimer 78145 blt 0,0,640,480,0, 8597,640,480:waittimer 78154 blt 0,0,640,480,0, 8598,640,480:waittimer 78163 blt 0,0,640,480,0, 8599,640,480:waittimer 78172 blt 0,0,640,480,0, 8600,640,480:waittimer 78181 blt 0,0,640,480,0, 8601,640,480:waittimer 78190 blt 0,0,640,480,0, 8602,640,480:waittimer 78199 blt 0,0,640,480,0, 8603,640,480:waittimer 78209 blt 0,0,640,480,0, 8604,640,480:waittimer 78218 blt 0,0,640,480,0, 8605,640,480:waittimer 78227 blt 0,0,640,480,0, 8606,640,480:waittimer 78236 blt 0,0,640,480,0, 8607,640,480:waittimer 78245 blt 0,0,640,480,0, 8608,640,480:waittimer 78254 blt 0,0,640,480,0, 8609,640,480:waittimer 78263 blt 0,0,640,480,0, 8610,640,480:waittimer 78272 blt 0,0,640,480,0, 8611,640,480:waittimer 78281 blt 0,0,640,480,0, 8612,640,480:waittimer 78290 blt 0,0,640,480,0, 8613,640,480:waittimer 78299 blt 0,0,640,480,0, 8614,640,480:waittimer 78309 blt 0,0,640,480,0, 8615,640,480:waittimer 78318 blt 0,0,640,480,0, 8616,640,480:waittimer 78327 blt 0,0,640,480,0, 8617,640,480:waittimer 78336 blt 0,0,640,480,0, 8618,640,480:waittimer 78345 blt 0,0,640,480,0, 8619,640,480:waittimer 78354 blt 0,0,640,480,0, 8620,640,480:waittimer 78363 blt 0,0,640,480,0, 8621,640,480:waittimer 78372 blt 0,0,640,480,0, 8622,640,480:waittimer 78381 blt 0,0,640,480,0, 8623,640,480:waittimer 78390 blt 0,0,640,480,0, 8624,640,480:waittimer 78399 blt 0,0,640,480,0, 8625,640,480:waittimer 78409 blt 0,0,640,480,0, 8626,640,480:waittimer 78418 blt 0,0,640,480,0, 8627,640,480:waittimer 78427 blt 0,0,640,480,0, 8628,640,480:waittimer 78436 blt 0,0,640,480,0, 8629,640,480:waittimer 78445 blt 0,0,640,480,0, 8630,640,480:waittimer 78454 blt 0,0,640,480,0, 8631,640,480:waittimer 78463 blt 0,0,640,480,0, 8632,640,480:waittimer 78472 blt 0,0,640,480,0, 8633,640,480:waittimer 78481 blt 0,0,640,480,0, 8634,640,480:waittimer 78490 blt 0,0,640,480,0, 8635,640,480:waittimer 78499 blt 0,0,640,480,0, 8636,640,480:waittimer 78509 blt 0,0,640,480,0, 8637,640,480:waittimer 78518 blt 0,0,640,480,0, 8638,640,480:waittimer 78527 blt 0,0,640,480,0, 8639,640,480:waittimer 78536 blt 0,0,640,480,0, 8640,640,480:waittimer 78545 blt 0,0,640,480,0, 8641,640,480:waittimer 78554 blt 0,0,640,480,0, 8642,640,480:waittimer 78563 blt 0,0,640,480,0, 8643,640,480:waittimer 78572 blt 0,0,640,480,0, 8644,640,480:waittimer 78581 blt 0,0,640,480,0, 8645,640,480:waittimer 78590 blt 0,0,640,480,0, 8646,640,480:waittimer 78599 blt 0,0,640,480,0, 8647,640,480:waittimer 78609 blt 0,0,640,480,0, 8648,640,480:waittimer 78618 blt 0,0,640,480,0, 8649,640,480:waittimer 78627 blt 0,0,640,480,0, 8650,640,480:waittimer 78636 blt 0,0,640,480,0, 8651,640,480:waittimer 78645 blt 0,0,640,480,0, 8652,640,480:waittimer 78654 blt 0,0,640,480,0, 8653,640,480:waittimer 78663 blt 0,0,640,480,0, 8654,640,480:waittimer 78672 blt 0,0,640,480,0, 8655,640,480:waittimer 78681 blt 0,0,640,480,0, 8656,640,480:waittimer 78690 blt 0,0,640,480,0, 8657,640,480:waittimer 78699 blt 0,0,640,480,0, 8658,640,480:waittimer 78709 blt 0,0,640,480,0, 8659,640,480:waittimer 78718 blt 0,0,640,480,0, 8660,640,480:waittimer 78727 blt 0,0,640,480,0, 8661,640,480:waittimer 78736 blt 0,0,640,480,0, 8662,640,480:waittimer 78745 blt 0,0,640,480,0, 8663,640,480:waittimer 78754 blt 0,0,640,480,0, 8664,640,480:waittimer 78763 blt 0,0,640,480,0, 8665,640,480:waittimer 78772 blt 0,0,640,480,0, 8666,640,480:waittimer 78781 blt 0,0,640,480,0, 8667,640,480:waittimer 78790 blt 0,0,640,480,0, 8668,640,480:waittimer 78799 blt 0,0,640,480,0, 8669,640,480:waittimer 78809 blt 0,0,640,480,0, 8670,640,480:waittimer 78818 blt 0,0,640,480,0, 8671,640,480:waittimer 78827 blt 0,0,640,480,0, 8672,640,480:waittimer 78836 blt 0,0,640,480,0, 8673,640,480:waittimer 78845 blt 0,0,640,480,0, 8674,640,480:waittimer 78854 blt 0,0,640,480,0, 8675,640,480:waittimer 78863 blt 0,0,640,480,0, 8676,640,480:waittimer 78872 blt 0,0,640,480,0, 8677,640,480:waittimer 78881 blt 0,0,640,480,0, 8678,640,480:waittimer 78890 blt 0,0,640,480,0, 8679,640,480:waittimer 78899 blt 0,0,640,480,0, 8680,640,480:waittimer 78909 blt 0,0,640,480,0, 8681,640,480:waittimer 78918 blt 0,0,640,480,0, 8682,640,480:waittimer 78927 blt 0,0,640,480,0, 8683,640,480:waittimer 78936 blt 0,0,640,480,0, 8684,640,480:waittimer 78945 blt 0,0,640,480,0, 8685,640,480:waittimer 78954 blt 0,0,640,480,0, 8686,640,480:waittimer 78963 blt 0,0,640,480,0, 8687,640,480:waittimer 78972 blt 0,0,640,480,0, 8688,640,480:waittimer 78981 blt 0,0,640,480,0, 8689,640,480:waittimer 78990 blt 0,0,640,480,0, 8690,640,480:waittimer 78999 blt 0,0,640,480,0, 8691,640,480:waittimer 79009 blt 0,0,640,480,0, 8692,640,480:waittimer 79018 blt 0,0,640,480,0, 8693,640,480:waittimer 79027 blt 0,0,640,480,0, 8694,640,480:waittimer 79036 blt 0,0,640,480,0, 8695,640,480:waittimer 79045 blt 0,0,640,480,0, 8696,640,480:waittimer 79054 blt 0,0,640,480,0, 8697,640,480:waittimer 79063 blt 0,0,640,480,0, 8698,640,480:waittimer 79072 blt 0,0,640,480,0, 8699,640,480:waittimer 79081 blt 0,0,640,480,0, 8700,640,480:waittimer 79090 blt 0,0,640,480,0, 8701,640,480:waittimer 79099 blt 0,0,640,480,0, 8702,640,480:waittimer 79109 blt 0,0,640,480,0, 8703,640,480:waittimer 79118 blt 0,0,640,480,0, 8704,640,480:waittimer 79127 blt 0,0,640,480,0, 8705,640,480:waittimer 79136 blt 0,0,640,480,0, 8706,640,480:waittimer 79145 blt 0,0,640,480,0, 8707,640,480:waittimer 79154 blt 0,0,640,480,0, 8708,640,480:waittimer 79163 blt 0,0,640,480,0, 8709,640,480:waittimer 79172 blt 0,0,640,480,0, 8710,640,480:waittimer 79181 blt 0,0,640,480,0, 8711,640,480:waittimer 79190 blt 0,0,640,480,0, 8712,640,480:waittimer 79199 blt 0,0,640,480,0, 8713,640,480:waittimer 79209 blt 0,0,640,480,0, 8714,640,480:waittimer 79218 blt 0,0,640,480,0, 8715,640,480:waittimer 79227 blt 0,0,640,480,0, 8716,640,480:waittimer 79236 blt 0,0,640,480,0, 8717,640,480:waittimer 79245 blt 0,0,640,480,0, 8718,640,480:waittimer 79254 blt 0,0,640,480,0, 8719,640,480:waittimer 79263 blt 0,0,640,480,0, 8720,640,480:waittimer 79272 blt 0,0,640,480,0, 8721,640,480:waittimer 79281 blt 0,0,640,480,0, 8722,640,480:waittimer 79290 blt 0,0,640,480,0, 8723,640,480:waittimer 79299 blt 0,0,640,480,0, 8724,640,480:waittimer 79309 blt 0,0,640,480,0, 8725,640,480:waittimer 79318 blt 0,0,640,480,0, 8726,640,480:waittimer 79327 blt 0,0,640,480,0, 8727,640,480:waittimer 79336 blt 0,0,640,480,0, 8728,640,480:waittimer 79345 blt 0,0,640,480,0, 8729,640,480:waittimer 79354 blt 0,0,640,480,0, 8730,640,480:waittimer 79363 blt 0,0,640,480,0, 8731,640,480:waittimer 79372 blt 0,0,640,480,0, 8732,640,480:waittimer 79381 blt 0,0,640,480,0, 8733,640,480:waittimer 79390 blt 0,0,640,480,0, 8734,640,480:waittimer 79399 blt 0,0,640,480,0, 8735,640,480:waittimer 79409 blt 0,0,640,480,0, 8736,640,480:waittimer 79418 blt 0,0,640,480,0, 8737,640,480:waittimer 79427 blt 0,0,640,480,0, 8738,640,480:waittimer 79436 blt 0,0,640,480,0, 8739,640,480:waittimer 79445 blt 0,0,640,480,0, 8740,640,480:waittimer 79454 blt 0,0,640,480,0, 8741,640,480:waittimer 79463 blt 0,0,640,480,0, 8742,640,480:waittimer 79472 blt 0,0,640,480,0, 8743,640,480:waittimer 79481 blt 0,0,640,480,0, 8744,640,480:waittimer 79490 blt 0,0,640,480,0, 8745,640,480:waittimer 79499 blt 0,0,640,480,0, 8746,640,480:waittimer 79509 blt 0,0,640,480,0, 8747,640,480:waittimer 79518 blt 0,0,640,480,0, 8748,640,480:waittimer 79527 blt 0,0,640,480,0, 8749,640,480:waittimer 79536 blt 0,0,640,480,0, 8750,640,480:waittimer 79545 blt 0,0,640,480,0, 8751,640,480:waittimer 79554 blt 0,0,640,480,0, 8752,640,480:waittimer 79563 blt 0,0,640,480,0, 8753,640,480:waittimer 79572 blt 0,0,640,480,0, 8754,640,480:waittimer 79581 blt 0,0,640,480,0, 8755,640,480:waittimer 79590 blt 0,0,640,480,0, 8756,640,480:waittimer 79599 blt 0,0,640,480,0, 8757,640,480:waittimer 79609 blt 0,0,640,480,0, 8758,640,480:waittimer 79618 blt 0,0,640,480,0, 8759,640,480:waittimer 79627 blt 0,0,640,480,0, 8760,640,480:waittimer 79636 blt 0,0,640,480,0, 8761,640,480:waittimer 79645 blt 0,0,640,480,0, 8762,640,480:waittimer 79654 blt 0,0,640,480,0, 8763,640,480:waittimer 79663 blt 0,0,640,480,0, 8764,640,480:waittimer 79672 blt 0,0,640,480,0, 8765,640,480:waittimer 79681 blt 0,0,640,480,0, 8766,640,480:waittimer 79690 blt 0,0,640,480,0, 8767,640,480:waittimer 79699 blt 0,0,640,480,0, 8768,640,480:waittimer 79709 blt 0,0,640,480,0, 8769,640,480:waittimer 79718 blt 0,0,640,480,0, 8770,640,480:waittimer 79727 blt 0,0,640,480,0, 8771,640,480:waittimer 79736 blt 0,0,640,480,0, 8772,640,480:waittimer 79745 blt 0,0,640,480,0, 8773,640,480:waittimer 79754 blt 0,0,640,480,0, 8774,640,480:waittimer 79763 blt 0,0,640,480,0, 8775,640,480:waittimer 79772 blt 0,0,640,480,0, 8776,640,480:waittimer 79781 blt 0,0,640,480,0, 8777,640,480:waittimer 79790 blt 0,0,640,480,0, 8778,640,480:waittimer 79799 blt 0,0,640,480,0, 8779,640,480:waittimer 79809 blt 0,0,640,480,0, 8780,640,480:waittimer 79818 blt 0,0,640,480,0, 8781,640,480:waittimer 79827 blt 0,0,640,480,0, 8782,640,480:waittimer 79836 blt 0,0,640,480,0, 8783,640,480:waittimer 79845 blt 0,0,640,480,0, 8784,640,480:waittimer 79854 blt 0,0,640,480,0, 8785,640,480:waittimer 79863 blt 0,0,640,480,0, 8786,640,480:waittimer 79872 blt 0,0,640,480,0, 8787,640,480:waittimer 79881 blt 0,0,640,480,0, 8788,640,480:waittimer 79890 blt 0,0,640,480,0, 8789,640,480:waittimer 79899 blt 0,0,640,480,0, 8790,640,480:waittimer 79909 blt 0,0,640,480,0, 8791,640,480:waittimer 79918 blt 0,0,640,480,0, 8792,640,480:waittimer 79927 blt 0,0,640,480,0, 8793,640,480:waittimer 79936 blt 0,0,640,480,0, 8794,640,480:waittimer 79945 blt 0,0,640,480,0, 8795,640,480:waittimer 79954 blt 0,0,640,480,0, 8796,640,480:waittimer 79963 blt 0,0,640,480,0, 8797,640,480:waittimer 79972 blt 0,0,640,480,0, 8798,640,480:waittimer 79981 blt 0,0,640,480,0, 8799,640,480:waittimer 79990 blt 0,0,640,480,0, 8800,640,480:waittimer 79999 blt 0,0,640,480,0, 8801,640,480:waittimer 80009 blt 0,0,640,480,0, 8802,640,480:waittimer 80018 blt 0,0,640,480,0, 8803,640,480:waittimer 80027 blt 0,0,640,480,0, 8804,640,480:waittimer 80036 blt 0,0,640,480,0, 8805,640,480:waittimer 80045 blt 0,0,640,480,0, 8806,640,480:waittimer 80054 blt 0,0,640,480,0, 8807,640,480:waittimer 80063 blt 0,0,640,480,0, 8808,640,480:waittimer 80072 blt 0,0,640,480,0, 8809,640,480:waittimer 80081 blt 0,0,640,480,0, 8810,640,480:waittimer 80090 blt 0,0,640,480,0, 8811,640,480:waittimer 80099 blt 0,0,640,480,0, 8812,640,480:waittimer 80109 blt 0,0,640,480,0, 8813,640,480:waittimer 80118 blt 0,0,640,480,0, 8814,640,480:waittimer 80127 blt 0,0,640,480,0, 8815,640,480:waittimer 80136 blt 0,0,640,480,0, 8816,640,480:waittimer 80145 blt 0,0,640,480,0, 8817,640,480:waittimer 80154 blt 0,0,640,480,0, 8818,640,480:waittimer 80163 blt 0,0,640,480,0, 8819,640,480:waittimer 80172 blt 0,0,640,480,0, 8820,640,480:waittimer 80181 blt 0,0,640,480,0, 8821,640,480:waittimer 80190 blt 0,0,640,480,0, 8822,640,480:waittimer 80199 blt 0,0,640,480,0, 8823,640,480:waittimer 80209 blt 0,0,640,480,0, 8824,640,480:waittimer 80218 blt 0,0,640,480,0, 8825,640,480:waittimer 80227 blt 0,0,640,480,0, 8826,640,480:waittimer 80236 blt 0,0,640,480,0, 8827,640,480:waittimer 80245 blt 0,0,640,480,0, 8828,640,480:waittimer 80254 blt 0,0,640,480,0, 8829,640,480:waittimer 80263 blt 0,0,640,480,0, 8830,640,480:waittimer 80272 blt 0,0,640,480,0, 8831,640,480:waittimer 80281 blt 0,0,640,480,0, 8832,640,480:waittimer 80290 blt 0,0,640,480,0, 8833,640,480:waittimer 80299 blt 0,0,640,480,0, 8834,640,480:waittimer 80309 blt 0,0,640,480,0, 8835,640,480:waittimer 80318 blt 0,0,640,480,0, 8836,640,480:waittimer 80327 blt 0,0,640,480,0, 8837,640,480:waittimer 80336 blt 0,0,640,480,0, 8838,640,480:waittimer 80345 blt 0,0,640,480,0, 8839,640,480:waittimer 80354 blt 0,0,640,480,0, 8840,640,480:waittimer 80363 blt 0,0,640,480,0, 8841,640,480:waittimer 80372 blt 0,0,640,480,0, 8842,640,480:waittimer 80381 blt 0,0,640,480,0, 8843,640,480:waittimer 80390 blt 0,0,640,480,0, 8844,640,480:waittimer 80399 blt 0,0,640,480,0, 8845,640,480:waittimer 80409 blt 0,0,640,480,0, 8846,640,480:waittimer 80418 blt 0,0,640,480,0, 8847,640,480:waittimer 80427 blt 0,0,640,480,0, 8848,640,480:waittimer 80436 blt 0,0,640,480,0, 8849,640,480:waittimer 80445 blt 0,0,640,480,0, 8850,640,480:waittimer 80454 blt 0,0,640,480,0, 8851,640,480:waittimer 80463 blt 0,0,640,480,0, 8852,640,480:waittimer 80472 blt 0,0,640,480,0, 8853,640,480:waittimer 80481 blt 0,0,640,480,0, 8854,640,480:waittimer 80490 blt 0,0,640,480,0, 8855,640,480:waittimer 80499 blt 0,0,640,480,0, 8856,640,480:waittimer 80509 blt 0,0,640,480,0, 8857,640,480:waittimer 80518 blt 0,0,640,480,0, 8858,640,480:waittimer 80527 blt 0,0,640,480,0, 8859,640,480:waittimer 80536 blt 0,0,640,480,0, 8860,640,480:waittimer 80545 blt 0,0,640,480,0, 8861,640,480:waittimer 80554 blt 0,0,640,480,0, 8862,640,480:waittimer 80563 blt 0,0,640,480,0, 8863,640,480:waittimer 80572 blt 0,0,640,480,0, 8864,640,480:waittimer 80581 blt 0,0,640,480,0, 8865,640,480:waittimer 80590 blt 0,0,640,480,0, 8866,640,480:waittimer 80599 blt 0,0,640,480,0, 8867,640,480:waittimer 80609 blt 0,0,640,480,0, 8868,640,480:waittimer 80618 blt 0,0,640,480,0, 8869,640,480:waittimer 80627 blt 0,0,640,480,0, 8870,640,480:waittimer 80636 blt 0,0,640,480,0, 8871,640,480:waittimer 80645 blt 0,0,640,480,0, 8872,640,480:waittimer 80654 blt 0,0,640,480,0, 8873,640,480:waittimer 80663 blt 0,0,640,480,0, 8874,640,480:waittimer 80672 blt 0,0,640,480,0, 8875,640,480:waittimer 80681 blt 0,0,640,480,0, 8876,640,480:waittimer 80690 blt 0,0,640,480,0, 8877,640,480:waittimer 80699 blt 0,0,640,480,0, 8878,640,480:waittimer 80709 blt 0,0,640,480,0, 8879,640,480:waittimer 80718 blt 0,0,640,480,0, 8880,640,480:waittimer 80727 blt 0,0,640,480,0, 8881,640,480:waittimer 80736 blt 0,0,640,480,0, 8882,640,480:waittimer 80745 blt 0,0,640,480,0, 8883,640,480:waittimer 80754 blt 0,0,640,480,0, 8884,640,480:waittimer 80763 blt 0,0,640,480,0, 8885,640,480:waittimer 80772 blt 0,0,640,480,0, 8886,640,480:waittimer 80781 blt 0,0,640,480,0, 8887,640,480:waittimer 80790 blt 0,0,640,480,0, 8888,640,480:waittimer 80799 blt 0,0,640,480,0, 8889,640,480:waittimer 80809 blt 0,0,640,480,0, 8890,640,480:waittimer 80818 blt 0,0,640,480,0, 8891,640,480:waittimer 80827 blt 0,0,640,480,0, 8892,640,480:waittimer 80836 blt 0,0,640,480,0, 8893,640,480:waittimer 80845 blt 0,0,640,480,0, 8894,640,480:waittimer 80854 blt 0,0,640,480,0, 8895,640,480:waittimer 80863 blt 0,0,640,480,0, 8896,640,480:waittimer 80872 blt 0,0,640,480,0, 8897,640,480:waittimer 80881 blt 0,0,640,480,0, 8898,640,480:waittimer 80890 blt 0,0,640,480,0, 8899,640,480:waittimer 80899 blt 0,0,640,480,0, 8900,640,480:waittimer 80909 blt 0,0,640,480,0, 8901,640,480:waittimer 80918 blt 0,0,640,480,0, 8902,640,480:waittimer 80927 blt 0,0,640,480,0, 8903,640,480:waittimer 80936 blt 0,0,640,480,0, 8904,640,480:waittimer 80945 blt 0,0,640,480,0, 8905,640,480:waittimer 80954 blt 0,0,640,480,0, 8906,640,480:waittimer 80963 blt 0,0,640,480,0, 8907,640,480:waittimer 80972 blt 0,0,640,480,0, 8908,640,480:waittimer 80981 blt 0,0,640,480,0, 8909,640,480:waittimer 80990 blt 0,0,640,480,0, 8910,640,480:waittimer 80999 blt 0,0,640,480,0, 8911,640,480:waittimer 81009 blt 0,0,640,480,0, 8912,640,480:waittimer 81018 blt 0,0,640,480,0, 8913,640,480:waittimer 81027 blt 0,0,640,480,0, 8914,640,480:waittimer 81036 blt 0,0,640,480,0, 8915,640,480:waittimer 81045 blt 0,0,640,480,0, 8916,640,480:waittimer 81054 blt 0,0,640,480,0, 8917,640,480:waittimer 81063 blt 0,0,640,480,0, 8918,640,480:waittimer 81072 blt 0,0,640,480,0, 8919,640,480:waittimer 81081 blt 0,0,640,480,0, 8920,640,480:waittimer 81090 blt 0,0,640,480,0, 8921,640,480:waittimer 81099 blt 0,0,640,480,0, 8922,640,480:waittimer 81109 blt 0,0,640,480,0, 8923,640,480:waittimer 81118 blt 0,0,640,480,0, 8924,640,480:waittimer 81127 blt 0,0,640,480,0, 8925,640,480:waittimer 81136 blt 0,0,640,480,0, 8926,640,480:waittimer 81145 blt 0,0,640,480,0, 8927,640,480:waittimer 81154 blt 0,0,640,480,0, 8928,640,480:waittimer 81163 blt 0,0,640,480,0, 8929,640,480:waittimer 81172 blt 0,0,640,480,0, 8930,640,480:waittimer 81181 blt 0,0,640,480,0, 8931,640,480:waittimer 81190 blt 0,0,640,480,0, 8932,640,480:waittimer 81199 blt 0,0,640,480,0, 8933,640,480:waittimer 81209 blt 0,0,640,480,0, 8934,640,480:waittimer 81218 blt 0,0,640,480,0, 8935,640,480:waittimer 81227 blt 0,0,640,480,0, 8936,640,480:waittimer 81236 blt 0,0,640,480,0, 8937,640,480:waittimer 81245 blt 0,0,640,480,0, 8938,640,480:waittimer 81254 blt 0,0,640,480,0, 8939,640,480:waittimer 81263 blt 0,0,640,480,0, 8940,640,480:waittimer 81272 blt 0,0,640,480,0, 8941,640,480:waittimer 81281 blt 0,0,640,480,0, 8942,640,480:waittimer 81290 blt 0,0,640,480,0, 8943,640,480:waittimer 81299 blt 0,0,640,480,0, 8944,640,480:waittimer 81309 blt 0,0,640,480,0, 8945,640,480:waittimer 81318 blt 0,0,640,480,0, 8946,640,480:waittimer 81327 blt 0,0,640,480,0, 8947,640,480:waittimer 81336 blt 0,0,640,480,0, 8948,640,480:waittimer 81345 blt 0,0,640,480,0, 8949,640,480:waittimer 81354 blt 0,0,640,480,0, 8950,640,480:waittimer 81363 blt 0,0,640,480,0, 8951,640,480:waittimer 81372 blt 0,0,640,480,0, 8952,640,480:waittimer 81381 blt 0,0,640,480,0, 8953,640,480:waittimer 81390 blt 0,0,640,480,0, 8954,640,480:waittimer 81399 blt 0,0,640,480,0, 8955,640,480:waittimer 81409 blt 0,0,640,480,0, 8956,640,480:waittimer 81418 blt 0,0,640,480,0, 8957,640,480:waittimer 81427 blt 0,0,640,480,0, 8958,640,480:waittimer 81436 blt 0,0,640,480,0, 8959,640,480:waittimer 81445 blt 0,0,640,480,0, 8960,640,480:waittimer 81454 blt 0,0,640,480,0, 8961,640,480:waittimer 81463 blt 0,0,640,480,0, 8962,640,480:waittimer 81472 blt 0,0,640,480,0, 8963,640,480:waittimer 81481 blt 0,0,640,480,0, 8964,640,480:waittimer 81490 blt 0,0,640,480,0, 8965,640,480:waittimer 81499 blt 0,0,640,480,0, 8966,640,480:waittimer 81509 blt 0,0,640,480,0, 8967,640,480:waittimer 81518 blt 0,0,640,480,0, 8968,640,480:waittimer 81527 blt 0,0,640,480,0, 8969,640,480:waittimer 81536 blt 0,0,640,480,0, 8970,640,480:waittimer 81545 blt 0,0,640,480,0, 8971,640,480:waittimer 81554 blt 0,0,640,480,0, 8972,640,480:waittimer 81563 blt 0,0,640,480,0, 8973,640,480:waittimer 81572 blt 0,0,640,480,0, 8974,640,480:waittimer 81581 blt 0,0,640,480,0, 8975,640,480:waittimer 81590 blt 0,0,640,480,0, 8976,640,480:waittimer 81599 blt 0,0,640,480,0, 8977,640,480:waittimer 81609 blt 0,0,640,480,0, 8978,640,480:waittimer 81618 blt 0,0,640,480,0, 8979,640,480:waittimer 81627 blt 0,0,640,480,0, 8980,640,480:waittimer 81636 blt 0,0,640,480,0, 8981,640,480:waittimer 81645 blt 0,0,640,480,0, 8982,640,480:waittimer 81654 blt 0,0,640,480,0, 8983,640,480:waittimer 81663 blt 0,0,640,480,0, 8984,640,480:waittimer 81672 blt 0,0,640,480,0, 8985,640,480:waittimer 81681 blt 0,0,640,480,0, 8986,640,480:waittimer 81690 blt 0,0,640,480,0, 8987,640,480:waittimer 81699 blt 0,0,640,480,0, 8988,640,480:waittimer 81709 blt 0,0,640,480,0, 8989,640,480:waittimer 81718 blt 0,0,640,480,0, 8990,640,480:waittimer 81727 blt 0,0,640,480,0, 8991,640,480:waittimer 81736 blt 0,0,640,480,0, 8992,640,480:waittimer 81745 blt 0,0,640,480,0, 8993,640,480:waittimer 81754 blt 0,0,640,480,0, 8994,640,480:waittimer 81763 blt 0,0,640,480,0, 8995,640,480:waittimer 81772 blt 0,0,640,480,0, 8996,640,480:waittimer 81781 blt 0,0,640,480,0, 8997,640,480:waittimer 81790 blt 0,0,640,480,0, 8998,640,480:waittimer 81799 blt 0,0,640,480,0, 8999,640,480:waittimer 81809 blt 0,0,640,480,0, 9000,640,480:waittimer 81818 blt 0,0,640,480,0, 9001,640,480:waittimer 81827 blt 0,0,640,480,0, 9002,640,480:waittimer 81836 blt 0,0,640,480,0, 9003,640,480:waittimer 81845 blt 0,0,640,480,0, 9004,640,480:waittimer 81854 blt 0,0,640,480,0, 9005,640,480:waittimer 81863 blt 0,0,640,480,0, 9006,640,480:waittimer 81872 blt 0,0,640,480,0, 9007,640,480:waittimer 81881 blt 0,0,640,480,0, 9008,640,480:waittimer 81890 blt 0,0,640,480,0, 9009,640,480:waittimer 81899 blt 0,0,640,480,0, 9010,640,480:waittimer 81909 blt 0,0,640,480,0, 9011,640,480:waittimer 81918 blt 0,0,640,480,0, 9012,640,480:waittimer 81927 blt 0,0,640,480,0, 9013,640,480:waittimer 81936 blt 0,0,640,480,0, 9014,640,480:waittimer 81945 blt 0,0,640,480,0, 9015,640,480:waittimer 81954 blt 0,0,640,480,0, 9016,640,480:waittimer 81963 blt 0,0,640,480,0, 9017,640,480:waittimer 81972 blt 0,0,640,480,0, 9018,640,480:waittimer 81981 blt 0,0,640,480,0, 9019,640,480:waittimer 81990 blt 0,0,640,480,0, 9020,640,480:waittimer 81999 blt 0,0,640,480,0, 9021,640,480:waittimer 82009 blt 0,0,640,480,0, 9022,640,480:waittimer 82018 blt 0,0,640,480,0, 9023,640,480:waittimer 82027 blt 0,0,640,480,0, 9024,640,480:waittimer 82036 blt 0,0,640,480,0, 9025,640,480:waittimer 82045 blt 0,0,640,480,0, 9026,640,480:waittimer 82054 blt 0,0,640,480,0, 9027,640,480:waittimer 82063 blt 0,0,640,480,0, 9028,640,480:waittimer 82072 blt 0,0,640,480,0, 9029,640,480:waittimer 82081 blt 0,0,640,480,0, 9030,640,480:waittimer 82090 blt 0,0,640,480,0, 9031,640,480:waittimer 82099 blt 0,0,640,480,0, 9032,640,480:waittimer 82109 blt 0,0,640,480,0, 9033,640,480:waittimer 82118 blt 0,0,640,480,0, 9034,640,480:waittimer 82127 blt 0,0,640,480,0, 9035,640,480:waittimer 82136 blt 0,0,640,480,0, 9036,640,480:waittimer 82145 blt 0,0,640,480,0, 9037,640,480:waittimer 82154 blt 0,0,640,480,0, 9038,640,480:waittimer 82163 blt 0,0,640,480,0, 9039,640,480:waittimer 82172 blt 0,0,640,480,0, 9040,640,480:waittimer 82181 blt 0,0,640,480,0, 9041,640,480:waittimer 82190 blt 0,0,640,480,0, 9042,640,480:waittimer 82199 blt 0,0,640,480,0, 9043,640,480:waittimer 82209 blt 0,0,640,480,0, 9044,640,480:waittimer 82218 blt 0,0,640,480,0, 9045,640,480:waittimer 82227 blt 0,0,640,480,0, 9046,640,480:waittimer 82236 blt 0,0,640,480,0, 9047,640,480:waittimer 82245 blt 0,0,640,480,0, 9048,640,480:waittimer 82254 blt 0,0,640,480,0, 9049,640,480:waittimer 82263 blt 0,0,640,480,0, 9050,640,480:waittimer 82272 blt 0,0,640,480,0, 9051,640,480:waittimer 82281 blt 0,0,640,480,0, 9052,640,480:waittimer 82290 blt 0,0,640,480,0, 9053,640,480:waittimer 82299 blt 0,0,640,480,0, 9054,640,480:waittimer 82309 blt 0,0,640,480,0, 9055,640,480:waittimer 82318 blt 0,0,640,480,0, 9056,640,480:waittimer 82327 blt 0,0,640,480,0, 9057,640,480:waittimer 82336 blt 0,0,640,480,0, 9058,640,480:waittimer 82345 blt 0,0,640,480,0, 9059,640,480:waittimer 82354 blt 0,0,640,480,0, 9060,640,480:waittimer 82363 blt 0,0,640,480,0, 9061,640,480:waittimer 82372 blt 0,0,640,480,0, 9062,640,480:waittimer 82381 blt 0,0,640,480,0, 9063,640,480:waittimer 82390 blt 0,0,640,480,0, 9064,640,480:waittimer 82399 blt 0,0,640,480,0, 9065,640,480:waittimer 82409 blt 0,0,640,480,0, 9066,640,480:waittimer 82418 blt 0,0,640,480,0, 9067,640,480:waittimer 82427 blt 0,0,640,480,0, 9068,640,480:waittimer 82436 blt 0,0,640,480,0, 9069,640,480:waittimer 82445 blt 0,0,640,480,0, 9070,640,480:waittimer 82454 blt 0,0,640,480,0, 9071,640,480:waittimer 82463 blt 0,0,640,480,0, 9072,640,480:waittimer 82472 blt 0,0,640,480,0, 9073,640,480:waittimer 82481 blt 0,0,640,480,0, 9074,640,480:waittimer 82490 blt 0,0,640,480,0, 9075,640,480:waittimer 82499 blt 0,0,640,480,0, 9076,640,480:waittimer 82509 blt 0,0,640,480,0, 9077,640,480:waittimer 82518 blt 0,0,640,480,0, 9078,640,480:waittimer 82527 blt 0,0,640,480,0, 9079,640,480:waittimer 82536 blt 0,0,640,480,0, 9080,640,480:waittimer 82545 blt 0,0,640,480,0, 9081,640,480:waittimer 82554 blt 0,0,640,480,0, 9082,640,480:waittimer 82563 blt 0,0,640,480,0, 9083,640,480:waittimer 82572 blt 0,0,640,480,0, 9084,640,480:waittimer 82581 blt 0,0,640,480,0, 9085,640,480:waittimer 82590 blt 0,0,640,480,0, 9086,640,480:waittimer 82599 blt 0,0,640,480,0, 9087,640,480:waittimer 82609 blt 0,0,640,480,0, 9088,640,480:waittimer 82618 blt 0,0,640,480,0, 9089,640,480:waittimer 82627 blt 0,0,640,480,0, 9090,640,480:waittimer 82636 blt 0,0,640,480,0, 9091,640,480:waittimer 82645 blt 0,0,640,480,0, 9092,640,480:waittimer 82654 blt 0,0,640,480,0, 9093,640,480:waittimer 82663 blt 0,0,640,480,0, 9094,640,480:waittimer 82672 blt 0,0,640,480,0, 9095,640,480:waittimer 82681 blt 0,0,640,480,0, 9096,640,480:waittimer 82690 blt 0,0,640,480,0, 9097,640,480:waittimer 82699 blt 0,0,640,480,0, 9098,640,480:waittimer 82709 blt 0,0,640,480,0, 9099,640,480:waittimer 82718 blt 0,0,640,480,0, 9100,640,480:waittimer 82727 blt 0,0,640,480,0, 9101,640,480:waittimer 82736 blt 0,0,640,480,0, 9102,640,480:waittimer 82745 blt 0,0,640,480,0, 9103,640,480:waittimer 82754 blt 0,0,640,480,0, 9104,640,480:waittimer 82763 blt 0,0,640,480,0, 9105,640,480:waittimer 82772 blt 0,0,640,480,0, 9106,640,480:waittimer 82781 blt 0,0,640,480,0, 9107,640,480:waittimer 82790 blt 0,0,640,480,0, 9108,640,480:waittimer 82799 blt 0,0,640,480,0, 9109,640,480:waittimer 82809 blt 0,0,640,480,0, 9110,640,480:waittimer 82818 blt 0,0,640,480,0, 9111,640,480:waittimer 82827 blt 0,0,640,480,0, 9112,640,480:waittimer 82836 blt 0,0,640,480,0, 9113,640,480:waittimer 82845 blt 0,0,640,480,0, 9114,640,480:waittimer 82854 blt 0,0,640,480,0, 9115,640,480:waittimer 82863 blt 0,0,640,480,0, 9116,640,480:waittimer 82872 blt 0,0,640,480,0, 9117,640,480:waittimer 82881 blt 0,0,640,480,0, 9118,640,480:waittimer 82890 blt 0,0,640,480,0, 9119,640,480:waittimer 82899 blt 0,0,640,480,0, 9120,640,480:waittimer 82909 blt 0,0,640,480,0, 9121,640,480:waittimer 82918 blt 0,0,640,480,0, 9122,640,480:waittimer 82927 blt 0,0,640,480,0, 9123,640,480:waittimer 82936 blt 0,0,640,480,0, 9124,640,480:waittimer 82945 blt 0,0,640,480,0, 9125,640,480:waittimer 82954 blt 0,0,640,480,0, 9126,640,480:waittimer 82963 blt 0,0,640,480,0, 9127,640,480:waittimer 82972 blt 0,0,640,480,0, 9128,640,480:waittimer 82981 blt 0,0,640,480,0, 9129,640,480:waittimer 82990 blt 0,0,640,480,0, 9130,640,480:waittimer 82999 blt 0,0,640,480,0, 9131,640,480:waittimer 83009 blt 0,0,640,480,0, 9132,640,480:waittimer 83018 blt 0,0,640,480,0, 9133,640,480:waittimer 83027 blt 0,0,640,480,0, 9134,640,480:waittimer 83036 blt 0,0,640,480,0, 9135,640,480:waittimer 83045 blt 0,0,640,480,0, 9136,640,480:waittimer 83054 blt 0,0,640,480,0, 9137,640,480:waittimer 83063 blt 0,0,640,480,0, 9138,640,480:waittimer 83072 blt 0,0,640,480,0, 9139,640,480:waittimer 83081 blt 0,0,640,480,0, 9140,640,480:waittimer 83090 blt 0,0,640,480,0, 9141,640,480:waittimer 83099 blt 0,0,640,480,0, 9142,640,480:waittimer 83109 blt 0,0,640,480,0, 9143,640,480:waittimer 83118 blt 0,0,640,480,0, 9144,640,480:waittimer 83127 blt 0,0,640,480,0, 9145,640,480:waittimer 83136 blt 0,0,640,480,0, 9146,640,480:waittimer 83145 blt 0,0,640,480,0, 9147,640,480:waittimer 83154 blt 0,0,640,480,0, 9148,640,480:waittimer 83163 blt 0,0,640,480,0, 9149,640,480:waittimer 83172 blt 0,0,640,480,0, 9150,640,480:waittimer 83181 blt 0,0,640,480,0, 9151,640,480:waittimer 83190 blt 0,0,640,480,0, 9152,640,480:waittimer 83199 blt 0,0,640,480,0, 9153,640,480:waittimer 83209 blt 0,0,640,480,0, 9154,640,480:waittimer 83218 blt 0,0,640,480,0, 9155,640,480:waittimer 83227 blt 0,0,640,480,0, 9156,640,480:waittimer 83236 blt 0,0,640,480,0, 9157,640,480:waittimer 83245 blt 0,0,640,480,0, 9158,640,480:waittimer 83254 blt 0,0,640,480,0, 9159,640,480:waittimer 83263 blt 0,0,640,480,0, 9160,640,480:waittimer 83272 blt 0,0,640,480,0, 9161,640,480:waittimer 83281 blt 0,0,640,480,0, 9162,640,480:waittimer 83290 blt 0,0,640,480,0, 9163,640,480:waittimer 83299 blt 0,0,640,480,0, 9164,640,480:waittimer 83309 blt 0,0,640,480,0, 9165,640,480:waittimer 83318 blt 0,0,640,480,0, 9166,640,480:waittimer 83327 blt 0,0,640,480,0, 9167,640,480:waittimer 83336 blt 0,0,640,480,0, 9168,640,480:waittimer 83345 blt 0,0,640,480,0, 9169,640,480:waittimer 83354 blt 0,0,640,480,0, 9170,640,480:waittimer 83363 blt 0,0,640,480,0, 9171,640,480:waittimer 83372 blt 0,0,640,480,0, 9172,640,480:waittimer 83381 blt 0,0,640,480,0, 9173,640,480:waittimer 83390 blt 0,0,640,480,0, 9174,640,480:waittimer 83399 blt 0,0,640,480,0, 9175,640,480:waittimer 83409 blt 0,0,640,480,0, 9176,640,480:waittimer 83418 blt 0,0,640,480,0, 9177,640,480:waittimer 83427 blt 0,0,640,480,0, 9178,640,480:waittimer 83436 blt 0,0,640,480,0, 9179,640,480:waittimer 83445 blt 0,0,640,480,0, 9180,640,480:waittimer 83454 blt 0,0,640,480,0, 9181,640,480:waittimer 83463 blt 0,0,640,480,0, 9182,640,480:waittimer 83472 blt 0,0,640,480,0, 9183,640,480:waittimer 83481 blt 0,0,640,480,0, 9184,640,480:waittimer 83490 blt 0,0,640,480,0, 9185,640,480:waittimer 83499 blt 0,0,640,480,0, 9186,640,480:waittimer 83509 blt 0,0,640,480,0, 9187,640,480:waittimer 83518 blt 0,0,640,480,0, 9188,640,480:waittimer 83527 blt 0,0,640,480,0, 9189,640,480:waittimer 83536 blt 0,0,640,480,0, 9190,640,480:waittimer 83545 blt 0,0,640,480,0, 9191,640,480:waittimer 83554 blt 0,0,640,480,0, 9192,640,480:waittimer 83563 blt 0,0,640,480,0, 9193,640,480:waittimer 83572 blt 0,0,640,480,0, 9194,640,480:waittimer 83581 blt 0,0,640,480,0, 9195,640,480:waittimer 83590 blt 0,0,640,480,0, 9196,640,480:waittimer 83599 blt 0,0,640,480,0, 9197,640,480:waittimer 83609 blt 0,0,640,480,0, 9198,640,480:waittimer 83618 blt 0,0,640,480,0, 9199,640,480:waittimer 83627 blt 0,0,640,480,0, 9200,640,480:waittimer 83636 blt 0,0,640,480,0, 9201,640,480:waittimer 83645 blt 0,0,640,480,0, 9202,640,480:waittimer 83654 blt 0,0,640,480,0, 9203,640,480:waittimer 83663 blt 0,0,640,480,0, 9204,640,480:waittimer 83672 blt 0,0,640,480,0, 9205,640,480:waittimer 83681 blt 0,0,640,480,0, 9206,640,480:waittimer 83690 blt 0,0,640,480,0, 9207,640,480:waittimer 83699 blt 0,0,640,480,0, 9208,640,480:waittimer 83709 blt 0,0,640,480,0, 9209,640,480:waittimer 83718 blt 0,0,640,480,0, 9210,640,480:waittimer 83727 blt 0,0,640,480,0, 9211,640,480:waittimer 83736 blt 0,0,640,480,0, 9212,640,480:waittimer 83745 blt 0,0,640,480,0, 9213,640,480:waittimer 83754 blt 0,0,640,480,0, 9214,640,480:waittimer 83763 blt 0,0,640,480,0, 9215,640,480:waittimer 83772 blt 0,0,640,480,0, 9216,640,480:waittimer 83781 blt 0,0,640,480,0, 9217,640,480:waittimer 83790 blt 0,0,640,480,0, 9218,640,480:waittimer 83799 blt 0,0,640,480,0, 9219,640,480:waittimer 83809 blt 0,0,640,480,0, 9220,640,480:waittimer 83818 blt 0,0,640,480,0, 9221,640,480:waittimer 83827 blt 0,0,640,480,0, 9222,640,480:waittimer 83836 blt 0,0,640,480,0, 9223,640,480:waittimer 83845 blt 0,0,640,480,0, 9224,640,480:waittimer 83854 blt 0,0,640,480,0, 9225,640,480:waittimer 83863 blt 0,0,640,480,0, 9226,640,480:waittimer 83872 blt 0,0,640,480,0, 9227,640,480:waittimer 83881 blt 0,0,640,480,0, 9228,640,480:waittimer 83890 blt 0,0,640,480,0, 9229,640,480:waittimer 83899 blt 0,0,640,480,0, 9230,640,480:waittimer 83909 blt 0,0,640,480,0, 9231,640,480:waittimer 83918 blt 0,0,640,480,0, 9232,640,480:waittimer 83927 blt 0,0,640,480,0, 9233,640,480:waittimer 83936 blt 0,0,640,480,0, 9234,640,480:waittimer 83945 blt 0,0,640,480,0, 9235,640,480:waittimer 83954 blt 0,0,640,480,0, 9236,640,480:waittimer 83963 blt 0,0,640,480,0, 9237,640,480:waittimer 83972 blt 0,0,640,480,0, 9238,640,480:waittimer 83981 blt 0,0,640,480,0, 9239,640,480:waittimer 83990 blt 0,0,640,480,0, 9240,640,480:waittimer 83999 blt 0,0,640,480,0, 9241,640,480:waittimer 84009 blt 0,0,640,480,0, 9242,640,480:waittimer 84018 blt 0,0,640,480,0, 9243,640,480:waittimer 84027 blt 0,0,640,480,0, 9244,640,480:waittimer 84036 blt 0,0,640,480,0, 9245,640,480:waittimer 84045 blt 0,0,640,480,0, 9246,640,480:waittimer 84054 blt 0,0,640,480,0, 9247,640,480:waittimer 84063 blt 0,0,640,480,0, 9248,640,480:waittimer 84072 blt 0,0,640,480,0, 9249,640,480:waittimer 84081 blt 0,0,640,480,0, 9250,640,480:waittimer 84090 blt 0,0,640,480,0, 9251,640,480:waittimer 84099 blt 0,0,640,480,0, 9252,640,480:waittimer 84109 blt 0,0,640,480,0, 9253,640,480:waittimer 84118 blt 0,0,640,480,0, 9254,640,480:waittimer 84127 blt 0,0,640,480,0, 9255,640,480:waittimer 84136 blt 0,0,640,480,0, 9256,640,480:waittimer 84145 blt 0,0,640,480,0, 9257,640,480:waittimer 84154 blt 0,0,640,480,0, 9258,640,480:waittimer 84163 blt 0,0,640,480,0, 9259,640,480:waittimer 84172 blt 0,0,640,480,0, 9260,640,480:waittimer 84181 blt 0,0,640,480,0, 9261,640,480:waittimer 84190 blt 0,0,640,480,0, 9262,640,480:waittimer 84199 blt 0,0,640,480,0, 9263,640,480:waittimer 84209 blt 0,0,640,480,0, 9264,640,480:waittimer 84218 blt 0,0,640,480,0, 9265,640,480:waittimer 84227 blt 0,0,640,480,0, 9266,640,480:waittimer 84236 blt 0,0,640,480,0, 9267,640,480:waittimer 84245 blt 0,0,640,480,0, 9268,640,480:waittimer 84254 blt 0,0,640,480,0, 9269,640,480:waittimer 84263 blt 0,0,640,480,0, 9270,640,480:waittimer 84272 blt 0,0,640,480,0, 9271,640,480:waittimer 84281 blt 0,0,640,480,0, 9272,640,480:waittimer 84290 blt 0,0,640,480,0, 9273,640,480:waittimer 84299 blt 0,0,640,480,0, 9274,640,480:waittimer 84309 blt 0,0,640,480,0, 9275,640,480:waittimer 84318 blt 0,0,640,480,0, 9276,640,480:waittimer 84327 blt 0,0,640,480,0, 9277,640,480:waittimer 84336 blt 0,0,640,480,0, 9278,640,480:waittimer 84345 blt 0,0,640,480,0, 9279,640,480:waittimer 84354 blt 0,0,640,480,0, 9280,640,480:waittimer 84363 blt 0,0,640,480,0, 9281,640,480:waittimer 84372 blt 0,0,640,480,0, 9282,640,480:waittimer 84381 blt 0,0,640,480,0, 9283,640,480:waittimer 84390 blt 0,0,640,480,0, 9284,640,480:waittimer 84399 blt 0,0,640,480,0, 9285,640,480:waittimer 84409 blt 0,0,640,480,0, 9286,640,480:waittimer 84418 blt 0,0,640,480,0, 9287,640,480:waittimer 84427 blt 0,0,640,480,0, 9288,640,480:waittimer 84436 blt 0,0,640,480,0, 9289,640,480:waittimer 84445 blt 0,0,640,480,0, 9290,640,480:waittimer 84454 blt 0,0,640,480,0, 9291,640,480:waittimer 84463 blt 0,0,640,480,0, 9292,640,480:waittimer 84472 blt 0,0,640,480,0, 9293,640,480:waittimer 84481 blt 0,0,640,480,0, 9294,640,480:waittimer 84490 blt 0,0,640,480,0, 9295,640,480:waittimer 84499 blt 0,0,640,480,0, 9296,640,480:waittimer 84509 blt 0,0,640,480,0, 9297,640,480:waittimer 84518 blt 0,0,640,480,0, 9298,640,480:waittimer 84527 blt 0,0,640,480,0, 9299,640,480:waittimer 84536 blt 0,0,640,480,0, 9300,640,480:waittimer 84545 blt 0,0,640,480,0, 9301,640,480:waittimer 84554 blt 0,0,640,480,0, 9302,640,480:waittimer 84563 blt 0,0,640,480,0, 9303,640,480:waittimer 84572 blt 0,0,640,480,0, 9304,640,480:waittimer 84581 blt 0,0,640,480,0, 9305,640,480:waittimer 84590 blt 0,0,640,480,0, 9306,640,480:waittimer 84599 blt 0,0,640,480,0, 9307,640,480:waittimer 84609 blt 0,0,640,480,0, 9308,640,480:waittimer 84618 blt 0,0,640,480,0, 9309,640,480:waittimer 84627 blt 0,0,640,480,0, 9310,640,480:waittimer 84636 blt 0,0,640,480,0, 9311,640,480:waittimer 84645 blt 0,0,640,480,0, 9312,640,480:waittimer 84654 blt 0,0,640,480,0, 9313,640,480:waittimer 84663 blt 0,0,640,480,0, 9314,640,480:waittimer 84672 blt 0,0,640,480,0, 9315,640,480:waittimer 84681 blt 0,0,640,480,0, 9316,640,480:waittimer 84690 blt 0,0,640,480,0, 9317,640,480:waittimer 84699 blt 0,0,640,480,0, 9318,640,480:waittimer 84709 blt 0,0,640,480,0, 9319,640,480:waittimer 84718 blt 0,0,640,480,0, 9320,640,480:waittimer 84727 blt 0,0,640,480,0, 9321,640,480:waittimer 84736 blt 0,0,640,480,0, 9322,640,480:waittimer 84745 blt 0,0,640,480,0, 9323,640,480:waittimer 84754 blt 0,0,640,480,0, 9324,640,480:waittimer 84763 blt 0,0,640,480,0, 9325,640,480:waittimer 84772 blt 0,0,640,480,0, 9326,640,480:waittimer 84781 blt 0,0,640,480,0, 9327,640,480:waittimer 84790 blt 0,0,640,480,0, 9328,640,480:waittimer 84799 blt 0,0,640,480,0, 9329,640,480:waittimer 84809 blt 0,0,640,480,0, 9330,640,480:waittimer 84818 blt 0,0,640,480,0, 9331,640,480:waittimer 84827 blt 0,0,640,480,0, 9332,640,480:waittimer 84836 blt 0,0,640,480,0, 9333,640,480:waittimer 84845 blt 0,0,640,480,0, 9334,640,480:waittimer 84854 blt 0,0,640,480,0, 9335,640,480:waittimer 84863 blt 0,0,640,480,0, 9336,640,480:waittimer 84872 blt 0,0,640,480,0, 9337,640,480:waittimer 84881 blt 0,0,640,480,0, 9338,640,480:waittimer 84890 blt 0,0,640,480,0, 9339,640,480:waittimer 84899 blt 0,0,640,480,0, 9340,640,480:waittimer 84909 blt 0,0,640,480,0, 9341,640,480:waittimer 84918 blt 0,0,640,480,0, 9342,640,480:waittimer 84927 blt 0,0,640,480,0, 9343,640,480:waittimer 84936 blt 0,0,640,480,0, 9344,640,480:waittimer 84945 blt 0,0,640,480,0, 9345,640,480:waittimer 84954 blt 0,0,640,480,0, 9346,640,480:waittimer 84963 blt 0,0,640,480,0, 9347,640,480:waittimer 84972 blt 0,0,640,480,0, 9348,640,480:waittimer 84981 blt 0,0,640,480,0, 9349,640,480:waittimer 84990 blt 0,0,640,480,0, 9350,640,480:waittimer 84999 return ;**************************************** *teatime_ep2_scroll ;スクロール実行本体 resettimer blt 0,0,640,480,0, 1,640,480:waittimer 15 blt 0,0,640,480,0, 2,640,480:waittimer 30 blt 0,0,640,480,0, 3,640,480:waittimer 46 blt 0,0,640,480,0, 4,640,480:waittimer 61 blt 0,0,640,480,0, 5,640,480:waittimer 77 blt 0,0,640,480,0, 6,640,480:waittimer 92 blt 0,0,640,480,0, 7,640,480:waittimer 108 blt 0,0,640,480,0, 8,640,480:waittimer 123 blt 0,0,640,480,0, 9,640,480:waittimer 139 blt 0,0,640,480,0, 10,640,480:waittimer 154 blt 0,0,640,480,0, 11,640,480:waittimer 170 blt 0,0,640,480,0, 12,640,480:waittimer 185 blt 0,0,640,480,0, 13,640,480:waittimer 201 blt 0,0,640,480,0, 14,640,480:waittimer 216 blt 0,0,640,480,0, 15,640,480:waittimer 232 blt 0,0,640,480,0, 16,640,480:waittimer 247 blt 0,0,640,480,0, 17,640,480:waittimer 263 blt 0,0,640,480,0, 18,640,480:waittimer 278 blt 0,0,640,480,0, 19,640,480:waittimer 294 blt 0,0,640,480,0, 20,640,480:waittimer 309 blt 0,0,640,480,0, 21,640,480:waittimer 325 blt 0,0,640,480,0, 22,640,480:waittimer 340 blt 0,0,640,480,0, 23,640,480:waittimer 356 blt 0,0,640,480,0, 24,640,480:waittimer 371 blt 0,0,640,480,0, 25,640,480:waittimer 387 blt 0,0,640,480,0, 26,640,480:waittimer 402 blt 0,0,640,480,0, 27,640,480:waittimer 418 blt 0,0,640,480,0, 28,640,480:waittimer 433 blt 0,0,640,480,0, 29,640,480:waittimer 449 blt 0,0,640,480,0, 30,640,480:waittimer 464 blt 0,0,640,480,0, 31,640,480:waittimer 480 blt 0,0,640,480,0, 32,640,480:waittimer 495 blt 0,0,640,480,0, 33,640,480:waittimer 511 blt 0,0,640,480,0, 34,640,480:waittimer 526 blt 0,0,640,480,0, 35,640,480:waittimer 542 blt 0,0,640,480,0, 36,640,480:waittimer 557 blt 0,0,640,480,0, 37,640,480:waittimer 573 blt 0,0,640,480,0, 38,640,480:waittimer 588 blt 0,0,640,480,0, 39,640,480:waittimer 604 blt 0,0,640,480,0, 40,640,480:waittimer 619 blt 0,0,640,480,0, 41,640,480:waittimer 635 blt 0,0,640,480,0, 42,640,480:waittimer 650 blt 0,0,640,480,0, 43,640,480:waittimer 666 blt 0,0,640,480,0, 44,640,480:waittimer 681 blt 0,0,640,480,0, 45,640,480:waittimer 697 blt 0,0,640,480,0, 46,640,480:waittimer 712 blt 0,0,640,480,0, 47,640,480:waittimer 728 blt 0,0,640,480,0, 48,640,480:waittimer 743 blt 0,0,640,480,0, 49,640,480:waittimer 759 blt 0,0,640,480,0, 50,640,480:waittimer 774 blt 0,0,640,480,0, 51,640,480:waittimer 790 blt 0,0,640,480,0, 52,640,480:waittimer 805 blt 0,0,640,480,0, 53,640,480:waittimer 821 blt 0,0,640,480,0, 54,640,480:waittimer 836 blt 0,0,640,480,0, 55,640,480:waittimer 852 blt 0,0,640,480,0, 56,640,480:waittimer 867 blt 0,0,640,480,0, 57,640,480:waittimer 883 blt 0,0,640,480,0, 58,640,480:waittimer 898 blt 0,0,640,480,0, 59,640,480:waittimer 914 blt 0,0,640,480,0, 60,640,480:waittimer 929 blt 0,0,640,480,0, 61,640,480:waittimer 945 blt 0,0,640,480,0, 62,640,480:waittimer 960 blt 0,0,640,480,0, 63,640,480:waittimer 976 blt 0,0,640,480,0, 64,640,480:waittimer 991 blt 0,0,640,480,0, 65,640,480:waittimer 1007 blt 0,0,640,480,0, 66,640,480:waittimer 1022 blt 0,0,640,480,0, 67,640,480:waittimer 1038 blt 0,0,640,480,0, 68,640,480:waittimer 1053 blt 0,0,640,480,0, 69,640,480:waittimer 1069 blt 0,0,640,480,0, 70,640,480:waittimer 1084 blt 0,0,640,480,0, 71,640,480:waittimer 1100 blt 0,0,640,480,0, 72,640,480:waittimer 1115 blt 0,0,640,480,0, 73,640,480:waittimer 1131 blt 0,0,640,480,0, 74,640,480:waittimer 1146 blt 0,0,640,480,0, 75,640,480:waittimer 1162 blt 0,0,640,480,0, 76,640,480:waittimer 1177 blt 0,0,640,480,0, 77,640,480:waittimer 1193 blt 0,0,640,480,0, 78,640,480:waittimer 1208 blt 0,0,640,480,0, 79,640,480:waittimer 1224 blt 0,0,640,480,0, 80,640,480:waittimer 1239 blt 0,0,640,480,0, 81,640,480:waittimer 1255 blt 0,0,640,480,0, 82,640,480:waittimer 1270 blt 0,0,640,480,0, 83,640,480:waittimer 1286 blt 0,0,640,480,0, 84,640,480:waittimer 1301 blt 0,0,640,480,0, 85,640,480:waittimer 1317 blt 0,0,640,480,0, 86,640,480:waittimer 1332 blt 0,0,640,480,0, 87,640,480:waittimer 1348 blt 0,0,640,480,0, 88,640,480:waittimer 1363 blt 0,0,640,480,0, 89,640,480:waittimer 1379 blt 0,0,640,480,0, 90,640,480:waittimer 1394 blt 0,0,640,480,0, 91,640,480:waittimer 1410 blt 0,0,640,480,0, 92,640,480:waittimer 1425 blt 0,0,640,480,0, 93,640,480:waittimer 1441 blt 0,0,640,480,0, 94,640,480:waittimer 1456 blt 0,0,640,480,0, 95,640,480:waittimer 1472 blt 0,0,640,480,0, 96,640,480:waittimer 1487 blt 0,0,640,480,0, 97,640,480:waittimer 1503 blt 0,0,640,480,0, 98,640,480:waittimer 1518 blt 0,0,640,480,0, 99,640,480:waittimer 1534 blt 0,0,640,480,0, 100,640,480:waittimer 1549 blt 0,0,640,480,0, 101,640,480:waittimer 1565 blt 0,0,640,480,0, 102,640,480:waittimer 1580 blt 0,0,640,480,0, 103,640,480:waittimer 1596 blt 0,0,640,480,0, 104,640,480:waittimer 1611 blt 0,0,640,480,0, 105,640,480:waittimer 1627 blt 0,0,640,480,0, 106,640,480:waittimer 1642 blt 0,0,640,480,0, 107,640,480:waittimer 1658 blt 0,0,640,480,0, 108,640,480:waittimer 1673 blt 0,0,640,480,0, 109,640,480:waittimer 1689 blt 0,0,640,480,0, 110,640,480:waittimer 1704 blt 0,0,640,480,0, 111,640,480:waittimer 1720 blt 0,0,640,480,0, 112,640,480:waittimer 1735 blt 0,0,640,480,0, 113,640,480:waittimer 1751 blt 0,0,640,480,0, 114,640,480:waittimer 1766 blt 0,0,640,480,0, 115,640,480:waittimer 1782 blt 0,0,640,480,0, 116,640,480:waittimer 1797 blt 0,0,640,480,0, 117,640,480:waittimer 1813 blt 0,0,640,480,0, 118,640,480:waittimer 1828 blt 0,0,640,480,0, 119,640,480:waittimer 1844 blt 0,0,640,480,0, 120,640,480:waittimer 1859 blt 0,0,640,480,0, 121,640,480:waittimer 1875 blt 0,0,640,480,0, 122,640,480:waittimer 1890 blt 0,0,640,480,0, 123,640,480:waittimer 1906 blt 0,0,640,480,0, 124,640,480:waittimer 1921 blt 0,0,640,480,0, 125,640,480:waittimer 1937 blt 0,0,640,480,0, 126,640,480:waittimer 1952 blt 0,0,640,480,0, 127,640,480:waittimer 1968 blt 0,0,640,480,0, 128,640,480:waittimer 1983 blt 0,0,640,480,0, 129,640,480:waittimer 1999 blt 0,0,640,480,0, 130,640,480:waittimer 2014 blt 0,0,640,480,0, 131,640,480:waittimer 2030 blt 0,0,640,480,0, 132,640,480:waittimer 2045 blt 0,0,640,480,0, 133,640,480:waittimer 2061 blt 0,0,640,480,0, 134,640,480:waittimer 2076 blt 0,0,640,480,0, 135,640,480:waittimer 2092 blt 0,0,640,480,0, 136,640,480:waittimer 2107 blt 0,0,640,480,0, 137,640,480:waittimer 2123 blt 0,0,640,480,0, 138,640,480:waittimer 2138 blt 0,0,640,480,0, 139,640,480:waittimer 2154 blt 0,0,640,480,0, 140,640,480:waittimer 2169 blt 0,0,640,480,0, 141,640,480:waittimer 2185 blt 0,0,640,480,0, 142,640,480:waittimer 2200 blt 0,0,640,480,0, 143,640,480:waittimer 2216 blt 0,0,640,480,0, 144,640,480:waittimer 2231 blt 0,0,640,480,0, 145,640,480:waittimer 2247 blt 0,0,640,480,0, 146,640,480:waittimer 2262 blt 0,0,640,480,0, 147,640,480:waittimer 2278 blt 0,0,640,480,0, 148,640,480:waittimer 2293 blt 0,0,640,480,0, 149,640,480:waittimer 2309 blt 0,0,640,480,0, 150,640,480:waittimer 2324 blt 0,0,640,480,0, 151,640,480:waittimer 2340 blt 0,0,640,480,0, 152,640,480:waittimer 2355 blt 0,0,640,480,0, 153,640,480:waittimer 2371 blt 0,0,640,480,0, 154,640,480:waittimer 2386 blt 0,0,640,480,0, 155,640,480:waittimer 2402 blt 0,0,640,480,0, 156,640,480:waittimer 2417 blt 0,0,640,480,0, 157,640,480:waittimer 2433 blt 0,0,640,480,0, 158,640,480:waittimer 2448 blt 0,0,640,480,0, 159,640,480:waittimer 2464 blt 0,0,640,480,0, 160,640,480:waittimer 2479 blt 0,0,640,480,0, 161,640,480:waittimer 2495 blt 0,0,640,480,0, 162,640,480:waittimer 2510 blt 0,0,640,480,0, 163,640,480:waittimer 2526 blt 0,0,640,480,0, 164,640,480:waittimer 2541 blt 0,0,640,480,0, 165,640,480:waittimer 2557 blt 0,0,640,480,0, 166,640,480:waittimer 2572 blt 0,0,640,480,0, 167,640,480:waittimer 2588 blt 0,0,640,480,0, 168,640,480:waittimer 2603 blt 0,0,640,480,0, 169,640,480:waittimer 2619 blt 0,0,640,480,0, 170,640,480:waittimer 2634 blt 0,0,640,480,0, 171,640,480:waittimer 2650 blt 0,0,640,480,0, 172,640,480:waittimer 2665 blt 0,0,640,480,0, 173,640,480:waittimer 2681 blt 0,0,640,480,0, 174,640,480:waittimer 2696 blt 0,0,640,480,0, 175,640,480:waittimer 2712 blt 0,0,640,480,0, 176,640,480:waittimer 2727 blt 0,0,640,480,0, 177,640,480:waittimer 2743 blt 0,0,640,480,0, 178,640,480:waittimer 2758 blt 0,0,640,480,0, 179,640,480:waittimer 2774 blt 0,0,640,480,0, 180,640,480:waittimer 2789 blt 0,0,640,480,0, 181,640,480:waittimer 2805 blt 0,0,640,480,0, 182,640,480:waittimer 2820 blt 0,0,640,480,0, 183,640,480:waittimer 2836 blt 0,0,640,480,0, 184,640,480:waittimer 2851 blt 0,0,640,480,0, 185,640,480:waittimer 2867 blt 0,0,640,480,0, 186,640,480:waittimer 2882 blt 0,0,640,480,0, 187,640,480:waittimer 2898 blt 0,0,640,480,0, 188,640,480:waittimer 2913 blt 0,0,640,480,0, 189,640,480:waittimer 2929 blt 0,0,640,480,0, 190,640,480:waittimer 2944 blt 0,0,640,480,0, 191,640,480:waittimer 2960 blt 0,0,640,480,0, 192,640,480:waittimer 2975 blt 0,0,640,480,0, 193,640,480:waittimer 2991 blt 0,0,640,480,0, 194,640,480:waittimer 3006 blt 0,0,640,480,0, 195,640,480:waittimer 3022 blt 0,0,640,480,0, 196,640,480:waittimer 3037 blt 0,0,640,480,0, 197,640,480:waittimer 3053 blt 0,0,640,480,0, 198,640,480:waittimer 3068 blt 0,0,640,480,0, 199,640,480:waittimer 3084 blt 0,0,640,480,0, 200,640,480:waittimer 3099 blt 0,0,640,480,0, 201,640,480:waittimer 3115 blt 0,0,640,480,0, 202,640,480:waittimer 3130 blt 0,0,640,480,0, 203,640,480:waittimer 3146 blt 0,0,640,480,0, 204,640,480:waittimer 3161 blt 0,0,640,480,0, 205,640,480:waittimer 3177 blt 0,0,640,480,0, 206,640,480:waittimer 3192 blt 0,0,640,480,0, 207,640,480:waittimer 3208 blt 0,0,640,480,0, 208,640,480:waittimer 3223 blt 0,0,640,480,0, 209,640,480:waittimer 3239 blt 0,0,640,480,0, 210,640,480:waittimer 3254 blt 0,0,640,480,0, 211,640,480:waittimer 3270 blt 0,0,640,480,0, 212,640,480:waittimer 3285 blt 0,0,640,480,0, 213,640,480:waittimer 3301 blt 0,0,640,480,0, 214,640,480:waittimer 3316 blt 0,0,640,480,0, 215,640,480:waittimer 3332 blt 0,0,640,480,0, 216,640,480:waittimer 3347 blt 0,0,640,480,0, 217,640,480:waittimer 3363 blt 0,0,640,480,0, 218,640,480:waittimer 3378 blt 0,0,640,480,0, 219,640,480:waittimer 3394 blt 0,0,640,480,0, 220,640,480:waittimer 3409 blt 0,0,640,480,0, 221,640,480:waittimer 3425 blt 0,0,640,480,0, 222,640,480:waittimer 3440 blt 0,0,640,480,0, 223,640,480:waittimer 3456 blt 0,0,640,480,0, 224,640,480:waittimer 3471 blt 0,0,640,480,0, 225,640,480:waittimer 3487 blt 0,0,640,480,0, 226,640,480:waittimer 3502 blt 0,0,640,480,0, 227,640,480:waittimer 3518 blt 0,0,640,480,0, 228,640,480:waittimer 3533 blt 0,0,640,480,0, 229,640,480:waittimer 3549 blt 0,0,640,480,0, 230,640,480:waittimer 3564 blt 0,0,640,480,0, 231,640,480:waittimer 3580 blt 0,0,640,480,0, 232,640,480:waittimer 3595 blt 0,0,640,480,0, 233,640,480:waittimer 3611 blt 0,0,640,480,0, 234,640,480:waittimer 3626 blt 0,0,640,480,0, 235,640,480:waittimer 3642 blt 0,0,640,480,0, 236,640,480:waittimer 3657 blt 0,0,640,480,0, 237,640,480:waittimer 3673 blt 0,0,640,480,0, 238,640,480:waittimer 3688 blt 0,0,640,480,0, 239,640,480:waittimer 3704 blt 0,0,640,480,0, 240,640,480:waittimer 3719 blt 0,0,640,480,0, 241,640,480:waittimer 3735 blt 0,0,640,480,0, 242,640,480:waittimer 3750 blt 0,0,640,480,0, 243,640,480:waittimer 3766 blt 0,0,640,480,0, 244,640,480:waittimer 3781 blt 0,0,640,480,0, 245,640,480:waittimer 3797 blt 0,0,640,480,0, 246,640,480:waittimer 3812 blt 0,0,640,480,0, 247,640,480:waittimer 3828 blt 0,0,640,480,0, 248,640,480:waittimer 3843 blt 0,0,640,480,0, 249,640,480:waittimer 3859 blt 0,0,640,480,0, 250,640,480:waittimer 3874 blt 0,0,640,480,0, 251,640,480:waittimer 3890 blt 0,0,640,480,0, 252,640,480:waittimer 3905 blt 0,0,640,480,0, 253,640,480:waittimer 3921 blt 0,0,640,480,0, 254,640,480:waittimer 3936 blt 0,0,640,480,0, 255,640,480:waittimer 3952 blt 0,0,640,480,0, 256,640,480:waittimer 3967 blt 0,0,640,480,0, 257,640,480:waittimer 3983 blt 0,0,640,480,0, 258,640,480:waittimer 3998 blt 0,0,640,480,0, 259,640,480:waittimer 4014 blt 0,0,640,480,0, 260,640,480:waittimer 4029 blt 0,0,640,480,0, 261,640,480:waittimer 4045 blt 0,0,640,480,0, 262,640,480:waittimer 4060 blt 0,0,640,480,0, 263,640,480:waittimer 4076 blt 0,0,640,480,0, 264,640,480:waittimer 4091 blt 0,0,640,480,0, 265,640,480:waittimer 4107 blt 0,0,640,480,0, 266,640,480:waittimer 4122 blt 0,0,640,480,0, 267,640,480:waittimer 4138 blt 0,0,640,480,0, 268,640,480:waittimer 4153 blt 0,0,640,480,0, 269,640,480:waittimer 4169 blt 0,0,640,480,0, 270,640,480:waittimer 4184 blt 0,0,640,480,0, 271,640,480:waittimer 4200 blt 0,0,640,480,0, 272,640,480:waittimer 4215 blt 0,0,640,480,0, 273,640,480:waittimer 4231 blt 0,0,640,480,0, 274,640,480:waittimer 4246 blt 0,0,640,480,0, 275,640,480:waittimer 4262 blt 0,0,640,480,0, 276,640,480:waittimer 4277 blt 0,0,640,480,0, 277,640,480:waittimer 4293 blt 0,0,640,480,0, 278,640,480:waittimer 4308 blt 0,0,640,480,0, 279,640,480:waittimer 4324 blt 0,0,640,480,0, 280,640,480:waittimer 4339 blt 0,0,640,480,0, 281,640,480:waittimer 4355 blt 0,0,640,480,0, 282,640,480:waittimer 4370 blt 0,0,640,480,0, 283,640,480:waittimer 4386 blt 0,0,640,480,0, 284,640,480:waittimer 4401 blt 0,0,640,480,0, 285,640,480:waittimer 4417 blt 0,0,640,480,0, 286,640,480:waittimer 4432 blt 0,0,640,480,0, 287,640,480:waittimer 4448 blt 0,0,640,480,0, 288,640,480:waittimer 4463 blt 0,0,640,480,0, 289,640,480:waittimer 4479 blt 0,0,640,480,0, 290,640,480:waittimer 4494 blt 0,0,640,480,0, 291,640,480:waittimer 4510 blt 0,0,640,480,0, 292,640,480:waittimer 4525 blt 0,0,640,480,0, 293,640,480:waittimer 4541 blt 0,0,640,480,0, 294,640,480:waittimer 4556 blt 0,0,640,480,0, 295,640,480:waittimer 4572 blt 0,0,640,480,0, 296,640,480:waittimer 4587 blt 0,0,640,480,0, 297,640,480:waittimer 4603 blt 0,0,640,480,0, 298,640,480:waittimer 4618 blt 0,0,640,480,0, 299,640,480:waittimer 4634 blt 0,0,640,480,0, 300,640,480:waittimer 4649 blt 0,0,640,480,0, 301,640,480:waittimer 4665 blt 0,0,640,480,0, 302,640,480:waittimer 4680 blt 0,0,640,480,0, 303,640,480:waittimer 4696 blt 0,0,640,480,0, 304,640,480:waittimer 4711 blt 0,0,640,480,0, 305,640,480:waittimer 4727 blt 0,0,640,480,0, 306,640,480:waittimer 4742 blt 0,0,640,480,0, 307,640,480:waittimer 4758 blt 0,0,640,480,0, 308,640,480:waittimer 4773 blt 0,0,640,480,0, 309,640,480:waittimer 4789 blt 0,0,640,480,0, 310,640,480:waittimer 4804 blt 0,0,640,480,0, 311,640,480:waittimer 4820 blt 0,0,640,480,0, 312,640,480:waittimer 4835 blt 0,0,640,480,0, 313,640,480:waittimer 4851 blt 0,0,640,480,0, 314,640,480:waittimer 4866 blt 0,0,640,480,0, 315,640,480:waittimer 4882 blt 0,0,640,480,0, 316,640,480:waittimer 4897 blt 0,0,640,480,0, 317,640,480:waittimer 4913 blt 0,0,640,480,0, 318,640,480:waittimer 4928 blt 0,0,640,480,0, 319,640,480:waittimer 4944 blt 0,0,640,480,0, 320,640,480:waittimer 4959 blt 0,0,640,480,0, 321,640,480:waittimer 4975 blt 0,0,640,480,0, 322,640,480:waittimer 4990 blt 0,0,640,480,0, 323,640,480:waittimer 5006 blt 0,0,640,480,0, 324,640,480:waittimer 5021 blt 0,0,640,480,0, 325,640,480:waittimer 5037 blt 0,0,640,480,0, 326,640,480:waittimer 5052 blt 0,0,640,480,0, 327,640,480:waittimer 5068 blt 0,0,640,480,0, 328,640,480:waittimer 5083 blt 0,0,640,480,0, 329,640,480:waittimer 5099 blt 0,0,640,480,0, 330,640,480:waittimer 5114 blt 0,0,640,480,0, 331,640,480:waittimer 5130 blt 0,0,640,480,0, 332,640,480:waittimer 5145 blt 0,0,640,480,0, 333,640,480:waittimer 5161 blt 0,0,640,480,0, 334,640,480:waittimer 5176 blt 0,0,640,480,0, 335,640,480:waittimer 5192 blt 0,0,640,480,0, 336,640,480:waittimer 5207 blt 0,0,640,480,0, 337,640,480:waittimer 5223 blt 0,0,640,480,0, 338,640,480:waittimer 5238 blt 0,0,640,480,0, 339,640,480:waittimer 5254 blt 0,0,640,480,0, 340,640,480:waittimer 5269 blt 0,0,640,480,0, 341,640,480:waittimer 5285 blt 0,0,640,480,0, 342,640,480:waittimer 5300 blt 0,0,640,480,0, 343,640,480:waittimer 5316 blt 0,0,640,480,0, 344,640,480:waittimer 5331 blt 0,0,640,480,0, 345,640,480:waittimer 5347 blt 0,0,640,480,0, 346,640,480:waittimer 5362 blt 0,0,640,480,0, 347,640,480:waittimer 5378 blt 0,0,640,480,0, 348,640,480:waittimer 5393 blt 0,0,640,480,0, 349,640,480:waittimer 5409 blt 0,0,640,480,0, 350,640,480:waittimer 5424 blt 0,0,640,480,0, 351,640,480:waittimer 5440 blt 0,0,640,480,0, 352,640,480:waittimer 5455 blt 0,0,640,480,0, 353,640,480:waittimer 5471 blt 0,0,640,480,0, 354,640,480:waittimer 5486 blt 0,0,640,480,0, 355,640,480:waittimer 5502 blt 0,0,640,480,0, 356,640,480:waittimer 5517 blt 0,0,640,480,0, 357,640,480:waittimer 5533 blt 0,0,640,480,0, 358,640,480:waittimer 5548 blt 0,0,640,480,0, 359,640,480:waittimer 5564 blt 0,0,640,480,0, 360,640,480:waittimer 5579 blt 0,0,640,480,0, 361,640,480:waittimer 5595 blt 0,0,640,480,0, 362,640,480:waittimer 5610 blt 0,0,640,480,0, 363,640,480:waittimer 5626 blt 0,0,640,480,0, 364,640,480:waittimer 5641 blt 0,0,640,480,0, 365,640,480:waittimer 5657 blt 0,0,640,480,0, 366,640,480:waittimer 5672 blt 0,0,640,480,0, 367,640,480:waittimer 5688 blt 0,0,640,480,0, 368,640,480:waittimer 5703 blt 0,0,640,480,0, 369,640,480:waittimer 5719 blt 0,0,640,480,0, 370,640,480:waittimer 5734 blt 0,0,640,480,0, 371,640,480:waittimer 5750 blt 0,0,640,480,0, 372,640,480:waittimer 5765 blt 0,0,640,480,0, 373,640,480:waittimer 5781 blt 0,0,640,480,0, 374,640,480:waittimer 5796 blt 0,0,640,480,0, 375,640,480:waittimer 5812 blt 0,0,640,480,0, 376,640,480:waittimer 5827 blt 0,0,640,480,0, 377,640,480:waittimer 5843 blt 0,0,640,480,0, 378,640,480:waittimer 5858 blt 0,0,640,480,0, 379,640,480:waittimer 5874 blt 0,0,640,480,0, 380,640,480:waittimer 5889 blt 0,0,640,480,0, 381,640,480:waittimer 5905 blt 0,0,640,480,0, 382,640,480:waittimer 5920 blt 0,0,640,480,0, 383,640,480:waittimer 5936 blt 0,0,640,480,0, 384,640,480:waittimer 5951 blt 0,0,640,480,0, 385,640,480:waittimer 5967 blt 0,0,640,480,0, 386,640,480:waittimer 5982 blt 0,0,640,480,0, 387,640,480:waittimer 5998 blt 0,0,640,480,0, 388,640,480:waittimer 6013 blt 0,0,640,480,0, 389,640,480:waittimer 6029 blt 0,0,640,480,0, 390,640,480:waittimer 6044 blt 0,0,640,480,0, 391,640,480:waittimer 6060 blt 0,0,640,480,0, 392,640,480:waittimer 6075 blt 0,0,640,480,0, 393,640,480:waittimer 6091 blt 0,0,640,480,0, 394,640,480:waittimer 6106 blt 0,0,640,480,0, 395,640,480:waittimer 6122 blt 0,0,640,480,0, 396,640,480:waittimer 6137 blt 0,0,640,480,0, 397,640,480:waittimer 6153 blt 0,0,640,480,0, 398,640,480:waittimer 6168 blt 0,0,640,480,0, 399,640,480:waittimer 6184 blt 0,0,640,480,0, 400,640,480:waittimer 6199 blt 0,0,640,480,0, 401,640,480:waittimer 6215 blt 0,0,640,480,0, 402,640,480:waittimer 6230 blt 0,0,640,480,0, 403,640,480:waittimer 6246 blt 0,0,640,480,0, 404,640,480:waittimer 6261 blt 0,0,640,480,0, 405,640,480:waittimer 6277 blt 0,0,640,480,0, 406,640,480:waittimer 6292 blt 0,0,640,480,0, 407,640,480:waittimer 6308 blt 0,0,640,480,0, 408,640,480:waittimer 6323 blt 0,0,640,480,0, 409,640,480:waittimer 6339 blt 0,0,640,480,0, 410,640,480:waittimer 6354 blt 0,0,640,480,0, 411,640,480:waittimer 6370 blt 0,0,640,480,0, 412,640,480:waittimer 6385 blt 0,0,640,480,0, 413,640,480:waittimer 6401 blt 0,0,640,480,0, 414,640,480:waittimer 6416 blt 0,0,640,480,0, 415,640,480:waittimer 6432 blt 0,0,640,480,0, 416,640,480:waittimer 6447 blt 0,0,640,480,0, 417,640,480:waittimer 6463 blt 0,0,640,480,0, 418,640,480:waittimer 6478 blt 0,0,640,480,0, 419,640,480:waittimer 6494 blt 0,0,640,480,0, 420,640,480:waittimer 6509 blt 0,0,640,480,0, 421,640,480:waittimer 6525 blt 0,0,640,480,0, 422,640,480:waittimer 6540 blt 0,0,640,480,0, 423,640,480:waittimer 6556 blt 0,0,640,480,0, 424,640,480:waittimer 6571 blt 0,0,640,480,0, 425,640,480:waittimer 6587 blt 0,0,640,480,0, 426,640,480:waittimer 6602 blt 0,0,640,480,0, 427,640,480:waittimer 6618 blt 0,0,640,480,0, 428,640,480:waittimer 6633 blt 0,0,640,480,0, 429,640,480:waittimer 6649 blt 0,0,640,480,0, 430,640,480:waittimer 6664 blt 0,0,640,480,0, 431,640,480:waittimer 6680 blt 0,0,640,480,0, 432,640,480:waittimer 6695 blt 0,0,640,480,0, 433,640,480:waittimer 6711 blt 0,0,640,480,0, 434,640,480:waittimer 6726 blt 0,0,640,480,0, 435,640,480:waittimer 6742 blt 0,0,640,480,0, 436,640,480:waittimer 6757 blt 0,0,640,480,0, 437,640,480:waittimer 6773 blt 0,0,640,480,0, 438,640,480:waittimer 6788 blt 0,0,640,480,0, 439,640,480:waittimer 6804 blt 0,0,640,480,0, 440,640,480:waittimer 6819 blt 0,0,640,480,0, 441,640,480:waittimer 6835 blt 0,0,640,480,0, 442,640,480:waittimer 6850 blt 0,0,640,480,0, 443,640,480:waittimer 6866 blt 0,0,640,480,0, 444,640,480:waittimer 6881 blt 0,0,640,480,0, 445,640,480:waittimer 6897 blt 0,0,640,480,0, 446,640,480:waittimer 6912 blt 0,0,640,480,0, 447,640,480:waittimer 6928 blt 0,0,640,480,0, 448,640,480:waittimer 6943 blt 0,0,640,480,0, 449,640,480:waittimer 6959 blt 0,0,640,480,0, 450,640,480:waittimer 6974 blt 0,0,640,480,0, 451,640,480:waittimer 6990 blt 0,0,640,480,0, 452,640,480:waittimer 7005 blt 0,0,640,480,0, 453,640,480:waittimer 7021 blt 0,0,640,480,0, 454,640,480:waittimer 7036 blt 0,0,640,480,0, 455,640,480:waittimer 7052 blt 0,0,640,480,0, 456,640,480:waittimer 7067 blt 0,0,640,480,0, 457,640,480:waittimer 7083 blt 0,0,640,480,0, 458,640,480:waittimer 7098 blt 0,0,640,480,0, 459,640,480:waittimer 7114 blt 0,0,640,480,0, 460,640,480:waittimer 7129 blt 0,0,640,480,0, 461,640,480:waittimer 7145 blt 0,0,640,480,0, 462,640,480:waittimer 7160 blt 0,0,640,480,0, 463,640,480:waittimer 7176 blt 0,0,640,480,0, 464,640,480:waittimer 7191 blt 0,0,640,480,0, 465,640,480:waittimer 7207 blt 0,0,640,480,0, 466,640,480:waittimer 7222 blt 0,0,640,480,0, 467,640,480:waittimer 7238 blt 0,0,640,480,0, 468,640,480:waittimer 7253 blt 0,0,640,480,0, 469,640,480:waittimer 7269 blt 0,0,640,480,0, 470,640,480:waittimer 7284 blt 0,0,640,480,0, 471,640,480:waittimer 7300 blt 0,0,640,480,0, 472,640,480:waittimer 7315 blt 0,0,640,480,0, 473,640,480:waittimer 7331 blt 0,0,640,480,0, 474,640,480:waittimer 7346 blt 0,0,640,480,0, 475,640,480:waittimer 7362 blt 0,0,640,480,0, 476,640,480:waittimer 7377 blt 0,0,640,480,0, 477,640,480:waittimer 7393 blt 0,0,640,480,0, 478,640,480:waittimer 7408 blt 0,0,640,480,0, 479,640,480:waittimer 7424 blt 0,0,640,480,0, 480,640,480:waittimer 7439 blt 0,0,640,480,0, 481,640,480:waittimer 7455 blt 0,0,640,480,0, 482,640,480:waittimer 7470 blt 0,0,640,480,0, 483,640,480:waittimer 7486 blt 0,0,640,480,0, 484,640,480:waittimer 7501 blt 0,0,640,480,0, 485,640,480:waittimer 7517 blt 0,0,640,480,0, 486,640,480:waittimer 7532 blt 0,0,640,480,0, 487,640,480:waittimer 7548 blt 0,0,640,480,0, 488,640,480:waittimer 7563 blt 0,0,640,480,0, 489,640,480:waittimer 7579 blt 0,0,640,480,0, 490,640,480:waittimer 7594 blt 0,0,640,480,0, 491,640,480:waittimer 7610 blt 0,0,640,480,0, 492,640,480:waittimer 7625 blt 0,0,640,480,0, 493,640,480:waittimer 7641 blt 0,0,640,480,0, 494,640,480:waittimer 7656 blt 0,0,640,480,0, 495,640,480:waittimer 7672 blt 0,0,640,480,0, 496,640,480:waittimer 7687 blt 0,0,640,480,0, 497,640,480:waittimer 7703 blt 0,0,640,480,0, 498,640,480:waittimer 7718 blt 0,0,640,480,0, 499,640,480:waittimer 7734 blt 0,0,640,480,0, 500,640,480:waittimer 7749 blt 0,0,640,480,0, 501,640,480:waittimer 7765 blt 0,0,640,480,0, 502,640,480:waittimer 7780 blt 0,0,640,480,0, 503,640,480:waittimer 7796 blt 0,0,640,480,0, 504,640,480:waittimer 7811 blt 0,0,640,480,0, 505,640,480:waittimer 7827 blt 0,0,640,480,0, 506,640,480:waittimer 7842 blt 0,0,640,480,0, 507,640,480:waittimer 7858 blt 0,0,640,480,0, 508,640,480:waittimer 7873 blt 0,0,640,480,0, 509,640,480:waittimer 7889 blt 0,0,640,480,0, 510,640,480:waittimer 7904 blt 0,0,640,480,0, 511,640,480:waittimer 7920 blt 0,0,640,480,0, 512,640,480:waittimer 7935 blt 0,0,640,480,0, 513,640,480:waittimer 7951 blt 0,0,640,480,0, 514,640,480:waittimer 7966 blt 0,0,640,480,0, 515,640,480:waittimer 7982 blt 0,0,640,480,0, 516,640,480:waittimer 7997 blt 0,0,640,480,0, 517,640,480:waittimer 8013 blt 0,0,640,480,0, 518,640,480:waittimer 8028 blt 0,0,640,480,0, 519,640,480:waittimer 8044 blt 0,0,640,480,0, 520,640,480:waittimer 8059 blt 0,0,640,480,0, 521,640,480:waittimer 8075 blt 0,0,640,480,0, 522,640,480:waittimer 8090 blt 0,0,640,480,0, 523,640,480:waittimer 8106 blt 0,0,640,480,0, 524,640,480:waittimer 8121 blt 0,0,640,480,0, 525,640,480:waittimer 8137 blt 0,0,640,480,0, 526,640,480:waittimer 8152 blt 0,0,640,480,0, 527,640,480:waittimer 8168 blt 0,0,640,480,0, 528,640,480:waittimer 8183 blt 0,0,640,480,0, 529,640,480:waittimer 8199 blt 0,0,640,480,0, 530,640,480:waittimer 8214 blt 0,0,640,480,0, 531,640,480:waittimer 8230 blt 0,0,640,480,0, 532,640,480:waittimer 8245 blt 0,0,640,480,0, 533,640,480:waittimer 8261 blt 0,0,640,480,0, 534,640,480:waittimer 8276 blt 0,0,640,480,0, 535,640,480:waittimer 8292 blt 0,0,640,480,0, 536,640,480:waittimer 8307 blt 0,0,640,480,0, 537,640,480:waittimer 8323 blt 0,0,640,480,0, 538,640,480:waittimer 8338 blt 0,0,640,480,0, 539,640,480:waittimer 8354 blt 0,0,640,480,0, 540,640,480:waittimer 8369 blt 0,0,640,480,0, 541,640,480:waittimer 8385 blt 0,0,640,480,0, 542,640,480:waittimer 8400 blt 0,0,640,480,0, 543,640,480:waittimer 8416 blt 0,0,640,480,0, 544,640,480:waittimer 8431 blt 0,0,640,480,0, 545,640,480:waittimer 8447 blt 0,0,640,480,0, 546,640,480:waittimer 8462 blt 0,0,640,480,0, 547,640,480:waittimer 8478 blt 0,0,640,480,0, 548,640,480:waittimer 8493 blt 0,0,640,480,0, 549,640,480:waittimer 8509 blt 0,0,640,480,0, 550,640,480:waittimer 8524 blt 0,0,640,480,0, 551,640,480:waittimer 8540 blt 0,0,640,480,0, 552,640,480:waittimer 8555 blt 0,0,640,480,0, 553,640,480:waittimer 8571 blt 0,0,640,480,0, 554,640,480:waittimer 8586 blt 0,0,640,480,0, 555,640,480:waittimer 8602 blt 0,0,640,480,0, 556,640,480:waittimer 8617 blt 0,0,640,480,0, 557,640,480:waittimer 8633 blt 0,0,640,480,0, 558,640,480:waittimer 8648 blt 0,0,640,480,0, 559,640,480:waittimer 8664 blt 0,0,640,480,0, 560,640,480:waittimer 8679 blt 0,0,640,480,0, 561,640,480:waittimer 8695 blt 0,0,640,480,0, 562,640,480:waittimer 8710 blt 0,0,640,480,0, 563,640,480:waittimer 8726 blt 0,0,640,480,0, 564,640,480:waittimer 8741 blt 0,0,640,480,0, 565,640,480:waittimer 8757 blt 0,0,640,480,0, 566,640,480:waittimer 8772 blt 0,0,640,480,0, 567,640,480:waittimer 8788 blt 0,0,640,480,0, 568,640,480:waittimer 8803 blt 0,0,640,480,0, 569,640,480:waittimer 8819 blt 0,0,640,480,0, 570,640,480:waittimer 8834 blt 0,0,640,480,0, 571,640,480:waittimer 8850 blt 0,0,640,480,0, 572,640,480:waittimer 8865 blt 0,0,640,480,0, 573,640,480:waittimer 8880 blt 0,0,640,480,0, 574,640,480:waittimer 8896 blt 0,0,640,480,0, 575,640,480:waittimer 8911 blt 0,0,640,480,0, 576,640,480:waittimer 8927 blt 0,0,640,480,0, 577,640,480:waittimer 8942 blt 0,0,640,480,0, 578,640,480:waittimer 8958 blt 0,0,640,480,0, 579,640,480:waittimer 8973 blt 0,0,640,480,0, 580,640,480:waittimer 8989 blt 0,0,640,480,0, 581,640,480:waittimer 9004 blt 0,0,640,480,0, 582,640,480:waittimer 9020 blt 0,0,640,480,0, 583,640,480:waittimer 9035 blt 0,0,640,480,0, 584,640,480:waittimer 9051 blt 0,0,640,480,0, 585,640,480:waittimer 9066 blt 0,0,640,480,0, 586,640,480:waittimer 9082 blt 0,0,640,480,0, 587,640,480:waittimer 9097 blt 0,0,640,480,0, 588,640,480:waittimer 9113 blt 0,0,640,480,0, 589,640,480:waittimer 9128 blt 0,0,640,480,0, 590,640,480:waittimer 9144 blt 0,0,640,480,0, 591,640,480:waittimer 9159 blt 0,0,640,480,0, 592,640,480:waittimer 9175 blt 0,0,640,480,0, 593,640,480:waittimer 9190 blt 0,0,640,480,0, 594,640,480:waittimer 9206 blt 0,0,640,480,0, 595,640,480:waittimer 9221 blt 0,0,640,480,0, 596,640,480:waittimer 9237 blt 0,0,640,480,0, 597,640,480:waittimer 9252 blt 0,0,640,480,0, 598,640,480:waittimer 9268 blt 0,0,640,480,0, 599,640,480:waittimer 9283 blt 0,0,640,480,0, 600,640,480:waittimer 9299 blt 0,0,640,480,0, 601,640,480:waittimer 9314 blt 0,0,640,480,0, 602,640,480:waittimer 9330 blt 0,0,640,480,0, 603,640,480:waittimer 9345 blt 0,0,640,480,0, 604,640,480:waittimer 9361 blt 0,0,640,480,0, 605,640,480:waittimer 9376 blt 0,0,640,480,0, 606,640,480:waittimer 9392 blt 0,0,640,480,0, 607,640,480:waittimer 9407 blt 0,0,640,480,0, 608,640,480:waittimer 9423 blt 0,0,640,480,0, 609,640,480:waittimer 9438 blt 0,0,640,480,0, 610,640,480:waittimer 9454 blt 0,0,640,480,0, 611,640,480:waittimer 9469 blt 0,0,640,480,0, 612,640,480:waittimer 9485 blt 0,0,640,480,0, 613,640,480:waittimer 9500 blt 0,0,640,480,0, 614,640,480:waittimer 9516 blt 0,0,640,480,0, 615,640,480:waittimer 9531 blt 0,0,640,480,0, 616,640,480:waittimer 9547 blt 0,0,640,480,0, 617,640,480:waittimer 9562 blt 0,0,640,480,0, 618,640,480:waittimer 9578 blt 0,0,640,480,0, 619,640,480:waittimer 9593 blt 0,0,640,480,0, 620,640,480:waittimer 9609 blt 0,0,640,480,0, 621,640,480:waittimer 9624 blt 0,0,640,480,0, 622,640,480:waittimer 9640 blt 0,0,640,480,0, 623,640,480:waittimer 9655 blt 0,0,640,480,0, 624,640,480:waittimer 9671 blt 0,0,640,480,0, 625,640,480:waittimer 9686 blt 0,0,640,480,0, 626,640,480:waittimer 9702 blt 0,0,640,480,0, 627,640,480:waittimer 9717 blt 0,0,640,480,0, 628,640,480:waittimer 9733 blt 0,0,640,480,0, 629,640,480:waittimer 9748 blt 0,0,640,480,0, 630,640,480:waittimer 9764 blt 0,0,640,480,0, 631,640,480:waittimer 9779 blt 0,0,640,480,0, 632,640,480:waittimer 9795 blt 0,0,640,480,0, 633,640,480:waittimer 9810 blt 0,0,640,480,0, 634,640,480:waittimer 9826 blt 0,0,640,480,0, 635,640,480:waittimer 9841 blt 0,0,640,480,0, 636,640,480:waittimer 9857 blt 0,0,640,480,0, 637,640,480:waittimer 9872 blt 0,0,640,480,0, 638,640,480:waittimer 9888 blt 0,0,640,480,0, 639,640,480:waittimer 9903 blt 0,0,640,480,0, 640,640,480:waittimer 9919 blt 0,0,640,480,0, 641,640,480:waittimer 9934 blt 0,0,640,480,0, 642,640,480:waittimer 9950 blt 0,0,640,480,0, 643,640,480:waittimer 9965 blt 0,0,640,480,0, 644,640,480:waittimer 9981 blt 0,0,640,480,0, 645,640,480:waittimer 9996 blt 0,0,640,480,0, 646,640,480:waittimer 10012 blt 0,0,640,480,0, 647,640,480:waittimer 10027 blt 0,0,640,480,0, 648,640,480:waittimer 10043 blt 0,0,640,480,0, 649,640,480:waittimer 10058 blt 0,0,640,480,0, 650,640,480:waittimer 10074 blt 0,0,640,480,0, 651,640,480:waittimer 10089 blt 0,0,640,480,0, 652,640,480:waittimer 10105 blt 0,0,640,480,0, 653,640,480:waittimer 10120 blt 0,0,640,480,0, 654,640,480:waittimer 10136 blt 0,0,640,480,0, 655,640,480:waittimer 10151 blt 0,0,640,480,0, 656,640,480:waittimer 10167 blt 0,0,640,480,0, 657,640,480:waittimer 10182 blt 0,0,640,480,0, 658,640,480:waittimer 10198 blt 0,0,640,480,0, 659,640,480:waittimer 10213 blt 0,0,640,480,0, 660,640,480:waittimer 10229 blt 0,0,640,480,0, 661,640,480:waittimer 10244 blt 0,0,640,480,0, 662,640,480:waittimer 10260 blt 0,0,640,480,0, 663,640,480:waittimer 10275 blt 0,0,640,480,0, 664,640,480:waittimer 10291 blt 0,0,640,480,0, 665,640,480:waittimer 10306 blt 0,0,640,480,0, 666,640,480:waittimer 10322 blt 0,0,640,480,0, 667,640,480:waittimer 10337 blt 0,0,640,480,0, 668,640,480:waittimer 10353 blt 0,0,640,480,0, 669,640,480:waittimer 10368 blt 0,0,640,480,0, 670,640,480:waittimer 10384 blt 0,0,640,480,0, 671,640,480:waittimer 10399 blt 0,0,640,480,0, 672,640,480:waittimer 10415 blt 0,0,640,480,0, 673,640,480:waittimer 10430 blt 0,0,640,480,0, 674,640,480:waittimer 10446 blt 0,0,640,480,0, 675,640,480:waittimer 10461 blt 0,0,640,480,0, 676,640,480:waittimer 10477 blt 0,0,640,480,0, 677,640,480:waittimer 10492 blt 0,0,640,480,0, 678,640,480:waittimer 10508 blt 0,0,640,480,0, 679,640,480:waittimer 10523 blt 0,0,640,480,0, 680,640,480:waittimer 10539 blt 0,0,640,480,0, 681,640,480:waittimer 10554 blt 0,0,640,480,0, 682,640,480:waittimer 10570 blt 0,0,640,480,0, 683,640,480:waittimer 10585 blt 0,0,640,480,0, 684,640,480:waittimer 10601 blt 0,0,640,480,0, 685,640,480:waittimer 10616 blt 0,0,640,480,0, 686,640,480:waittimer 10632 blt 0,0,640,480,0, 687,640,480:waittimer 10647 blt 0,0,640,480,0, 688,640,480:waittimer 10663 blt 0,0,640,480,0, 689,640,480:waittimer 10678 blt 0,0,640,480,0, 690,640,480:waittimer 10694 blt 0,0,640,480,0, 691,640,480:waittimer 10709 blt 0,0,640,480,0, 692,640,480:waittimer 10725 blt 0,0,640,480,0, 693,640,480:waittimer 10740 blt 0,0,640,480,0, 694,640,480:waittimer 10756 blt 0,0,640,480,0, 695,640,480:waittimer 10771 blt 0,0,640,480,0, 696,640,480:waittimer 10787 blt 0,0,640,480,0, 697,640,480:waittimer 10802 blt 0,0,640,480,0, 698,640,480:waittimer 10818 blt 0,0,640,480,0, 699,640,480:waittimer 10833 blt 0,0,640,480,0, 700,640,480:waittimer 10849 blt 0,0,640,480,0, 701,640,480:waittimer 10864 blt 0,0,640,480,0, 702,640,480:waittimer 10880 blt 0,0,640,480,0, 703,640,480:waittimer 10895 blt 0,0,640,480,0, 704,640,480:waittimer 10911 blt 0,0,640,480,0, 705,640,480:waittimer 10926 blt 0,0,640,480,0, 706,640,480:waittimer 10942 blt 0,0,640,480,0, 707,640,480:waittimer 10957 blt 0,0,640,480,0, 708,640,480:waittimer 10973 blt 0,0,640,480,0, 709,640,480:waittimer 10988 blt 0,0,640,480,0, 710,640,480:waittimer 11004 blt 0,0,640,480,0, 711,640,480:waittimer 11019 blt 0,0,640,480,0, 712,640,480:waittimer 11035 blt 0,0,640,480,0, 713,640,480:waittimer 11050 blt 0,0,640,480,0, 714,640,480:waittimer 11066 blt 0,0,640,480,0, 715,640,480:waittimer 11081 blt 0,0,640,480,0, 716,640,480:waittimer 11097 blt 0,0,640,480,0, 717,640,480:waittimer 11112 blt 0,0,640,480,0, 718,640,480:waittimer 11128 blt 0,0,640,480,0, 719,640,480:waittimer 11143 blt 0,0,640,480,0, 720,640,480:waittimer 11159 blt 0,0,640,480,0, 721,640,480:waittimer 11174 blt 0,0,640,480,0, 722,640,480:waittimer 11190 blt 0,0,640,480,0, 723,640,480:waittimer 11205 blt 0,0,640,480,0, 724,640,480:waittimer 11221 blt 0,0,640,480,0, 725,640,480:waittimer 11236 blt 0,0,640,480,0, 726,640,480:waittimer 11252 blt 0,0,640,480,0, 727,640,480:waittimer 11267 blt 0,0,640,480,0, 728,640,480:waittimer 11283 blt 0,0,640,480,0, 729,640,480:waittimer 11298 blt 0,0,640,480,0, 730,640,480:waittimer 11314 blt 0,0,640,480,0, 731,640,480:waittimer 11329 blt 0,0,640,480,0, 732,640,480:waittimer 11345 blt 0,0,640,480,0, 733,640,480:waittimer 11360 blt 0,0,640,480,0, 734,640,480:waittimer 11376 blt 0,0,640,480,0, 735,640,480:waittimer 11391 blt 0,0,640,480,0, 736,640,480:waittimer 11407 blt 0,0,640,480,0, 737,640,480:waittimer 11422 blt 0,0,640,480,0, 738,640,480:waittimer 11438 blt 0,0,640,480,0, 739,640,480:waittimer 11453 blt 0,0,640,480,0, 740,640,480:waittimer 11469 blt 0,0,640,480,0, 741,640,480:waittimer 11484 blt 0,0,640,480,0, 742,640,480:waittimer 11500 blt 0,0,640,480,0, 743,640,480:waittimer 11515 blt 0,0,640,480,0, 744,640,480:waittimer 11531 blt 0,0,640,480,0, 745,640,480:waittimer 11546 blt 0,0,640,480,0, 746,640,480:waittimer 11562 blt 0,0,640,480,0, 747,640,480:waittimer 11577 blt 0,0,640,480,0, 748,640,480:waittimer 11593 blt 0,0,640,480,0, 749,640,480:waittimer 11608 blt 0,0,640,480,0, 750,640,480:waittimer 11624 blt 0,0,640,480,0, 751,640,480:waittimer 11639 blt 0,0,640,480,0, 752,640,480:waittimer 11655 blt 0,0,640,480,0, 753,640,480:waittimer 11670 blt 0,0,640,480,0, 754,640,480:waittimer 11686 blt 0,0,640,480,0, 755,640,480:waittimer 11701 blt 0,0,640,480,0, 756,640,480:waittimer 11717 blt 0,0,640,480,0, 757,640,480:waittimer 11732 blt 0,0,640,480,0, 758,640,480:waittimer 11748 blt 0,0,640,480,0, 759,640,480:waittimer 11763 blt 0,0,640,480,0, 760,640,480:waittimer 11779 blt 0,0,640,480,0, 761,640,480:waittimer 11794 blt 0,0,640,480,0, 762,640,480:waittimer 11810 blt 0,0,640,480,0, 763,640,480:waittimer 11825 blt 0,0,640,480,0, 764,640,480:waittimer 11841 blt 0,0,640,480,0, 765,640,480:waittimer 11856 blt 0,0,640,480,0, 766,640,480:waittimer 11872 blt 0,0,640,480,0, 767,640,480:waittimer 11887 blt 0,0,640,480,0, 768,640,480:waittimer 11903 blt 0,0,640,480,0, 769,640,480:waittimer 11918 blt 0,0,640,480,0, 770,640,480:waittimer 11934 blt 0,0,640,480,0, 771,640,480:waittimer 11949 blt 0,0,640,480,0, 772,640,480:waittimer 11965 blt 0,0,640,480,0, 773,640,480:waittimer 11980 blt 0,0,640,480,0, 774,640,480:waittimer 11996 blt 0,0,640,480,0, 775,640,480:waittimer 12011 blt 0,0,640,480,0, 776,640,480:waittimer 12027 blt 0,0,640,480,0, 777,640,480:waittimer 12042 blt 0,0,640,480,0, 778,640,480:waittimer 12058 blt 0,0,640,480,0, 779,640,480:waittimer 12073 blt 0,0,640,480,0, 780,640,480:waittimer 12089 blt 0,0,640,480,0, 781,640,480:waittimer 12104 blt 0,0,640,480,0, 782,640,480:waittimer 12120 blt 0,0,640,480,0, 783,640,480:waittimer 12135 blt 0,0,640,480,0, 784,640,480:waittimer 12151 blt 0,0,640,480,0, 785,640,480:waittimer 12166 blt 0,0,640,480,0, 786,640,480:waittimer 12182 blt 0,0,640,480,0, 787,640,480:waittimer 12197 blt 0,0,640,480,0, 788,640,480:waittimer 12213 blt 0,0,640,480,0, 789,640,480:waittimer 12228 blt 0,0,640,480,0, 790,640,480:waittimer 12244 blt 0,0,640,480,0, 791,640,480:waittimer 12259 blt 0,0,640,480,0, 792,640,480:waittimer 12275 blt 0,0,640,480,0, 793,640,480:waittimer 12290 blt 0,0,640,480,0, 794,640,480:waittimer 12306 blt 0,0,640,480,0, 795,640,480:waittimer 12321 blt 0,0,640,480,0, 796,640,480:waittimer 12337 blt 0,0,640,480,0, 797,640,480:waittimer 12352 blt 0,0,640,480,0, 798,640,480:waittimer 12368 blt 0,0,640,480,0, 799,640,480:waittimer 12383 blt 0,0,640,480,0, 800,640,480:waittimer 12399 blt 0,0,640,480,0, 801,640,480:waittimer 12414 blt 0,0,640,480,0, 802,640,480:waittimer 12430 blt 0,0,640,480,0, 803,640,480:waittimer 12445 blt 0,0,640,480,0, 804,640,480:waittimer 12461 blt 0,0,640,480,0, 805,640,480:waittimer 12476 blt 0,0,640,480,0, 806,640,480:waittimer 12492 blt 0,0,640,480,0, 807,640,480:waittimer 12507 blt 0,0,640,480,0, 808,640,480:waittimer 12523 blt 0,0,640,480,0, 809,640,480:waittimer 12538 blt 0,0,640,480,0, 810,640,480:waittimer 12554 blt 0,0,640,480,0, 811,640,480:waittimer 12569 blt 0,0,640,480,0, 812,640,480:waittimer 12585 blt 0,0,640,480,0, 813,640,480:waittimer 12600 blt 0,0,640,480,0, 814,640,480:waittimer 12616 blt 0,0,640,480,0, 815,640,480:waittimer 12631 blt 0,0,640,480,0, 816,640,480:waittimer 12647 blt 0,0,640,480,0, 817,640,480:waittimer 12662 blt 0,0,640,480,0, 818,640,480:waittimer 12678 blt 0,0,640,480,0, 819,640,480:waittimer 12693 blt 0,0,640,480,0, 820,640,480:waittimer 12709 blt 0,0,640,480,0, 821,640,480:waittimer 12724 blt 0,0,640,480,0, 822,640,480:waittimer 12740 blt 0,0,640,480,0, 823,640,480:waittimer 12755 blt 0,0,640,480,0, 824,640,480:waittimer 12771 blt 0,0,640,480,0, 825,640,480:waittimer 12786 blt 0,0,640,480,0, 826,640,480:waittimer 12802 blt 0,0,640,480,0, 827,640,480:waittimer 12817 blt 0,0,640,480,0, 828,640,480:waittimer 12833 blt 0,0,640,480,0, 829,640,480:waittimer 12848 blt 0,0,640,480,0, 830,640,480:waittimer 12864 blt 0,0,640,480,0, 831,640,480:waittimer 12879 blt 0,0,640,480,0, 832,640,480:waittimer 12895 blt 0,0,640,480,0, 833,640,480:waittimer 12910 blt 0,0,640,480,0, 834,640,480:waittimer 12926 blt 0,0,640,480,0, 835,640,480:waittimer 12941 blt 0,0,640,480,0, 836,640,480:waittimer 12957 blt 0,0,640,480,0, 837,640,480:waittimer 12972 blt 0,0,640,480,0, 838,640,480:waittimer 12988 blt 0,0,640,480,0, 839,640,480:waittimer 13003 blt 0,0,640,480,0, 840,640,480:waittimer 13019 blt 0,0,640,480,0, 841,640,480:waittimer 13034 blt 0,0,640,480,0, 842,640,480:waittimer 13050 blt 0,0,640,480,0, 843,640,480:waittimer 13065 blt 0,0,640,480,0, 844,640,480:waittimer 13081 blt 0,0,640,480,0, 845,640,480:waittimer 13096 blt 0,0,640,480,0, 846,640,480:waittimer 13112 blt 0,0,640,480,0, 847,640,480:waittimer 13127 blt 0,0,640,480,0, 848,640,480:waittimer 13143 blt 0,0,640,480,0, 849,640,480:waittimer 13158 blt 0,0,640,480,0, 850,640,480:waittimer 13174 blt 0,0,640,480,0, 851,640,480:waittimer 13189 blt 0,0,640,480,0, 852,640,480:waittimer 13205 blt 0,0,640,480,0, 853,640,480:waittimer 13220 blt 0,0,640,480,0, 854,640,480:waittimer 13236 blt 0,0,640,480,0, 855,640,480:waittimer 13251 blt 0,0,640,480,0, 856,640,480:waittimer 13267 blt 0,0,640,480,0, 857,640,480:waittimer 13282 blt 0,0,640,480,0, 858,640,480:waittimer 13298 blt 0,0,640,480,0, 859,640,480:waittimer 13313 blt 0,0,640,480,0, 860,640,480:waittimer 13329 blt 0,0,640,480,0, 861,640,480:waittimer 13344 blt 0,0,640,480,0, 862,640,480:waittimer 13360 blt 0,0,640,480,0, 863,640,480:waittimer 13375 blt 0,0,640,480,0, 864,640,480:waittimer 13391 blt 0,0,640,480,0, 865,640,480:waittimer 13406 blt 0,0,640,480,0, 866,640,480:waittimer 13422 blt 0,0,640,480,0, 867,640,480:waittimer 13437 blt 0,0,640,480,0, 868,640,480:waittimer 13453 blt 0,0,640,480,0, 869,640,480:waittimer 13468 blt 0,0,640,480,0, 870,640,480:waittimer 13484 blt 0,0,640,480,0, 871,640,480:waittimer 13499 blt 0,0,640,480,0, 872,640,480:waittimer 13515 blt 0,0,640,480,0, 873,640,480:waittimer 13530 blt 0,0,640,480,0, 874,640,480:waittimer 13546 blt 0,0,640,480,0, 875,640,480:waittimer 13561 blt 0,0,640,480,0, 876,640,480:waittimer 13577 blt 0,0,640,480,0, 877,640,480:waittimer 13592 blt 0,0,640,480,0, 878,640,480:waittimer 13608 blt 0,0,640,480,0, 879,640,480:waittimer 13623 blt 0,0,640,480,0, 880,640,480:waittimer 13639 blt 0,0,640,480,0, 881,640,480:waittimer 13654 blt 0,0,640,480,0, 882,640,480:waittimer 13670 blt 0,0,640,480,0, 883,640,480:waittimer 13685 blt 0,0,640,480,0, 884,640,480:waittimer 13701 blt 0,0,640,480,0, 885,640,480:waittimer 13716 blt 0,0,640,480,0, 886,640,480:waittimer 13732 blt 0,0,640,480,0, 887,640,480:waittimer 13747 blt 0,0,640,480,0, 888,640,480:waittimer 13763 blt 0,0,640,480,0, 889,640,480:waittimer 13778 blt 0,0,640,480,0, 890,640,480:waittimer 13794 blt 0,0,640,480,0, 891,640,480:waittimer 13809 blt 0,0,640,480,0, 892,640,480:waittimer 13825 blt 0,0,640,480,0, 893,640,480:waittimer 13840 blt 0,0,640,480,0, 894,640,480:waittimer 13856 blt 0,0,640,480,0, 895,640,480:waittimer 13871 blt 0,0,640,480,0, 896,640,480:waittimer 13887 blt 0,0,640,480,0, 897,640,480:waittimer 13902 blt 0,0,640,480,0, 898,640,480:waittimer 13918 blt 0,0,640,480,0, 899,640,480:waittimer 13933 blt 0,0,640,480,0, 900,640,480:waittimer 13949 blt 0,0,640,480,0, 901,640,480:waittimer 13964 blt 0,0,640,480,0, 902,640,480:waittimer 13980 blt 0,0,640,480,0, 903,640,480:waittimer 13995 blt 0,0,640,480,0, 904,640,480:waittimer 14011 blt 0,0,640,480,0, 905,640,480:waittimer 14026 blt 0,0,640,480,0, 906,640,480:waittimer 14042 blt 0,0,640,480,0, 907,640,480:waittimer 14057 blt 0,0,640,480,0, 908,640,480:waittimer 14073 blt 0,0,640,480,0, 909,640,480:waittimer 14088 blt 0,0,640,480,0, 910,640,480:waittimer 14104 blt 0,0,640,480,0, 911,640,480:waittimer 14119 blt 0,0,640,480,0, 912,640,480:waittimer 14135 blt 0,0,640,480,0, 913,640,480:waittimer 14150 blt 0,0,640,480,0, 914,640,480:waittimer 14166 blt 0,0,640,480,0, 915,640,480:waittimer 14181 blt 0,0,640,480,0, 916,640,480:waittimer 14197 blt 0,0,640,480,0, 917,640,480:waittimer 14212 blt 0,0,640,480,0, 918,640,480:waittimer 14228 blt 0,0,640,480,0, 919,640,480:waittimer 14243 blt 0,0,640,480,0, 920,640,480:waittimer 14259 blt 0,0,640,480,0, 921,640,480:waittimer 14274 blt 0,0,640,480,0, 922,640,480:waittimer 14290 blt 0,0,640,480,0, 923,640,480:waittimer 14305 blt 0,0,640,480,0, 924,640,480:waittimer 14321 blt 0,0,640,480,0, 925,640,480:waittimer 14336 blt 0,0,640,480,0, 926,640,480:waittimer 14352 blt 0,0,640,480,0, 927,640,480:waittimer 14367 blt 0,0,640,480,0, 928,640,480:waittimer 14383 blt 0,0,640,480,0, 929,640,480:waittimer 14398 blt 0,0,640,480,0, 930,640,480:waittimer 14414 blt 0,0,640,480,0, 931,640,480:waittimer 14429 blt 0,0,640,480,0, 932,640,480:waittimer 14445 blt 0,0,640,480,0, 933,640,480:waittimer 14460 blt 0,0,640,480,0, 934,640,480:waittimer 14476 blt 0,0,640,480,0, 935,640,480:waittimer 14491 blt 0,0,640,480,0, 936,640,480:waittimer 14507 blt 0,0,640,480,0, 937,640,480:waittimer 14522 blt 0,0,640,480,0, 938,640,480:waittimer 14538 blt 0,0,640,480,0, 939,640,480:waittimer 14553 blt 0,0,640,480,0, 940,640,480:waittimer 14569 blt 0,0,640,480,0, 941,640,480:waittimer 14584 blt 0,0,640,480,0, 942,640,480:waittimer 14600 blt 0,0,640,480,0, 943,640,480:waittimer 14615 blt 0,0,640,480,0, 944,640,480:waittimer 14631 blt 0,0,640,480,0, 945,640,480:waittimer 14646 blt 0,0,640,480,0, 946,640,480:waittimer 14662 blt 0,0,640,480,0, 947,640,480:waittimer 14677 blt 0,0,640,480,0, 948,640,480:waittimer 14693 blt 0,0,640,480,0, 949,640,480:waittimer 14708 blt 0,0,640,480,0, 950,640,480:waittimer 14724 blt 0,0,640,480,0, 951,640,480:waittimer 14739 blt 0,0,640,480,0, 952,640,480:waittimer 14755 blt 0,0,640,480,0, 953,640,480:waittimer 14770 blt 0,0,640,480,0, 954,640,480:waittimer 14786 blt 0,0,640,480,0, 955,640,480:waittimer 14801 blt 0,0,640,480,0, 956,640,480:waittimer 14817 blt 0,0,640,480,0, 957,640,480:waittimer 14832 blt 0,0,640,480,0, 958,640,480:waittimer 14848 blt 0,0,640,480,0, 959,640,480:waittimer 14863 blt 0,0,640,480,0, 960,640,480:waittimer 14879 blt 0,0,640,480,0, 961,640,480:waittimer 14894 blt 0,0,640,480,0, 962,640,480:waittimer 14910 blt 0,0,640,480,0, 963,640,480:waittimer 14925 blt 0,0,640,480,0, 964,640,480:waittimer 14941 blt 0,0,640,480,0, 965,640,480:waittimer 14956 blt 0,0,640,480,0, 966,640,480:waittimer 14972 blt 0,0,640,480,0, 967,640,480:waittimer 14987 blt 0,0,640,480,0, 968,640,480:waittimer 15003 blt 0,0,640,480,0, 969,640,480:waittimer 15018 blt 0,0,640,480,0, 970,640,480:waittimer 15034 blt 0,0,640,480,0, 971,640,480:waittimer 15049 blt 0,0,640,480,0, 972,640,480:waittimer 15065 blt 0,0,640,480,0, 973,640,480:waittimer 15080 blt 0,0,640,480,0, 974,640,480:waittimer 15096 blt 0,0,640,480,0, 975,640,480:waittimer 15111 blt 0,0,640,480,0, 976,640,480:waittimer 15127 blt 0,0,640,480,0, 977,640,480:waittimer 15142 blt 0,0,640,480,0, 978,640,480:waittimer 15158 blt 0,0,640,480,0, 979,640,480:waittimer 15173 blt 0,0,640,480,0, 980,640,480:waittimer 15189 blt 0,0,640,480,0, 981,640,480:waittimer 15204 blt 0,0,640,480,0, 982,640,480:waittimer 15220 blt 0,0,640,480,0, 983,640,480:waittimer 15235 blt 0,0,640,480,0, 984,640,480:waittimer 15251 blt 0,0,640,480,0, 985,640,480:waittimer 15266 blt 0,0,640,480,0, 986,640,480:waittimer 15282 blt 0,0,640,480,0, 987,640,480:waittimer 15297 blt 0,0,640,480,0, 988,640,480:waittimer 15313 blt 0,0,640,480,0, 989,640,480:waittimer 15328 blt 0,0,640,480,0, 990,640,480:waittimer 15344 blt 0,0,640,480,0, 991,640,480:waittimer 15359 blt 0,0,640,480,0, 992,640,480:waittimer 15375 blt 0,0,640,480,0, 993,640,480:waittimer 15390 blt 0,0,640,480,0, 994,640,480:waittimer 15406 blt 0,0,640,480,0, 995,640,480:waittimer 15421 blt 0,0,640,480,0, 996,640,480:waittimer 15437 blt 0,0,640,480,0, 997,640,480:waittimer 15452 blt 0,0,640,480,0, 998,640,480:waittimer 15468 blt 0,0,640,480,0, 999,640,480:waittimer 15483 blt 0,0,640,480,0, 1000,640,480:waittimer 15499 blt 0,0,640,480,0, 1001,640,480:waittimer 15514 blt 0,0,640,480,0, 1002,640,480:waittimer 15530 blt 0,0,640,480,0, 1003,640,480:waittimer 15545 blt 0,0,640,480,0, 1004,640,480:waittimer 15561 blt 0,0,640,480,0, 1005,640,480:waittimer 15576 blt 0,0,640,480,0, 1006,640,480:waittimer 15592 blt 0,0,640,480,0, 1007,640,480:waittimer 15607 blt 0,0,640,480,0, 1008,640,480:waittimer 15623 blt 0,0,640,480,0, 1009,640,480:waittimer 15638 blt 0,0,640,480,0, 1010,640,480:waittimer 15654 blt 0,0,640,480,0, 1011,640,480:waittimer 15669 blt 0,0,640,480,0, 1012,640,480:waittimer 15685 blt 0,0,640,480,0, 1013,640,480:waittimer 15700 blt 0,0,640,480,0, 1014,640,480:waittimer 15716 blt 0,0,640,480,0, 1015,640,480:waittimer 15731 blt 0,0,640,480,0, 1016,640,480:waittimer 15747 blt 0,0,640,480,0, 1017,640,480:waittimer 15762 blt 0,0,640,480,0, 1018,640,480:waittimer 15778 blt 0,0,640,480,0, 1019,640,480:waittimer 15793 blt 0,0,640,480,0, 1020,640,480:waittimer 15809 blt 0,0,640,480,0, 1021,640,480:waittimer 15824 blt 0,0,640,480,0, 1022,640,480:waittimer 15840 blt 0,0,640,480,0, 1023,640,480:waittimer 15855 blt 0,0,640,480,0, 1024,640,480:waittimer 15871 blt 0,0,640,480,0, 1025,640,480:waittimer 15886 blt 0,0,640,480,0, 1026,640,480:waittimer 15902 blt 0,0,640,480,0, 1027,640,480:waittimer 15917 blt 0,0,640,480,0, 1028,640,480:waittimer 15933 blt 0,0,640,480,0, 1029,640,480:waittimer 15948 blt 0,0,640,480,0, 1030,640,480:waittimer 15964 blt 0,0,640,480,0, 1031,640,480:waittimer 15979 blt 0,0,640,480,0, 1032,640,480:waittimer 15995 blt 0,0,640,480,0, 1033,640,480:waittimer 16010 blt 0,0,640,480,0, 1034,640,480:waittimer 16026 blt 0,0,640,480,0, 1035,640,480:waittimer 16041 blt 0,0,640,480,0, 1036,640,480:waittimer 16057 blt 0,0,640,480,0, 1037,640,480:waittimer 16072 blt 0,0,640,480,0, 1038,640,480:waittimer 16088 blt 0,0,640,480,0, 1039,640,480:waittimer 16103 blt 0,0,640,480,0, 1040,640,480:waittimer 16119 blt 0,0,640,480,0, 1041,640,480:waittimer 16134 blt 0,0,640,480,0, 1042,640,480:waittimer 16150 blt 0,0,640,480,0, 1043,640,480:waittimer 16165 blt 0,0,640,480,0, 1044,640,480:waittimer 16181 blt 0,0,640,480,0, 1045,640,480:waittimer 16196 blt 0,0,640,480,0, 1046,640,480:waittimer 16212 blt 0,0,640,480,0, 1047,640,480:waittimer 16227 blt 0,0,640,480,0, 1048,640,480:waittimer 16243 blt 0,0,640,480,0, 1049,640,480:waittimer 16258 blt 0,0,640,480,0, 1050,640,480:waittimer 16274 blt 0,0,640,480,0, 1051,640,480:waittimer 16289 blt 0,0,640,480,0, 1052,640,480:waittimer 16305 blt 0,0,640,480,0, 1053,640,480:waittimer 16320 blt 0,0,640,480,0, 1054,640,480:waittimer 16336 blt 0,0,640,480,0, 1055,640,480:waittimer 16351 blt 0,0,640,480,0, 1056,640,480:waittimer 16367 blt 0,0,640,480,0, 1057,640,480:waittimer 16382 blt 0,0,640,480,0, 1058,640,480:waittimer 16398 blt 0,0,640,480,0, 1059,640,480:waittimer 16413 blt 0,0,640,480,0, 1060,640,480:waittimer 16429 blt 0,0,640,480,0, 1061,640,480:waittimer 16444 blt 0,0,640,480,0, 1062,640,480:waittimer 16460 blt 0,0,640,480,0, 1063,640,480:waittimer 16475 blt 0,0,640,480,0, 1064,640,480:waittimer 16491 blt 0,0,640,480,0, 1065,640,480:waittimer 16506 blt 0,0,640,480,0, 1066,640,480:waittimer 16522 blt 0,0,640,480,0, 1067,640,480:waittimer 16537 blt 0,0,640,480,0, 1068,640,480:waittimer 16553 blt 0,0,640,480,0, 1069,640,480:waittimer 16568 blt 0,0,640,480,0, 1070,640,480:waittimer 16584 blt 0,0,640,480,0, 1071,640,480:waittimer 16599 blt 0,0,640,480,0, 1072,640,480:waittimer 16615 blt 0,0,640,480,0, 1073,640,480:waittimer 16630 blt 0,0,640,480,0, 1074,640,480:waittimer 16646 blt 0,0,640,480,0, 1075,640,480:waittimer 16661 blt 0,0,640,480,0, 1076,640,480:waittimer 16677 blt 0,0,640,480,0, 1077,640,480:waittimer 16692 blt 0,0,640,480,0, 1078,640,480:waittimer 16708 blt 0,0,640,480,0, 1079,640,480:waittimer 16723 blt 0,0,640,480,0, 1080,640,480:waittimer 16739 blt 0,0,640,480,0, 1081,640,480:waittimer 16754 blt 0,0,640,480,0, 1082,640,480:waittimer 16770 blt 0,0,640,480,0, 1083,640,480:waittimer 16785 blt 0,0,640,480,0, 1084,640,480:waittimer 16801 blt 0,0,640,480,0, 1085,640,480:waittimer 16816 blt 0,0,640,480,0, 1086,640,480:waittimer 16832 blt 0,0,640,480,0, 1087,640,480:waittimer 16847 blt 0,0,640,480,0, 1088,640,480:waittimer 16863 blt 0,0,640,480,0, 1089,640,480:waittimer 16878 blt 0,0,640,480,0, 1090,640,480:waittimer 16894 blt 0,0,640,480,0, 1091,640,480:waittimer 16909 blt 0,0,640,480,0, 1092,640,480:waittimer 16925 blt 0,0,640,480,0, 1093,640,480:waittimer 16940 blt 0,0,640,480,0, 1094,640,480:waittimer 16956 blt 0,0,640,480,0, 1095,640,480:waittimer 16971 blt 0,0,640,480,0, 1096,640,480:waittimer 16987 blt 0,0,640,480,0, 1097,640,480:waittimer 17002 blt 0,0,640,480,0, 1098,640,480:waittimer 17018 blt 0,0,640,480,0, 1099,640,480:waittimer 17033 blt 0,0,640,480,0, 1100,640,480:waittimer 17049 blt 0,0,640,480,0, 1101,640,480:waittimer 17064 blt 0,0,640,480,0, 1102,640,480:waittimer 17080 blt 0,0,640,480,0, 1103,640,480:waittimer 17095 blt 0,0,640,480,0, 1104,640,480:waittimer 17111 blt 0,0,640,480,0, 1105,640,480:waittimer 17126 blt 0,0,640,480,0, 1106,640,480:waittimer 17142 blt 0,0,640,480,0, 1107,640,480:waittimer 17157 blt 0,0,640,480,0, 1108,640,480:waittimer 17173 blt 0,0,640,480,0, 1109,640,480:waittimer 17188 blt 0,0,640,480,0, 1110,640,480:waittimer 17204 blt 0,0,640,480,0, 1111,640,480:waittimer 17219 blt 0,0,640,480,0, 1112,640,480:waittimer 17235 blt 0,0,640,480,0, 1113,640,480:waittimer 17250 blt 0,0,640,480,0, 1114,640,480:waittimer 17266 blt 0,0,640,480,0, 1115,640,480:waittimer 17281 blt 0,0,640,480,0, 1116,640,480:waittimer 17297 blt 0,0,640,480,0, 1117,640,480:waittimer 17312 blt 0,0,640,480,0, 1118,640,480:waittimer 17328 blt 0,0,640,480,0, 1119,640,480:waittimer 17343 blt 0,0,640,480,0, 1120,640,480:waittimer 17359 blt 0,0,640,480,0, 1121,640,480:waittimer 17374 blt 0,0,640,480,0, 1122,640,480:waittimer 17390 blt 0,0,640,480,0, 1123,640,480:waittimer 17405 blt 0,0,640,480,0, 1124,640,480:waittimer 17421 blt 0,0,640,480,0, 1125,640,480:waittimer 17436 blt 0,0,640,480,0, 1126,640,480:waittimer 17452 blt 0,0,640,480,0, 1127,640,480:waittimer 17467 blt 0,0,640,480,0, 1128,640,480:waittimer 17483 blt 0,0,640,480,0, 1129,640,480:waittimer 17498 blt 0,0,640,480,0, 1130,640,480:waittimer 17514 blt 0,0,640,480,0, 1131,640,480:waittimer 17529 blt 0,0,640,480,0, 1132,640,480:waittimer 17545 blt 0,0,640,480,0, 1133,640,480:waittimer 17560 blt 0,0,640,480,0, 1134,640,480:waittimer 17576 blt 0,0,640,480,0, 1135,640,480:waittimer 17591 blt 0,0,640,480,0, 1136,640,480:waittimer 17607 blt 0,0,640,480,0, 1137,640,480:waittimer 17622 blt 0,0,640,480,0, 1138,640,480:waittimer 17638 blt 0,0,640,480,0, 1139,640,480:waittimer 17653 blt 0,0,640,480,0, 1140,640,480:waittimer 17669 blt 0,0,640,480,0, 1141,640,480:waittimer 17684 blt 0,0,640,480,0, 1142,640,480:waittimer 17700 blt 0,0,640,480,0, 1143,640,480:waittimer 17715 blt 0,0,640,480,0, 1144,640,480:waittimer 17730 blt 0,0,640,480,0, 1145,640,480:waittimer 17746 blt 0,0,640,480,0, 1146,640,480:waittimer 17761 blt 0,0,640,480,0, 1147,640,480:waittimer 17777 blt 0,0,640,480,0, 1148,640,480:waittimer 17792 blt 0,0,640,480,0, 1149,640,480:waittimer 17808 blt 0,0,640,480,0, 1150,640,480:waittimer 17823 blt 0,0,640,480,0, 1151,640,480:waittimer 17839 blt 0,0,640,480,0, 1152,640,480:waittimer 17854 blt 0,0,640,480,0, 1153,640,480:waittimer 17870 blt 0,0,640,480,0, 1154,640,480:waittimer 17885 blt 0,0,640,480,0, 1155,640,480:waittimer 17901 blt 0,0,640,480,0, 1156,640,480:waittimer 17916 blt 0,0,640,480,0, 1157,640,480:waittimer 17932 blt 0,0,640,480,0, 1158,640,480:waittimer 17947 blt 0,0,640,480,0, 1159,640,480:waittimer 17963 blt 0,0,640,480,0, 1160,640,480:waittimer 17978 blt 0,0,640,480,0, 1161,640,480:waittimer 17994 blt 0,0,640,480,0, 1162,640,480:waittimer 18009 blt 0,0,640,480,0, 1163,640,480:waittimer 18025 blt 0,0,640,480,0, 1164,640,480:waittimer 18040 blt 0,0,640,480,0, 1165,640,480:waittimer 18056 blt 0,0,640,480,0, 1166,640,480:waittimer 18071 blt 0,0,640,480,0, 1167,640,480:waittimer 18087 blt 0,0,640,480,0, 1168,640,480:waittimer 18102 blt 0,0,640,480,0, 1169,640,480:waittimer 18118 blt 0,0,640,480,0, 1170,640,480:waittimer 18133 blt 0,0,640,480,0, 1171,640,480:waittimer 18149 blt 0,0,640,480,0, 1172,640,480:waittimer 18164 blt 0,0,640,480,0, 1173,640,480:waittimer 18180 blt 0,0,640,480,0, 1174,640,480:waittimer 18195 blt 0,0,640,480,0, 1175,640,480:waittimer 18211 blt 0,0,640,480,0, 1176,640,480:waittimer 18226 blt 0,0,640,480,0, 1177,640,480:waittimer 18242 blt 0,0,640,480,0, 1178,640,480:waittimer 18257 blt 0,0,640,480,0, 1179,640,480:waittimer 18273 blt 0,0,640,480,0, 1180,640,480:waittimer 18288 blt 0,0,640,480,0, 1181,640,480:waittimer 18304 blt 0,0,640,480,0, 1182,640,480:waittimer 18319 blt 0,0,640,480,0, 1183,640,480:waittimer 18335 blt 0,0,640,480,0, 1184,640,480:waittimer 18350 blt 0,0,640,480,0, 1185,640,480:waittimer 18366 blt 0,0,640,480,0, 1186,640,480:waittimer 18381 blt 0,0,640,480,0, 1187,640,480:waittimer 18397 blt 0,0,640,480,0, 1188,640,480:waittimer 18412 blt 0,0,640,480,0, 1189,640,480:waittimer 18428 blt 0,0,640,480,0, 1190,640,480:waittimer 18443 blt 0,0,640,480,0, 1191,640,480:waittimer 18459 blt 0,0,640,480,0, 1192,640,480:waittimer 18474 blt 0,0,640,480,0, 1193,640,480:waittimer 18490 blt 0,0,640,480,0, 1194,640,480:waittimer 18505 blt 0,0,640,480,0, 1195,640,480:waittimer 18521 blt 0,0,640,480,0, 1196,640,480:waittimer 18536 blt 0,0,640,480,0, 1197,640,480:waittimer 18552 blt 0,0,640,480,0, 1198,640,480:waittimer 18567 blt 0,0,640,480,0, 1199,640,480:waittimer 18583 blt 0,0,640,480,0, 1200,640,480:waittimer 18598 blt 0,0,640,480,0, 1201,640,480:waittimer 18614 blt 0,0,640,480,0, 1202,640,480:waittimer 18629 blt 0,0,640,480,0, 1203,640,480:waittimer 18645 blt 0,0,640,480,0, 1204,640,480:waittimer 18660 blt 0,0,640,480,0, 1205,640,480:waittimer 18676 blt 0,0,640,480,0, 1206,640,480:waittimer 18691 blt 0,0,640,480,0, 1207,640,480:waittimer 18707 blt 0,0,640,480,0, 1208,640,480:waittimer 18722 blt 0,0,640,480,0, 1209,640,480:waittimer 18738 blt 0,0,640,480,0, 1210,640,480:waittimer 18753 blt 0,0,640,480,0, 1211,640,480:waittimer 18769 blt 0,0,640,480,0, 1212,640,480:waittimer 18784 blt 0,0,640,480,0, 1213,640,480:waittimer 18800 blt 0,0,640,480,0, 1214,640,480:waittimer 18815 blt 0,0,640,480,0, 1215,640,480:waittimer 18831 blt 0,0,640,480,0, 1216,640,480:waittimer 18846 blt 0,0,640,480,0, 1217,640,480:waittimer 18862 blt 0,0,640,480,0, 1218,640,480:waittimer 18877 blt 0,0,640,480,0, 1219,640,480:waittimer 18893 blt 0,0,640,480,0, 1220,640,480:waittimer 18908 blt 0,0,640,480,0, 1221,640,480:waittimer 18924 blt 0,0,640,480,0, 1222,640,480:waittimer 18939 blt 0,0,640,480,0, 1223,640,480:waittimer 18955 blt 0,0,640,480,0, 1224,640,480:waittimer 18970 blt 0,0,640,480,0, 1225,640,480:waittimer 18986 blt 0,0,640,480,0, 1226,640,480:waittimer 19001 blt 0,0,640,480,0, 1227,640,480:waittimer 19017 blt 0,0,640,480,0, 1228,640,480:waittimer 19032 blt 0,0,640,480,0, 1229,640,480:waittimer 19048 blt 0,0,640,480,0, 1230,640,480:waittimer 19063 blt 0,0,640,480,0, 1231,640,480:waittimer 19079 blt 0,0,640,480,0, 1232,640,480:waittimer 19094 blt 0,0,640,480,0, 1233,640,480:waittimer 19110 blt 0,0,640,480,0, 1234,640,480:waittimer 19125 blt 0,0,640,480,0, 1235,640,480:waittimer 19141 blt 0,0,640,480,0, 1236,640,480:waittimer 19156 blt 0,0,640,480,0, 1237,640,480:waittimer 19172 blt 0,0,640,480,0, 1238,640,480:waittimer 19187 blt 0,0,640,480,0, 1239,640,480:waittimer 19203 blt 0,0,640,480,0, 1240,640,480:waittimer 19218 blt 0,0,640,480,0, 1241,640,480:waittimer 19234 blt 0,0,640,480,0, 1242,640,480:waittimer 19249 blt 0,0,640,480,0, 1243,640,480:waittimer 19265 blt 0,0,640,480,0, 1244,640,480:waittimer 19280 blt 0,0,640,480,0, 1245,640,480:waittimer 19296 blt 0,0,640,480,0, 1246,640,480:waittimer 19311 blt 0,0,640,480,0, 1247,640,480:waittimer 19327 blt 0,0,640,480,0, 1248,640,480:waittimer 19342 blt 0,0,640,480,0, 1249,640,480:waittimer 19358 blt 0,0,640,480,0, 1250,640,480:waittimer 19373 blt 0,0,640,480,0, 1251,640,480:waittimer 19389 blt 0,0,640,480,0, 1252,640,480:waittimer 19404 blt 0,0,640,480,0, 1253,640,480:waittimer 19420 blt 0,0,640,480,0, 1254,640,480:waittimer 19435 blt 0,0,640,480,0, 1255,640,480:waittimer 19451 blt 0,0,640,480,0, 1256,640,480:waittimer 19466 blt 0,0,640,480,0, 1257,640,480:waittimer 19482 blt 0,0,640,480,0, 1258,640,480:waittimer 19497 blt 0,0,640,480,0, 1259,640,480:waittimer 19513 blt 0,0,640,480,0, 1260,640,480:waittimer 19528 blt 0,0,640,480,0, 1261,640,480:waittimer 19544 blt 0,0,640,480,0, 1262,640,480:waittimer 19559 blt 0,0,640,480,0, 1263,640,480:waittimer 19575 blt 0,0,640,480,0, 1264,640,480:waittimer 19590 blt 0,0,640,480,0, 1265,640,480:waittimer 19606 blt 0,0,640,480,0, 1266,640,480:waittimer 19621 blt 0,0,640,480,0, 1267,640,480:waittimer 19637 blt 0,0,640,480,0, 1268,640,480:waittimer 19652 blt 0,0,640,480,0, 1269,640,480:waittimer 19668 blt 0,0,640,480,0, 1270,640,480:waittimer 19683 blt 0,0,640,480,0, 1271,640,480:waittimer 19699 blt 0,0,640,480,0, 1272,640,480:waittimer 19714 blt 0,0,640,480,0, 1273,640,480:waittimer 19730 blt 0,0,640,480,0, 1274,640,480:waittimer 19745 blt 0,0,640,480,0, 1275,640,480:waittimer 19761 blt 0,0,640,480,0, 1276,640,480:waittimer 19776 blt 0,0,640,480,0, 1277,640,480:waittimer 19792 blt 0,0,640,480,0, 1278,640,480:waittimer 19807 blt 0,0,640,480,0, 1279,640,480:waittimer 19823 blt 0,0,640,480,0, 1280,640,480:waittimer 19838 blt 0,0,640,480,0, 1281,640,480:waittimer 19854 blt 0,0,640,480,0, 1282,640,480:waittimer 19869 blt 0,0,640,480,0, 1283,640,480:waittimer 19885 blt 0,0,640,480,0, 1284,640,480:waittimer 19900 blt 0,0,640,480,0, 1285,640,480:waittimer 19916 blt 0,0,640,480,0, 1286,640,480:waittimer 19931 blt 0,0,640,480,0, 1287,640,480:waittimer 19947 blt 0,0,640,480,0, 1288,640,480:waittimer 19962 blt 0,0,640,480,0, 1289,640,480:waittimer 19978 blt 0,0,640,480,0, 1290,640,480:waittimer 19993 blt 0,0,640,480,0, 1291,640,480:waittimer 20009 blt 0,0,640,480,0, 1292,640,480:waittimer 20024 blt 0,0,640,480,0, 1293,640,480:waittimer 20040 blt 0,0,640,480,0, 1294,640,480:waittimer 20055 blt 0,0,640,480,0, 1295,640,480:waittimer 20071 blt 0,0,640,480,0, 1296,640,480:waittimer 20086 blt 0,0,640,480,0, 1297,640,480:waittimer 20102 blt 0,0,640,480,0, 1298,640,480:waittimer 20117 blt 0,0,640,480,0, 1299,640,480:waittimer 20133 blt 0,0,640,480,0, 1300,640,480:waittimer 20148 blt 0,0,640,480,0, 1301,640,480:waittimer 20164 blt 0,0,640,480,0, 1302,640,480:waittimer 20179 blt 0,0,640,480,0, 1303,640,480:waittimer 20195 blt 0,0,640,480,0, 1304,640,480:waittimer 20210 blt 0,0,640,480,0, 1305,640,480:waittimer 20226 blt 0,0,640,480,0, 1306,640,480:waittimer 20241 blt 0,0,640,480,0, 1307,640,480:waittimer 20257 blt 0,0,640,480,0, 1308,640,480:waittimer 20272 blt 0,0,640,480,0, 1309,640,480:waittimer 20288 blt 0,0,640,480,0, 1310,640,480:waittimer 20303 blt 0,0,640,480,0, 1311,640,480:waittimer 20319 blt 0,0,640,480,0, 1312,640,480:waittimer 20334 blt 0,0,640,480,0, 1313,640,480:waittimer 20350 blt 0,0,640,480,0, 1314,640,480:waittimer 20365 blt 0,0,640,480,0, 1315,640,480:waittimer 20381 blt 0,0,640,480,0, 1316,640,480:waittimer 20396 blt 0,0,640,480,0, 1317,640,480:waittimer 20412 blt 0,0,640,480,0, 1318,640,480:waittimer 20427 blt 0,0,640,480,0, 1319,640,480:waittimer 20443 blt 0,0,640,480,0, 1320,640,480:waittimer 20458 blt 0,0,640,480,0, 1321,640,480:waittimer 20474 blt 0,0,640,480,0, 1322,640,480:waittimer 20489 blt 0,0,640,480,0, 1323,640,480:waittimer 20505 blt 0,0,640,480,0, 1324,640,480:waittimer 20520 blt 0,0,640,480,0, 1325,640,480:waittimer 20536 blt 0,0,640,480,0, 1326,640,480:waittimer 20551 blt 0,0,640,480,0, 1327,640,480:waittimer 20567 blt 0,0,640,480,0, 1328,640,480:waittimer 20582 blt 0,0,640,480,0, 1329,640,480:waittimer 20598 blt 0,0,640,480,0, 1330,640,480:waittimer 20613 blt 0,0,640,480,0, 1331,640,480:waittimer 20629 blt 0,0,640,480,0, 1332,640,480:waittimer 20644 blt 0,0,640,480,0, 1333,640,480:waittimer 20660 blt 0,0,640,480,0, 1334,640,480:waittimer 20675 blt 0,0,640,480,0, 1335,640,480:waittimer 20691 blt 0,0,640,480,0, 1336,640,480:waittimer 20706 blt 0,0,640,480,0, 1337,640,480:waittimer 20722 blt 0,0,640,480,0, 1338,640,480:waittimer 20737 blt 0,0,640,480,0, 1339,640,480:waittimer 20753 blt 0,0,640,480,0, 1340,640,480:waittimer 20768 blt 0,0,640,480,0, 1341,640,480:waittimer 20784 blt 0,0,640,480,0, 1342,640,480:waittimer 20799 blt 0,0,640,480,0, 1343,640,480:waittimer 20815 blt 0,0,640,480,0, 1344,640,480:waittimer 20830 blt 0,0,640,480,0, 1345,640,480:waittimer 20846 blt 0,0,640,480,0, 1346,640,480:waittimer 20861 blt 0,0,640,480,0, 1347,640,480:waittimer 20877 blt 0,0,640,480,0, 1348,640,480:waittimer 20892 blt 0,0,640,480,0, 1349,640,480:waittimer 20908 blt 0,0,640,480,0, 1350,640,480:waittimer 20923 blt 0,0,640,480,0, 1351,640,480:waittimer 20939 blt 0,0,640,480,0, 1352,640,480:waittimer 20954 blt 0,0,640,480,0, 1353,640,480:waittimer 20970 blt 0,0,640,480,0, 1354,640,480:waittimer 20985 blt 0,0,640,480,0, 1355,640,480:waittimer 21001 blt 0,0,640,480,0, 1356,640,480:waittimer 21016 blt 0,0,640,480,0, 1357,640,480:waittimer 21032 blt 0,0,640,480,0, 1358,640,480:waittimer 21047 blt 0,0,640,480,0, 1359,640,480:waittimer 21063 blt 0,0,640,480,0, 1360,640,480:waittimer 21078 blt 0,0,640,480,0, 1361,640,480:waittimer 21094 blt 0,0,640,480,0, 1362,640,480:waittimer 21109 blt 0,0,640,480,0, 1363,640,480:waittimer 21125 blt 0,0,640,480,0, 1364,640,480:waittimer 21140 blt 0,0,640,480,0, 1365,640,480:waittimer 21156 blt 0,0,640,480,0, 1366,640,480:waittimer 21171 blt 0,0,640,480,0, 1367,640,480:waittimer 21187 blt 0,0,640,480,0, 1368,640,480:waittimer 21202 blt 0,0,640,480,0, 1369,640,480:waittimer 21218 blt 0,0,640,480,0, 1370,640,480:waittimer 21233 blt 0,0,640,480,0, 1371,640,480:waittimer 21249 blt 0,0,640,480,0, 1372,640,480:waittimer 21264 blt 0,0,640,480,0, 1373,640,480:waittimer 21280 blt 0,0,640,480,0, 1374,640,480:waittimer 21295 blt 0,0,640,480,0, 1375,640,480:waittimer 21311 blt 0,0,640,480,0, 1376,640,480:waittimer 21326 blt 0,0,640,480,0, 1377,640,480:waittimer 21342 blt 0,0,640,480,0, 1378,640,480:waittimer 21357 blt 0,0,640,480,0, 1379,640,480:waittimer 21373 blt 0,0,640,480,0, 1380,640,480:waittimer 21388 blt 0,0,640,480,0, 1381,640,480:waittimer 21404 blt 0,0,640,480,0, 1382,640,480:waittimer 21419 blt 0,0,640,480,0, 1383,640,480:waittimer 21435 blt 0,0,640,480,0, 1384,640,480:waittimer 21450 blt 0,0,640,480,0, 1385,640,480:waittimer 21466 blt 0,0,640,480,0, 1386,640,480:waittimer 21481 blt 0,0,640,480,0, 1387,640,480:waittimer 21497 blt 0,0,640,480,0, 1388,640,480:waittimer 21512 blt 0,0,640,480,0, 1389,640,480:waittimer 21528 blt 0,0,640,480,0, 1390,640,480:waittimer 21543 blt 0,0,640,480,0, 1391,640,480:waittimer 21559 blt 0,0,640,480,0, 1392,640,480:waittimer 21574 blt 0,0,640,480,0, 1393,640,480:waittimer 21590 blt 0,0,640,480,0, 1394,640,480:waittimer 21605 blt 0,0,640,480,0, 1395,640,480:waittimer 21621 blt 0,0,640,480,0, 1396,640,480:waittimer 21636 blt 0,0,640,480,0, 1397,640,480:waittimer 21652 blt 0,0,640,480,0, 1398,640,480:waittimer 21667 blt 0,0,640,480,0, 1399,640,480:waittimer 21683 blt 0,0,640,480,0, 1400,640,480:waittimer 21698 blt 0,0,640,480,0, 1401,640,480:waittimer 21714 blt 0,0,640,480,0, 1402,640,480:waittimer 21729 blt 0,0,640,480,0, 1403,640,480:waittimer 21745 blt 0,0,640,480,0, 1404,640,480:waittimer 21760 blt 0,0,640,480,0, 1405,640,480:waittimer 21776 blt 0,0,640,480,0, 1406,640,480:waittimer 21791 blt 0,0,640,480,0, 1407,640,480:waittimer 21807 blt 0,0,640,480,0, 1408,640,480:waittimer 21822 blt 0,0,640,480,0, 1409,640,480:waittimer 21838 blt 0,0,640,480,0, 1410,640,480:waittimer 21853 blt 0,0,640,480,0, 1411,640,480:waittimer 21869 blt 0,0,640,480,0, 1412,640,480:waittimer 21884 blt 0,0,640,480,0, 1413,640,480:waittimer 21900 blt 0,0,640,480,0, 1414,640,480:waittimer 21915 blt 0,0,640,480,0, 1415,640,480:waittimer 21931 blt 0,0,640,480,0, 1416,640,480:waittimer 21946 blt 0,0,640,480,0, 1417,640,480:waittimer 21962 blt 0,0,640,480,0, 1418,640,480:waittimer 21977 blt 0,0,640,480,0, 1419,640,480:waittimer 21993 blt 0,0,640,480,0, 1420,640,480:waittimer 22008 blt 0,0,640,480,0, 1421,640,480:waittimer 22024 blt 0,0,640,480,0, 1422,640,480:waittimer 22039 blt 0,0,640,480,0, 1423,640,480:waittimer 22055 blt 0,0,640,480,0, 1424,640,480:waittimer 22070 blt 0,0,640,480,0, 1425,640,480:waittimer 22086 blt 0,0,640,480,0, 1426,640,480:waittimer 22101 blt 0,0,640,480,0, 1427,640,480:waittimer 22117 blt 0,0,640,480,0, 1428,640,480:waittimer 22132 blt 0,0,640,480,0, 1429,640,480:waittimer 22148 blt 0,0,640,480,0, 1430,640,480:waittimer 22163 blt 0,0,640,480,0, 1431,640,480:waittimer 22179 blt 0,0,640,480,0, 1432,640,480:waittimer 22194 blt 0,0,640,480,0, 1433,640,480:waittimer 22210 blt 0,0,640,480,0, 1434,640,480:waittimer 22225 blt 0,0,640,480,0, 1435,640,480:waittimer 22241 blt 0,0,640,480,0, 1436,640,480:waittimer 22256 blt 0,0,640,480,0, 1437,640,480:waittimer 22272 blt 0,0,640,480,0, 1438,640,480:waittimer 22287 blt 0,0,640,480,0, 1439,640,480:waittimer 22303 blt 0,0,640,480,0, 1440,640,480:waittimer 22318 blt 0,0,640,480,0, 1441,640,480:waittimer 22334 blt 0,0,640,480,0, 1442,640,480:waittimer 22349 blt 0,0,640,480,0, 1443,640,480:waittimer 22365 blt 0,0,640,480,0, 1444,640,480:waittimer 22380 blt 0,0,640,480,0, 1445,640,480:waittimer 22396 blt 0,0,640,480,0, 1446,640,480:waittimer 22411 blt 0,0,640,480,0, 1447,640,480:waittimer 22427 blt 0,0,640,480,0, 1448,640,480:waittimer 22442 blt 0,0,640,480,0, 1449,640,480:waittimer 22458 blt 0,0,640,480,0, 1450,640,480:waittimer 22473 blt 0,0,640,480,0, 1451,640,480:waittimer 22489 blt 0,0,640,480,0, 1452,640,480:waittimer 22504 blt 0,0,640,480,0, 1453,640,480:waittimer 22520 blt 0,0,640,480,0, 1454,640,480:waittimer 22535 blt 0,0,640,480,0, 1455,640,480:waittimer 22551 blt 0,0,640,480,0, 1456,640,480:waittimer 22566 blt 0,0,640,480,0, 1457,640,480:waittimer 22582 blt 0,0,640,480,0, 1458,640,480:waittimer 22597 blt 0,0,640,480,0, 1459,640,480:waittimer 22613 blt 0,0,640,480,0, 1460,640,480:waittimer 22628 blt 0,0,640,480,0, 1461,640,480:waittimer 22644 blt 0,0,640,480,0, 1462,640,480:waittimer 22659 blt 0,0,640,480,0, 1463,640,480:waittimer 22675 blt 0,0,640,480,0, 1464,640,480:waittimer 22690 blt 0,0,640,480,0, 1465,640,480:waittimer 22706 blt 0,0,640,480,0, 1466,640,480:waittimer 22721 blt 0,0,640,480,0, 1467,640,480:waittimer 22737 blt 0,0,640,480,0, 1468,640,480:waittimer 22752 blt 0,0,640,480,0, 1469,640,480:waittimer 22768 blt 0,0,640,480,0, 1470,640,480:waittimer 22783 blt 0,0,640,480,0, 1471,640,480:waittimer 22799 blt 0,0,640,480,0, 1472,640,480:waittimer 22814 blt 0,0,640,480,0, 1473,640,480:waittimer 22830 blt 0,0,640,480,0, 1474,640,480:waittimer 22845 blt 0,0,640,480,0, 1475,640,480:waittimer 22861 blt 0,0,640,480,0, 1476,640,480:waittimer 22876 blt 0,0,640,480,0, 1477,640,480:waittimer 22892 blt 0,0,640,480,0, 1478,640,480:waittimer 22907 blt 0,0,640,480,0, 1479,640,480:waittimer 22923 blt 0,0,640,480,0, 1480,640,480:waittimer 22938 blt 0,0,640,480,0, 1481,640,480:waittimer 22954 blt 0,0,640,480,0, 1482,640,480:waittimer 22969 blt 0,0,640,480,0, 1483,640,480:waittimer 22985 blt 0,0,640,480,0, 1484,640,480:waittimer 23000 blt 0,0,640,480,0, 1485,640,480:waittimer 23016 blt 0,0,640,480,0, 1486,640,480:waittimer 23031 blt 0,0,640,480,0, 1487,640,480:waittimer 23047 blt 0,0,640,480,0, 1488,640,480:waittimer 23062 blt 0,0,640,480,0, 1489,640,480:waittimer 23078 blt 0,0,640,480,0, 1490,640,480:waittimer 23093 blt 0,0,640,480,0, 1491,640,480:waittimer 23109 blt 0,0,640,480,0, 1492,640,480:waittimer 23124 blt 0,0,640,480,0, 1493,640,480:waittimer 23140 blt 0,0,640,480,0, 1494,640,480:waittimer 23155 blt 0,0,640,480,0, 1495,640,480:waittimer 23171 blt 0,0,640,480,0, 1496,640,480:waittimer 23186 blt 0,0,640,480,0, 1497,640,480:waittimer 23202 blt 0,0,640,480,0, 1498,640,480:waittimer 23217 blt 0,0,640,480,0, 1499,640,480:waittimer 23233 blt 0,0,640,480,0, 1500,640,480:waittimer 23248 blt 0,0,640,480,0, 1501,640,480:waittimer 23264 blt 0,0,640,480,0, 1502,640,480:waittimer 23279 blt 0,0,640,480,0, 1503,640,480:waittimer 23295 blt 0,0,640,480,0, 1504,640,480:waittimer 23310 blt 0,0,640,480,0, 1505,640,480:waittimer 23326 blt 0,0,640,480,0, 1506,640,480:waittimer 23341 blt 0,0,640,480,0, 1507,640,480:waittimer 23357 blt 0,0,640,480,0, 1508,640,480:waittimer 23372 blt 0,0,640,480,0, 1509,640,480:waittimer 23388 blt 0,0,640,480,0, 1510,640,480:waittimer 23403 blt 0,0,640,480,0, 1511,640,480:waittimer 23419 blt 0,0,640,480,0, 1512,640,480:waittimer 23434 blt 0,0,640,480,0, 1513,640,480:waittimer 23450 blt 0,0,640,480,0, 1514,640,480:waittimer 23465 blt 0,0,640,480,0, 1515,640,480:waittimer 23481 blt 0,0,640,480,0, 1516,640,480:waittimer 23496 blt 0,0,640,480,0, 1517,640,480:waittimer 23512 blt 0,0,640,480,0, 1518,640,480:waittimer 23527 blt 0,0,640,480,0, 1519,640,480:waittimer 23543 blt 0,0,640,480,0, 1520,640,480:waittimer 23558 blt 0,0,640,480,0, 1521,640,480:waittimer 23574 blt 0,0,640,480,0, 1522,640,480:waittimer 23589 blt 0,0,640,480,0, 1523,640,480:waittimer 23605 blt 0,0,640,480,0, 1524,640,480:waittimer 23620 blt 0,0,640,480,0, 1525,640,480:waittimer 23636 blt 0,0,640,480,0, 1526,640,480:waittimer 23651 blt 0,0,640,480,0, 1527,640,480:waittimer 23667 blt 0,0,640,480,0, 1528,640,480:waittimer 23682 blt 0,0,640,480,0, 1529,640,480:waittimer 23698 blt 0,0,640,480,0, 1530,640,480:waittimer 23713 blt 0,0,640,480,0, 1531,640,480:waittimer 23729 blt 0,0,640,480,0, 1532,640,480:waittimer 23744 blt 0,0,640,480,0, 1533,640,480:waittimer 23760 blt 0,0,640,480,0, 1534,640,480:waittimer 23775 blt 0,0,640,480,0, 1535,640,480:waittimer 23791 blt 0,0,640,480,0, 1536,640,480:waittimer 23806 blt 0,0,640,480,0, 1537,640,480:waittimer 23822 blt 0,0,640,480,0, 1538,640,480:waittimer 23837 blt 0,0,640,480,0, 1539,640,480:waittimer 23853 blt 0,0,640,480,0, 1540,640,480:waittimer 23868 blt 0,0,640,480,0, 1541,640,480:waittimer 23884 blt 0,0,640,480,0, 1542,640,480:waittimer 23899 blt 0,0,640,480,0, 1543,640,480:waittimer 23915 blt 0,0,640,480,0, 1544,640,480:waittimer 23930 blt 0,0,640,480,0, 1545,640,480:waittimer 23946 blt 0,0,640,480,0, 1546,640,480:waittimer 23961 blt 0,0,640,480,0, 1547,640,480:waittimer 23977 blt 0,0,640,480,0, 1548,640,480:waittimer 23992 blt 0,0,640,480,0, 1549,640,480:waittimer 24008 blt 0,0,640,480,0, 1550,640,480:waittimer 24023 blt 0,0,640,480,0, 1551,640,480:waittimer 24039 blt 0,0,640,480,0, 1552,640,480:waittimer 24054 blt 0,0,640,480,0, 1553,640,480:waittimer 24070 blt 0,0,640,480,0, 1554,640,480:waittimer 24085 blt 0,0,640,480,0, 1555,640,480:waittimer 24101 blt 0,0,640,480,0, 1556,640,480:waittimer 24116 blt 0,0,640,480,0, 1557,640,480:waittimer 24132 blt 0,0,640,480,0, 1558,640,480:waittimer 24147 blt 0,0,640,480,0, 1559,640,480:waittimer 24163 blt 0,0,640,480,0, 1560,640,480:waittimer 24178 blt 0,0,640,480,0, 1561,640,480:waittimer 24194 blt 0,0,640,480,0, 1562,640,480:waittimer 24209 blt 0,0,640,480,0, 1563,640,480:waittimer 24225 blt 0,0,640,480,0, 1564,640,480:waittimer 24240 blt 0,0,640,480,0, 1565,640,480:waittimer 24256 blt 0,0,640,480,0, 1566,640,480:waittimer 24271 blt 0,0,640,480,0, 1567,640,480:waittimer 24287 blt 0,0,640,480,0, 1568,640,480:waittimer 24302 blt 0,0,640,480,0, 1569,640,480:waittimer 24318 blt 0,0,640,480,0, 1570,640,480:waittimer 24333 blt 0,0,640,480,0, 1571,640,480:waittimer 24349 blt 0,0,640,480,0, 1572,640,480:waittimer 24364 blt 0,0,640,480,0, 1573,640,480:waittimer 24380 blt 0,0,640,480,0, 1574,640,480:waittimer 24395 blt 0,0,640,480,0, 1575,640,480:waittimer 24411 blt 0,0,640,480,0, 1576,640,480:waittimer 24426 blt 0,0,640,480,0, 1577,640,480:waittimer 24442 blt 0,0,640,480,0, 1578,640,480:waittimer 24457 blt 0,0,640,480,0, 1579,640,480:waittimer 24473 blt 0,0,640,480,0, 1580,640,480:waittimer 24488 blt 0,0,640,480,0, 1581,640,480:waittimer 24504 blt 0,0,640,480,0, 1582,640,480:waittimer 24519 blt 0,0,640,480,0, 1583,640,480:waittimer 24535 blt 0,0,640,480,0, 1584,640,480:waittimer 24550 blt 0,0,640,480,0, 1585,640,480:waittimer 24566 blt 0,0,640,480,0, 1586,640,480:waittimer 24581 blt 0,0,640,480,0, 1587,640,480:waittimer 24597 blt 0,0,640,480,0, 1588,640,480:waittimer 24612 blt 0,0,640,480,0, 1589,640,480:waittimer 24628 blt 0,0,640,480,0, 1590,640,480:waittimer 24643 blt 0,0,640,480,0, 1591,640,480:waittimer 24659 blt 0,0,640,480,0, 1592,640,480:waittimer 24674 blt 0,0,640,480,0, 1593,640,480:waittimer 24690 blt 0,0,640,480,0, 1594,640,480:waittimer 24705 blt 0,0,640,480,0, 1595,640,480:waittimer 24721 blt 0,0,640,480,0, 1596,640,480:waittimer 24736 blt 0,0,640,480,0, 1597,640,480:waittimer 24752 blt 0,0,640,480,0, 1598,640,480:waittimer 24767 blt 0,0,640,480,0, 1599,640,480:waittimer 24783 blt 0,0,640,480,0, 1600,640,480:waittimer 24798 blt 0,0,640,480,0, 1601,640,480:waittimer 24814 blt 0,0,640,480,0, 1602,640,480:waittimer 24829 blt 0,0,640,480,0, 1603,640,480:waittimer 24845 blt 0,0,640,480,0, 1604,640,480:waittimer 24860 blt 0,0,640,480,0, 1605,640,480:waittimer 24876 blt 0,0,640,480,0, 1606,640,480:waittimer 24891 blt 0,0,640,480,0, 1607,640,480:waittimer 24907 blt 0,0,640,480,0, 1608,640,480:waittimer 24922 blt 0,0,640,480,0, 1609,640,480:waittimer 24938 blt 0,0,640,480,0, 1610,640,480:waittimer 24953 blt 0,0,640,480,0, 1611,640,480:waittimer 24969 blt 0,0,640,480,0, 1612,640,480:waittimer 24984 blt 0,0,640,480,0, 1613,640,480:waittimer 25000 blt 0,0,640,480,0, 1614,640,480:waittimer 25015 blt 0,0,640,480,0, 1615,640,480:waittimer 25031 blt 0,0,640,480,0, 1616,640,480:waittimer 25046 blt 0,0,640,480,0, 1617,640,480:waittimer 25062 blt 0,0,640,480,0, 1618,640,480:waittimer 25077 blt 0,0,640,480,0, 1619,640,480:waittimer 25093 blt 0,0,640,480,0, 1620,640,480:waittimer 25108 blt 0,0,640,480,0, 1621,640,480:waittimer 25124 blt 0,0,640,480,0, 1622,640,480:waittimer 25139 blt 0,0,640,480,0, 1623,640,480:waittimer 25155 blt 0,0,640,480,0, 1624,640,480:waittimer 25170 blt 0,0,640,480,0, 1625,640,480:waittimer 25186 blt 0,0,640,480,0, 1626,640,480:waittimer 25201 blt 0,0,640,480,0, 1627,640,480:waittimer 25217 blt 0,0,640,480,0, 1628,640,480:waittimer 25232 blt 0,0,640,480,0, 1629,640,480:waittimer 25248 blt 0,0,640,480,0, 1630,640,480:waittimer 25263 blt 0,0,640,480,0, 1631,640,480:waittimer 25279 blt 0,0,640,480,0, 1632,640,480:waittimer 25294 blt 0,0,640,480,0, 1633,640,480:waittimer 25310 blt 0,0,640,480,0, 1634,640,480:waittimer 25325 blt 0,0,640,480,0, 1635,640,480:waittimer 25341 blt 0,0,640,480,0, 1636,640,480:waittimer 25356 blt 0,0,640,480,0, 1637,640,480:waittimer 25372 blt 0,0,640,480,0, 1638,640,480:waittimer 25387 blt 0,0,640,480,0, 1639,640,480:waittimer 25403 blt 0,0,640,480,0, 1640,640,480:waittimer 25418 blt 0,0,640,480,0, 1641,640,480:waittimer 25434 blt 0,0,640,480,0, 1642,640,480:waittimer 25449 blt 0,0,640,480,0, 1643,640,480:waittimer 25465 blt 0,0,640,480,0, 1644,640,480:waittimer 25480 blt 0,0,640,480,0, 1645,640,480:waittimer 25496 blt 0,0,640,480,0, 1646,640,480:waittimer 25511 blt 0,0,640,480,0, 1647,640,480:waittimer 25527 blt 0,0,640,480,0, 1648,640,480:waittimer 25542 blt 0,0,640,480,0, 1649,640,480:waittimer 25558 blt 0,0,640,480,0, 1650,640,480:waittimer 25573 blt 0,0,640,480,0, 1651,640,480:waittimer 25589 blt 0,0,640,480,0, 1652,640,480:waittimer 25604 blt 0,0,640,480,0, 1653,640,480:waittimer 25620 blt 0,0,640,480,0, 1654,640,480:waittimer 25635 blt 0,0,640,480,0, 1655,640,480:waittimer 25651 blt 0,0,640,480,0, 1656,640,480:waittimer 25666 blt 0,0,640,480,0, 1657,640,480:waittimer 25682 blt 0,0,640,480,0, 1658,640,480:waittimer 25697 blt 0,0,640,480,0, 1659,640,480:waittimer 25713 blt 0,0,640,480,0, 1660,640,480:waittimer 25728 blt 0,0,640,480,0, 1661,640,480:waittimer 25744 blt 0,0,640,480,0, 1662,640,480:waittimer 25759 blt 0,0,640,480,0, 1663,640,480:waittimer 25775 blt 0,0,640,480,0, 1664,640,480:waittimer 25790 blt 0,0,640,480,0, 1665,640,480:waittimer 25806 blt 0,0,640,480,0, 1666,640,480:waittimer 25821 blt 0,0,640,480,0, 1667,640,480:waittimer 25837 blt 0,0,640,480,0, 1668,640,480:waittimer 25852 blt 0,0,640,480,0, 1669,640,480:waittimer 25868 blt 0,0,640,480,0, 1670,640,480:waittimer 25883 blt 0,0,640,480,0, 1671,640,480:waittimer 25899 blt 0,0,640,480,0, 1672,640,480:waittimer 25914 blt 0,0,640,480,0, 1673,640,480:waittimer 25930 blt 0,0,640,480,0, 1674,640,480:waittimer 25945 blt 0,0,640,480,0, 1675,640,480:waittimer 25961 blt 0,0,640,480,0, 1676,640,480:waittimer 25976 blt 0,0,640,480,0, 1677,640,480:waittimer 25992 blt 0,0,640,480,0, 1678,640,480:waittimer 26007 blt 0,0,640,480,0, 1679,640,480:waittimer 26023 blt 0,0,640,480,0, 1680,640,480:waittimer 26038 blt 0,0,640,480,0, 1681,640,480:waittimer 26054 blt 0,0,640,480,0, 1682,640,480:waittimer 26069 blt 0,0,640,480,0, 1683,640,480:waittimer 26085 blt 0,0,640,480,0, 1684,640,480:waittimer 26100 blt 0,0,640,480,0, 1685,640,480:waittimer 26116 blt 0,0,640,480,0, 1686,640,480:waittimer 26131 blt 0,0,640,480,0, 1687,640,480:waittimer 26147 blt 0,0,640,480,0, 1688,640,480:waittimer 26162 blt 0,0,640,480,0, 1689,640,480:waittimer 26178 blt 0,0,640,480,0, 1690,640,480:waittimer 26193 blt 0,0,640,480,0, 1691,640,480:waittimer 26209 blt 0,0,640,480,0, 1692,640,480:waittimer 26224 blt 0,0,640,480,0, 1693,640,480:waittimer 26240 blt 0,0,640,480,0, 1694,640,480:waittimer 26255 blt 0,0,640,480,0, 1695,640,480:waittimer 26271 blt 0,0,640,480,0, 1696,640,480:waittimer 26286 blt 0,0,640,480,0, 1697,640,480:waittimer 26302 blt 0,0,640,480,0, 1698,640,480:waittimer 26317 blt 0,0,640,480,0, 1699,640,480:waittimer 26333 blt 0,0,640,480,0, 1700,640,480:waittimer 26348 blt 0,0,640,480,0, 1701,640,480:waittimer 26364 blt 0,0,640,480,0, 1702,640,480:waittimer 26379 blt 0,0,640,480,0, 1703,640,480:waittimer 26395 blt 0,0,640,480,0, 1704,640,480:waittimer 26410 blt 0,0,640,480,0, 1705,640,480:waittimer 26426 blt 0,0,640,480,0, 1706,640,480:waittimer 26441 blt 0,0,640,480,0, 1707,640,480:waittimer 26457 blt 0,0,640,480,0, 1708,640,480:waittimer 26472 blt 0,0,640,480,0, 1709,640,480:waittimer 26488 blt 0,0,640,480,0, 1710,640,480:waittimer 26503 blt 0,0,640,480,0, 1711,640,480:waittimer 26519 blt 0,0,640,480,0, 1712,640,480:waittimer 26534 blt 0,0,640,480,0, 1713,640,480:waittimer 26550 blt 0,0,640,480,0, 1714,640,480:waittimer 26565 blt 0,0,640,480,0, 1715,640,480:waittimer 26580 blt 0,0,640,480,0, 1716,640,480:waittimer 26596 blt 0,0,640,480,0, 1717,640,480:waittimer 26611 blt 0,0,640,480,0, 1718,640,480:waittimer 26627 blt 0,0,640,480,0, 1719,640,480:waittimer 26642 blt 0,0,640,480,0, 1720,640,480:waittimer 26658 blt 0,0,640,480,0, 1721,640,480:waittimer 26673 blt 0,0,640,480,0, 1722,640,480:waittimer 26689 blt 0,0,640,480,0, 1723,640,480:waittimer 26704 blt 0,0,640,480,0, 1724,640,480:waittimer 26720 blt 0,0,640,480,0, 1725,640,480:waittimer 26735 blt 0,0,640,480,0, 1726,640,480:waittimer 26751 blt 0,0,640,480,0, 1727,640,480:waittimer 26766 blt 0,0,640,480,0, 1728,640,480:waittimer 26782 blt 0,0,640,480,0, 1729,640,480:waittimer 26797 blt 0,0,640,480,0, 1730,640,480:waittimer 26813 blt 0,0,640,480,0, 1731,640,480:waittimer 26828 blt 0,0,640,480,0, 1732,640,480:waittimer 26844 blt 0,0,640,480,0, 1733,640,480:waittimer 26859 blt 0,0,640,480,0, 1734,640,480:waittimer 26875 blt 0,0,640,480,0, 1735,640,480:waittimer 26890 blt 0,0,640,480,0, 1736,640,480:waittimer 26906 blt 0,0,640,480,0, 1737,640,480:waittimer 26921 blt 0,0,640,480,0, 1738,640,480:waittimer 26937 blt 0,0,640,480,0, 1739,640,480:waittimer 26952 blt 0,0,640,480,0, 1740,640,480:waittimer 26968 blt 0,0,640,480,0, 1741,640,480:waittimer 26983 blt 0,0,640,480,0, 1742,640,480:waittimer 26999 blt 0,0,640,480,0, 1743,640,480:waittimer 27014 blt 0,0,640,480,0, 1744,640,480:waittimer 27030 blt 0,0,640,480,0, 1745,640,480:waittimer 27045 blt 0,0,640,480,0, 1746,640,480:waittimer 27061 blt 0,0,640,480,0, 1747,640,480:waittimer 27076 blt 0,0,640,480,0, 1748,640,480:waittimer 27092 blt 0,0,640,480,0, 1749,640,480:waittimer 27107 blt 0,0,640,480,0, 1750,640,480:waittimer 27123 blt 0,0,640,480,0, 1751,640,480:waittimer 27138 blt 0,0,640,480,0, 1752,640,480:waittimer 27154 blt 0,0,640,480,0, 1753,640,480:waittimer 27169 blt 0,0,640,480,0, 1754,640,480:waittimer 27185 blt 0,0,640,480,0, 1755,640,480:waittimer 27200 blt 0,0,640,480,0, 1756,640,480:waittimer 27216 blt 0,0,640,480,0, 1757,640,480:waittimer 27231 blt 0,0,640,480,0, 1758,640,480:waittimer 27247 blt 0,0,640,480,0, 1759,640,480:waittimer 27262 blt 0,0,640,480,0, 1760,640,480:waittimer 27278 blt 0,0,640,480,0, 1761,640,480:waittimer 27293 blt 0,0,640,480,0, 1762,640,480:waittimer 27309 blt 0,0,640,480,0, 1763,640,480:waittimer 27324 blt 0,0,640,480,0, 1764,640,480:waittimer 27340 blt 0,0,640,480,0, 1765,640,480:waittimer 27355 blt 0,0,640,480,0, 1766,640,480:waittimer 27371 blt 0,0,640,480,0, 1767,640,480:waittimer 27386 blt 0,0,640,480,0, 1768,640,480:waittimer 27402 blt 0,0,640,480,0, 1769,640,480:waittimer 27417 blt 0,0,640,480,0, 1770,640,480:waittimer 27433 blt 0,0,640,480,0, 1771,640,480:waittimer 27448 blt 0,0,640,480,0, 1772,640,480:waittimer 27464 blt 0,0,640,480,0, 1773,640,480:waittimer 27479 blt 0,0,640,480,0, 1774,640,480:waittimer 27495 blt 0,0,640,480,0, 1775,640,480:waittimer 27510 blt 0,0,640,480,0, 1776,640,480:waittimer 27526 blt 0,0,640,480,0, 1777,640,480:waittimer 27541 blt 0,0,640,480,0, 1778,640,480:waittimer 27557 blt 0,0,640,480,0, 1779,640,480:waittimer 27572 blt 0,0,640,480,0, 1780,640,480:waittimer 27588 blt 0,0,640,480,0, 1781,640,480:waittimer 27603 blt 0,0,640,480,0, 1782,640,480:waittimer 27619 blt 0,0,640,480,0, 1783,640,480:waittimer 27634 blt 0,0,640,480,0, 1784,640,480:waittimer 27650 blt 0,0,640,480,0, 1785,640,480:waittimer 27665 blt 0,0,640,480,0, 1786,640,480:waittimer 27681 blt 0,0,640,480,0, 1787,640,480:waittimer 27696 blt 0,0,640,480,0, 1788,640,480:waittimer 27712 blt 0,0,640,480,0, 1789,640,480:waittimer 27727 blt 0,0,640,480,0, 1790,640,480:waittimer 27743 blt 0,0,640,480,0, 1791,640,480:waittimer 27758 blt 0,0,640,480,0, 1792,640,480:waittimer 27774 blt 0,0,640,480,0, 1793,640,480:waittimer 27789 blt 0,0,640,480,0, 1794,640,480:waittimer 27805 blt 0,0,640,480,0, 1795,640,480:waittimer 27820 blt 0,0,640,480,0, 1796,640,480:waittimer 27836 blt 0,0,640,480,0, 1797,640,480:waittimer 27851 blt 0,0,640,480,0, 1798,640,480:waittimer 27867 blt 0,0,640,480,0, 1799,640,480:waittimer 27882 blt 0,0,640,480,0, 1800,640,480:waittimer 27898 blt 0,0,640,480,0, 1801,640,480:waittimer 27913 blt 0,0,640,480,0, 1802,640,480:waittimer 27929 blt 0,0,640,480,0, 1803,640,480:waittimer 27944 blt 0,0,640,480,0, 1804,640,480:waittimer 27960 blt 0,0,640,480,0, 1805,640,480:waittimer 27975 blt 0,0,640,480,0, 1806,640,480:waittimer 27991 blt 0,0,640,480,0, 1807,640,480:waittimer 28006 blt 0,0,640,480,0, 1808,640,480:waittimer 28022 blt 0,0,640,480,0, 1809,640,480:waittimer 28037 blt 0,0,640,480,0, 1810,640,480:waittimer 28053 blt 0,0,640,480,0, 1811,640,480:waittimer 28068 blt 0,0,640,480,0, 1812,640,480:waittimer 28084 blt 0,0,640,480,0, 1813,640,480:waittimer 28099 blt 0,0,640,480,0, 1814,640,480:waittimer 28115 blt 0,0,640,480,0, 1815,640,480:waittimer 28130 blt 0,0,640,480,0, 1816,640,480:waittimer 28146 blt 0,0,640,480,0, 1817,640,480:waittimer 28161 blt 0,0,640,480,0, 1818,640,480:waittimer 28177 blt 0,0,640,480,0, 1819,640,480:waittimer 28192 blt 0,0,640,480,0, 1820,640,480:waittimer 28208 blt 0,0,640,480,0, 1821,640,480:waittimer 28223 blt 0,0,640,480,0, 1822,640,480:waittimer 28239 blt 0,0,640,480,0, 1823,640,480:waittimer 28254 blt 0,0,640,480,0, 1824,640,480:waittimer 28270 blt 0,0,640,480,0, 1825,640,480:waittimer 28285 blt 0,0,640,480,0, 1826,640,480:waittimer 28301 blt 0,0,640,480,0, 1827,640,480:waittimer 28316 blt 0,0,640,480,0, 1828,640,480:waittimer 28332 blt 0,0,640,480,0, 1829,640,480:waittimer 28347 blt 0,0,640,480,0, 1830,640,480:waittimer 28363 blt 0,0,640,480,0, 1831,640,480:waittimer 28378 blt 0,0,640,480,0, 1832,640,480:waittimer 28394 blt 0,0,640,480,0, 1833,640,480:waittimer 28409 blt 0,0,640,480,0, 1834,640,480:waittimer 28425 blt 0,0,640,480,0, 1835,640,480:waittimer 28440 blt 0,0,640,480,0, 1836,640,480:waittimer 28456 blt 0,0,640,480,0, 1837,640,480:waittimer 28471 blt 0,0,640,480,0, 1838,640,480:waittimer 28487 blt 0,0,640,480,0, 1839,640,480:waittimer 28502 blt 0,0,640,480,0, 1840,640,480:waittimer 28518 blt 0,0,640,480,0, 1841,640,480:waittimer 28533 blt 0,0,640,480,0, 1842,640,480:waittimer 28549 blt 0,0,640,480,0, 1843,640,480:waittimer 28564 blt 0,0,640,480,0, 1844,640,480:waittimer 28580 blt 0,0,640,480,0, 1845,640,480:waittimer 28595 blt 0,0,640,480,0, 1846,640,480:waittimer 28611 blt 0,0,640,480,0, 1847,640,480:waittimer 28626 blt 0,0,640,480,0, 1848,640,480:waittimer 28642 blt 0,0,640,480,0, 1849,640,480:waittimer 28657 blt 0,0,640,480,0, 1850,640,480:waittimer 28673 blt 0,0,640,480,0, 1851,640,480:waittimer 28688 blt 0,0,640,480,0, 1852,640,480:waittimer 28704 blt 0,0,640,480,0, 1853,640,480:waittimer 28719 blt 0,0,640,480,0, 1854,640,480:waittimer 28735 blt 0,0,640,480,0, 1855,640,480:waittimer 28750 blt 0,0,640,480,0, 1856,640,480:waittimer 28766 blt 0,0,640,480,0, 1857,640,480:waittimer 28781 blt 0,0,640,480,0, 1858,640,480:waittimer 28797 blt 0,0,640,480,0, 1859,640,480:waittimer 28812 blt 0,0,640,480,0, 1860,640,480:waittimer 28828 blt 0,0,640,480,0, 1861,640,480:waittimer 28843 blt 0,0,640,480,0, 1862,640,480:waittimer 28859 blt 0,0,640,480,0, 1863,640,480:waittimer 28874 blt 0,0,640,480,0, 1864,640,480:waittimer 28890 blt 0,0,640,480,0, 1865,640,480:waittimer 28905 blt 0,0,640,480,0, 1866,640,480:waittimer 28921 blt 0,0,640,480,0, 1867,640,480:waittimer 28936 blt 0,0,640,480,0, 1868,640,480:waittimer 28952 blt 0,0,640,480,0, 1869,640,480:waittimer 28967 blt 0,0,640,480,0, 1870,640,480:waittimer 28983 blt 0,0,640,480,0, 1871,640,480:waittimer 28998 blt 0,0,640,480,0, 1872,640,480:waittimer 29014 blt 0,0,640,480,0, 1873,640,480:waittimer 29029 blt 0,0,640,480,0, 1874,640,480:waittimer 29045 blt 0,0,640,480,0, 1875,640,480:waittimer 29060 blt 0,0,640,480,0, 1876,640,480:waittimer 29076 blt 0,0,640,480,0, 1877,640,480:waittimer 29091 blt 0,0,640,480,0, 1878,640,480:waittimer 29107 blt 0,0,640,480,0, 1879,640,480:waittimer 29122 blt 0,0,640,480,0, 1880,640,480:waittimer 29138 blt 0,0,640,480,0, 1881,640,480:waittimer 29153 blt 0,0,640,480,0, 1882,640,480:waittimer 29169 blt 0,0,640,480,0, 1883,640,480:waittimer 29184 blt 0,0,640,480,0, 1884,640,480:waittimer 29200 blt 0,0,640,480,0, 1885,640,480:waittimer 29215 blt 0,0,640,480,0, 1886,640,480:waittimer 29231 blt 0,0,640,480,0, 1887,640,480:waittimer 29246 blt 0,0,640,480,0, 1888,640,480:waittimer 29262 blt 0,0,640,480,0, 1889,640,480:waittimer 29277 blt 0,0,640,480,0, 1890,640,480:waittimer 29293 blt 0,0,640,480,0, 1891,640,480:waittimer 29308 blt 0,0,640,480,0, 1892,640,480:waittimer 29324 blt 0,0,640,480,0, 1893,640,480:waittimer 29339 blt 0,0,640,480,0, 1894,640,480:waittimer 29355 blt 0,0,640,480,0, 1895,640,480:waittimer 29370 blt 0,0,640,480,0, 1896,640,480:waittimer 29386 blt 0,0,640,480,0, 1897,640,480:waittimer 29401 blt 0,0,640,480,0, 1898,640,480:waittimer 29417 blt 0,0,640,480,0, 1899,640,480:waittimer 29432 blt 0,0,640,480,0, 1900,640,480:waittimer 29448 blt 0,0,640,480,0, 1901,640,480:waittimer 29463 blt 0,0,640,480,0, 1902,640,480:waittimer 29479 blt 0,0,640,480,0, 1903,640,480:waittimer 29494 blt 0,0,640,480,0, 1904,640,480:waittimer 29510 blt 0,0,640,480,0, 1905,640,480:waittimer 29525 blt 0,0,640,480,0, 1906,640,480:waittimer 29541 blt 0,0,640,480,0, 1907,640,480:waittimer 29556 blt 0,0,640,480,0, 1908,640,480:waittimer 29572 blt 0,0,640,480,0, 1909,640,480:waittimer 29587 blt 0,0,640,480,0, 1910,640,480:waittimer 29603 blt 0,0,640,480,0, 1911,640,480:waittimer 29618 blt 0,0,640,480,0, 1912,640,480:waittimer 29634 blt 0,0,640,480,0, 1913,640,480:waittimer 29649 blt 0,0,640,480,0, 1914,640,480:waittimer 29665 blt 0,0,640,480,0, 1915,640,480:waittimer 29680 blt 0,0,640,480,0, 1916,640,480:waittimer 29696 blt 0,0,640,480,0, 1917,640,480:waittimer 29711 blt 0,0,640,480,0, 1918,640,480:waittimer 29727 blt 0,0,640,480,0, 1919,640,480:waittimer 29742 blt 0,0,640,480,0, 1920,640,480:waittimer 29758 blt 0,0,640,480,0, 1921,640,480:waittimer 29773 blt 0,0,640,480,0, 1922,640,480:waittimer 29789 blt 0,0,640,480,0, 1923,640,480:waittimer 29804 blt 0,0,640,480,0, 1924,640,480:waittimer 29820 blt 0,0,640,480,0, 1925,640,480:waittimer 29835 blt 0,0,640,480,0, 1926,640,480:waittimer 29851 blt 0,0,640,480,0, 1927,640,480:waittimer 29866 blt 0,0,640,480,0, 1928,640,480:waittimer 29882 blt 0,0,640,480,0, 1929,640,480:waittimer 29897 blt 0,0,640,480,0, 1930,640,480:waittimer 29913 blt 0,0,640,480,0, 1931,640,480:waittimer 29928 blt 0,0,640,480,0, 1932,640,480:waittimer 29944 blt 0,0,640,480,0, 1933,640,480:waittimer 29959 blt 0,0,640,480,0, 1934,640,480:waittimer 29975 blt 0,0,640,480,0, 1935,640,480:waittimer 29990 blt 0,0,640,480,0, 1936,640,480:waittimer 30006 blt 0,0,640,480,0, 1937,640,480:waittimer 30021 blt 0,0,640,480,0, 1938,640,480:waittimer 30037 blt 0,0,640,480,0, 1939,640,480:waittimer 30052 blt 0,0,640,480,0, 1940,640,480:waittimer 30068 blt 0,0,640,480,0, 1941,640,480:waittimer 30083 blt 0,0,640,480,0, 1942,640,480:waittimer 30099 blt 0,0,640,480,0, 1943,640,480:waittimer 30114 blt 0,0,640,480,0, 1944,640,480:waittimer 30130 blt 0,0,640,480,0, 1945,640,480:waittimer 30145 blt 0,0,640,480,0, 1946,640,480:waittimer 30161 blt 0,0,640,480,0, 1947,640,480:waittimer 30176 blt 0,0,640,480,0, 1948,640,480:waittimer 30192 blt 0,0,640,480,0, 1949,640,480:waittimer 30207 blt 0,0,640,480,0, 1950,640,480:waittimer 30223 blt 0,0,640,480,0, 1951,640,480:waittimer 30238 blt 0,0,640,480,0, 1952,640,480:waittimer 30254 blt 0,0,640,480,0, 1953,640,480:waittimer 30269 blt 0,0,640,480,0, 1954,640,480:waittimer 30285 blt 0,0,640,480,0, 1955,640,480:waittimer 30300 blt 0,0,640,480,0, 1956,640,480:waittimer 30316 blt 0,0,640,480,0, 1957,640,480:waittimer 30331 blt 0,0,640,480,0, 1958,640,480:waittimer 30347 blt 0,0,640,480,0, 1959,640,480:waittimer 30362 blt 0,0,640,480,0, 1960,640,480:waittimer 30378 blt 0,0,640,480,0, 1961,640,480:waittimer 30393 blt 0,0,640,480,0, 1962,640,480:waittimer 30409 blt 0,0,640,480,0, 1963,640,480:waittimer 30424 blt 0,0,640,480,0, 1964,640,480:waittimer 30440 blt 0,0,640,480,0, 1965,640,480:waittimer 30455 blt 0,0,640,480,0, 1966,640,480:waittimer 30471 blt 0,0,640,480,0, 1967,640,480:waittimer 30486 blt 0,0,640,480,0, 1968,640,480:waittimer 30502 blt 0,0,640,480,0, 1969,640,480:waittimer 30517 blt 0,0,640,480,0, 1970,640,480:waittimer 30533 blt 0,0,640,480,0, 1971,640,480:waittimer 30548 blt 0,0,640,480,0, 1972,640,480:waittimer 30564 blt 0,0,640,480,0, 1973,640,480:waittimer 30579 blt 0,0,640,480,0, 1974,640,480:waittimer 30595 blt 0,0,640,480,0, 1975,640,480:waittimer 30610 blt 0,0,640,480,0, 1976,640,480:waittimer 30626 blt 0,0,640,480,0, 1977,640,480:waittimer 30641 blt 0,0,640,480,0, 1978,640,480:waittimer 30657 blt 0,0,640,480,0, 1979,640,480:waittimer 30672 blt 0,0,640,480,0, 1980,640,480:waittimer 30688 blt 0,0,640,480,0, 1981,640,480:waittimer 30703 blt 0,0,640,480,0, 1982,640,480:waittimer 30719 blt 0,0,640,480,0, 1983,640,480:waittimer 30734 blt 0,0,640,480,0, 1984,640,480:waittimer 30750 blt 0,0,640,480,0, 1985,640,480:waittimer 30765 blt 0,0,640,480,0, 1986,640,480:waittimer 30781 blt 0,0,640,480,0, 1987,640,480:waittimer 30796 blt 0,0,640,480,0, 1988,640,480:waittimer 30812 blt 0,0,640,480,0, 1989,640,480:waittimer 30827 blt 0,0,640,480,0, 1990,640,480:waittimer 30843 blt 0,0,640,480,0, 1991,640,480:waittimer 30858 blt 0,0,640,480,0, 1992,640,480:waittimer 30874 blt 0,0,640,480,0, 1993,640,480:waittimer 30889 blt 0,0,640,480,0, 1994,640,480:waittimer 30905 blt 0,0,640,480,0, 1995,640,480:waittimer 30920 blt 0,0,640,480,0, 1996,640,480:waittimer 30936 blt 0,0,640,480,0, 1997,640,480:waittimer 30951 blt 0,0,640,480,0, 1998,640,480:waittimer 30967 blt 0,0,640,480,0, 1999,640,480:waittimer 30982 blt 0,0,640,480,0, 2000,640,480:waittimer 30998 blt 0,0,640,480,0, 2001,640,480:waittimer 31013 blt 0,0,640,480,0, 2002,640,480:waittimer 31029 blt 0,0,640,480,0, 2003,640,480:waittimer 31044 blt 0,0,640,480,0, 2004,640,480:waittimer 31060 blt 0,0,640,480,0, 2005,640,480:waittimer 31075 blt 0,0,640,480,0, 2006,640,480:waittimer 31091 blt 0,0,640,480,0, 2007,640,480:waittimer 31106 blt 0,0,640,480,0, 2008,640,480:waittimer 31122 blt 0,0,640,480,0, 2009,640,480:waittimer 31137 blt 0,0,640,480,0, 2010,640,480:waittimer 31153 blt 0,0,640,480,0, 2011,640,480:waittimer 31168 blt 0,0,640,480,0, 2012,640,480:waittimer 31184 blt 0,0,640,480,0, 2013,640,480:waittimer 31199 blt 0,0,640,480,0, 2014,640,480:waittimer 31215 blt 0,0,640,480,0, 2015,640,480:waittimer 31230 blt 0,0,640,480,0, 2016,640,480:waittimer 31246 blt 0,0,640,480,0, 2017,640,480:waittimer 31261 blt 0,0,640,480,0, 2018,640,480:waittimer 31277 blt 0,0,640,480,0, 2019,640,480:waittimer 31292 blt 0,0,640,480,0, 2020,640,480:waittimer 31308 blt 0,0,640,480,0, 2021,640,480:waittimer 31323 blt 0,0,640,480,0, 2022,640,480:waittimer 31339 blt 0,0,640,480,0, 2023,640,480:waittimer 31354 blt 0,0,640,480,0, 2024,640,480:waittimer 31370 blt 0,0,640,480,0, 2025,640,480:waittimer 31385 blt 0,0,640,480,0, 2026,640,480:waittimer 31401 blt 0,0,640,480,0, 2027,640,480:waittimer 31416 blt 0,0,640,480,0, 2028,640,480:waittimer 31432 blt 0,0,640,480,0, 2029,640,480:waittimer 31447 blt 0,0,640,480,0, 2030,640,480:waittimer 31463 blt 0,0,640,480,0, 2031,640,480:waittimer 31478 blt 0,0,640,480,0, 2032,640,480:waittimer 31494 blt 0,0,640,480,0, 2033,640,480:waittimer 31509 blt 0,0,640,480,0, 2034,640,480:waittimer 31525 blt 0,0,640,480,0, 2035,640,480:waittimer 31540 blt 0,0,640,480,0, 2036,640,480:waittimer 31556 blt 0,0,640,480,0, 2037,640,480:waittimer 31571 blt 0,0,640,480,0, 2038,640,480:waittimer 31587 blt 0,0,640,480,0, 2039,640,480:waittimer 31602 blt 0,0,640,480,0, 2040,640,480:waittimer 31618 blt 0,0,640,480,0, 2041,640,480:waittimer 31633 blt 0,0,640,480,0, 2042,640,480:waittimer 31649 blt 0,0,640,480,0, 2043,640,480:waittimer 31664 blt 0,0,640,480,0, 2044,640,480:waittimer 31680 blt 0,0,640,480,0, 2045,640,480:waittimer 31695 blt 0,0,640,480,0, 2046,640,480:waittimer 31711 blt 0,0,640,480,0, 2047,640,480:waittimer 31726 blt 0,0,640,480,0, 2048,640,480:waittimer 31742 blt 0,0,640,480,0, 2049,640,480:waittimer 31757 blt 0,0,640,480,0, 2050,640,480:waittimer 31773 blt 0,0,640,480,0, 2051,640,480:waittimer 31788 blt 0,0,640,480,0, 2052,640,480:waittimer 31804 blt 0,0,640,480,0, 2053,640,480:waittimer 31819 blt 0,0,640,480,0, 2054,640,480:waittimer 31835 blt 0,0,640,480,0, 2055,640,480:waittimer 31850 blt 0,0,640,480,0, 2056,640,480:waittimer 31866 blt 0,0,640,480,0, 2057,640,480:waittimer 31881 blt 0,0,640,480,0, 2058,640,480:waittimer 31897 blt 0,0,640,480,0, 2059,640,480:waittimer 31912 blt 0,0,640,480,0, 2060,640,480:waittimer 31928 blt 0,0,640,480,0, 2061,640,480:waittimer 31943 blt 0,0,640,480,0, 2062,640,480:waittimer 31959 blt 0,0,640,480,0, 2063,640,480:waittimer 31974 blt 0,0,640,480,0, 2064,640,480:waittimer 31990 blt 0,0,640,480,0, 2065,640,480:waittimer 32005 blt 0,0,640,480,0, 2066,640,480:waittimer 32021 blt 0,0,640,480,0, 2067,640,480:waittimer 32036 blt 0,0,640,480,0, 2068,640,480:waittimer 32052 blt 0,0,640,480,0, 2069,640,480:waittimer 32067 blt 0,0,640,480,0, 2070,640,480:waittimer 32083 blt 0,0,640,480,0, 2071,640,480:waittimer 32098 blt 0,0,640,480,0, 2072,640,480:waittimer 32114 blt 0,0,640,480,0, 2073,640,480:waittimer 32129 blt 0,0,640,480,0, 2074,640,480:waittimer 32145 blt 0,0,640,480,0, 2075,640,480:waittimer 32160 blt 0,0,640,480,0, 2076,640,480:waittimer 32176 blt 0,0,640,480,0, 2077,640,480:waittimer 32191 blt 0,0,640,480,0, 2078,640,480:waittimer 32207 blt 0,0,640,480,0, 2079,640,480:waittimer 32222 blt 0,0,640,480,0, 2080,640,480:waittimer 32238 blt 0,0,640,480,0, 2081,640,480:waittimer 32253 blt 0,0,640,480,0, 2082,640,480:waittimer 32269 blt 0,0,640,480,0, 2083,640,480:waittimer 32284 blt 0,0,640,480,0, 2084,640,480:waittimer 32300 blt 0,0,640,480,0, 2085,640,480:waittimer 32315 blt 0,0,640,480,0, 2086,640,480:waittimer 32331 blt 0,0,640,480,0, 2087,640,480:waittimer 32346 blt 0,0,640,480,0, 2088,640,480:waittimer 32362 blt 0,0,640,480,0, 2089,640,480:waittimer 32377 blt 0,0,640,480,0, 2090,640,480:waittimer 32393 blt 0,0,640,480,0, 2091,640,480:waittimer 32408 blt 0,0,640,480,0, 2092,640,480:waittimer 32424 blt 0,0,640,480,0, 2093,640,480:waittimer 32439 blt 0,0,640,480,0, 2094,640,480:waittimer 32455 blt 0,0,640,480,0, 2095,640,480:waittimer 32470 blt 0,0,640,480,0, 2096,640,480:waittimer 32486 blt 0,0,640,480,0, 2097,640,480:waittimer 32501 blt 0,0,640,480,0, 2098,640,480:waittimer 32517 blt 0,0,640,480,0, 2099,640,480:waittimer 32532 blt 0,0,640,480,0, 2100,640,480:waittimer 32548 blt 0,0,640,480,0, 2101,640,480:waittimer 32563 blt 0,0,640,480,0, 2102,640,480:waittimer 32579 blt 0,0,640,480,0, 2103,640,480:waittimer 32594 blt 0,0,640,480,0, 2104,640,480:waittimer 32610 blt 0,0,640,480,0, 2105,640,480:waittimer 32625 blt 0,0,640,480,0, 2106,640,480:waittimer 32641 blt 0,0,640,480,0, 2107,640,480:waittimer 32656 blt 0,0,640,480,0, 2108,640,480:waittimer 32672 blt 0,0,640,480,0, 2109,640,480:waittimer 32687 blt 0,0,640,480,0, 2110,640,480:waittimer 32703 blt 0,0,640,480,0, 2111,640,480:waittimer 32718 blt 0,0,640,480,0, 2112,640,480:waittimer 32734 blt 0,0,640,480,0, 2113,640,480:waittimer 32749 blt 0,0,640,480,0, 2114,640,480:waittimer 32765 blt 0,0,640,480,0, 2115,640,480:waittimer 32780 blt 0,0,640,480,0, 2116,640,480:waittimer 32796 blt 0,0,640,480,0, 2117,640,480:waittimer 32811 blt 0,0,640,480,0, 2118,640,480:waittimer 32827 blt 0,0,640,480,0, 2119,640,480:waittimer 32842 blt 0,0,640,480,0, 2120,640,480:waittimer 32858 blt 0,0,640,480,0, 2121,640,480:waittimer 32873 blt 0,0,640,480,0, 2122,640,480:waittimer 32889 blt 0,0,640,480,0, 2123,640,480:waittimer 32904 blt 0,0,640,480,0, 2124,640,480:waittimer 32920 blt 0,0,640,480,0, 2125,640,480:waittimer 32935 blt 0,0,640,480,0, 2126,640,480:waittimer 32951 blt 0,0,640,480,0, 2127,640,480:waittimer 32966 blt 0,0,640,480,0, 2128,640,480:waittimer 32982 blt 0,0,640,480,0, 2129,640,480:waittimer 32997 blt 0,0,640,480,0, 2130,640,480:waittimer 33013 blt 0,0,640,480,0, 2131,640,480:waittimer 33028 blt 0,0,640,480,0, 2132,640,480:waittimer 33044 blt 0,0,640,480,0, 2133,640,480:waittimer 33059 blt 0,0,640,480,0, 2134,640,480:waittimer 33075 blt 0,0,640,480,0, 2135,640,480:waittimer 33090 blt 0,0,640,480,0, 2136,640,480:waittimer 33106 blt 0,0,640,480,0, 2137,640,480:waittimer 33121 blt 0,0,640,480,0, 2138,640,480:waittimer 33137 blt 0,0,640,480,0, 2139,640,480:waittimer 33152 blt 0,0,640,480,0, 2140,640,480:waittimer 33168 blt 0,0,640,480,0, 2141,640,480:waittimer 33183 blt 0,0,640,480,0, 2142,640,480:waittimer 33199 blt 0,0,640,480,0, 2143,640,480:waittimer 33214 blt 0,0,640,480,0, 2144,640,480:waittimer 33230 blt 0,0,640,480,0, 2145,640,480:waittimer 33245 blt 0,0,640,480,0, 2146,640,480:waittimer 33261 blt 0,0,640,480,0, 2147,640,480:waittimer 33276 blt 0,0,640,480,0, 2148,640,480:waittimer 33292 blt 0,0,640,480,0, 2149,640,480:waittimer 33307 blt 0,0,640,480,0, 2150,640,480:waittimer 33323 blt 0,0,640,480,0, 2151,640,480:waittimer 33338 blt 0,0,640,480,0, 2152,640,480:waittimer 33354 blt 0,0,640,480,0, 2153,640,480:waittimer 33369 blt 0,0,640,480,0, 2154,640,480:waittimer 33385 blt 0,0,640,480,0, 2155,640,480:waittimer 33400 blt 0,0,640,480,0, 2156,640,480:waittimer 33416 blt 0,0,640,480,0, 2157,640,480:waittimer 33431 blt 0,0,640,480,0, 2158,640,480:waittimer 33447 blt 0,0,640,480,0, 2159,640,480:waittimer 33462 blt 0,0,640,480,0, 2160,640,480:waittimer 33478 blt 0,0,640,480,0, 2161,640,480:waittimer 33493 blt 0,0,640,480,0, 2162,640,480:waittimer 33509 blt 0,0,640,480,0, 2163,640,480:waittimer 33524 blt 0,0,640,480,0, 2164,640,480:waittimer 33540 blt 0,0,640,480,0, 2165,640,480:waittimer 33555 blt 0,0,640,480,0, 2166,640,480:waittimer 33571 blt 0,0,640,480,0, 2167,640,480:waittimer 33586 blt 0,0,640,480,0, 2168,640,480:waittimer 33602 blt 0,0,640,480,0, 2169,640,480:waittimer 33617 blt 0,0,640,480,0, 2170,640,480:waittimer 33633 blt 0,0,640,480,0, 2171,640,480:waittimer 33648 blt 0,0,640,480,0, 2172,640,480:waittimer 33664 blt 0,0,640,480,0, 2173,640,480:waittimer 33679 blt 0,0,640,480,0, 2174,640,480:waittimer 33695 blt 0,0,640,480,0, 2175,640,480:waittimer 33710 blt 0,0,640,480,0, 2176,640,480:waittimer 33726 blt 0,0,640,480,0, 2177,640,480:waittimer 33741 blt 0,0,640,480,0, 2178,640,480:waittimer 33757 blt 0,0,640,480,0, 2179,640,480:waittimer 33772 blt 0,0,640,480,0, 2180,640,480:waittimer 33788 blt 0,0,640,480,0, 2181,640,480:waittimer 33803 blt 0,0,640,480,0, 2182,640,480:waittimer 33819 blt 0,0,640,480,0, 2183,640,480:waittimer 33834 blt 0,0,640,480,0, 2184,640,480:waittimer 33850 blt 0,0,640,480,0, 2185,640,480:waittimer 33865 blt 0,0,640,480,0, 2186,640,480:waittimer 33881 blt 0,0,640,480,0, 2187,640,480:waittimer 33896 blt 0,0,640,480,0, 2188,640,480:waittimer 33912 blt 0,0,640,480,0, 2189,640,480:waittimer 33927 blt 0,0,640,480,0, 2190,640,480:waittimer 33943 blt 0,0,640,480,0, 2191,640,480:waittimer 33958 blt 0,0,640,480,0, 2192,640,480:waittimer 33974 blt 0,0,640,480,0, 2193,640,480:waittimer 33989 blt 0,0,640,480,0, 2194,640,480:waittimer 34005 blt 0,0,640,480,0, 2195,640,480:waittimer 34020 blt 0,0,640,480,0, 2196,640,480:waittimer 34036 blt 0,0,640,480,0, 2197,640,480:waittimer 34051 blt 0,0,640,480,0, 2198,640,480:waittimer 34067 blt 0,0,640,480,0, 2199,640,480:waittimer 34082 blt 0,0,640,480,0, 2200,640,480:waittimer 34098 blt 0,0,640,480,0, 2201,640,480:waittimer 34113 blt 0,0,640,480,0, 2202,640,480:waittimer 34129 blt 0,0,640,480,0, 2203,640,480:waittimer 34144 blt 0,0,640,480,0, 2204,640,480:waittimer 34160 blt 0,0,640,480,0, 2205,640,480:waittimer 34175 blt 0,0,640,480,0, 2206,640,480:waittimer 34191 blt 0,0,640,480,0, 2207,640,480:waittimer 34206 blt 0,0,640,480,0, 2208,640,480:waittimer 34222 blt 0,0,640,480,0, 2209,640,480:waittimer 34237 blt 0,0,640,480,0, 2210,640,480:waittimer 34253 blt 0,0,640,480,0, 2211,640,480:waittimer 34268 blt 0,0,640,480,0, 2212,640,480:waittimer 34284 blt 0,0,640,480,0, 2213,640,480:waittimer 34299 blt 0,0,640,480,0, 2214,640,480:waittimer 34315 blt 0,0,640,480,0, 2215,640,480:waittimer 34330 blt 0,0,640,480,0, 2216,640,480:waittimer 34346 blt 0,0,640,480,0, 2217,640,480:waittimer 34361 blt 0,0,640,480,0, 2218,640,480:waittimer 34377 blt 0,0,640,480,0, 2219,640,480:waittimer 34392 blt 0,0,640,480,0, 2220,640,480:waittimer 34408 blt 0,0,640,480,0, 2221,640,480:waittimer 34423 blt 0,0,640,480,0, 2222,640,480:waittimer 34439 blt 0,0,640,480,0, 2223,640,480:waittimer 34454 blt 0,0,640,480,0, 2224,640,480:waittimer 34470 blt 0,0,640,480,0, 2225,640,480:waittimer 34485 blt 0,0,640,480,0, 2226,640,480:waittimer 34501 blt 0,0,640,480,0, 2227,640,480:waittimer 34516 blt 0,0,640,480,0, 2228,640,480:waittimer 34532 blt 0,0,640,480,0, 2229,640,480:waittimer 34547 blt 0,0,640,480,0, 2230,640,480:waittimer 34563 blt 0,0,640,480,0, 2231,640,480:waittimer 34578 blt 0,0,640,480,0, 2232,640,480:waittimer 34594 blt 0,0,640,480,0, 2233,640,480:waittimer 34609 blt 0,0,640,480,0, 2234,640,480:waittimer 34625 blt 0,0,640,480,0, 2235,640,480:waittimer 34640 blt 0,0,640,480,0, 2236,640,480:waittimer 34656 blt 0,0,640,480,0, 2237,640,480:waittimer 34671 blt 0,0,640,480,0, 2238,640,480:waittimer 34687 blt 0,0,640,480,0, 2239,640,480:waittimer 34702 blt 0,0,640,480,0, 2240,640,480:waittimer 34718 blt 0,0,640,480,0, 2241,640,480:waittimer 34733 blt 0,0,640,480,0, 2242,640,480:waittimer 34749 blt 0,0,640,480,0, 2243,640,480:waittimer 34764 blt 0,0,640,480,0, 2244,640,480:waittimer 34780 blt 0,0,640,480,0, 2245,640,480:waittimer 34795 blt 0,0,640,480,0, 2246,640,480:waittimer 34811 blt 0,0,640,480,0, 2247,640,480:waittimer 34826 blt 0,0,640,480,0, 2248,640,480:waittimer 34842 blt 0,0,640,480,0, 2249,640,480:waittimer 34857 blt 0,0,640,480,0, 2250,640,480:waittimer 34873 blt 0,0,640,480,0, 2251,640,480:waittimer 34888 blt 0,0,640,480,0, 2252,640,480:waittimer 34904 blt 0,0,640,480,0, 2253,640,480:waittimer 34919 blt 0,0,640,480,0, 2254,640,480:waittimer 34935 blt 0,0,640,480,0, 2255,640,480:waittimer 34950 blt 0,0,640,480,0, 2256,640,480:waittimer 34966 blt 0,0,640,480,0, 2257,640,480:waittimer 34981 blt 0,0,640,480,0, 2258,640,480:waittimer 34997 blt 0,0,640,480,0, 2259,640,480:waittimer 35012 blt 0,0,640,480,0, 2260,640,480:waittimer 35028 blt 0,0,640,480,0, 2261,640,480:waittimer 35043 blt 0,0,640,480,0, 2262,640,480:waittimer 35059 blt 0,0,640,480,0, 2263,640,480:waittimer 35074 blt 0,0,640,480,0, 2264,640,480:waittimer 35090 blt 0,0,640,480,0, 2265,640,480:waittimer 35105 blt 0,0,640,480,0, 2266,640,480:waittimer 35121 blt 0,0,640,480,0, 2267,640,480:waittimer 35136 blt 0,0,640,480,0, 2268,640,480:waittimer 35152 blt 0,0,640,480,0, 2269,640,480:waittimer 35167 blt 0,0,640,480,0, 2270,640,480:waittimer 35183 blt 0,0,640,480,0, 2271,640,480:waittimer 35198 blt 0,0,640,480,0, 2272,640,480:waittimer 35214 blt 0,0,640,480,0, 2273,640,480:waittimer 35229 blt 0,0,640,480,0, 2274,640,480:waittimer 35245 blt 0,0,640,480,0, 2275,640,480:waittimer 35260 blt 0,0,640,480,0, 2276,640,480:waittimer 35276 blt 0,0,640,480,0, 2277,640,480:waittimer 35291 blt 0,0,640,480,0, 2278,640,480:waittimer 35307 blt 0,0,640,480,0, 2279,640,480:waittimer 35322 blt 0,0,640,480,0, 2280,640,480:waittimer 35338 blt 0,0,640,480,0, 2281,640,480:waittimer 35353 blt 0,0,640,480,0, 2282,640,480:waittimer 35369 blt 0,0,640,480,0, 2283,640,480:waittimer 35384 blt 0,0,640,480,0, 2284,640,480:waittimer 35400 blt 0,0,640,480,0, 2285,640,480:waittimer 35415 blt 0,0,640,480,0, 2286,640,480:waittimer 35430 blt 0,0,640,480,0, 2287,640,480:waittimer 35446 blt 0,0,640,480,0, 2288,640,480:waittimer 35461 blt 0,0,640,480,0, 2289,640,480:waittimer 35477 blt 0,0,640,480,0, 2290,640,480:waittimer 35492 blt 0,0,640,480,0, 2291,640,480:waittimer 35508 blt 0,0,640,480,0, 2292,640,480:waittimer 35523 blt 0,0,640,480,0, 2293,640,480:waittimer 35539 blt 0,0,640,480,0, 2294,640,480:waittimer 35554 blt 0,0,640,480,0, 2295,640,480:waittimer 35570 blt 0,0,640,480,0, 2296,640,480:waittimer 35585 blt 0,0,640,480,0, 2297,640,480:waittimer 35601 blt 0,0,640,480,0, 2298,640,480:waittimer 35616 blt 0,0,640,480,0, 2299,640,480:waittimer 35632 blt 0,0,640,480,0, 2300,640,480:waittimer 35647 blt 0,0,640,480,0, 2301,640,480:waittimer 35663 blt 0,0,640,480,0, 2302,640,480:waittimer 35678 blt 0,0,640,480,0, 2303,640,480:waittimer 35694 blt 0,0,640,480,0, 2304,640,480:waittimer 35709 blt 0,0,640,480,0, 2305,640,480:waittimer 35725 blt 0,0,640,480,0, 2306,640,480:waittimer 35740 blt 0,0,640,480,0, 2307,640,480:waittimer 35756 blt 0,0,640,480,0, 2308,640,480:waittimer 35771 blt 0,0,640,480,0, 2309,640,480:waittimer 35787 blt 0,0,640,480,0, 2310,640,480:waittimer 35802 blt 0,0,640,480,0, 2311,640,480:waittimer 35818 blt 0,0,640,480,0, 2312,640,480:waittimer 35833 blt 0,0,640,480,0, 2313,640,480:waittimer 35849 blt 0,0,640,480,0, 2314,640,480:waittimer 35864 blt 0,0,640,480,0, 2315,640,480:waittimer 35880 blt 0,0,640,480,0, 2316,640,480:waittimer 35895 blt 0,0,640,480,0, 2317,640,480:waittimer 35911 blt 0,0,640,480,0, 2318,640,480:waittimer 35926 blt 0,0,640,480,0, 2319,640,480:waittimer 35942 blt 0,0,640,480,0, 2320,640,480:waittimer 35957 blt 0,0,640,480,0, 2321,640,480:waittimer 35973 blt 0,0,640,480,0, 2322,640,480:waittimer 35988 blt 0,0,640,480,0, 2323,640,480:waittimer 36004 blt 0,0,640,480,0, 2324,640,480:waittimer 36019 blt 0,0,640,480,0, 2325,640,480:waittimer 36035 blt 0,0,640,480,0, 2326,640,480:waittimer 36050 blt 0,0,640,480,0, 2327,640,480:waittimer 36066 blt 0,0,640,480,0, 2328,640,480:waittimer 36081 blt 0,0,640,480,0, 2329,640,480:waittimer 36097 blt 0,0,640,480,0, 2330,640,480:waittimer 36112 blt 0,0,640,480,0, 2331,640,480:waittimer 36128 blt 0,0,640,480,0, 2332,640,480:waittimer 36143 blt 0,0,640,480,0, 2333,640,480:waittimer 36159 blt 0,0,640,480,0, 2334,640,480:waittimer 36174 blt 0,0,640,480,0, 2335,640,480:waittimer 36190 blt 0,0,640,480,0, 2336,640,480:waittimer 36205 blt 0,0,640,480,0, 2337,640,480:waittimer 36221 blt 0,0,640,480,0, 2338,640,480:waittimer 36236 blt 0,0,640,480,0, 2339,640,480:waittimer 36252 blt 0,0,640,480,0, 2340,640,480:waittimer 36267 blt 0,0,640,480,0, 2341,640,480:waittimer 36283 blt 0,0,640,480,0, 2342,640,480:waittimer 36298 blt 0,0,640,480,0, 2343,640,480:waittimer 36314 blt 0,0,640,480,0, 2344,640,480:waittimer 36329 blt 0,0,640,480,0, 2345,640,480:waittimer 36345 blt 0,0,640,480,0, 2346,640,480:waittimer 36360 blt 0,0,640,480,0, 2347,640,480:waittimer 36376 blt 0,0,640,480,0, 2348,640,480:waittimer 36391 blt 0,0,640,480,0, 2349,640,480:waittimer 36407 blt 0,0,640,480,0, 2350,640,480:waittimer 36422 blt 0,0,640,480,0, 2351,640,480:waittimer 36438 blt 0,0,640,480,0, 2352,640,480:waittimer 36453 blt 0,0,640,480,0, 2353,640,480:waittimer 36469 blt 0,0,640,480,0, 2354,640,480:waittimer 36484 blt 0,0,640,480,0, 2355,640,480:waittimer 36500 blt 0,0,640,480,0, 2356,640,480:waittimer 36515 blt 0,0,640,480,0, 2357,640,480:waittimer 36531 blt 0,0,640,480,0, 2358,640,480:waittimer 36546 blt 0,0,640,480,0, 2359,640,480:waittimer 36562 blt 0,0,640,480,0, 2360,640,480:waittimer 36577 blt 0,0,640,480,0, 2361,640,480:waittimer 36593 blt 0,0,640,480,0, 2362,640,480:waittimer 36608 blt 0,0,640,480,0, 2363,640,480:waittimer 36624 blt 0,0,640,480,0, 2364,640,480:waittimer 36639 blt 0,0,640,480,0, 2365,640,480:waittimer 36655 blt 0,0,640,480,0, 2366,640,480:waittimer 36670 blt 0,0,640,480,0, 2367,640,480:waittimer 36686 blt 0,0,640,480,0, 2368,640,480:waittimer 36701 blt 0,0,640,480,0, 2369,640,480:waittimer 36717 blt 0,0,640,480,0, 2370,640,480:waittimer 36732 blt 0,0,640,480,0, 2371,640,480:waittimer 36748 blt 0,0,640,480,0, 2372,640,480:waittimer 36763 blt 0,0,640,480,0, 2373,640,480:waittimer 36779 blt 0,0,640,480,0, 2374,640,480:waittimer 36794 blt 0,0,640,480,0, 2375,640,480:waittimer 36810 blt 0,0,640,480,0, 2376,640,480:waittimer 36825 blt 0,0,640,480,0, 2377,640,480:waittimer 36841 blt 0,0,640,480,0, 2378,640,480:waittimer 36856 blt 0,0,640,480,0, 2379,640,480:waittimer 36872 blt 0,0,640,480,0, 2380,640,480:waittimer 36887 blt 0,0,640,480,0, 2381,640,480:waittimer 36903 blt 0,0,640,480,0, 2382,640,480:waittimer 36918 blt 0,0,640,480,0, 2383,640,480:waittimer 36934 blt 0,0,640,480,0, 2384,640,480:waittimer 36949 blt 0,0,640,480,0, 2385,640,480:waittimer 36965 blt 0,0,640,480,0, 2386,640,480:waittimer 36980 blt 0,0,640,480,0, 2387,640,480:waittimer 36996 blt 0,0,640,480,0, 2388,640,480:waittimer 37011 blt 0,0,640,480,0, 2389,640,480:waittimer 37027 blt 0,0,640,480,0, 2390,640,480:waittimer 37042 blt 0,0,640,480,0, 2391,640,480:waittimer 37058 blt 0,0,640,480,0, 2392,640,480:waittimer 37073 blt 0,0,640,480,0, 2393,640,480:waittimer 37089 blt 0,0,640,480,0, 2394,640,480:waittimer 37104 blt 0,0,640,480,0, 2395,640,480:waittimer 37120 blt 0,0,640,480,0, 2396,640,480:waittimer 37135 blt 0,0,640,480,0, 2397,640,480:waittimer 37151 blt 0,0,640,480,0, 2398,640,480:waittimer 37166 blt 0,0,640,480,0, 2399,640,480:waittimer 37182 blt 0,0,640,480,0, 2400,640,480:waittimer 37197 blt 0,0,640,480,0, 2401,640,480:waittimer 37213 blt 0,0,640,480,0, 2402,640,480:waittimer 37228 blt 0,0,640,480,0, 2403,640,480:waittimer 37244 blt 0,0,640,480,0, 2404,640,480:waittimer 37259 blt 0,0,640,480,0, 2405,640,480:waittimer 37275 blt 0,0,640,480,0, 2406,640,480:waittimer 37290 blt 0,0,640,480,0, 2407,640,480:waittimer 37306 blt 0,0,640,480,0, 2408,640,480:waittimer 37321 blt 0,0,640,480,0, 2409,640,480:waittimer 37337 blt 0,0,640,480,0, 2410,640,480:waittimer 37352 blt 0,0,640,480,0, 2411,640,480:waittimer 37368 blt 0,0,640,480,0, 2412,640,480:waittimer 37383 blt 0,0,640,480,0, 2413,640,480:waittimer 37399 blt 0,0,640,480,0, 2414,640,480:waittimer 37414 blt 0,0,640,480,0, 2415,640,480:waittimer 37430 blt 0,0,640,480,0, 2416,640,480:waittimer 37445 blt 0,0,640,480,0, 2417,640,480:waittimer 37461 blt 0,0,640,480,0, 2418,640,480:waittimer 37476 blt 0,0,640,480,0, 2419,640,480:waittimer 37492 blt 0,0,640,480,0, 2420,640,480:waittimer 37507 blt 0,0,640,480,0, 2421,640,480:waittimer 37523 blt 0,0,640,480,0, 2422,640,480:waittimer 37538 blt 0,0,640,480,0, 2423,640,480:waittimer 37554 blt 0,0,640,480,0, 2424,640,480:waittimer 37569 blt 0,0,640,480,0, 2425,640,480:waittimer 37585 blt 0,0,640,480,0, 2426,640,480:waittimer 37600 blt 0,0,640,480,0, 2427,640,480:waittimer 37616 blt 0,0,640,480,0, 2428,640,480:waittimer 37631 blt 0,0,640,480,0, 2429,640,480:waittimer 37647 blt 0,0,640,480,0, 2430,640,480:waittimer 37662 blt 0,0,640,480,0, 2431,640,480:waittimer 37678 blt 0,0,640,480,0, 2432,640,480:waittimer 37693 blt 0,0,640,480,0, 2433,640,480:waittimer 37709 blt 0,0,640,480,0, 2434,640,480:waittimer 37724 blt 0,0,640,480,0, 2435,640,480:waittimer 37740 blt 0,0,640,480,0, 2436,640,480:waittimer 37755 blt 0,0,640,480,0, 2437,640,480:waittimer 37771 blt 0,0,640,480,0, 2438,640,480:waittimer 37786 blt 0,0,640,480,0, 2439,640,480:waittimer 37802 blt 0,0,640,480,0, 2440,640,480:waittimer 37817 blt 0,0,640,480,0, 2441,640,480:waittimer 37833 blt 0,0,640,480,0, 2442,640,480:waittimer 37848 blt 0,0,640,480,0, 2443,640,480:waittimer 37864 blt 0,0,640,480,0, 2444,640,480:waittimer 37879 blt 0,0,640,480,0, 2445,640,480:waittimer 37895 blt 0,0,640,480,0, 2446,640,480:waittimer 37910 blt 0,0,640,480,0, 2447,640,480:waittimer 37926 blt 0,0,640,480,0, 2448,640,480:waittimer 37941 blt 0,0,640,480,0, 2449,640,480:waittimer 37957 blt 0,0,640,480,0, 2450,640,480:waittimer 37972 blt 0,0,640,480,0, 2451,640,480:waittimer 37988 blt 0,0,640,480,0, 2452,640,480:waittimer 38003 blt 0,0,640,480,0, 2453,640,480:waittimer 38019 blt 0,0,640,480,0, 2454,640,480:waittimer 38034 blt 0,0,640,480,0, 2455,640,480:waittimer 38050 blt 0,0,640,480,0, 2456,640,480:waittimer 38065 blt 0,0,640,480,0, 2457,640,480:waittimer 38081 blt 0,0,640,480,0, 2458,640,480:waittimer 38096 blt 0,0,640,480,0, 2459,640,480:waittimer 38112 blt 0,0,640,480,0, 2460,640,480:waittimer 38127 blt 0,0,640,480,0, 2461,640,480:waittimer 38143 blt 0,0,640,480,0, 2462,640,480:waittimer 38158 blt 0,0,640,480,0, 2463,640,480:waittimer 38174 blt 0,0,640,480,0, 2464,640,480:waittimer 38189 blt 0,0,640,480,0, 2465,640,480:waittimer 38205 blt 0,0,640,480,0, 2466,640,480:waittimer 38220 blt 0,0,640,480,0, 2467,640,480:waittimer 38236 blt 0,0,640,480,0, 2468,640,480:waittimer 38251 blt 0,0,640,480,0, 2469,640,480:waittimer 38267 blt 0,0,640,480,0, 2470,640,480:waittimer 38282 blt 0,0,640,480,0, 2471,640,480:waittimer 38298 blt 0,0,640,480,0, 2472,640,480:waittimer 38313 blt 0,0,640,480,0, 2473,640,480:waittimer 38329 blt 0,0,640,480,0, 2474,640,480:waittimer 38344 blt 0,0,640,480,0, 2475,640,480:waittimer 38360 blt 0,0,640,480,0, 2476,640,480:waittimer 38375 blt 0,0,640,480,0, 2477,640,480:waittimer 38391 blt 0,0,640,480,0, 2478,640,480:waittimer 38406 blt 0,0,640,480,0, 2479,640,480:waittimer 38422 blt 0,0,640,480,0, 2480,640,480:waittimer 38437 blt 0,0,640,480,0, 2481,640,480:waittimer 38453 blt 0,0,640,480,0, 2482,640,480:waittimer 38468 blt 0,0,640,480,0, 2483,640,480:waittimer 38484 blt 0,0,640,480,0, 2484,640,480:waittimer 38499 blt 0,0,640,480,0, 2485,640,480:waittimer 38515 blt 0,0,640,480,0, 2486,640,480:waittimer 38530 blt 0,0,640,480,0, 2487,640,480:waittimer 38546 blt 0,0,640,480,0, 2488,640,480:waittimer 38561 blt 0,0,640,480,0, 2489,640,480:waittimer 38577 blt 0,0,640,480,0, 2490,640,480:waittimer 38592 blt 0,0,640,480,0, 2491,640,480:waittimer 38608 blt 0,0,640,480,0, 2492,640,480:waittimer 38623 blt 0,0,640,480,0, 2493,640,480:waittimer 38639 blt 0,0,640,480,0, 2494,640,480:waittimer 38654 blt 0,0,640,480,0, 2495,640,480:waittimer 38670 blt 0,0,640,480,0, 2496,640,480:waittimer 38685 blt 0,0,640,480,0, 2497,640,480:waittimer 38701 blt 0,0,640,480,0, 2498,640,480:waittimer 38716 blt 0,0,640,480,0, 2499,640,480:waittimer 38732 blt 0,0,640,480,0, 2500,640,480:waittimer 38747 blt 0,0,640,480,0, 2501,640,480:waittimer 38763 blt 0,0,640,480,0, 2502,640,480:waittimer 38778 blt 0,0,640,480,0, 2503,640,480:waittimer 38794 blt 0,0,640,480,0, 2504,640,480:waittimer 38809 blt 0,0,640,480,0, 2505,640,480:waittimer 38825 blt 0,0,640,480,0, 2506,640,480:waittimer 38840 blt 0,0,640,480,0, 2507,640,480:waittimer 38856 blt 0,0,640,480,0, 2508,640,480:waittimer 38871 blt 0,0,640,480,0, 2509,640,480:waittimer 38887 blt 0,0,640,480,0, 2510,640,480:waittimer 38902 blt 0,0,640,480,0, 2511,640,480:waittimer 38918 blt 0,0,640,480,0, 2512,640,480:waittimer 38933 blt 0,0,640,480,0, 2513,640,480:waittimer 38949 blt 0,0,640,480,0, 2514,640,480:waittimer 38964 blt 0,0,640,480,0, 2515,640,480:waittimer 38980 blt 0,0,640,480,0, 2516,640,480:waittimer 38995 blt 0,0,640,480,0, 2517,640,480:waittimer 39011 blt 0,0,640,480,0, 2518,640,480:waittimer 39026 blt 0,0,640,480,0, 2519,640,480:waittimer 39042 blt 0,0,640,480,0, 2520,640,480:waittimer 39057 blt 0,0,640,480,0, 2521,640,480:waittimer 39073 blt 0,0,640,480,0, 2522,640,480:waittimer 39088 blt 0,0,640,480,0, 2523,640,480:waittimer 39104 blt 0,0,640,480,0, 2524,640,480:waittimer 39119 blt 0,0,640,480,0, 2525,640,480:waittimer 39135 blt 0,0,640,480,0, 2526,640,480:waittimer 39150 blt 0,0,640,480,0, 2527,640,480:waittimer 39166 blt 0,0,640,480,0, 2528,640,480:waittimer 39181 blt 0,0,640,480,0, 2529,640,480:waittimer 39197 blt 0,0,640,480,0, 2530,640,480:waittimer 39212 blt 0,0,640,480,0, 2531,640,480:waittimer 39228 blt 0,0,640,480,0, 2532,640,480:waittimer 39243 blt 0,0,640,480,0, 2533,640,480:waittimer 39259 blt 0,0,640,480,0, 2534,640,480:waittimer 39274 blt 0,0,640,480,0, 2535,640,480:waittimer 39290 blt 0,0,640,480,0, 2536,640,480:waittimer 39305 blt 0,0,640,480,0, 2537,640,480:waittimer 39321 blt 0,0,640,480,0, 2538,640,480:waittimer 39336 blt 0,0,640,480,0, 2539,640,480:waittimer 39352 blt 0,0,640,480,0, 2540,640,480:waittimer 39367 blt 0,0,640,480,0, 2541,640,480:waittimer 39383 blt 0,0,640,480,0, 2542,640,480:waittimer 39398 blt 0,0,640,480,0, 2543,640,480:waittimer 39414 blt 0,0,640,480,0, 2544,640,480:waittimer 39429 blt 0,0,640,480,0, 2545,640,480:waittimer 39445 blt 0,0,640,480,0, 2546,640,480:waittimer 39460 blt 0,0,640,480,0, 2547,640,480:waittimer 39476 blt 0,0,640,480,0, 2548,640,480:waittimer 39491 blt 0,0,640,480,0, 2549,640,480:waittimer 39507 blt 0,0,640,480,0, 2550,640,480:waittimer 39522 blt 0,0,640,480,0, 2551,640,480:waittimer 39538 blt 0,0,640,480,0, 2552,640,480:waittimer 39553 blt 0,0,640,480,0, 2553,640,480:waittimer 39569 blt 0,0,640,480,0, 2554,640,480:waittimer 39584 blt 0,0,640,480,0, 2555,640,480:waittimer 39600 blt 0,0,640,480,0, 2556,640,480:waittimer 39615 blt 0,0,640,480,0, 2557,640,480:waittimer 39631 blt 0,0,640,480,0, 2558,640,480:waittimer 39646 blt 0,0,640,480,0, 2559,640,480:waittimer 39662 blt 0,0,640,480,0, 2560,640,480:waittimer 39677 blt 0,0,640,480,0, 2561,640,480:waittimer 39693 blt 0,0,640,480,0, 2562,640,480:waittimer 39708 blt 0,0,640,480,0, 2563,640,480:waittimer 39724 blt 0,0,640,480,0, 2564,640,480:waittimer 39739 blt 0,0,640,480,0, 2565,640,480:waittimer 39755 blt 0,0,640,480,0, 2566,640,480:waittimer 39770 blt 0,0,640,480,0, 2567,640,480:waittimer 39786 blt 0,0,640,480,0, 2568,640,480:waittimer 39801 blt 0,0,640,480,0, 2569,640,480:waittimer 39817 blt 0,0,640,480,0, 2570,640,480:waittimer 39832 blt 0,0,640,480,0, 2571,640,480:waittimer 39848 blt 0,0,640,480,0, 2572,640,480:waittimer 39863 blt 0,0,640,480,0, 2573,640,480:waittimer 39879 blt 0,0,640,480,0, 2574,640,480:waittimer 39894 blt 0,0,640,480,0, 2575,640,480:waittimer 39910 blt 0,0,640,480,0, 2576,640,480:waittimer 39925 blt 0,0,640,480,0, 2577,640,480:waittimer 39941 blt 0,0,640,480,0, 2578,640,480:waittimer 39956 blt 0,0,640,480,0, 2579,640,480:waittimer 39972 blt 0,0,640,480,0, 2580,640,480:waittimer 39987 blt 0,0,640,480,0, 2581,640,480:waittimer 40003 blt 0,0,640,480,0, 2582,640,480:waittimer 40018 blt 0,0,640,480,0, 2583,640,480:waittimer 40034 blt 0,0,640,480,0, 2584,640,480:waittimer 40049 blt 0,0,640,480,0, 2585,640,480:waittimer 40065 blt 0,0,640,480,0, 2586,640,480:waittimer 40080 blt 0,0,640,480,0, 2587,640,480:waittimer 40096 blt 0,0,640,480,0, 2588,640,480:waittimer 40111 blt 0,0,640,480,0, 2589,640,480:waittimer 40127 blt 0,0,640,480,0, 2590,640,480:waittimer 40142 blt 0,0,640,480,0, 2591,640,480:waittimer 40158 blt 0,0,640,480,0, 2592,640,480:waittimer 40173 blt 0,0,640,480,0, 2593,640,480:waittimer 40189 blt 0,0,640,480,0, 2594,640,480:waittimer 40204 blt 0,0,640,480,0, 2595,640,480:waittimer 40220 blt 0,0,640,480,0, 2596,640,480:waittimer 40235 blt 0,0,640,480,0, 2597,640,480:waittimer 40251 blt 0,0,640,480,0, 2598,640,480:waittimer 40266 blt 0,0,640,480,0, 2599,640,480:waittimer 40282 blt 0,0,640,480,0, 2600,640,480:waittimer 40297 blt 0,0,640,480,0, 2601,640,480:waittimer 40313 blt 0,0,640,480,0, 2602,640,480:waittimer 40328 blt 0,0,640,480,0, 2603,640,480:waittimer 40344 blt 0,0,640,480,0, 2604,640,480:waittimer 40359 blt 0,0,640,480,0, 2605,640,480:waittimer 40375 blt 0,0,640,480,0, 2606,640,480:waittimer 40390 blt 0,0,640,480,0, 2607,640,480:waittimer 40406 blt 0,0,640,480,0, 2608,640,480:waittimer 40421 blt 0,0,640,480,0, 2609,640,480:waittimer 40437 blt 0,0,640,480,0, 2610,640,480:waittimer 40452 blt 0,0,640,480,0, 2611,640,480:waittimer 40468 blt 0,0,640,480,0, 2612,640,480:waittimer 40483 blt 0,0,640,480,0, 2613,640,480:waittimer 40499 blt 0,0,640,480,0, 2614,640,480:waittimer 40514 blt 0,0,640,480,0, 2615,640,480:waittimer 40530 blt 0,0,640,480,0, 2616,640,480:waittimer 40545 blt 0,0,640,480,0, 2617,640,480:waittimer 40561 blt 0,0,640,480,0, 2618,640,480:waittimer 40576 blt 0,0,640,480,0, 2619,640,480:waittimer 40592 blt 0,0,640,480,0, 2620,640,480:waittimer 40607 blt 0,0,640,480,0, 2621,640,480:waittimer 40623 blt 0,0,640,480,0, 2622,640,480:waittimer 40638 blt 0,0,640,480,0, 2623,640,480:waittimer 40654 blt 0,0,640,480,0, 2624,640,480:waittimer 40669 blt 0,0,640,480,0, 2625,640,480:waittimer 40685 blt 0,0,640,480,0, 2626,640,480:waittimer 40700 blt 0,0,640,480,0, 2627,640,480:waittimer 40716 blt 0,0,640,480,0, 2628,640,480:waittimer 40731 blt 0,0,640,480,0, 2629,640,480:waittimer 40747 blt 0,0,640,480,0, 2630,640,480:waittimer 40762 blt 0,0,640,480,0, 2631,640,480:waittimer 40778 blt 0,0,640,480,0, 2632,640,480:waittimer 40793 blt 0,0,640,480,0, 2633,640,480:waittimer 40809 blt 0,0,640,480,0, 2634,640,480:waittimer 40824 blt 0,0,640,480,0, 2635,640,480:waittimer 40840 blt 0,0,640,480,0, 2636,640,480:waittimer 40855 blt 0,0,640,480,0, 2637,640,480:waittimer 40871 blt 0,0,640,480,0, 2638,640,480:waittimer 40886 blt 0,0,640,480,0, 2639,640,480:waittimer 40902 blt 0,0,640,480,0, 2640,640,480:waittimer 40917 blt 0,0,640,480,0, 2641,640,480:waittimer 40933 blt 0,0,640,480,0, 2642,640,480:waittimer 40948 blt 0,0,640,480,0, 2643,640,480:waittimer 40964 blt 0,0,640,480,0, 2644,640,480:waittimer 40979 blt 0,0,640,480,0, 2645,640,480:waittimer 40995 blt 0,0,640,480,0, 2646,640,480:waittimer 41010 blt 0,0,640,480,0, 2647,640,480:waittimer 41026 blt 0,0,640,480,0, 2648,640,480:waittimer 41041 blt 0,0,640,480,0, 2649,640,480:waittimer 41057 blt 0,0,640,480,0, 2650,640,480:waittimer 41072 blt 0,0,640,480,0, 2651,640,480:waittimer 41088 blt 0,0,640,480,0, 2652,640,480:waittimer 41103 blt 0,0,640,480,0, 2653,640,480:waittimer 41119 blt 0,0,640,480,0, 2654,640,480:waittimer 41134 blt 0,0,640,480,0, 2655,640,480:waittimer 41150 blt 0,0,640,480,0, 2656,640,480:waittimer 41165 blt 0,0,640,480,0, 2657,640,480:waittimer 41181 blt 0,0,640,480,0, 2658,640,480:waittimer 41196 blt 0,0,640,480,0, 2659,640,480:waittimer 41212 blt 0,0,640,480,0, 2660,640,480:waittimer 41227 blt 0,0,640,480,0, 2661,640,480:waittimer 41243 blt 0,0,640,480,0, 2662,640,480:waittimer 41258 blt 0,0,640,480,0, 2663,640,480:waittimer 41274 blt 0,0,640,480,0, 2664,640,480:waittimer 41289 blt 0,0,640,480,0, 2665,640,480:waittimer 41305 blt 0,0,640,480,0, 2666,640,480:waittimer 41320 blt 0,0,640,480,0, 2667,640,480:waittimer 41336 blt 0,0,640,480,0, 2668,640,480:waittimer 41351 blt 0,0,640,480,0, 2669,640,480:waittimer 41367 blt 0,0,640,480,0, 2670,640,480:waittimer 41382 blt 0,0,640,480,0, 2671,640,480:waittimer 41398 blt 0,0,640,480,0, 2672,640,480:waittimer 41413 blt 0,0,640,480,0, 2673,640,480:waittimer 41429 blt 0,0,640,480,0, 2674,640,480:waittimer 41444 blt 0,0,640,480,0, 2675,640,480:waittimer 41460 blt 0,0,640,480,0, 2676,640,480:waittimer 41475 blt 0,0,640,480,0, 2677,640,480:waittimer 41491 blt 0,0,640,480,0, 2678,640,480:waittimer 41506 blt 0,0,640,480,0, 2679,640,480:waittimer 41522 blt 0,0,640,480,0, 2680,640,480:waittimer 41537 blt 0,0,640,480,0, 2681,640,480:waittimer 41553 blt 0,0,640,480,0, 2682,640,480:waittimer 41568 blt 0,0,640,480,0, 2683,640,480:waittimer 41584 blt 0,0,640,480,0, 2684,640,480:waittimer 41599 blt 0,0,640,480,0, 2685,640,480:waittimer 41615 blt 0,0,640,480,0, 2686,640,480:waittimer 41630 blt 0,0,640,480,0, 2687,640,480:waittimer 41646 blt 0,0,640,480,0, 2688,640,480:waittimer 41661 blt 0,0,640,480,0, 2689,640,480:waittimer 41677 blt 0,0,640,480,0, 2690,640,480:waittimer 41692 blt 0,0,640,480,0, 2691,640,480:waittimer 41708 blt 0,0,640,480,0, 2692,640,480:waittimer 41723 blt 0,0,640,480,0, 2693,640,480:waittimer 41739 blt 0,0,640,480,0, 2694,640,480:waittimer 41754 blt 0,0,640,480,0, 2695,640,480:waittimer 41770 blt 0,0,640,480,0, 2696,640,480:waittimer 41785 blt 0,0,640,480,0, 2697,640,480:waittimer 41801 blt 0,0,640,480,0, 2698,640,480:waittimer 41816 blt 0,0,640,480,0, 2699,640,480:waittimer 41832 blt 0,0,640,480,0, 2700,640,480:waittimer 41847 blt 0,0,640,480,0, 2701,640,480:waittimer 41863 blt 0,0,640,480,0, 2702,640,480:waittimer 41878 blt 0,0,640,480,0, 2703,640,480:waittimer 41894 blt 0,0,640,480,0, 2704,640,480:waittimer 41909 blt 0,0,640,480,0, 2705,640,480:waittimer 41925 blt 0,0,640,480,0, 2706,640,480:waittimer 41940 blt 0,0,640,480,0, 2707,640,480:waittimer 41956 blt 0,0,640,480,0, 2708,640,480:waittimer 41971 blt 0,0,640,480,0, 2709,640,480:waittimer 41987 blt 0,0,640,480,0, 2710,640,480:waittimer 42002 blt 0,0,640,480,0, 2711,640,480:waittimer 42018 blt 0,0,640,480,0, 2712,640,480:waittimer 42033 blt 0,0,640,480,0, 2713,640,480:waittimer 42049 blt 0,0,640,480,0, 2714,640,480:waittimer 42064 blt 0,0,640,480,0, 2715,640,480:waittimer 42080 blt 0,0,640,480,0, 2716,640,480:waittimer 42095 blt 0,0,640,480,0, 2717,640,480:waittimer 42111 blt 0,0,640,480,0, 2718,640,480:waittimer 42126 blt 0,0,640,480,0, 2719,640,480:waittimer 42142 blt 0,0,640,480,0, 2720,640,480:waittimer 42157 blt 0,0,640,480,0, 2721,640,480:waittimer 42173 blt 0,0,640,480,0, 2722,640,480:waittimer 42188 blt 0,0,640,480,0, 2723,640,480:waittimer 42204 blt 0,0,640,480,0, 2724,640,480:waittimer 42219 blt 0,0,640,480,0, 2725,640,480:waittimer 42235 blt 0,0,640,480,0, 2726,640,480:waittimer 42250 blt 0,0,640,480,0, 2727,640,480:waittimer 42266 blt 0,0,640,480,0, 2728,640,480:waittimer 42281 blt 0,0,640,480,0, 2729,640,480:waittimer 42297 blt 0,0,640,480,0, 2730,640,480:waittimer 42312 blt 0,0,640,480,0, 2731,640,480:waittimer 42328 blt 0,0,640,480,0, 2732,640,480:waittimer 42343 blt 0,0,640,480,0, 2733,640,480:waittimer 42359 blt 0,0,640,480,0, 2734,640,480:waittimer 42374 blt 0,0,640,480,0, 2735,640,480:waittimer 42390 blt 0,0,640,480,0, 2736,640,480:waittimer 42405 blt 0,0,640,480,0, 2737,640,480:waittimer 42421 blt 0,0,640,480,0, 2738,640,480:waittimer 42436 blt 0,0,640,480,0, 2739,640,480:waittimer 42452 blt 0,0,640,480,0, 2740,640,480:waittimer 42467 blt 0,0,640,480,0, 2741,640,480:waittimer 42483 blt 0,0,640,480,0, 2742,640,480:waittimer 42498 blt 0,0,640,480,0, 2743,640,480:waittimer 42514 blt 0,0,640,480,0, 2744,640,480:waittimer 42529 blt 0,0,640,480,0, 2745,640,480:waittimer 42545 blt 0,0,640,480,0, 2746,640,480:waittimer 42560 blt 0,0,640,480,0, 2747,640,480:waittimer 42576 blt 0,0,640,480,0, 2748,640,480:waittimer 42591 blt 0,0,640,480,0, 2749,640,480:waittimer 42607 blt 0,0,640,480,0, 2750,640,480:waittimer 42622 blt 0,0,640,480,0, 2751,640,480:waittimer 42638 blt 0,0,640,480,0, 2752,640,480:waittimer 42653 blt 0,0,640,480,0, 2753,640,480:waittimer 42669 blt 0,0,640,480,0, 2754,640,480:waittimer 42684 blt 0,0,640,480,0, 2755,640,480:waittimer 42700 blt 0,0,640,480,0, 2756,640,480:waittimer 42715 blt 0,0,640,480,0, 2757,640,480:waittimer 42731 blt 0,0,640,480,0, 2758,640,480:waittimer 42746 blt 0,0,640,480,0, 2759,640,480:waittimer 42762 blt 0,0,640,480,0, 2760,640,480:waittimer 42777 blt 0,0,640,480,0, 2761,640,480:waittimer 42793 blt 0,0,640,480,0, 2762,640,480:waittimer 42808 blt 0,0,640,480,0, 2763,640,480:waittimer 42824 blt 0,0,640,480,0, 2764,640,480:waittimer 42839 blt 0,0,640,480,0, 2765,640,480:waittimer 42855 blt 0,0,640,480,0, 2766,640,480:waittimer 42870 blt 0,0,640,480,0, 2767,640,480:waittimer 42886 blt 0,0,640,480,0, 2768,640,480:waittimer 42901 blt 0,0,640,480,0, 2769,640,480:waittimer 42917 blt 0,0,640,480,0, 2770,640,480:waittimer 42932 blt 0,0,640,480,0, 2771,640,480:waittimer 42948 blt 0,0,640,480,0, 2772,640,480:waittimer 42963 blt 0,0,640,480,0, 2773,640,480:waittimer 42979 blt 0,0,640,480,0, 2774,640,480:waittimer 42994 blt 0,0,640,480,0, 2775,640,480:waittimer 43010 blt 0,0,640,480,0, 2776,640,480:waittimer 43025 blt 0,0,640,480,0, 2777,640,480:waittimer 43041 blt 0,0,640,480,0, 2778,640,480:waittimer 43056 blt 0,0,640,480,0, 2779,640,480:waittimer 43072 blt 0,0,640,480,0, 2780,640,480:waittimer 43087 blt 0,0,640,480,0, 2781,640,480:waittimer 43103 blt 0,0,640,480,0, 2782,640,480:waittimer 43118 blt 0,0,640,480,0, 2783,640,480:waittimer 43134 blt 0,0,640,480,0, 2784,640,480:waittimer 43149 blt 0,0,640,480,0, 2785,640,480:waittimer 43165 blt 0,0,640,480,0, 2786,640,480:waittimer 43180 blt 0,0,640,480,0, 2787,640,480:waittimer 43196 blt 0,0,640,480,0, 2788,640,480:waittimer 43211 blt 0,0,640,480,0, 2789,640,480:waittimer 43227 blt 0,0,640,480,0, 2790,640,480:waittimer 43242 blt 0,0,640,480,0, 2791,640,480:waittimer 43258 blt 0,0,640,480,0, 2792,640,480:waittimer 43273 blt 0,0,640,480,0, 2793,640,480:waittimer 43289 blt 0,0,640,480,0, 2794,640,480:waittimer 43304 blt 0,0,640,480,0, 2795,640,480:waittimer 43320 blt 0,0,640,480,0, 2796,640,480:waittimer 43335 blt 0,0,640,480,0, 2797,640,480:waittimer 43351 blt 0,0,640,480,0, 2798,640,480:waittimer 43366 blt 0,0,640,480,0, 2799,640,480:waittimer 43382 blt 0,0,640,480,0, 2800,640,480:waittimer 43397 blt 0,0,640,480,0, 2801,640,480:waittimer 43413 blt 0,0,640,480,0, 2802,640,480:waittimer 43428 blt 0,0,640,480,0, 2803,640,480:waittimer 43444 blt 0,0,640,480,0, 2804,640,480:waittimer 43459 blt 0,0,640,480,0, 2805,640,480:waittimer 43475 blt 0,0,640,480,0, 2806,640,480:waittimer 43490 blt 0,0,640,480,0, 2807,640,480:waittimer 43506 blt 0,0,640,480,0, 2808,640,480:waittimer 43521 blt 0,0,640,480,0, 2809,640,480:waittimer 43537 blt 0,0,640,480,0, 2810,640,480:waittimer 43552 blt 0,0,640,480,0, 2811,640,480:waittimer 43568 blt 0,0,640,480,0, 2812,640,480:waittimer 43583 blt 0,0,640,480,0, 2813,640,480:waittimer 43599 blt 0,0,640,480,0, 2814,640,480:waittimer 43614 blt 0,0,640,480,0, 2815,640,480:waittimer 43630 blt 0,0,640,480,0, 2816,640,480:waittimer 43645 blt 0,0,640,480,0, 2817,640,480:waittimer 43661 blt 0,0,640,480,0, 2818,640,480:waittimer 43676 blt 0,0,640,480,0, 2819,640,480:waittimer 43692 blt 0,0,640,480,0, 2820,640,480:waittimer 43707 blt 0,0,640,480,0, 2821,640,480:waittimer 43723 blt 0,0,640,480,0, 2822,640,480:waittimer 43738 blt 0,0,640,480,0, 2823,640,480:waittimer 43754 blt 0,0,640,480,0, 2824,640,480:waittimer 43769 blt 0,0,640,480,0, 2825,640,480:waittimer 43785 blt 0,0,640,480,0, 2826,640,480:waittimer 43800 blt 0,0,640,480,0, 2827,640,480:waittimer 43816 blt 0,0,640,480,0, 2828,640,480:waittimer 43831 blt 0,0,640,480,0, 2829,640,480:waittimer 43847 blt 0,0,640,480,0, 2830,640,480:waittimer 43862 blt 0,0,640,480,0, 2831,640,480:waittimer 43878 blt 0,0,640,480,0, 2832,640,480:waittimer 43893 blt 0,0,640,480,0, 2833,640,480:waittimer 43909 blt 0,0,640,480,0, 2834,640,480:waittimer 43924 blt 0,0,640,480,0, 2835,640,480:waittimer 43940 blt 0,0,640,480,0, 2836,640,480:waittimer 43955 blt 0,0,640,480,0, 2837,640,480:waittimer 43971 blt 0,0,640,480,0, 2838,640,480:waittimer 43986 blt 0,0,640,480,0, 2839,640,480:waittimer 44002 blt 0,0,640,480,0, 2840,640,480:waittimer 44017 blt 0,0,640,480,0, 2841,640,480:waittimer 44033 blt 0,0,640,480,0, 2842,640,480:waittimer 44048 blt 0,0,640,480,0, 2843,640,480:waittimer 44064 blt 0,0,640,480,0, 2844,640,480:waittimer 44079 blt 0,0,640,480,0, 2845,640,480:waittimer 44095 blt 0,0,640,480,0, 2846,640,480:waittimer 44110 blt 0,0,640,480,0, 2847,640,480:waittimer 44126 blt 0,0,640,480,0, 2848,640,480:waittimer 44141 blt 0,0,640,480,0, 2849,640,480:waittimer 44157 blt 0,0,640,480,0, 2850,640,480:waittimer 44172 blt 0,0,640,480,0, 2851,640,480:waittimer 44188 blt 0,0,640,480,0, 2852,640,480:waittimer 44203 blt 0,0,640,480,0, 2853,640,480:waittimer 44219 blt 0,0,640,480,0, 2854,640,480:waittimer 44234 blt 0,0,640,480,0, 2855,640,480:waittimer 44250 blt 0,0,640,480,0, 2856,640,480:waittimer 44265 blt 0,0,640,480,0, 2857,640,480:waittimer 44280 blt 0,0,640,480,0, 2858,640,480:waittimer 44296 blt 0,0,640,480,0, 2859,640,480:waittimer 44311 blt 0,0,640,480,0, 2860,640,480:waittimer 44327 blt 0,0,640,480,0, 2861,640,480:waittimer 44342 blt 0,0,640,480,0, 2862,640,480:waittimer 44358 blt 0,0,640,480,0, 2863,640,480:waittimer 44373 blt 0,0,640,480,0, 2864,640,480:waittimer 44389 blt 0,0,640,480,0, 2865,640,480:waittimer 44404 blt 0,0,640,480,0, 2866,640,480:waittimer 44420 blt 0,0,640,480,0, 2867,640,480:waittimer 44435 blt 0,0,640,480,0, 2868,640,480:waittimer 44451 blt 0,0,640,480,0, 2869,640,480:waittimer 44466 blt 0,0,640,480,0, 2870,640,480:waittimer 44482 blt 0,0,640,480,0, 2871,640,480:waittimer 44497 blt 0,0,640,480,0, 2872,640,480:waittimer 44513 blt 0,0,640,480,0, 2873,640,480:waittimer 44528 blt 0,0,640,480,0, 2874,640,480:waittimer 44544 blt 0,0,640,480,0, 2875,640,480:waittimer 44559 blt 0,0,640,480,0, 2876,640,480:waittimer 44575 blt 0,0,640,480,0, 2877,640,480:waittimer 44590 blt 0,0,640,480,0, 2878,640,480:waittimer 44606 blt 0,0,640,480,0, 2879,640,480:waittimer 44621 blt 0,0,640,480,0, 2880,640,480:waittimer 44637 blt 0,0,640,480,0, 2881,640,480:waittimer 44652 blt 0,0,640,480,0, 2882,640,480:waittimer 44668 blt 0,0,640,480,0, 2883,640,480:waittimer 44683 blt 0,0,640,480,0, 2884,640,480:waittimer 44699 blt 0,0,640,480,0, 2885,640,480:waittimer 44714 blt 0,0,640,480,0, 2886,640,480:waittimer 44730 blt 0,0,640,480,0, 2887,640,480:waittimer 44745 blt 0,0,640,480,0, 2888,640,480:waittimer 44761 blt 0,0,640,480,0, 2889,640,480:waittimer 44776 blt 0,0,640,480,0, 2890,640,480:waittimer 44792 blt 0,0,640,480,0, 2891,640,480:waittimer 44807 blt 0,0,640,480,0, 2892,640,480:waittimer 44823 blt 0,0,640,480,0, 2893,640,480:waittimer 44838 blt 0,0,640,480,0, 2894,640,480:waittimer 44854 blt 0,0,640,480,0, 2895,640,480:waittimer 44869 blt 0,0,640,480,0, 2896,640,480:waittimer 44885 blt 0,0,640,480,0, 2897,640,480:waittimer 44900 blt 0,0,640,480,0, 2898,640,480:waittimer 44916 blt 0,0,640,480,0, 2899,640,480:waittimer 44931 blt 0,0,640,480,0, 2900,640,480:waittimer 44947 blt 0,0,640,480,0, 2901,640,480:waittimer 44962 blt 0,0,640,480,0, 2902,640,480:waittimer 44978 blt 0,0,640,480,0, 2903,640,480:waittimer 44993 blt 0,0,640,480,0, 2904,640,480:waittimer 45009 blt 0,0,640,480,0, 2905,640,480:waittimer 45024 blt 0,0,640,480,0, 2906,640,480:waittimer 45040 blt 0,0,640,480,0, 2907,640,480:waittimer 45055 blt 0,0,640,480,0, 2908,640,480:waittimer 45071 blt 0,0,640,480,0, 2909,640,480:waittimer 45086 blt 0,0,640,480,0, 2910,640,480:waittimer 45102 blt 0,0,640,480,0, 2911,640,480:waittimer 45117 blt 0,0,640,480,0, 2912,640,480:waittimer 45133 blt 0,0,640,480,0, 2913,640,480:waittimer 45148 blt 0,0,640,480,0, 2914,640,480:waittimer 45164 blt 0,0,640,480,0, 2915,640,480:waittimer 45179 blt 0,0,640,480,0, 2916,640,480:waittimer 45195 blt 0,0,640,480,0, 2917,640,480:waittimer 45210 blt 0,0,640,480,0, 2918,640,480:waittimer 45226 blt 0,0,640,480,0, 2919,640,480:waittimer 45241 blt 0,0,640,480,0, 2920,640,480:waittimer 45257 blt 0,0,640,480,0, 2921,640,480:waittimer 45272 blt 0,0,640,480,0, 2922,640,480:waittimer 45288 blt 0,0,640,480,0, 2923,640,480:waittimer 45303 blt 0,0,640,480,0, 2924,640,480:waittimer 45319 blt 0,0,640,480,0, 2925,640,480:waittimer 45334 blt 0,0,640,480,0, 2926,640,480:waittimer 45350 blt 0,0,640,480,0, 2927,640,480:waittimer 45365 blt 0,0,640,480,0, 2928,640,480:waittimer 45381 blt 0,0,640,480,0, 2929,640,480:waittimer 45396 blt 0,0,640,480,0, 2930,640,480:waittimer 45412 blt 0,0,640,480,0, 2931,640,480:waittimer 45427 blt 0,0,640,480,0, 2932,640,480:waittimer 45443 blt 0,0,640,480,0, 2933,640,480:waittimer 45458 blt 0,0,640,480,0, 2934,640,480:waittimer 45474 blt 0,0,640,480,0, 2935,640,480:waittimer 45489 blt 0,0,640,480,0, 2936,640,480:waittimer 45505 blt 0,0,640,480,0, 2937,640,480:waittimer 45520 blt 0,0,640,480,0, 2938,640,480:waittimer 45536 blt 0,0,640,480,0, 2939,640,480:waittimer 45551 blt 0,0,640,480,0, 2940,640,480:waittimer 45567 blt 0,0,640,480,0, 2941,640,480:waittimer 45582 blt 0,0,640,480,0, 2942,640,480:waittimer 45598 blt 0,0,640,480,0, 2943,640,480:waittimer 45613 blt 0,0,640,480,0, 2944,640,480:waittimer 45629 blt 0,0,640,480,0, 2945,640,480:waittimer 45644 blt 0,0,640,480,0, 2946,640,480:waittimer 45660 blt 0,0,640,480,0, 2947,640,480:waittimer 45675 blt 0,0,640,480,0, 2948,640,480:waittimer 45691 blt 0,0,640,480,0, 2949,640,480:waittimer 45706 blt 0,0,640,480,0, 2950,640,480:waittimer 45722 blt 0,0,640,480,0, 2951,640,480:waittimer 45737 blt 0,0,640,480,0, 2952,640,480:waittimer 45753 blt 0,0,640,480,0, 2953,640,480:waittimer 45768 blt 0,0,640,480,0, 2954,640,480:waittimer 45784 blt 0,0,640,480,0, 2955,640,480:waittimer 45799 blt 0,0,640,480,0, 2956,640,480:waittimer 45815 blt 0,0,640,480,0, 2957,640,480:waittimer 45830 blt 0,0,640,480,0, 2958,640,480:waittimer 45846 blt 0,0,640,480,0, 2959,640,480:waittimer 45861 blt 0,0,640,480,0, 2960,640,480:waittimer 45877 blt 0,0,640,480,0, 2961,640,480:waittimer 45892 blt 0,0,640,480,0, 2962,640,480:waittimer 45908 blt 0,0,640,480,0, 2963,640,480:waittimer 45923 blt 0,0,640,480,0, 2964,640,480:waittimer 45939 blt 0,0,640,480,0, 2965,640,480:waittimer 45954 blt 0,0,640,480,0, 2966,640,480:waittimer 45970 blt 0,0,640,480,0, 2967,640,480:waittimer 45985 blt 0,0,640,480,0, 2968,640,480:waittimer 46001 blt 0,0,640,480,0, 2969,640,480:waittimer 46016 blt 0,0,640,480,0, 2970,640,480:waittimer 46032 blt 0,0,640,480,0, 2971,640,480:waittimer 46047 blt 0,0,640,480,0, 2972,640,480:waittimer 46063 blt 0,0,640,480,0, 2973,640,480:waittimer 46078 blt 0,0,640,480,0, 2974,640,480:waittimer 46094 blt 0,0,640,480,0, 2975,640,480:waittimer 46109 blt 0,0,640,480,0, 2976,640,480:waittimer 46125 blt 0,0,640,480,0, 2977,640,480:waittimer 46140 blt 0,0,640,480,0, 2978,640,480:waittimer 46156 blt 0,0,640,480,0, 2979,640,480:waittimer 46171 blt 0,0,640,480,0, 2980,640,480:waittimer 46187 blt 0,0,640,480,0, 2981,640,480:waittimer 46202 blt 0,0,640,480,0, 2982,640,480:waittimer 46218 blt 0,0,640,480,0, 2983,640,480:waittimer 46233 blt 0,0,640,480,0, 2984,640,480:waittimer 46249 blt 0,0,640,480,0, 2985,640,480:waittimer 46264 blt 0,0,640,480,0, 2986,640,480:waittimer 46280 blt 0,0,640,480,0, 2987,640,480:waittimer 46295 blt 0,0,640,480,0, 2988,640,480:waittimer 46311 blt 0,0,640,480,0, 2989,640,480:waittimer 46326 blt 0,0,640,480,0, 2990,640,480:waittimer 46342 blt 0,0,640,480,0, 2991,640,480:waittimer 46357 blt 0,0,640,480,0, 2992,640,480:waittimer 46373 blt 0,0,640,480,0, 2993,640,480:waittimer 46388 blt 0,0,640,480,0, 2994,640,480:waittimer 46404 blt 0,0,640,480,0, 2995,640,480:waittimer 46419 blt 0,0,640,480,0, 2996,640,480:waittimer 46435 blt 0,0,640,480,0, 2997,640,480:waittimer 46450 blt 0,0,640,480,0, 2998,640,480:waittimer 46466 blt 0,0,640,480,0, 2999,640,480:waittimer 46481 blt 0,0,640,480,0, 3000,640,480:waittimer 46497 blt 0,0,640,480,0, 3001,640,480:waittimer 46512 blt 0,0,640,480,0, 3002,640,480:waittimer 46528 blt 0,0,640,480,0, 3003,640,480:waittimer 46543 blt 0,0,640,480,0, 3004,640,480:waittimer 46559 blt 0,0,640,480,0, 3005,640,480:waittimer 46574 blt 0,0,640,480,0, 3006,640,480:waittimer 46590 blt 0,0,640,480,0, 3007,640,480:waittimer 46605 blt 0,0,640,480,0, 3008,640,480:waittimer 46621 blt 0,0,640,480,0, 3009,640,480:waittimer 46636 blt 0,0,640,480,0, 3010,640,480:waittimer 46652 blt 0,0,640,480,0, 3011,640,480:waittimer 46667 blt 0,0,640,480,0, 3012,640,480:waittimer 46683 blt 0,0,640,480,0, 3013,640,480:waittimer 46698 blt 0,0,640,480,0, 3014,640,480:waittimer 46714 blt 0,0,640,480,0, 3015,640,480:waittimer 46729 blt 0,0,640,480,0, 3016,640,480:waittimer 46745 blt 0,0,640,480,0, 3017,640,480:waittimer 46760 blt 0,0,640,480,0, 3018,640,480:waittimer 46776 blt 0,0,640,480,0, 3019,640,480:waittimer 46791 blt 0,0,640,480,0, 3020,640,480:waittimer 46807 blt 0,0,640,480,0, 3021,640,480:waittimer 46822 blt 0,0,640,480,0, 3022,640,480:waittimer 46838 blt 0,0,640,480,0, 3023,640,480:waittimer 46853 blt 0,0,640,480,0, 3024,640,480:waittimer 46869 blt 0,0,640,480,0, 3025,640,480:waittimer 46884 blt 0,0,640,480,0, 3026,640,480:waittimer 46900 blt 0,0,640,480,0, 3027,640,480:waittimer 46915 blt 0,0,640,480,0, 3028,640,480:waittimer 46931 blt 0,0,640,480,0, 3029,640,480:waittimer 46946 blt 0,0,640,480,0, 3030,640,480:waittimer 46962 blt 0,0,640,480,0, 3031,640,480:waittimer 46977 blt 0,0,640,480,0, 3032,640,480:waittimer 46993 blt 0,0,640,480,0, 3033,640,480:waittimer 47008 blt 0,0,640,480,0, 3034,640,480:waittimer 47024 blt 0,0,640,480,0, 3035,640,480:waittimer 47039 blt 0,0,640,480,0, 3036,640,480:waittimer 47055 blt 0,0,640,480,0, 3037,640,480:waittimer 47070 blt 0,0,640,480,0, 3038,640,480:waittimer 47086 blt 0,0,640,480,0, 3039,640,480:waittimer 47101 blt 0,0,640,480,0, 3040,640,480:waittimer 47117 blt 0,0,640,480,0, 3041,640,480:waittimer 47132 blt 0,0,640,480,0, 3042,640,480:waittimer 47148 blt 0,0,640,480,0, 3043,640,480:waittimer 47163 blt 0,0,640,480,0, 3044,640,480:waittimer 47179 blt 0,0,640,480,0, 3045,640,480:waittimer 47194 blt 0,0,640,480,0, 3046,640,480:waittimer 47210 blt 0,0,640,480,0, 3047,640,480:waittimer 47225 blt 0,0,640,480,0, 3048,640,480:waittimer 47241 blt 0,0,640,480,0, 3049,640,480:waittimer 47256 blt 0,0,640,480,0, 3050,640,480:waittimer 47272 blt 0,0,640,480,0, 3051,640,480:waittimer 47287 blt 0,0,640,480,0, 3052,640,480:waittimer 47303 blt 0,0,640,480,0, 3053,640,480:waittimer 47318 blt 0,0,640,480,0, 3054,640,480:waittimer 47334 blt 0,0,640,480,0, 3055,640,480:waittimer 47349 blt 0,0,640,480,0, 3056,640,480:waittimer 47365 blt 0,0,640,480,0, 3057,640,480:waittimer 47380 blt 0,0,640,480,0, 3058,640,480:waittimer 47396 blt 0,0,640,480,0, 3059,640,480:waittimer 47411 blt 0,0,640,480,0, 3060,640,480:waittimer 47427 blt 0,0,640,480,0, 3061,640,480:waittimer 47442 blt 0,0,640,480,0, 3062,640,480:waittimer 47458 blt 0,0,640,480,0, 3063,640,480:waittimer 47473 blt 0,0,640,480,0, 3064,640,480:waittimer 47489 blt 0,0,640,480,0, 3065,640,480:waittimer 47504 blt 0,0,640,480,0, 3066,640,480:waittimer 47520 blt 0,0,640,480,0, 3067,640,480:waittimer 47535 blt 0,0,640,480,0, 3068,640,480:waittimer 47551 blt 0,0,640,480,0, 3069,640,480:waittimer 47566 blt 0,0,640,480,0, 3070,640,480:waittimer 47582 blt 0,0,640,480,0, 3071,640,480:waittimer 47597 blt 0,0,640,480,0, 3072,640,480:waittimer 47613 blt 0,0,640,480,0, 3073,640,480:waittimer 47628 blt 0,0,640,480,0, 3074,640,480:waittimer 47644 blt 0,0,640,480,0, 3075,640,480:waittimer 47659 blt 0,0,640,480,0, 3076,640,480:waittimer 47675 blt 0,0,640,480,0, 3077,640,480:waittimer 47690 blt 0,0,640,480,0, 3078,640,480:waittimer 47706 blt 0,0,640,480,0, 3079,640,480:waittimer 47721 blt 0,0,640,480,0, 3080,640,480:waittimer 47737 blt 0,0,640,480,0, 3081,640,480:waittimer 47752 blt 0,0,640,480,0, 3082,640,480:waittimer 47768 blt 0,0,640,480,0, 3083,640,480:waittimer 47783 blt 0,0,640,480,0, 3084,640,480:waittimer 47799 blt 0,0,640,480,0, 3085,640,480:waittimer 47814 blt 0,0,640,480,0, 3086,640,480:waittimer 47830 blt 0,0,640,480,0, 3087,640,480:waittimer 47845 blt 0,0,640,480,0, 3088,640,480:waittimer 47861 blt 0,0,640,480,0, 3089,640,480:waittimer 47876 blt 0,0,640,480,0, 3090,640,480:waittimer 47892 blt 0,0,640,480,0, 3091,640,480:waittimer 47907 blt 0,0,640,480,0, 3092,640,480:waittimer 47923 blt 0,0,640,480,0, 3093,640,480:waittimer 47938 blt 0,0,640,480,0, 3094,640,480:waittimer 47954 blt 0,0,640,480,0, 3095,640,480:waittimer 47969 blt 0,0,640,480,0, 3096,640,480:waittimer 47985 blt 0,0,640,480,0, 3097,640,480:waittimer 48000 blt 0,0,640,480,0, 3098,640,480:waittimer 48016 blt 0,0,640,480,0, 3099,640,480:waittimer 48031 blt 0,0,640,480,0, 3100,640,480:waittimer 48047 blt 0,0,640,480,0, 3101,640,480:waittimer 48062 blt 0,0,640,480,0, 3102,640,480:waittimer 48078 blt 0,0,640,480,0, 3103,640,480:waittimer 48093 blt 0,0,640,480,0, 3104,640,480:waittimer 48109 blt 0,0,640,480,0, 3105,640,480:waittimer 48124 blt 0,0,640,480,0, 3106,640,480:waittimer 48140 blt 0,0,640,480,0, 3107,640,480:waittimer 48155 blt 0,0,640,480,0, 3108,640,480:waittimer 48171 blt 0,0,640,480,0, 3109,640,480:waittimer 48186 blt 0,0,640,480,0, 3110,640,480:waittimer 48202 blt 0,0,640,480,0, 3111,640,480:waittimer 48217 blt 0,0,640,480,0, 3112,640,480:waittimer 48233 blt 0,0,640,480,0, 3113,640,480:waittimer 48248 blt 0,0,640,480,0, 3114,640,480:waittimer 48264 blt 0,0,640,480,0, 3115,640,480:waittimer 48279 blt 0,0,640,480,0, 3116,640,480:waittimer 48295 blt 0,0,640,480,0, 3117,640,480:waittimer 48310 blt 0,0,640,480,0, 3118,640,480:waittimer 48326 blt 0,0,640,480,0, 3119,640,480:waittimer 48341 blt 0,0,640,480,0, 3120,640,480:waittimer 48357 blt 0,0,640,480,0, 3121,640,480:waittimer 48372 blt 0,0,640,480,0, 3122,640,480:waittimer 48388 blt 0,0,640,480,0, 3123,640,480:waittimer 48403 blt 0,0,640,480,0, 3124,640,480:waittimer 48419 blt 0,0,640,480,0, 3125,640,480:waittimer 48434 blt 0,0,640,480,0, 3126,640,480:waittimer 48450 blt 0,0,640,480,0, 3127,640,480:waittimer 48465 blt 0,0,640,480,0, 3128,640,480:waittimer 48481 blt 0,0,640,480,0, 3129,640,480:waittimer 48496 blt 0,0,640,480,0, 3130,640,480:waittimer 48512 blt 0,0,640,480,0, 3131,640,480:waittimer 48527 blt 0,0,640,480,0, 3132,640,480:waittimer 48543 blt 0,0,640,480,0, 3133,640,480:waittimer 48558 blt 0,0,640,480,0, 3134,640,480:waittimer 48574 blt 0,0,640,480,0, 3135,640,480:waittimer 48589 blt 0,0,640,480,0, 3136,640,480:waittimer 48605 blt 0,0,640,480,0, 3137,640,480:waittimer 48620 blt 0,0,640,480,0, 3138,640,480:waittimer 48636 blt 0,0,640,480,0, 3139,640,480:waittimer 48651 blt 0,0,640,480,0, 3140,640,480:waittimer 48667 blt 0,0,640,480,0, 3141,640,480:waittimer 48682 blt 0,0,640,480,0, 3142,640,480:waittimer 48698 blt 0,0,640,480,0, 3143,640,480:waittimer 48713 blt 0,0,640,480,0, 3144,640,480:waittimer 48729 blt 0,0,640,480,0, 3145,640,480:waittimer 48744 blt 0,0,640,480,0, 3146,640,480:waittimer 48760 blt 0,0,640,480,0, 3147,640,480:waittimer 48775 blt 0,0,640,480,0, 3148,640,480:waittimer 48791 blt 0,0,640,480,0, 3149,640,480:waittimer 48806 blt 0,0,640,480,0, 3150,640,480:waittimer 48822 blt 0,0,640,480,0, 3151,640,480:waittimer 48837 blt 0,0,640,480,0, 3152,640,480:waittimer 48853 blt 0,0,640,480,0, 3153,640,480:waittimer 48868 blt 0,0,640,480,0, 3154,640,480:waittimer 48884 blt 0,0,640,480,0, 3155,640,480:waittimer 48899 blt 0,0,640,480,0, 3156,640,480:waittimer 48915 blt 0,0,640,480,0, 3157,640,480:waittimer 48930 blt 0,0,640,480,0, 3158,640,480:waittimer 48946 blt 0,0,640,480,0, 3159,640,480:waittimer 48961 blt 0,0,640,480,0, 3160,640,480:waittimer 48977 blt 0,0,640,480,0, 3161,640,480:waittimer 48992 blt 0,0,640,480,0, 3162,640,480:waittimer 49008 blt 0,0,640,480,0, 3163,640,480:waittimer 49023 blt 0,0,640,480,0, 3164,640,480:waittimer 49039 blt 0,0,640,480,0, 3165,640,480:waittimer 49054 blt 0,0,640,480,0, 3166,640,480:waittimer 49070 blt 0,0,640,480,0, 3167,640,480:waittimer 49085 blt 0,0,640,480,0, 3168,640,480:waittimer 49101 blt 0,0,640,480,0, 3169,640,480:waittimer 49116 blt 0,0,640,480,0, 3170,640,480:waittimer 49132 blt 0,0,640,480,0, 3171,640,480:waittimer 49147 blt 0,0,640,480,0, 3172,640,480:waittimer 49163 blt 0,0,640,480,0, 3173,640,480:waittimer 49178 blt 0,0,640,480,0, 3174,640,480:waittimer 49194 blt 0,0,640,480,0, 3175,640,480:waittimer 49209 blt 0,0,640,480,0, 3176,640,480:waittimer 49225 blt 0,0,640,480,0, 3177,640,480:waittimer 49240 blt 0,0,640,480,0, 3178,640,480:waittimer 49256 blt 0,0,640,480,0, 3179,640,480:waittimer 49271 blt 0,0,640,480,0, 3180,640,480:waittimer 49287 blt 0,0,640,480,0, 3181,640,480:waittimer 49302 blt 0,0,640,480,0, 3182,640,480:waittimer 49318 blt 0,0,640,480,0, 3183,640,480:waittimer 49333 blt 0,0,640,480,0, 3184,640,480:waittimer 49349 blt 0,0,640,480,0, 3185,640,480:waittimer 49364 blt 0,0,640,480,0, 3186,640,480:waittimer 49380 blt 0,0,640,480,0, 3187,640,480:waittimer 49395 blt 0,0,640,480,0, 3188,640,480:waittimer 49411 blt 0,0,640,480,0, 3189,640,480:waittimer 49426 blt 0,0,640,480,0, 3190,640,480:waittimer 49442 blt 0,0,640,480,0, 3191,640,480:waittimer 49457 blt 0,0,640,480,0, 3192,640,480:waittimer 49473 blt 0,0,640,480,0, 3193,640,480:waittimer 49488 blt 0,0,640,480,0, 3194,640,480:waittimer 49504 blt 0,0,640,480,0, 3195,640,480:waittimer 49519 blt 0,0,640,480,0, 3196,640,480:waittimer 49535 blt 0,0,640,480,0, 3197,640,480:waittimer 49550 blt 0,0,640,480,0, 3198,640,480:waittimer 49566 blt 0,0,640,480,0, 3199,640,480:waittimer 49581 blt 0,0,640,480,0, 3200,640,480:waittimer 49597 blt 0,0,640,480,0, 3201,640,480:waittimer 49612 blt 0,0,640,480,0, 3202,640,480:waittimer 49628 blt 0,0,640,480,0, 3203,640,480:waittimer 49643 blt 0,0,640,480,0, 3204,640,480:waittimer 49659 blt 0,0,640,480,0, 3205,640,480:waittimer 49674 blt 0,0,640,480,0, 3206,640,480:waittimer 49690 blt 0,0,640,480,0, 3207,640,480:waittimer 49705 blt 0,0,640,480,0, 3208,640,480:waittimer 49721 blt 0,0,640,480,0, 3209,640,480:waittimer 49736 blt 0,0,640,480,0, 3210,640,480:waittimer 49752 blt 0,0,640,480,0, 3211,640,480:waittimer 49767 blt 0,0,640,480,0, 3212,640,480:waittimer 49783 blt 0,0,640,480,0, 3213,640,480:waittimer 49798 blt 0,0,640,480,0, 3214,640,480:waittimer 49814 blt 0,0,640,480,0, 3215,640,480:waittimer 49829 blt 0,0,640,480,0, 3216,640,480:waittimer 49845 blt 0,0,640,480,0, 3217,640,480:waittimer 49860 blt 0,0,640,480,0, 3218,640,480:waittimer 49876 blt 0,0,640,480,0, 3219,640,480:waittimer 49891 blt 0,0,640,480,0, 3220,640,480:waittimer 49907 blt 0,0,640,480,0, 3221,640,480:waittimer 49922 blt 0,0,640,480,0, 3222,640,480:waittimer 49938 blt 0,0,640,480,0, 3223,640,480:waittimer 49953 blt 0,0,640,480,0, 3224,640,480:waittimer 49969 blt 0,0,640,480,0, 3225,640,480:waittimer 49984 blt 0,0,640,480,0, 3226,640,480:waittimer 50000 blt 0,0,640,480,0, 3227,640,480:waittimer 50015 blt 0,0,640,480,0, 3228,640,480:waittimer 50031 blt 0,0,640,480,0, 3229,640,480:waittimer 50046 blt 0,0,640,480,0, 3230,640,480:waittimer 50062 blt 0,0,640,480,0, 3231,640,480:waittimer 50077 blt 0,0,640,480,0, 3232,640,480:waittimer 50093 blt 0,0,640,480,0, 3233,640,480:waittimer 50108 blt 0,0,640,480,0, 3234,640,480:waittimer 50124 blt 0,0,640,480,0, 3235,640,480:waittimer 50139 blt 0,0,640,480,0, 3236,640,480:waittimer 50155 blt 0,0,640,480,0, 3237,640,480:waittimer 50170 blt 0,0,640,480,0, 3238,640,480:waittimer 50186 blt 0,0,640,480,0, 3239,640,480:waittimer 50201 blt 0,0,640,480,0, 3240,640,480:waittimer 50217 blt 0,0,640,480,0, 3241,640,480:waittimer 50232 blt 0,0,640,480,0, 3242,640,480:waittimer 50248 blt 0,0,640,480,0, 3243,640,480:waittimer 50263 blt 0,0,640,480,0, 3244,640,480:waittimer 50279 blt 0,0,640,480,0, 3245,640,480:waittimer 50294 blt 0,0,640,480,0, 3246,640,480:waittimer 50310 blt 0,0,640,480,0, 3247,640,480:waittimer 50325 blt 0,0,640,480,0, 3248,640,480:waittimer 50341 blt 0,0,640,480,0, 3249,640,480:waittimer 50356 blt 0,0,640,480,0, 3250,640,480:waittimer 50372 blt 0,0,640,480,0, 3251,640,480:waittimer 50387 blt 0,0,640,480,0, 3252,640,480:waittimer 50403 blt 0,0,640,480,0, 3253,640,480:waittimer 50418 blt 0,0,640,480,0, 3254,640,480:waittimer 50434 blt 0,0,640,480,0, 3255,640,480:waittimer 50449 blt 0,0,640,480,0, 3256,640,480:waittimer 50465 blt 0,0,640,480,0, 3257,640,480:waittimer 50480 blt 0,0,640,480,0, 3258,640,480:waittimer 50496 blt 0,0,640,480,0, 3259,640,480:waittimer 50511 blt 0,0,640,480,0, 3260,640,480:waittimer 50527 blt 0,0,640,480,0, 3261,640,480:waittimer 50542 blt 0,0,640,480,0, 3262,640,480:waittimer 50558 blt 0,0,640,480,0, 3263,640,480:waittimer 50573 blt 0,0,640,480,0, 3264,640,480:waittimer 50589 blt 0,0,640,480,0, 3265,640,480:waittimer 50604 blt 0,0,640,480,0, 3266,640,480:waittimer 50620 blt 0,0,640,480,0, 3267,640,480:waittimer 50635 blt 0,0,640,480,0, 3268,640,480:waittimer 50651 blt 0,0,640,480,0, 3269,640,480:waittimer 50666 blt 0,0,640,480,0, 3270,640,480:waittimer 50682 blt 0,0,640,480,0, 3271,640,480:waittimer 50697 blt 0,0,640,480,0, 3272,640,480:waittimer 50713 blt 0,0,640,480,0, 3273,640,480:waittimer 50728 blt 0,0,640,480,0, 3274,640,480:waittimer 50744 blt 0,0,640,480,0, 3275,640,480:waittimer 50759 blt 0,0,640,480,0, 3276,640,480:waittimer 50775 blt 0,0,640,480,0, 3277,640,480:waittimer 50790 blt 0,0,640,480,0, 3278,640,480:waittimer 50806 blt 0,0,640,480,0, 3279,640,480:waittimer 50821 blt 0,0,640,480,0, 3280,640,480:waittimer 50837 blt 0,0,640,480,0, 3281,640,480:waittimer 50852 blt 0,0,640,480,0, 3282,640,480:waittimer 50868 blt 0,0,640,480,0, 3283,640,480:waittimer 50883 blt 0,0,640,480,0, 3284,640,480:waittimer 50899 blt 0,0,640,480,0, 3285,640,480:waittimer 50914 blt 0,0,640,480,0, 3286,640,480:waittimer 50930 blt 0,0,640,480,0, 3287,640,480:waittimer 50945 blt 0,0,640,480,0, 3288,640,480:waittimer 50961 blt 0,0,640,480,0, 3289,640,480:waittimer 50976 blt 0,0,640,480,0, 3290,640,480:waittimer 50992 blt 0,0,640,480,0, 3291,640,480:waittimer 51007 blt 0,0,640,480,0, 3292,640,480:waittimer 51023 blt 0,0,640,480,0, 3293,640,480:waittimer 51038 blt 0,0,640,480,0, 3294,640,480:waittimer 51054 blt 0,0,640,480,0, 3295,640,480:waittimer 51069 blt 0,0,640,480,0, 3296,640,480:waittimer 51085 blt 0,0,640,480,0, 3297,640,480:waittimer 51100 blt 0,0,640,480,0, 3298,640,480:waittimer 51116 blt 0,0,640,480,0, 3299,640,480:waittimer 51131 blt 0,0,640,480,0, 3300,640,480:waittimer 51147 blt 0,0,640,480,0, 3301,640,480:waittimer 51162 blt 0,0,640,480,0, 3302,640,480:waittimer 51178 blt 0,0,640,480,0, 3303,640,480:waittimer 51193 blt 0,0,640,480,0, 3304,640,480:waittimer 51209 blt 0,0,640,480,0, 3305,640,480:waittimer 51224 blt 0,0,640,480,0, 3306,640,480:waittimer 51240 blt 0,0,640,480,0, 3307,640,480:waittimer 51255 blt 0,0,640,480,0, 3308,640,480:waittimer 51271 blt 0,0,640,480,0, 3309,640,480:waittimer 51286 blt 0,0,640,480,0, 3310,640,480:waittimer 51302 blt 0,0,640,480,0, 3311,640,480:waittimer 51317 blt 0,0,640,480,0, 3312,640,480:waittimer 51333 blt 0,0,640,480,0, 3313,640,480:waittimer 51348 blt 0,0,640,480,0, 3314,640,480:waittimer 51364 blt 0,0,640,480,0, 3315,640,480:waittimer 51379 blt 0,0,640,480,0, 3316,640,480:waittimer 51395 blt 0,0,640,480,0, 3317,640,480:waittimer 51410 blt 0,0,640,480,0, 3318,640,480:waittimer 51426 blt 0,0,640,480,0, 3319,640,480:waittimer 51441 blt 0,0,640,480,0, 3320,640,480:waittimer 51457 blt 0,0,640,480,0, 3321,640,480:waittimer 51472 blt 0,0,640,480,0, 3322,640,480:waittimer 51488 blt 0,0,640,480,0, 3323,640,480:waittimer 51503 blt 0,0,640,480,0, 3324,640,480:waittimer 51519 blt 0,0,640,480,0, 3325,640,480:waittimer 51534 blt 0,0,640,480,0, 3326,640,480:waittimer 51550 blt 0,0,640,480,0, 3327,640,480:waittimer 51565 blt 0,0,640,480,0, 3328,640,480:waittimer 51581 blt 0,0,640,480,0, 3329,640,480:waittimer 51596 blt 0,0,640,480,0, 3330,640,480:waittimer 51612 blt 0,0,640,480,0, 3331,640,480:waittimer 51627 blt 0,0,640,480,0, 3332,640,480:waittimer 51643 blt 0,0,640,480,0, 3333,640,480:waittimer 51658 blt 0,0,640,480,0, 3334,640,480:waittimer 51674 blt 0,0,640,480,0, 3335,640,480:waittimer 51689 blt 0,0,640,480,0, 3336,640,480:waittimer 51705 blt 0,0,640,480,0, 3337,640,480:waittimer 51720 blt 0,0,640,480,0, 3338,640,480:waittimer 51736 blt 0,0,640,480,0, 3339,640,480:waittimer 51751 blt 0,0,640,480,0, 3340,640,480:waittimer 51767 blt 0,0,640,480,0, 3341,640,480:waittimer 51782 blt 0,0,640,480,0, 3342,640,480:waittimer 51798 blt 0,0,640,480,0, 3343,640,480:waittimer 51813 blt 0,0,640,480,0, 3344,640,480:waittimer 51829 blt 0,0,640,480,0, 3345,640,480:waittimer 51844 blt 0,0,640,480,0, 3346,640,480:waittimer 51860 blt 0,0,640,480,0, 3347,640,480:waittimer 51875 blt 0,0,640,480,0, 3348,640,480:waittimer 51891 blt 0,0,640,480,0, 3349,640,480:waittimer 51906 blt 0,0,640,480,0, 3350,640,480:waittimer 51922 blt 0,0,640,480,0, 3351,640,480:waittimer 51937 blt 0,0,640,480,0, 3352,640,480:waittimer 51953 blt 0,0,640,480,0, 3353,640,480:waittimer 51968 blt 0,0,640,480,0, 3354,640,480:waittimer 51984 blt 0,0,640,480,0, 3355,640,480:waittimer 51999 blt 0,0,640,480,0, 3356,640,480:waittimer 52015 blt 0,0,640,480,0, 3357,640,480:waittimer 52030 blt 0,0,640,480,0, 3358,640,480:waittimer 52046 blt 0,0,640,480,0, 3359,640,480:waittimer 52061 blt 0,0,640,480,0, 3360,640,480:waittimer 52077 blt 0,0,640,480,0, 3361,640,480:waittimer 52092 blt 0,0,640,480,0, 3362,640,480:waittimer 52108 blt 0,0,640,480,0, 3363,640,480:waittimer 52123 blt 0,0,640,480,0, 3364,640,480:waittimer 52139 blt 0,0,640,480,0, 3365,640,480:waittimer 52154 blt 0,0,640,480,0, 3366,640,480:waittimer 52170 blt 0,0,640,480,0, 3367,640,480:waittimer 52185 blt 0,0,640,480,0, 3368,640,480:waittimer 52201 blt 0,0,640,480,0, 3369,640,480:waittimer 52216 blt 0,0,640,480,0, 3370,640,480:waittimer 52232 blt 0,0,640,480,0, 3371,640,480:waittimer 52247 blt 0,0,640,480,0, 3372,640,480:waittimer 52263 blt 0,0,640,480,0, 3373,640,480:waittimer 52278 blt 0,0,640,480,0, 3374,640,480:waittimer 52294 blt 0,0,640,480,0, 3375,640,480:waittimer 52309 blt 0,0,640,480,0, 3376,640,480:waittimer 52325 blt 0,0,640,480,0, 3377,640,480:waittimer 52340 blt 0,0,640,480,0, 3378,640,480:waittimer 52356 blt 0,0,640,480,0, 3379,640,480:waittimer 52371 blt 0,0,640,480,0, 3380,640,480:waittimer 52387 blt 0,0,640,480,0, 3381,640,480:waittimer 52402 blt 0,0,640,480,0, 3382,640,480:waittimer 52418 blt 0,0,640,480,0, 3383,640,480:waittimer 52433 blt 0,0,640,480,0, 3384,640,480:waittimer 52449 blt 0,0,640,480,0, 3385,640,480:waittimer 52464 blt 0,0,640,480,0, 3386,640,480:waittimer 52480 blt 0,0,640,480,0, 3387,640,480:waittimer 52495 blt 0,0,640,480,0, 3388,640,480:waittimer 52511 blt 0,0,640,480,0, 3389,640,480:waittimer 52526 blt 0,0,640,480,0, 3390,640,480:waittimer 52542 blt 0,0,640,480,0, 3391,640,480:waittimer 52557 blt 0,0,640,480,0, 3392,640,480:waittimer 52573 blt 0,0,640,480,0, 3393,640,480:waittimer 52588 blt 0,0,640,480,0, 3394,640,480:waittimer 52604 blt 0,0,640,480,0, 3395,640,480:waittimer 52619 blt 0,0,640,480,0, 3396,640,480:waittimer 52635 blt 0,0,640,480,0, 3397,640,480:waittimer 52650 blt 0,0,640,480,0, 3398,640,480:waittimer 52666 blt 0,0,640,480,0, 3399,640,480:waittimer 52681 blt 0,0,640,480,0, 3400,640,480:waittimer 52697 blt 0,0,640,480,0, 3401,640,480:waittimer 52712 blt 0,0,640,480,0, 3402,640,480:waittimer 52728 blt 0,0,640,480,0, 3403,640,480:waittimer 52743 blt 0,0,640,480,0, 3404,640,480:waittimer 52759 blt 0,0,640,480,0, 3405,640,480:waittimer 52774 blt 0,0,640,480,0, 3406,640,480:waittimer 52790 blt 0,0,640,480,0, 3407,640,480:waittimer 52805 blt 0,0,640,480,0, 3408,640,480:waittimer 52821 blt 0,0,640,480,0, 3409,640,480:waittimer 52836 blt 0,0,640,480,0, 3410,640,480:waittimer 52852 blt 0,0,640,480,0, 3411,640,480:waittimer 52867 blt 0,0,640,480,0, 3412,640,480:waittimer 52883 blt 0,0,640,480,0, 3413,640,480:waittimer 52898 blt 0,0,640,480,0, 3414,640,480:waittimer 52914 blt 0,0,640,480,0, 3415,640,480:waittimer 52929 blt 0,0,640,480,0, 3416,640,480:waittimer 52945 blt 0,0,640,480,0, 3417,640,480:waittimer 52960 blt 0,0,640,480,0, 3418,640,480:waittimer 52976 blt 0,0,640,480,0, 3419,640,480:waittimer 52991 blt 0,0,640,480,0, 3420,640,480:waittimer 53007 blt 0,0,640,480,0, 3421,640,480:waittimer 53022 blt 0,0,640,480,0, 3422,640,480:waittimer 53038 blt 0,0,640,480,0, 3423,640,480:waittimer 53053 blt 0,0,640,480,0, 3424,640,480:waittimer 53069 blt 0,0,640,480,0, 3425,640,480:waittimer 53084 blt 0,0,640,480,0, 3426,640,480:waittimer 53100 blt 0,0,640,480,0, 3427,640,480:waittimer 53115 blt 0,0,640,480,0, 3428,640,480:waittimer 53130 blt 0,0,640,480,0, 3429,640,480:waittimer 53146 blt 0,0,640,480,0, 3430,640,480:waittimer 53161 blt 0,0,640,480,0, 3431,640,480:waittimer 53177 blt 0,0,640,480,0, 3432,640,480:waittimer 53192 blt 0,0,640,480,0, 3433,640,480:waittimer 53208 blt 0,0,640,480,0, 3434,640,480:waittimer 53223 blt 0,0,640,480,0, 3435,640,480:waittimer 53239 blt 0,0,640,480,0, 3436,640,480:waittimer 53254 blt 0,0,640,480,0, 3437,640,480:waittimer 53270 blt 0,0,640,480,0, 3438,640,480:waittimer 53285 blt 0,0,640,480,0, 3439,640,480:waittimer 53301 blt 0,0,640,480,0, 3440,640,480:waittimer 53316 blt 0,0,640,480,0, 3441,640,480:waittimer 53332 blt 0,0,640,480,0, 3442,640,480:waittimer 53347 blt 0,0,640,480,0, 3443,640,480:waittimer 53363 blt 0,0,640,480,0, 3444,640,480:waittimer 53378 blt 0,0,640,480,0, 3445,640,480:waittimer 53394 blt 0,0,640,480,0, 3446,640,480:waittimer 53409 blt 0,0,640,480,0, 3447,640,480:waittimer 53425 blt 0,0,640,480,0, 3448,640,480:waittimer 53440 blt 0,0,640,480,0, 3449,640,480:waittimer 53456 blt 0,0,640,480,0, 3450,640,480:waittimer 53471 blt 0,0,640,480,0, 3451,640,480:waittimer 53487 blt 0,0,640,480,0, 3452,640,480:waittimer 53502 blt 0,0,640,480,0, 3453,640,480:waittimer 53518 blt 0,0,640,480,0, 3454,640,480:waittimer 53533 blt 0,0,640,480,0, 3455,640,480:waittimer 53549 blt 0,0,640,480,0, 3456,640,480:waittimer 53564 blt 0,0,640,480,0, 3457,640,480:waittimer 53580 blt 0,0,640,480,0, 3458,640,480:waittimer 53595 blt 0,0,640,480,0, 3459,640,480:waittimer 53611 blt 0,0,640,480,0, 3460,640,480:waittimer 53626 blt 0,0,640,480,0, 3461,640,480:waittimer 53642 blt 0,0,640,480,0, 3462,640,480:waittimer 53657 blt 0,0,640,480,0, 3463,640,480:waittimer 53673 blt 0,0,640,480,0, 3464,640,480:waittimer 53688 blt 0,0,640,480,0, 3465,640,480:waittimer 53704 blt 0,0,640,480,0, 3466,640,480:waittimer 53719 blt 0,0,640,480,0, 3467,640,480:waittimer 53735 blt 0,0,640,480,0, 3468,640,480:waittimer 53750 blt 0,0,640,480,0, 3469,640,480:waittimer 53766 blt 0,0,640,480,0, 3470,640,480:waittimer 53781 blt 0,0,640,480,0, 3471,640,480:waittimer 53797 blt 0,0,640,480,0, 3472,640,480:waittimer 53812 blt 0,0,640,480,0, 3473,640,480:waittimer 53828 blt 0,0,640,480,0, 3474,640,480:waittimer 53843 blt 0,0,640,480,0, 3475,640,480:waittimer 53859 blt 0,0,640,480,0, 3476,640,480:waittimer 53874 blt 0,0,640,480,0, 3477,640,480:waittimer 53890 blt 0,0,640,480,0, 3478,640,480:waittimer 53905 blt 0,0,640,480,0, 3479,640,480:waittimer 53921 blt 0,0,640,480,0, 3480,640,480:waittimer 53936 blt 0,0,640,480,0, 3481,640,480:waittimer 53952 blt 0,0,640,480,0, 3482,640,480:waittimer 53967 blt 0,0,640,480,0, 3483,640,480:waittimer 53983 blt 0,0,640,480,0, 3484,640,480:waittimer 53998 blt 0,0,640,480,0, 3485,640,480:waittimer 54014 blt 0,0,640,480,0, 3486,640,480:waittimer 54029 blt 0,0,640,480,0, 3487,640,480:waittimer 54045 blt 0,0,640,480,0, 3488,640,480:waittimer 54060 blt 0,0,640,480,0, 3489,640,480:waittimer 54076 blt 0,0,640,480,0, 3490,640,480:waittimer 54091 blt 0,0,640,480,0, 3491,640,480:waittimer 54107 blt 0,0,640,480,0, 3492,640,480:waittimer 54122 blt 0,0,640,480,0, 3493,640,480:waittimer 54138 blt 0,0,640,480,0, 3494,640,480:waittimer 54153 blt 0,0,640,480,0, 3495,640,480:waittimer 54169 blt 0,0,640,480,0, 3496,640,480:waittimer 54184 blt 0,0,640,480,0, 3497,640,480:waittimer 54200 blt 0,0,640,480,0, 3498,640,480:waittimer 54215 blt 0,0,640,480,0, 3499,640,480:waittimer 54231 blt 0,0,640,480,0, 3500,640,480:waittimer 54246 blt 0,0,640,480,0, 3501,640,480:waittimer 54262 blt 0,0,640,480,0, 3502,640,480:waittimer 54277 blt 0,0,640,480,0, 3503,640,480:waittimer 54293 blt 0,0,640,480,0, 3504,640,480:waittimer 54308 blt 0,0,640,480,0, 3505,640,480:waittimer 54324 blt 0,0,640,480,0, 3506,640,480:waittimer 54339 blt 0,0,640,480,0, 3507,640,480:waittimer 54355 blt 0,0,640,480,0, 3508,640,480:waittimer 54370 blt 0,0,640,480,0, 3509,640,480:waittimer 54386 blt 0,0,640,480,0, 3510,640,480:waittimer 54401 blt 0,0,640,480,0, 3511,640,480:waittimer 54417 blt 0,0,640,480,0, 3512,640,480:waittimer 54432 blt 0,0,640,480,0, 3513,640,480:waittimer 54448 blt 0,0,640,480,0, 3514,640,480:waittimer 54463 blt 0,0,640,480,0, 3515,640,480:waittimer 54479 blt 0,0,640,480,0, 3516,640,480:waittimer 54494 blt 0,0,640,480,0, 3517,640,480:waittimer 54510 blt 0,0,640,480,0, 3518,640,480:waittimer 54525 blt 0,0,640,480,0, 3519,640,480:waittimer 54541 blt 0,0,640,480,0, 3520,640,480:waittimer 54556 blt 0,0,640,480,0, 3521,640,480:waittimer 54572 blt 0,0,640,480,0, 3522,640,480:waittimer 54587 blt 0,0,640,480,0, 3523,640,480:waittimer 54603 blt 0,0,640,480,0, 3524,640,480:waittimer 54618 blt 0,0,640,480,0, 3525,640,480:waittimer 54634 blt 0,0,640,480,0, 3526,640,480:waittimer 54649 blt 0,0,640,480,0, 3527,640,480:waittimer 54665 blt 0,0,640,480,0, 3528,640,480:waittimer 54680 blt 0,0,640,480,0, 3529,640,480:waittimer 54696 blt 0,0,640,480,0, 3530,640,480:waittimer 54711 blt 0,0,640,480,0, 3531,640,480:waittimer 54727 blt 0,0,640,480,0, 3532,640,480:waittimer 54742 blt 0,0,640,480,0, 3533,640,480:waittimer 54758 blt 0,0,640,480,0, 3534,640,480:waittimer 54773 blt 0,0,640,480,0, 3535,640,480:waittimer 54789 blt 0,0,640,480,0, 3536,640,480:waittimer 54804 blt 0,0,640,480,0, 3537,640,480:waittimer 54820 blt 0,0,640,480,0, 3538,640,480:waittimer 54835 blt 0,0,640,480,0, 3539,640,480:waittimer 54851 blt 0,0,640,480,0, 3540,640,480:waittimer 54866 blt 0,0,640,480,0, 3541,640,480:waittimer 54882 blt 0,0,640,480,0, 3542,640,480:waittimer 54897 blt 0,0,640,480,0, 3543,640,480:waittimer 54913 blt 0,0,640,480,0, 3544,640,480:waittimer 54928 blt 0,0,640,480,0, 3545,640,480:waittimer 54944 blt 0,0,640,480,0, 3546,640,480:waittimer 54959 blt 0,0,640,480,0, 3547,640,480:waittimer 54975 blt 0,0,640,480,0, 3548,640,480:waittimer 54990 blt 0,0,640,480,0, 3549,640,480:waittimer 55006 blt 0,0,640,480,0, 3550,640,480:waittimer 55021 blt 0,0,640,480,0, 3551,640,480:waittimer 55037 blt 0,0,640,480,0, 3552,640,480:waittimer 55052 blt 0,0,640,480,0, 3553,640,480:waittimer 55068 blt 0,0,640,480,0, 3554,640,480:waittimer 55083 blt 0,0,640,480,0, 3555,640,480:waittimer 55099 blt 0,0,640,480,0, 3556,640,480:waittimer 55114 blt 0,0,640,480,0, 3557,640,480:waittimer 55130 blt 0,0,640,480,0, 3558,640,480:waittimer 55145 blt 0,0,640,480,0, 3559,640,480:waittimer 55161 blt 0,0,640,480,0, 3560,640,480:waittimer 55176 blt 0,0,640,480,0, 3561,640,480:waittimer 55192 blt 0,0,640,480,0, 3562,640,480:waittimer 55207 blt 0,0,640,480,0, 3563,640,480:waittimer 55223 blt 0,0,640,480,0, 3564,640,480:waittimer 55238 blt 0,0,640,480,0, 3565,640,480:waittimer 55254 blt 0,0,640,480,0, 3566,640,480:waittimer 55269 blt 0,0,640,480,0, 3567,640,480:waittimer 55285 blt 0,0,640,480,0, 3568,640,480:waittimer 55300 blt 0,0,640,480,0, 3569,640,480:waittimer 55316 blt 0,0,640,480,0, 3570,640,480:waittimer 55331 blt 0,0,640,480,0, 3571,640,480:waittimer 55347 blt 0,0,640,480,0, 3572,640,480:waittimer 55362 blt 0,0,640,480,0, 3573,640,480:waittimer 55378 blt 0,0,640,480,0, 3574,640,480:waittimer 55393 blt 0,0,640,480,0, 3575,640,480:waittimer 55409 blt 0,0,640,480,0, 3576,640,480:waittimer 55424 blt 0,0,640,480,0, 3577,640,480:waittimer 55440 blt 0,0,640,480,0, 3578,640,480:waittimer 55455 blt 0,0,640,480,0, 3579,640,480:waittimer 55471 blt 0,0,640,480,0, 3580,640,480:waittimer 55486 blt 0,0,640,480,0, 3581,640,480:waittimer 55502 blt 0,0,640,480,0, 3582,640,480:waittimer 55517 blt 0,0,640,480,0, 3583,640,480:waittimer 55533 blt 0,0,640,480,0, 3584,640,480:waittimer 55548 blt 0,0,640,480,0, 3585,640,480:waittimer 55564 blt 0,0,640,480,0, 3586,640,480:waittimer 55579 blt 0,0,640,480,0, 3587,640,480:waittimer 55595 blt 0,0,640,480,0, 3588,640,480:waittimer 55610 blt 0,0,640,480,0, 3589,640,480:waittimer 55626 blt 0,0,640,480,0, 3590,640,480:waittimer 55641 blt 0,0,640,480,0, 3591,640,480:waittimer 55657 blt 0,0,640,480,0, 3592,640,480:waittimer 55672 blt 0,0,640,480,0, 3593,640,480:waittimer 55688 blt 0,0,640,480,0, 3594,640,480:waittimer 55703 blt 0,0,640,480,0, 3595,640,480:waittimer 55719 blt 0,0,640,480,0, 3596,640,480:waittimer 55734 blt 0,0,640,480,0, 3597,640,480:waittimer 55750 blt 0,0,640,480,0, 3598,640,480:waittimer 55765 blt 0,0,640,480,0, 3599,640,480:waittimer 55781 blt 0,0,640,480,0, 3600,640,480:waittimer 55796 blt 0,0,640,480,0, 3601,640,480:waittimer 55812 blt 0,0,640,480,0, 3602,640,480:waittimer 55827 blt 0,0,640,480,0, 3603,640,480:waittimer 55843 blt 0,0,640,480,0, 3604,640,480:waittimer 55858 blt 0,0,640,480,0, 3605,640,480:waittimer 55874 blt 0,0,640,480,0, 3606,640,480:waittimer 55889 blt 0,0,640,480,0, 3607,640,480:waittimer 55905 blt 0,0,640,480,0, 3608,640,480:waittimer 55920 blt 0,0,640,480,0, 3609,640,480:waittimer 55936 blt 0,0,640,480,0, 3610,640,480:waittimer 55951 blt 0,0,640,480,0, 3611,640,480:waittimer 55967 blt 0,0,640,480,0, 3612,640,480:waittimer 55982 blt 0,0,640,480,0, 3613,640,480:waittimer 55998 blt 0,0,640,480,0, 3614,640,480:waittimer 56013 blt 0,0,640,480,0, 3615,640,480:waittimer 56029 blt 0,0,640,480,0, 3616,640,480:waittimer 56044 blt 0,0,640,480,0, 3617,640,480:waittimer 56060 blt 0,0,640,480,0, 3618,640,480:waittimer 56075 blt 0,0,640,480,0, 3619,640,480:waittimer 56091 blt 0,0,640,480,0, 3620,640,480:waittimer 56106 blt 0,0,640,480,0, 3621,640,480:waittimer 56122 blt 0,0,640,480,0, 3622,640,480:waittimer 56137 blt 0,0,640,480,0, 3623,640,480:waittimer 56153 blt 0,0,640,480,0, 3624,640,480:waittimer 56168 blt 0,0,640,480,0, 3625,640,480:waittimer 56184 blt 0,0,640,480,0, 3626,640,480:waittimer 56199 blt 0,0,640,480,0, 3627,640,480:waittimer 56215 blt 0,0,640,480,0, 3628,640,480:waittimer 56230 blt 0,0,640,480,0, 3629,640,480:waittimer 56246 blt 0,0,640,480,0, 3630,640,480:waittimer 56261 blt 0,0,640,480,0, 3631,640,480:waittimer 56277 blt 0,0,640,480,0, 3632,640,480:waittimer 56292 blt 0,0,640,480,0, 3633,640,480:waittimer 56308 blt 0,0,640,480,0, 3634,640,480:waittimer 56323 blt 0,0,640,480,0, 3635,640,480:waittimer 56339 blt 0,0,640,480,0, 3636,640,480:waittimer 56354 blt 0,0,640,480,0, 3637,640,480:waittimer 56370 blt 0,0,640,480,0, 3638,640,480:waittimer 56385 blt 0,0,640,480,0, 3639,640,480:waittimer 56401 blt 0,0,640,480,0, 3640,640,480:waittimer 56416 blt 0,0,640,480,0, 3641,640,480:waittimer 56432 blt 0,0,640,480,0, 3642,640,480:waittimer 56447 blt 0,0,640,480,0, 3643,640,480:waittimer 56463 blt 0,0,640,480,0, 3644,640,480:waittimer 56478 blt 0,0,640,480,0, 3645,640,480:waittimer 56494 blt 0,0,640,480,0, 3646,640,480:waittimer 56509 blt 0,0,640,480,0, 3647,640,480:waittimer 56525 blt 0,0,640,480,0, 3648,640,480:waittimer 56540 blt 0,0,640,480,0, 3649,640,480:waittimer 56556 blt 0,0,640,480,0, 3650,640,480:waittimer 56571 blt 0,0,640,480,0, 3651,640,480:waittimer 56587 blt 0,0,640,480,0, 3652,640,480:waittimer 56602 blt 0,0,640,480,0, 3653,640,480:waittimer 56618 blt 0,0,640,480,0, 3654,640,480:waittimer 56633 blt 0,0,640,480,0, 3655,640,480:waittimer 56649 blt 0,0,640,480,0, 3656,640,480:waittimer 56664 blt 0,0,640,480,0, 3657,640,480:waittimer 56680 blt 0,0,640,480,0, 3658,640,480:waittimer 56695 blt 0,0,640,480,0, 3659,640,480:waittimer 56711 blt 0,0,640,480,0, 3660,640,480:waittimer 56726 blt 0,0,640,480,0, 3661,640,480:waittimer 56742 blt 0,0,640,480,0, 3662,640,480:waittimer 56757 blt 0,0,640,480,0, 3663,640,480:waittimer 56773 blt 0,0,640,480,0, 3664,640,480:waittimer 56788 blt 0,0,640,480,0, 3665,640,480:waittimer 56804 blt 0,0,640,480,0, 3666,640,480:waittimer 56819 blt 0,0,640,480,0, 3667,640,480:waittimer 56835 blt 0,0,640,480,0, 3668,640,480:waittimer 56850 blt 0,0,640,480,0, 3669,640,480:waittimer 56866 blt 0,0,640,480,0, 3670,640,480:waittimer 56881 blt 0,0,640,480,0, 3671,640,480:waittimer 56897 blt 0,0,640,480,0, 3672,640,480:waittimer 56912 blt 0,0,640,480,0, 3673,640,480:waittimer 56928 blt 0,0,640,480,0, 3674,640,480:waittimer 56943 blt 0,0,640,480,0, 3675,640,480:waittimer 56959 blt 0,0,640,480,0, 3676,640,480:waittimer 56974 blt 0,0,640,480,0, 3677,640,480:waittimer 56990 blt 0,0,640,480,0, 3678,640,480:waittimer 57005 blt 0,0,640,480,0, 3679,640,480:waittimer 57021 blt 0,0,640,480,0, 3680,640,480:waittimer 57036 blt 0,0,640,480,0, 3681,640,480:waittimer 57052 blt 0,0,640,480,0, 3682,640,480:waittimer 57067 blt 0,0,640,480,0, 3683,640,480:waittimer 57083 blt 0,0,640,480,0, 3684,640,480:waittimer 57098 blt 0,0,640,480,0, 3685,640,480:waittimer 57114 blt 0,0,640,480,0, 3686,640,480:waittimer 57129 blt 0,0,640,480,0, 3687,640,480:waittimer 57145 blt 0,0,640,480,0, 3688,640,480:waittimer 57160 blt 0,0,640,480,0, 3689,640,480:waittimer 57176 blt 0,0,640,480,0, 3690,640,480:waittimer 57191 blt 0,0,640,480,0, 3691,640,480:waittimer 57207 blt 0,0,640,480,0, 3692,640,480:waittimer 57222 blt 0,0,640,480,0, 3693,640,480:waittimer 57238 blt 0,0,640,480,0, 3694,640,480:waittimer 57253 blt 0,0,640,480,0, 3695,640,480:waittimer 57269 blt 0,0,640,480,0, 3696,640,480:waittimer 57284 blt 0,0,640,480,0, 3697,640,480:waittimer 57300 blt 0,0,640,480,0, 3698,640,480:waittimer 57315 blt 0,0,640,480,0, 3699,640,480:waittimer 57331 blt 0,0,640,480,0, 3700,640,480:waittimer 57346 blt 0,0,640,480,0, 3701,640,480:waittimer 57362 blt 0,0,640,480,0, 3702,640,480:waittimer 57377 blt 0,0,640,480,0, 3703,640,480:waittimer 57393 blt 0,0,640,480,0, 3704,640,480:waittimer 57408 blt 0,0,640,480,0, 3705,640,480:waittimer 57424 blt 0,0,640,480,0, 3706,640,480:waittimer 57439 blt 0,0,640,480,0, 3707,640,480:waittimer 57455 blt 0,0,640,480,0, 3708,640,480:waittimer 57470 blt 0,0,640,480,0, 3709,640,480:waittimer 57486 blt 0,0,640,480,0, 3710,640,480:waittimer 57501 blt 0,0,640,480,0, 3711,640,480:waittimer 57517 blt 0,0,640,480,0, 3712,640,480:waittimer 57532 blt 0,0,640,480,0, 3713,640,480:waittimer 57548 blt 0,0,640,480,0, 3714,640,480:waittimer 57563 blt 0,0,640,480,0, 3715,640,480:waittimer 57579 blt 0,0,640,480,0, 3716,640,480:waittimer 57594 blt 0,0,640,480,0, 3717,640,480:waittimer 57610 blt 0,0,640,480,0, 3718,640,480:waittimer 57625 blt 0,0,640,480,0, 3719,640,480:waittimer 57641 blt 0,0,640,480,0, 3720,640,480:waittimer 57656 blt 0,0,640,480,0, 3721,640,480:waittimer 57672 blt 0,0,640,480,0, 3722,640,480:waittimer 57687 blt 0,0,640,480,0, 3723,640,480:waittimer 57703 blt 0,0,640,480,0, 3724,640,480:waittimer 57718 blt 0,0,640,480,0, 3725,640,480:waittimer 57734 blt 0,0,640,480,0, 3726,640,480:waittimer 57749 blt 0,0,640,480,0, 3727,640,480:waittimer 57765 blt 0,0,640,480,0, 3728,640,480:waittimer 57780 blt 0,0,640,480,0, 3729,640,480:waittimer 57796 blt 0,0,640,480,0, 3730,640,480:waittimer 57811 blt 0,0,640,480,0, 3731,640,480:waittimer 57827 blt 0,0,640,480,0, 3732,640,480:waittimer 57842 blt 0,0,640,480,0, 3733,640,480:waittimer 57858 blt 0,0,640,480,0, 3734,640,480:waittimer 57873 blt 0,0,640,480,0, 3735,640,480:waittimer 57889 blt 0,0,640,480,0, 3736,640,480:waittimer 57904 blt 0,0,640,480,0, 3737,640,480:waittimer 57920 blt 0,0,640,480,0, 3738,640,480:waittimer 57935 blt 0,0,640,480,0, 3739,640,480:waittimer 57951 blt 0,0,640,480,0, 3740,640,480:waittimer 57966 blt 0,0,640,480,0, 3741,640,480:waittimer 57982 blt 0,0,640,480,0, 3742,640,480:waittimer 57997 blt 0,0,640,480,0, 3743,640,480:waittimer 58013 blt 0,0,640,480,0, 3744,640,480:waittimer 58028 blt 0,0,640,480,0, 3745,640,480:waittimer 58044 blt 0,0,640,480,0, 3746,640,480:waittimer 58059 blt 0,0,640,480,0, 3747,640,480:waittimer 58075 blt 0,0,640,480,0, 3748,640,480:waittimer 58090 blt 0,0,640,480,0, 3749,640,480:waittimer 58106 blt 0,0,640,480,0, 3750,640,480:waittimer 58121 blt 0,0,640,480,0, 3751,640,480:waittimer 58137 blt 0,0,640,480,0, 3752,640,480:waittimer 58152 blt 0,0,640,480,0, 3753,640,480:waittimer 58168 blt 0,0,640,480,0, 3754,640,480:waittimer 58183 blt 0,0,640,480,0, 3755,640,480:waittimer 58199 blt 0,0,640,480,0, 3756,640,480:waittimer 58214 blt 0,0,640,480,0, 3757,640,480:waittimer 58230 blt 0,0,640,480,0, 3758,640,480:waittimer 58245 blt 0,0,640,480,0, 3759,640,480:waittimer 58261 blt 0,0,640,480,0, 3760,640,480:waittimer 58276 blt 0,0,640,480,0, 3761,640,480:waittimer 58292 blt 0,0,640,480,0, 3762,640,480:waittimer 58307 blt 0,0,640,480,0, 3763,640,480:waittimer 58323 blt 0,0,640,480,0, 3764,640,480:waittimer 58338 blt 0,0,640,480,0, 3765,640,480:waittimer 58354 blt 0,0,640,480,0, 3766,640,480:waittimer 58369 blt 0,0,640,480,0, 3767,640,480:waittimer 58385 blt 0,0,640,480,0, 3768,640,480:waittimer 58400 blt 0,0,640,480,0, 3769,640,480:waittimer 58416 blt 0,0,640,480,0, 3770,640,480:waittimer 58431 blt 0,0,640,480,0, 3771,640,480:waittimer 58447 blt 0,0,640,480,0, 3772,640,480:waittimer 58462 blt 0,0,640,480,0, 3773,640,480:waittimer 58478 blt 0,0,640,480,0, 3774,640,480:waittimer 58493 blt 0,0,640,480,0, 3775,640,480:waittimer 58509 blt 0,0,640,480,0, 3776,640,480:waittimer 58524 blt 0,0,640,480,0, 3777,640,480:waittimer 58540 blt 0,0,640,480,0, 3778,640,480:waittimer 58555 blt 0,0,640,480,0, 3779,640,480:waittimer 58571 blt 0,0,640,480,0, 3780,640,480:waittimer 58586 blt 0,0,640,480,0, 3781,640,480:waittimer 58602 blt 0,0,640,480,0, 3782,640,480:waittimer 58617 blt 0,0,640,480,0, 3783,640,480:waittimer 58633 blt 0,0,640,480,0, 3784,640,480:waittimer 58648 blt 0,0,640,480,0, 3785,640,480:waittimer 58664 blt 0,0,640,480,0, 3786,640,480:waittimer 58679 blt 0,0,640,480,0, 3787,640,480:waittimer 58695 blt 0,0,640,480,0, 3788,640,480:waittimer 58710 blt 0,0,640,480,0, 3789,640,480:waittimer 58726 blt 0,0,640,480,0, 3790,640,480:waittimer 58741 blt 0,0,640,480,0, 3791,640,480:waittimer 58757 blt 0,0,640,480,0, 3792,640,480:waittimer 58772 blt 0,0,640,480,0, 3793,640,480:waittimer 58788 blt 0,0,640,480,0, 3794,640,480:waittimer 58803 blt 0,0,640,480,0, 3795,640,480:waittimer 58819 blt 0,0,640,480,0, 3796,640,480:waittimer 58834 blt 0,0,640,480,0, 3797,640,480:waittimer 58850 blt 0,0,640,480,0, 3798,640,480:waittimer 58865 blt 0,0,640,480,0, 3799,640,480:waittimer 58881 blt 0,0,640,480,0, 3800,640,480:waittimer 58896 blt 0,0,640,480,0, 3801,640,480:waittimer 58912 blt 0,0,640,480,0, 3802,640,480:waittimer 58927 blt 0,0,640,480,0, 3803,640,480:waittimer 58943 blt 0,0,640,480,0, 3804,640,480:waittimer 58958 blt 0,0,640,480,0, 3805,640,480:waittimer 58974 blt 0,0,640,480,0, 3806,640,480:waittimer 58989 blt 0,0,640,480,0, 3807,640,480:waittimer 59005 blt 0,0,640,480,0, 3808,640,480:waittimer 59020 blt 0,0,640,480,0, 3809,640,480:waittimer 59036 blt 0,0,640,480,0, 3810,640,480:waittimer 59051 blt 0,0,640,480,0, 3811,640,480:waittimer 59067 blt 0,0,640,480,0, 3812,640,480:waittimer 59082 blt 0,0,640,480,0, 3813,640,480:waittimer 59098 blt 0,0,640,480,0, 3814,640,480:waittimer 59113 blt 0,0,640,480,0, 3815,640,480:waittimer 59129 blt 0,0,640,480,0, 3816,640,480:waittimer 59144 blt 0,0,640,480,0, 3817,640,480:waittimer 59160 blt 0,0,640,480,0, 3818,640,480:waittimer 59175 blt 0,0,640,480,0, 3819,640,480:waittimer 59191 blt 0,0,640,480,0, 3820,640,480:waittimer 59206 blt 0,0,640,480,0, 3821,640,480:waittimer 59222 blt 0,0,640,480,0, 3822,640,480:waittimer 59237 blt 0,0,640,480,0, 3823,640,480:waittimer 59253 blt 0,0,640,480,0, 3824,640,480:waittimer 59268 blt 0,0,640,480,0, 3825,640,480:waittimer 59284 blt 0,0,640,480,0, 3826,640,480:waittimer 59299 blt 0,0,640,480,0, 3827,640,480:waittimer 59315 blt 0,0,640,480,0, 3828,640,480:waittimer 59330 blt 0,0,640,480,0, 3829,640,480:waittimer 59346 blt 0,0,640,480,0, 3830,640,480:waittimer 59361 blt 0,0,640,480,0, 3831,640,480:waittimer 59377 blt 0,0,640,480,0, 3832,640,480:waittimer 59392 blt 0,0,640,480,0, 3833,640,480:waittimer 59408 blt 0,0,640,480,0, 3834,640,480:waittimer 59423 blt 0,0,640,480,0, 3835,640,480:waittimer 59439 blt 0,0,640,480,0, 3836,640,480:waittimer 59454 blt 0,0,640,480,0, 3837,640,480:waittimer 59470 blt 0,0,640,480,0, 3838,640,480:waittimer 59485 blt 0,0,640,480,0, 3839,640,480:waittimer 59501 blt 0,0,640,480,0, 3840,640,480:waittimer 59516 blt 0,0,640,480,0, 3841,640,480:waittimer 59532 blt 0,0,640,480,0, 3842,640,480:waittimer 59547 blt 0,0,640,480,0, 3843,640,480:waittimer 59563 blt 0,0,640,480,0, 3844,640,480:waittimer 59578 blt 0,0,640,480,0, 3845,640,480:waittimer 59594 blt 0,0,640,480,0, 3846,640,480:waittimer 59609 blt 0,0,640,480,0, 3847,640,480:waittimer 59625 blt 0,0,640,480,0, 3848,640,480:waittimer 59640 blt 0,0,640,480,0, 3849,640,480:waittimer 59656 blt 0,0,640,480,0, 3850,640,480:waittimer 59671 blt 0,0,640,480,0, 3851,640,480:waittimer 59687 blt 0,0,640,480,0, 3852,640,480:waittimer 59702 blt 0,0,640,480,0, 3853,640,480:waittimer 59718 blt 0,0,640,480,0, 3854,640,480:waittimer 59733 blt 0,0,640,480,0, 3855,640,480:waittimer 59749 blt 0,0,640,480,0, 3856,640,480:waittimer 59764 blt 0,0,640,480,0, 3857,640,480:waittimer 59780 blt 0,0,640,480,0, 3858,640,480:waittimer 59795 blt 0,0,640,480,0, 3859,640,480:waittimer 59811 blt 0,0,640,480,0, 3860,640,480:waittimer 59826 blt 0,0,640,480,0, 3861,640,480:waittimer 59842 blt 0,0,640,480,0, 3862,640,480:waittimer 59857 blt 0,0,640,480,0, 3863,640,480:waittimer 59873 blt 0,0,640,480,0, 3864,640,480:waittimer 59888 blt 0,0,640,480,0, 3865,640,480:waittimer 59904 blt 0,0,640,480,0, 3866,640,480:waittimer 59919 blt 0,0,640,480,0, 3867,640,480:waittimer 59935 blt 0,0,640,480,0, 3868,640,480:waittimer 59950 blt 0,0,640,480,0, 3869,640,480:waittimer 59966 blt 0,0,640,480,0, 3870,640,480:waittimer 59981 blt 0,0,640,480,0, 3871,640,480:waittimer 59997 blt 0,0,640,480,0, 3872,640,480:waittimer 60012 blt 0,0,640,480,0, 3873,640,480:waittimer 60028 blt 0,0,640,480,0, 3874,640,480:waittimer 60043 blt 0,0,640,480,0, 3875,640,480:waittimer 60059 blt 0,0,640,480,0, 3876,640,480:waittimer 60074 blt 0,0,640,480,0, 3877,640,480:waittimer 60090 blt 0,0,640,480,0, 3878,640,480:waittimer 60105 blt 0,0,640,480,0, 3879,640,480:waittimer 60121 blt 0,0,640,480,0, 3880,640,480:waittimer 60136 blt 0,0,640,480,0, 3881,640,480:waittimer 60152 blt 0,0,640,480,0, 3882,640,480:waittimer 60167 blt 0,0,640,480,0, 3883,640,480:waittimer 60183 blt 0,0,640,480,0, 3884,640,480:waittimer 60198 blt 0,0,640,480,0, 3885,640,480:waittimer 60214 blt 0,0,640,480,0, 3886,640,480:waittimer 60229 blt 0,0,640,480,0, 3887,640,480:waittimer 60245 blt 0,0,640,480,0, 3888,640,480:waittimer 60260 blt 0,0,640,480,0, 3889,640,480:waittimer 60276 blt 0,0,640,480,0, 3890,640,480:waittimer 60291 blt 0,0,640,480,0, 3891,640,480:waittimer 60307 blt 0,0,640,480,0, 3892,640,480:waittimer 60322 blt 0,0,640,480,0, 3893,640,480:waittimer 60338 blt 0,0,640,480,0, 3894,640,480:waittimer 60353 blt 0,0,640,480,0, 3895,640,480:waittimer 60369 blt 0,0,640,480,0, 3896,640,480:waittimer 60384 blt 0,0,640,480,0, 3897,640,480:waittimer 60400 blt 0,0,640,480,0, 3898,640,480:waittimer 60415 blt 0,0,640,480,0, 3899,640,480:waittimer 60431 blt 0,0,640,480,0, 3900,640,480:waittimer 60446 blt 0,0,640,480,0, 3901,640,480:waittimer 60462 blt 0,0,640,480,0, 3902,640,480:waittimer 60477 blt 0,0,640,480,0, 3903,640,480:waittimer 60493 blt 0,0,640,480,0, 3904,640,480:waittimer 60508 blt 0,0,640,480,0, 3905,640,480:waittimer 60524 blt 0,0,640,480,0, 3906,640,480:waittimer 60539 blt 0,0,640,480,0, 3907,640,480:waittimer 60555 blt 0,0,640,480,0, 3908,640,480:waittimer 60570 blt 0,0,640,480,0, 3909,640,480:waittimer 60586 blt 0,0,640,480,0, 3910,640,480:waittimer 60601 blt 0,0,640,480,0, 3911,640,480:waittimer 60617 blt 0,0,640,480,0, 3912,640,480:waittimer 60632 blt 0,0,640,480,0, 3913,640,480:waittimer 60648 blt 0,0,640,480,0, 3914,640,480:waittimer 60663 blt 0,0,640,480,0, 3915,640,480:waittimer 60679 blt 0,0,640,480,0, 3916,640,480:waittimer 60694 blt 0,0,640,480,0, 3917,640,480:waittimer 60710 blt 0,0,640,480,0, 3918,640,480:waittimer 60725 blt 0,0,640,480,0, 3919,640,480:waittimer 60741 blt 0,0,640,480,0, 3920,640,480:waittimer 60756 blt 0,0,640,480,0, 3921,640,480:waittimer 60772 blt 0,0,640,480,0, 3922,640,480:waittimer 60787 blt 0,0,640,480,0, 3923,640,480:waittimer 60803 blt 0,0,640,480,0, 3924,640,480:waittimer 60818 blt 0,0,640,480,0, 3925,640,480:waittimer 60834 blt 0,0,640,480,0, 3926,640,480:waittimer 60849 blt 0,0,640,480,0, 3927,640,480:waittimer 60865 blt 0,0,640,480,0, 3928,640,480:waittimer 60880 blt 0,0,640,480,0, 3929,640,480:waittimer 60896 blt 0,0,640,480,0, 3930,640,480:waittimer 60911 blt 0,0,640,480,0, 3931,640,480:waittimer 60927 blt 0,0,640,480,0, 3932,640,480:waittimer 60942 blt 0,0,640,480,0, 3933,640,480:waittimer 60958 blt 0,0,640,480,0, 3934,640,480:waittimer 60973 blt 0,0,640,480,0, 3935,640,480:waittimer 60989 blt 0,0,640,480,0, 3936,640,480:waittimer 61004 blt 0,0,640,480,0, 3937,640,480:waittimer 61020 blt 0,0,640,480,0, 3938,640,480:waittimer 61035 blt 0,0,640,480,0, 3939,640,480:waittimer 61051 blt 0,0,640,480,0, 3940,640,480:waittimer 61066 blt 0,0,640,480,0, 3941,640,480:waittimer 61082 blt 0,0,640,480,0, 3942,640,480:waittimer 61097 blt 0,0,640,480,0, 3943,640,480:waittimer 61113 blt 0,0,640,480,0, 3944,640,480:waittimer 61128 blt 0,0,640,480,0, 3945,640,480:waittimer 61144 blt 0,0,640,480,0, 3946,640,480:waittimer 61159 blt 0,0,640,480,0, 3947,640,480:waittimer 61175 blt 0,0,640,480,0, 3948,640,480:waittimer 61190 blt 0,0,640,480,0, 3949,640,480:waittimer 61206 blt 0,0,640,480,0, 3950,640,480:waittimer 61221 blt 0,0,640,480,0, 3951,640,480:waittimer 61237 blt 0,0,640,480,0, 3952,640,480:waittimer 61252 blt 0,0,640,480,0, 3953,640,480:waittimer 61268 blt 0,0,640,480,0, 3954,640,480:waittimer 61283 blt 0,0,640,480,0, 3955,640,480:waittimer 61299 blt 0,0,640,480,0, 3956,640,480:waittimer 61314 blt 0,0,640,480,0, 3957,640,480:waittimer 61330 blt 0,0,640,480,0, 3958,640,480:waittimer 61345 blt 0,0,640,480,0, 3959,640,480:waittimer 61361 blt 0,0,640,480,0, 3960,640,480:waittimer 61376 blt 0,0,640,480,0, 3961,640,480:waittimer 61392 blt 0,0,640,480,0, 3962,640,480:waittimer 61407 blt 0,0,640,480,0, 3963,640,480:waittimer 61423 blt 0,0,640,480,0, 3964,640,480:waittimer 61438 blt 0,0,640,480,0, 3965,640,480:waittimer 61454 blt 0,0,640,480,0, 3966,640,480:waittimer 61469 blt 0,0,640,480,0, 3967,640,480:waittimer 61485 blt 0,0,640,480,0, 3968,640,480:waittimer 61500 blt 0,0,640,480,0, 3969,640,480:waittimer 61516 blt 0,0,640,480,0, 3970,640,480:waittimer 61531 blt 0,0,640,480,0, 3971,640,480:waittimer 61547 blt 0,0,640,480,0, 3972,640,480:waittimer 61562 blt 0,0,640,480,0, 3973,640,480:waittimer 61578 blt 0,0,640,480,0, 3974,640,480:waittimer 61593 blt 0,0,640,480,0, 3975,640,480:waittimer 61609 blt 0,0,640,480,0, 3976,640,480:waittimer 61624 blt 0,0,640,480,0, 3977,640,480:waittimer 61640 blt 0,0,640,480,0, 3978,640,480:waittimer 61655 blt 0,0,640,480,0, 3979,640,480:waittimer 61671 blt 0,0,640,480,0, 3980,640,480:waittimer 61686 blt 0,0,640,480,0, 3981,640,480:waittimer 61702 blt 0,0,640,480,0, 3982,640,480:waittimer 61717 blt 0,0,640,480,0, 3983,640,480:waittimer 61733 blt 0,0,640,480,0, 3984,640,480:waittimer 61748 blt 0,0,640,480,0, 3985,640,480:waittimer 61764 blt 0,0,640,480,0, 3986,640,480:waittimer 61779 blt 0,0,640,480,0, 3987,640,480:waittimer 61795 blt 0,0,640,480,0, 3988,640,480:waittimer 61810 blt 0,0,640,480,0, 3989,640,480:waittimer 61826 blt 0,0,640,480,0, 3990,640,480:waittimer 61841 blt 0,0,640,480,0, 3991,640,480:waittimer 61857 blt 0,0,640,480,0, 3992,640,480:waittimer 61872 blt 0,0,640,480,0, 3993,640,480:waittimer 61888 blt 0,0,640,480,0, 3994,640,480:waittimer 61903 blt 0,0,640,480,0, 3995,640,480:waittimer 61919 blt 0,0,640,480,0, 3996,640,480:waittimer 61934 blt 0,0,640,480,0, 3997,640,480:waittimer 61950 blt 0,0,640,480,0, 3998,640,480:waittimer 61965 blt 0,0,640,480,0, 3999,640,480:waittimer 61980 blt 0,0,640,480,0, 4000,640,480:waittimer 61996 blt 0,0,640,480,0, 4001,640,480:waittimer 62011 blt 0,0,640,480,0, 4002,640,480:waittimer 62027 blt 0,0,640,480,0, 4003,640,480:waittimer 62042 blt 0,0,640,480,0, 4004,640,480:waittimer 62058 blt 0,0,640,480,0, 4005,640,480:waittimer 62073 blt 0,0,640,480,0, 4006,640,480:waittimer 62089 blt 0,0,640,480,0, 4007,640,480:waittimer 62104 blt 0,0,640,480,0, 4008,640,480:waittimer 62120 blt 0,0,640,480,0, 4009,640,480:waittimer 62135 blt 0,0,640,480,0, 4010,640,480:waittimer 62151 blt 0,0,640,480,0, 4011,640,480:waittimer 62166 blt 0,0,640,480,0, 4012,640,480:waittimer 62182 blt 0,0,640,480,0, 4013,640,480:waittimer 62197 blt 0,0,640,480,0, 4014,640,480:waittimer 62213 blt 0,0,640,480,0, 4015,640,480:waittimer 62228 blt 0,0,640,480,0, 4016,640,480:waittimer 62244 blt 0,0,640,480,0, 4017,640,480:waittimer 62259 blt 0,0,640,480,0, 4018,640,480:waittimer 62275 blt 0,0,640,480,0, 4019,640,480:waittimer 62290 blt 0,0,640,480,0, 4020,640,480:waittimer 62306 blt 0,0,640,480,0, 4021,640,480:waittimer 62321 blt 0,0,640,480,0, 4022,640,480:waittimer 62337 blt 0,0,640,480,0, 4023,640,480:waittimer 62352 blt 0,0,640,480,0, 4024,640,480:waittimer 62368 blt 0,0,640,480,0, 4025,640,480:waittimer 62383 blt 0,0,640,480,0, 4026,640,480:waittimer 62399 blt 0,0,640,480,0, 4027,640,480:waittimer 62414 blt 0,0,640,480,0, 4028,640,480:waittimer 62430 blt 0,0,640,480,0, 4029,640,480:waittimer 62445 blt 0,0,640,480,0, 4030,640,480:waittimer 62461 blt 0,0,640,480,0, 4031,640,480:waittimer 62476 blt 0,0,640,480,0, 4032,640,480:waittimer 62492 blt 0,0,640,480,0, 4033,640,480:waittimer 62507 blt 0,0,640,480,0, 4034,640,480:waittimer 62523 blt 0,0,640,480,0, 4035,640,480:waittimer 62538 blt 0,0,640,480,0, 4036,640,480:waittimer 62554 blt 0,0,640,480,0, 4037,640,480:waittimer 62569 blt 0,0,640,480,0, 4038,640,480:waittimer 62585 blt 0,0,640,480,0, 4039,640,480:waittimer 62600 blt 0,0,640,480,0, 4040,640,480:waittimer 62616 blt 0,0,640,480,0, 4041,640,480:waittimer 62631 blt 0,0,640,480,0, 4042,640,480:waittimer 62647 blt 0,0,640,480,0, 4043,640,480:waittimer 62662 blt 0,0,640,480,0, 4044,640,480:waittimer 62678 blt 0,0,640,480,0, 4045,640,480:waittimer 62693 blt 0,0,640,480,0, 4046,640,480:waittimer 62709 blt 0,0,640,480,0, 4047,640,480:waittimer 62724 blt 0,0,640,480,0, 4048,640,480:waittimer 62740 blt 0,0,640,480,0, 4049,640,480:waittimer 62755 blt 0,0,640,480,0, 4050,640,480:waittimer 62771 blt 0,0,640,480,0, 4051,640,480:waittimer 62786 blt 0,0,640,480,0, 4052,640,480:waittimer 62802 blt 0,0,640,480,0, 4053,640,480:waittimer 62817 blt 0,0,640,480,0, 4054,640,480:waittimer 62833 blt 0,0,640,480,0, 4055,640,480:waittimer 62848 blt 0,0,640,480,0, 4056,640,480:waittimer 62864 blt 0,0,640,480,0, 4057,640,480:waittimer 62879 blt 0,0,640,480,0, 4058,640,480:waittimer 62895 blt 0,0,640,480,0, 4059,640,480:waittimer 62910 blt 0,0,640,480,0, 4060,640,480:waittimer 62926 blt 0,0,640,480,0, 4061,640,480:waittimer 62941 blt 0,0,640,480,0, 4062,640,480:waittimer 62957 blt 0,0,640,480,0, 4063,640,480:waittimer 62972 blt 0,0,640,480,0, 4064,640,480:waittimer 62988 blt 0,0,640,480,0, 4065,640,480:waittimer 63003 blt 0,0,640,480,0, 4066,640,480:waittimer 63019 blt 0,0,640,480,0, 4067,640,480:waittimer 63034 blt 0,0,640,480,0, 4068,640,480:waittimer 63050 blt 0,0,640,480,0, 4069,640,480:waittimer 63065 blt 0,0,640,480,0, 4070,640,480:waittimer 63081 blt 0,0,640,480,0, 4071,640,480:waittimer 63096 blt 0,0,640,480,0, 4072,640,480:waittimer 63112 blt 0,0,640,480,0, 4073,640,480:waittimer 63127 blt 0,0,640,480,0, 4074,640,480:waittimer 63143 blt 0,0,640,480,0, 4075,640,480:waittimer 63158 blt 0,0,640,480,0, 4076,640,480:waittimer 63174 blt 0,0,640,480,0, 4077,640,480:waittimer 63189 blt 0,0,640,480,0, 4078,640,480:waittimer 63205 blt 0,0,640,480,0, 4079,640,480:waittimer 63220 blt 0,0,640,480,0, 4080,640,480:waittimer 63236 blt 0,0,640,480,0, 4081,640,480:waittimer 63251 blt 0,0,640,480,0, 4082,640,480:waittimer 63267 blt 0,0,640,480,0, 4083,640,480:waittimer 63282 blt 0,0,640,480,0, 4084,640,480:waittimer 63298 blt 0,0,640,480,0, 4085,640,480:waittimer 63313 blt 0,0,640,480,0, 4086,640,480:waittimer 63329 blt 0,0,640,480,0, 4087,640,480:waittimer 63344 blt 0,0,640,480,0, 4088,640,480:waittimer 63360 blt 0,0,640,480,0, 4089,640,480:waittimer 63375 blt 0,0,640,480,0, 4090,640,480:waittimer 63391 blt 0,0,640,480,0, 4091,640,480:waittimer 63406 blt 0,0,640,480,0, 4092,640,480:waittimer 63422 blt 0,0,640,480,0, 4093,640,480:waittimer 63437 blt 0,0,640,480,0, 4094,640,480:waittimer 63453 blt 0,0,640,480,0, 4095,640,480:waittimer 63468 blt 0,0,640,480,0, 4096,640,480:waittimer 63484 blt 0,0,640,480,0, 4097,640,480:waittimer 63499 blt 0,0,640,480,0, 4098,640,480:waittimer 63515 blt 0,0,640,480,0, 4099,640,480:waittimer 63530 blt 0,0,640,480,0, 4100,640,480:waittimer 63546 blt 0,0,640,480,0, 4101,640,480:waittimer 63561 blt 0,0,640,480,0, 4102,640,480:waittimer 63577 blt 0,0,640,480,0, 4103,640,480:waittimer 63592 blt 0,0,640,480,0, 4104,640,480:waittimer 63608 blt 0,0,640,480,0, 4105,640,480:waittimer 63623 blt 0,0,640,480,0, 4106,640,480:waittimer 63639 blt 0,0,640,480,0, 4107,640,480:waittimer 63654 blt 0,0,640,480,0, 4108,640,480:waittimer 63670 blt 0,0,640,480,0, 4109,640,480:waittimer 63685 blt 0,0,640,480,0, 4110,640,480:waittimer 63701 blt 0,0,640,480,0, 4111,640,480:waittimer 63716 blt 0,0,640,480,0, 4112,640,480:waittimer 63732 blt 0,0,640,480,0, 4113,640,480:waittimer 63747 blt 0,0,640,480,0, 4114,640,480:waittimer 63763 blt 0,0,640,480,0, 4115,640,480:waittimer 63778 blt 0,0,640,480,0, 4116,640,480:waittimer 63794 blt 0,0,640,480,0, 4117,640,480:waittimer 63809 blt 0,0,640,480,0, 4118,640,480:waittimer 63825 blt 0,0,640,480,0, 4119,640,480:waittimer 63840 blt 0,0,640,480,0, 4120,640,480:waittimer 63856 blt 0,0,640,480,0, 4121,640,480:waittimer 63871 blt 0,0,640,480,0, 4122,640,480:waittimer 63887 blt 0,0,640,480,0, 4123,640,480:waittimer 63902 blt 0,0,640,480,0, 4124,640,480:waittimer 63918 blt 0,0,640,480,0, 4125,640,480:waittimer 63933 blt 0,0,640,480,0, 4126,640,480:waittimer 63949 blt 0,0,640,480,0, 4127,640,480:waittimer 63964 blt 0,0,640,480,0, 4128,640,480:waittimer 63980 blt 0,0,640,480,0, 4129,640,480:waittimer 63995 blt 0,0,640,480,0, 4130,640,480:waittimer 64011 blt 0,0,640,480,0, 4131,640,480:waittimer 64026 blt 0,0,640,480,0, 4132,640,480:waittimer 64042 blt 0,0,640,480,0, 4133,640,480:waittimer 64057 blt 0,0,640,480,0, 4134,640,480:waittimer 64073 blt 0,0,640,480,0, 4135,640,480:waittimer 64088 blt 0,0,640,480,0, 4136,640,480:waittimer 64104 blt 0,0,640,480,0, 4137,640,480:waittimer 64119 blt 0,0,640,480,0, 4138,640,480:waittimer 64135 blt 0,0,640,480,0, 4139,640,480:waittimer 64150 blt 0,0,640,480,0, 4140,640,480:waittimer 64166 blt 0,0,640,480,0, 4141,640,480:waittimer 64181 blt 0,0,640,480,0, 4142,640,480:waittimer 64197 blt 0,0,640,480,0, 4143,640,480:waittimer 64212 blt 0,0,640,480,0, 4144,640,480:waittimer 64228 blt 0,0,640,480,0, 4145,640,480:waittimer 64243 blt 0,0,640,480,0, 4146,640,480:waittimer 64259 blt 0,0,640,480,0, 4147,640,480:waittimer 64274 blt 0,0,640,480,0, 4148,640,480:waittimer 64290 blt 0,0,640,480,0, 4149,640,480:waittimer 64305 blt 0,0,640,480,0, 4150,640,480:waittimer 64321 blt 0,0,640,480,0, 4151,640,480:waittimer 64336 blt 0,0,640,480,0, 4152,640,480:waittimer 64352 blt 0,0,640,480,0, 4153,640,480:waittimer 64367 blt 0,0,640,480,0, 4154,640,480:waittimer 64383 blt 0,0,640,480,0, 4155,640,480:waittimer 64398 blt 0,0,640,480,0, 4156,640,480:waittimer 64414 blt 0,0,640,480,0, 4157,640,480:waittimer 64429 blt 0,0,640,480,0, 4158,640,480:waittimer 64445 blt 0,0,640,480,0, 4159,640,480:waittimer 64460 blt 0,0,640,480,0, 4160,640,480:waittimer 64476 blt 0,0,640,480,0, 4161,640,480:waittimer 64491 blt 0,0,640,480,0, 4162,640,480:waittimer 64507 blt 0,0,640,480,0, 4163,640,480:waittimer 64522 blt 0,0,640,480,0, 4164,640,480:waittimer 64538 blt 0,0,640,480,0, 4165,640,480:waittimer 64553 blt 0,0,640,480,0, 4166,640,480:waittimer 64569 blt 0,0,640,480,0, 4167,640,480:waittimer 64584 blt 0,0,640,480,0, 4168,640,480:waittimer 64600 blt 0,0,640,480,0, 4169,640,480:waittimer 64615 blt 0,0,640,480,0, 4170,640,480:waittimer 64631 blt 0,0,640,480,0, 4171,640,480:waittimer 64646 blt 0,0,640,480,0, 4172,640,480:waittimer 64662 blt 0,0,640,480,0, 4173,640,480:waittimer 64677 blt 0,0,640,480,0, 4174,640,480:waittimer 64693 blt 0,0,640,480,0, 4175,640,480:waittimer 64708 blt 0,0,640,480,0, 4176,640,480:waittimer 64724 blt 0,0,640,480,0, 4177,640,480:waittimer 64739 blt 0,0,640,480,0, 4178,640,480:waittimer 64755 blt 0,0,640,480,0, 4179,640,480:waittimer 64770 blt 0,0,640,480,0, 4180,640,480:waittimer 64786 blt 0,0,640,480,0, 4181,640,480:waittimer 64801 blt 0,0,640,480,0, 4182,640,480:waittimer 64817 blt 0,0,640,480,0, 4183,640,480:waittimer 64832 blt 0,0,640,480,0, 4184,640,480:waittimer 64848 blt 0,0,640,480,0, 4185,640,480:waittimer 64863 blt 0,0,640,480,0, 4186,640,480:waittimer 64879 blt 0,0,640,480,0, 4187,640,480:waittimer 64894 blt 0,0,640,480,0, 4188,640,480:waittimer 64910 blt 0,0,640,480,0, 4189,640,480:waittimer 64925 blt 0,0,640,480,0, 4190,640,480:waittimer 64941 blt 0,0,640,480,0, 4191,640,480:waittimer 64956 blt 0,0,640,480,0, 4192,640,480:waittimer 64972 blt 0,0,640,480,0, 4193,640,480:waittimer 64987 blt 0,0,640,480,0, 4194,640,480:waittimer 65003 blt 0,0,640,480,0, 4195,640,480:waittimer 65018 blt 0,0,640,480,0, 4196,640,480:waittimer 65034 blt 0,0,640,480,0, 4197,640,480:waittimer 65049 blt 0,0,640,480,0, 4198,640,480:waittimer 65065 blt 0,0,640,480,0, 4199,640,480:waittimer 65080 blt 0,0,640,480,0, 4200,640,480:waittimer 65096 blt 0,0,640,480,0, 4201,640,480:waittimer 65111 blt 0,0,640,480,0, 4202,640,480:waittimer 65127 blt 0,0,640,480,0, 4203,640,480:waittimer 65142 blt 0,0,640,480,0, 4204,640,480:waittimer 65158 blt 0,0,640,480,0, 4205,640,480:waittimer 65173 blt 0,0,640,480,0, 4206,640,480:waittimer 65189 blt 0,0,640,480,0, 4207,640,480:waittimer 65204 blt 0,0,640,480,0, 4208,640,480:waittimer 65220 blt 0,0,640,480,0, 4209,640,480:waittimer 65235 blt 0,0,640,480,0, 4210,640,480:waittimer 65251 blt 0,0,640,480,0, 4211,640,480:waittimer 65266 blt 0,0,640,480,0, 4212,640,480:waittimer 65282 blt 0,0,640,480,0, 4213,640,480:waittimer 65297 blt 0,0,640,480,0, 4214,640,480:waittimer 65313 blt 0,0,640,480,0, 4215,640,480:waittimer 65328 blt 0,0,640,480,0, 4216,640,480:waittimer 65344 blt 0,0,640,480,0, 4217,640,480:waittimer 65359 blt 0,0,640,480,0, 4218,640,480:waittimer 65375 blt 0,0,640,480,0, 4219,640,480:waittimer 65390 blt 0,0,640,480,0, 4220,640,480:waittimer 65406 blt 0,0,640,480,0, 4221,640,480:waittimer 65421 blt 0,0,640,480,0, 4222,640,480:waittimer 65437 blt 0,0,640,480,0, 4223,640,480:waittimer 65452 blt 0,0,640,480,0, 4224,640,480:waittimer 65468 blt 0,0,640,480,0, 4225,640,480:waittimer 65483 blt 0,0,640,480,0, 4226,640,480:waittimer 65499 blt 0,0,640,480,0, 4227,640,480:waittimer 65514 blt 0,0,640,480,0, 4228,640,480:waittimer 65530 blt 0,0,640,480,0, 4229,640,480:waittimer 65545 blt 0,0,640,480,0, 4230,640,480:waittimer 65561 blt 0,0,640,480,0, 4231,640,480:waittimer 65576 blt 0,0,640,480,0, 4232,640,480:waittimer 65592 blt 0,0,640,480,0, 4233,640,480:waittimer 65607 blt 0,0,640,480,0, 4234,640,480:waittimer 65623 blt 0,0,640,480,0, 4235,640,480:waittimer 65638 blt 0,0,640,480,0, 4236,640,480:waittimer 65654 blt 0,0,640,480,0, 4237,640,480:waittimer 65669 blt 0,0,640,480,0, 4238,640,480:waittimer 65685 blt 0,0,640,480,0, 4239,640,480:waittimer 65700 blt 0,0,640,480,0, 4240,640,480:waittimer 65716 blt 0,0,640,480,0, 4241,640,480:waittimer 65731 blt 0,0,640,480,0, 4242,640,480:waittimer 65747 blt 0,0,640,480,0, 4243,640,480:waittimer 65762 blt 0,0,640,480,0, 4244,640,480:waittimer 65778 blt 0,0,640,480,0, 4245,640,480:waittimer 65793 blt 0,0,640,480,0, 4246,640,480:waittimer 65809 blt 0,0,640,480,0, 4247,640,480:waittimer 65824 blt 0,0,640,480,0, 4248,640,480:waittimer 65840 blt 0,0,640,480,0, 4249,640,480:waittimer 65855 blt 0,0,640,480,0, 4250,640,480:waittimer 65871 blt 0,0,640,480,0, 4251,640,480:waittimer 65886 blt 0,0,640,480,0, 4252,640,480:waittimer 65902 blt 0,0,640,480,0, 4253,640,480:waittimer 65917 blt 0,0,640,480,0, 4254,640,480:waittimer 65933 blt 0,0,640,480,0, 4255,640,480:waittimer 65948 blt 0,0,640,480,0, 4256,640,480:waittimer 65964 blt 0,0,640,480,0, 4257,640,480:waittimer 65979 blt 0,0,640,480,0, 4258,640,480:waittimer 65995 blt 0,0,640,480,0, 4259,640,480:waittimer 66010 blt 0,0,640,480,0, 4260,640,480:waittimer 66026 blt 0,0,640,480,0, 4261,640,480:waittimer 66041 blt 0,0,640,480,0, 4262,640,480:waittimer 66057 blt 0,0,640,480,0, 4263,640,480:waittimer 66072 blt 0,0,640,480,0, 4264,640,480:waittimer 66088 blt 0,0,640,480,0, 4265,640,480:waittimer 66103 blt 0,0,640,480,0, 4266,640,480:waittimer 66119 blt 0,0,640,480,0, 4267,640,480:waittimer 66134 blt 0,0,640,480,0, 4268,640,480:waittimer 66150 blt 0,0,640,480,0, 4269,640,480:waittimer 66165 blt 0,0,640,480,0, 4270,640,480:waittimer 66181 blt 0,0,640,480,0, 4271,640,480:waittimer 66196 blt 0,0,640,480,0, 4272,640,480:waittimer 66212 blt 0,0,640,480,0, 4273,640,480:waittimer 66227 blt 0,0,640,480,0, 4274,640,480:waittimer 66243 blt 0,0,640,480,0, 4275,640,480:waittimer 66258 blt 0,0,640,480,0, 4276,640,480:waittimer 66274 blt 0,0,640,480,0, 4277,640,480:waittimer 66289 blt 0,0,640,480,0, 4278,640,480:waittimer 66305 blt 0,0,640,480,0, 4279,640,480:waittimer 66320 blt 0,0,640,480,0, 4280,640,480:waittimer 66336 blt 0,0,640,480,0, 4281,640,480:waittimer 66351 blt 0,0,640,480,0, 4282,640,480:waittimer 66367 blt 0,0,640,480,0, 4283,640,480:waittimer 66382 blt 0,0,640,480,0, 4284,640,480:waittimer 66398 blt 0,0,640,480,0, 4285,640,480:waittimer 66413 blt 0,0,640,480,0, 4286,640,480:waittimer 66429 blt 0,0,640,480,0, 4287,640,480:waittimer 66444 blt 0,0,640,480,0, 4288,640,480:waittimer 66460 blt 0,0,640,480,0, 4289,640,480:waittimer 66475 blt 0,0,640,480,0, 4290,640,480:waittimer 66491 blt 0,0,640,480,0, 4291,640,480:waittimer 66506 blt 0,0,640,480,0, 4292,640,480:waittimer 66522 blt 0,0,640,480,0, 4293,640,480:waittimer 66537 blt 0,0,640,480,0, 4294,640,480:waittimer 66553 blt 0,0,640,480,0, 4295,640,480:waittimer 66568 blt 0,0,640,480,0, 4296,640,480:waittimer 66584 blt 0,0,640,480,0, 4297,640,480:waittimer 66599 blt 0,0,640,480,0, 4298,640,480:waittimer 66615 blt 0,0,640,480,0, 4299,640,480:waittimer 66630 blt 0,0,640,480,0, 4300,640,480:waittimer 66646 blt 0,0,640,480,0, 4301,640,480:waittimer 66661 blt 0,0,640,480,0, 4302,640,480:waittimer 66677 blt 0,0,640,480,0, 4303,640,480:waittimer 66692 blt 0,0,640,480,0, 4304,640,480:waittimer 66708 blt 0,0,640,480,0, 4305,640,480:waittimer 66723 blt 0,0,640,480,0, 4306,640,480:waittimer 66739 blt 0,0,640,480,0, 4307,640,480:waittimer 66754 blt 0,0,640,480,0, 4308,640,480:waittimer 66770 blt 0,0,640,480,0, 4309,640,480:waittimer 66785 blt 0,0,640,480,0, 4310,640,480:waittimer 66801 blt 0,0,640,480,0, 4311,640,480:waittimer 66816 blt 0,0,640,480,0, 4312,640,480:waittimer 66832 blt 0,0,640,480,0, 4313,640,480:waittimer 66847 blt 0,0,640,480,0, 4314,640,480:waittimer 66863 blt 0,0,640,480,0, 4315,640,480:waittimer 66878 blt 0,0,640,480,0, 4316,640,480:waittimer 66894 blt 0,0,640,480,0, 4317,640,480:waittimer 66909 blt 0,0,640,480,0, 4318,640,480:waittimer 66925 blt 0,0,640,480,0, 4319,640,480:waittimer 66940 blt 0,0,640,480,0, 4320,640,480:waittimer 66956 blt 0,0,640,480,0, 4321,640,480:waittimer 66971 blt 0,0,640,480,0, 4322,640,480:waittimer 66987 blt 0,0,640,480,0, 4323,640,480:waittimer 67002 blt 0,0,640,480,0, 4324,640,480:waittimer 67018 blt 0,0,640,480,0, 4325,640,480:waittimer 67033 blt 0,0,640,480,0, 4326,640,480:waittimer 67049 blt 0,0,640,480,0, 4327,640,480:waittimer 67064 blt 0,0,640,480,0, 4328,640,480:waittimer 67080 blt 0,0,640,480,0, 4329,640,480:waittimer 67095 blt 0,0,640,480,0, 4330,640,480:waittimer 67111 blt 0,0,640,480,0, 4331,640,480:waittimer 67126 blt 0,0,640,480,0, 4332,640,480:waittimer 67142 blt 0,0,640,480,0, 4333,640,480:waittimer 67157 blt 0,0,640,480,0, 4334,640,480:waittimer 67173 blt 0,0,640,480,0, 4335,640,480:waittimer 67188 blt 0,0,640,480,0, 4336,640,480:waittimer 67204 blt 0,0,640,480,0, 4337,640,480:waittimer 67219 blt 0,0,640,480,0, 4338,640,480:waittimer 67235 blt 0,0,640,480,0, 4339,640,480:waittimer 67250 blt 0,0,640,480,0, 4340,640,480:waittimer 67266 blt 0,0,640,480,0, 4341,640,480:waittimer 67281 blt 0,0,640,480,0, 4342,640,480:waittimer 67297 blt 0,0,640,480,0, 4343,640,480:waittimer 67312 blt 0,0,640,480,0, 4344,640,480:waittimer 67328 blt 0,0,640,480,0, 4345,640,480:waittimer 67343 blt 0,0,640,480,0, 4346,640,480:waittimer 67359 blt 0,0,640,480,0, 4347,640,480:waittimer 67374 blt 0,0,640,480,0, 4348,640,480:waittimer 67390 blt 0,0,640,480,0, 4349,640,480:waittimer 67405 blt 0,0,640,480,0, 4350,640,480:waittimer 67421 blt 0,0,640,480,0, 4351,640,480:waittimer 67436 blt 0,0,640,480,0, 4352,640,480:waittimer 67452 blt 0,0,640,480,0, 4353,640,480:waittimer 67467 blt 0,0,640,480,0, 4354,640,480:waittimer 67483 blt 0,0,640,480,0, 4355,640,480:waittimer 67498 blt 0,0,640,480,0, 4356,640,480:waittimer 67514 blt 0,0,640,480,0, 4357,640,480:waittimer 67529 blt 0,0,640,480,0, 4358,640,480:waittimer 67545 blt 0,0,640,480,0, 4359,640,480:waittimer 67560 blt 0,0,640,480,0, 4360,640,480:waittimer 67576 blt 0,0,640,480,0, 4361,640,480:waittimer 67591 blt 0,0,640,480,0, 4362,640,480:waittimer 67607 blt 0,0,640,480,0, 4363,640,480:waittimer 67622 blt 0,0,640,480,0, 4364,640,480:waittimer 67638 blt 0,0,640,480,0, 4365,640,480:waittimer 67653 blt 0,0,640,480,0, 4366,640,480:waittimer 67669 blt 0,0,640,480,0, 4367,640,480:waittimer 67684 blt 0,0,640,480,0, 4368,640,480:waittimer 67700 blt 0,0,640,480,0, 4369,640,480:waittimer 67715 blt 0,0,640,480,0, 4370,640,480:waittimer 67731 blt 0,0,640,480,0, 4371,640,480:waittimer 67746 blt 0,0,640,480,0, 4372,640,480:waittimer 67762 blt 0,0,640,480,0, 4373,640,480:waittimer 67777 blt 0,0,640,480,0, 4374,640,480:waittimer 67793 blt 0,0,640,480,0, 4375,640,480:waittimer 67808 blt 0,0,640,480,0, 4376,640,480:waittimer 67824 blt 0,0,640,480,0, 4377,640,480:waittimer 67839 blt 0,0,640,480,0, 4378,640,480:waittimer 67855 blt 0,0,640,480,0, 4379,640,480:waittimer 67870 blt 0,0,640,480,0, 4380,640,480:waittimer 67886 blt 0,0,640,480,0, 4381,640,480:waittimer 67901 blt 0,0,640,480,0, 4382,640,480:waittimer 67917 blt 0,0,640,480,0, 4383,640,480:waittimer 67932 blt 0,0,640,480,0, 4384,640,480:waittimer 67948 blt 0,0,640,480,0, 4385,640,480:waittimer 67963 blt 0,0,640,480,0, 4386,640,480:waittimer 67979 blt 0,0,640,480,0, 4387,640,480:waittimer 67994 blt 0,0,640,480,0, 4388,640,480:waittimer 68010 blt 0,0,640,480,0, 4389,640,480:waittimer 68025 blt 0,0,640,480,0, 4390,640,480:waittimer 68041 blt 0,0,640,480,0, 4391,640,480:waittimer 68056 blt 0,0,640,480,0, 4392,640,480:waittimer 68072 blt 0,0,640,480,0, 4393,640,480:waittimer 68087 blt 0,0,640,480,0, 4394,640,480:waittimer 68103 blt 0,0,640,480,0, 4395,640,480:waittimer 68118 blt 0,0,640,480,0, 4396,640,480:waittimer 68134 blt 0,0,640,480,0, 4397,640,480:waittimer 68149 blt 0,0,640,480,0, 4398,640,480:waittimer 68165 blt 0,0,640,480,0, 4399,640,480:waittimer 68180 blt 0,0,640,480,0, 4400,640,480:waittimer 68196 blt 0,0,640,480,0, 4401,640,480:waittimer 68211 blt 0,0,640,480,0, 4402,640,480:waittimer 68227 blt 0,0,640,480,0, 4403,640,480:waittimer 68242 blt 0,0,640,480,0, 4404,640,480:waittimer 68258 blt 0,0,640,480,0, 4405,640,480:waittimer 68273 blt 0,0,640,480,0, 4406,640,480:waittimer 68289 blt 0,0,640,480,0, 4407,640,480:waittimer 68304 blt 0,0,640,480,0, 4408,640,480:waittimer 68320 blt 0,0,640,480,0, 4409,640,480:waittimer 68335 blt 0,0,640,480,0, 4410,640,480:waittimer 68351 blt 0,0,640,480,0, 4411,640,480:waittimer 68366 blt 0,0,640,480,0, 4412,640,480:waittimer 68382 blt 0,0,640,480,0, 4413,640,480:waittimer 68397 blt 0,0,640,480,0, 4414,640,480:waittimer 68413 blt 0,0,640,480,0, 4415,640,480:waittimer 68428 blt 0,0,640,480,0, 4416,640,480:waittimer 68444 blt 0,0,640,480,0, 4417,640,480:waittimer 68459 blt 0,0,640,480,0, 4418,640,480:waittimer 68475 blt 0,0,640,480,0, 4419,640,480:waittimer 68490 blt 0,0,640,480,0, 4420,640,480:waittimer 68506 blt 0,0,640,480,0, 4421,640,480:waittimer 68521 blt 0,0,640,480,0, 4422,640,480:waittimer 68537 blt 0,0,640,480,0, 4423,640,480:waittimer 68552 blt 0,0,640,480,0, 4424,640,480:waittimer 68568 blt 0,0,640,480,0, 4425,640,480:waittimer 68583 blt 0,0,640,480,0, 4426,640,480:waittimer 68599 blt 0,0,640,480,0, 4427,640,480:waittimer 68614 blt 0,0,640,480,0, 4428,640,480:waittimer 68630 blt 0,0,640,480,0, 4429,640,480:waittimer 68645 blt 0,0,640,480,0, 4430,640,480:waittimer 68661 blt 0,0,640,480,0, 4431,640,480:waittimer 68676 blt 0,0,640,480,0, 4432,640,480:waittimer 68692 blt 0,0,640,480,0, 4433,640,480:waittimer 68707 blt 0,0,640,480,0, 4434,640,480:waittimer 68723 blt 0,0,640,480,0, 4435,640,480:waittimer 68738 blt 0,0,640,480,0, 4436,640,480:waittimer 68754 blt 0,0,640,480,0, 4437,640,480:waittimer 68769 blt 0,0,640,480,0, 4438,640,480:waittimer 68785 blt 0,0,640,480,0, 4439,640,480:waittimer 68800 blt 0,0,640,480,0, 4440,640,480:waittimer 68816 blt 0,0,640,480,0, 4441,640,480:waittimer 68831 blt 0,0,640,480,0, 4442,640,480:waittimer 68847 blt 0,0,640,480,0, 4443,640,480:waittimer 68862 blt 0,0,640,480,0, 4444,640,480:waittimer 68878 blt 0,0,640,480,0, 4445,640,480:waittimer 68893 blt 0,0,640,480,0, 4446,640,480:waittimer 68909 blt 0,0,640,480,0, 4447,640,480:waittimer 68924 blt 0,0,640,480,0, 4448,640,480:waittimer 68940 blt 0,0,640,480,0, 4449,640,480:waittimer 68955 blt 0,0,640,480,0, 4450,640,480:waittimer 68971 blt 0,0,640,480,0, 4451,640,480:waittimer 68986 blt 0,0,640,480,0, 4452,640,480:waittimer 69002 blt 0,0,640,480,0, 4453,640,480:waittimer 69017 blt 0,0,640,480,0, 4454,640,480:waittimer 69033 blt 0,0,640,480,0, 4455,640,480:waittimer 69048 blt 0,0,640,480,0, 4456,640,480:waittimer 69064 blt 0,0,640,480,0, 4457,640,480:waittimer 69079 blt 0,0,640,480,0, 4458,640,480:waittimer 69095 blt 0,0,640,480,0, 4459,640,480:waittimer 69110 blt 0,0,640,480,0, 4460,640,480:waittimer 69126 blt 0,0,640,480,0, 4461,640,480:waittimer 69141 blt 0,0,640,480,0, 4462,640,480:waittimer 69157 blt 0,0,640,480,0, 4463,640,480:waittimer 69172 blt 0,0,640,480,0, 4464,640,480:waittimer 69188 blt 0,0,640,480,0, 4465,640,480:waittimer 69203 blt 0,0,640,480,0, 4466,640,480:waittimer 69219 blt 0,0,640,480,0, 4467,640,480:waittimer 69234 blt 0,0,640,480,0, 4468,640,480:waittimer 69250 blt 0,0,640,480,0, 4469,640,480:waittimer 69265 blt 0,0,640,480,0, 4470,640,480:waittimer 69281 blt 0,0,640,480,0, 4471,640,480:waittimer 69296 blt 0,0,640,480,0, 4472,640,480:waittimer 69312 blt 0,0,640,480,0, 4473,640,480:waittimer 69327 blt 0,0,640,480,0, 4474,640,480:waittimer 69343 blt 0,0,640,480,0, 4475,640,480:waittimer 69358 blt 0,0,640,480,0, 4476,640,480:waittimer 69374 blt 0,0,640,480,0, 4477,640,480:waittimer 69389 blt 0,0,640,480,0, 4478,640,480:waittimer 69405 blt 0,0,640,480,0, 4479,640,480:waittimer 69420 blt 0,0,640,480,0, 4480,640,480:waittimer 69436 blt 0,0,640,480,0, 4481,640,480:waittimer 69451 blt 0,0,640,480,0, 4482,640,480:waittimer 69467 blt 0,0,640,480,0, 4483,640,480:waittimer 69482 blt 0,0,640,480,0, 4484,640,480:waittimer 69498 blt 0,0,640,480,0, 4485,640,480:waittimer 69513 blt 0,0,640,480,0, 4486,640,480:waittimer 69529 blt 0,0,640,480,0, 4487,640,480:waittimer 69544 blt 0,0,640,480,0, 4488,640,480:waittimer 69560 blt 0,0,640,480,0, 4489,640,480:waittimer 69575 blt 0,0,640,480,0, 4490,640,480:waittimer 69591 blt 0,0,640,480,0, 4491,640,480:waittimer 69606 blt 0,0,640,480,0, 4492,640,480:waittimer 69622 blt 0,0,640,480,0, 4493,640,480:waittimer 69637 blt 0,0,640,480,0, 4494,640,480:waittimer 69653 blt 0,0,640,480,0, 4495,640,480:waittimer 69668 blt 0,0,640,480,0, 4496,640,480:waittimer 69684 blt 0,0,640,480,0, 4497,640,480:waittimer 69699 blt 0,0,640,480,0, 4498,640,480:waittimer 69715 blt 0,0,640,480,0, 4499,640,480:waittimer 69730 blt 0,0,640,480,0, 4500,640,480:waittimer 69746 blt 0,0,640,480,0, 4501,640,480:waittimer 69761 blt 0,0,640,480,0, 4502,640,480:waittimer 69777 blt 0,0,640,480,0, 4503,640,480:waittimer 69792 blt 0,0,640,480,0, 4504,640,480:waittimer 69808 blt 0,0,640,480,0, 4505,640,480:waittimer 69823 blt 0,0,640,480,0, 4506,640,480:waittimer 69839 blt 0,0,640,480,0, 4507,640,480:waittimer 69854 blt 0,0,640,480,0, 4508,640,480:waittimer 69870 blt 0,0,640,480,0, 4509,640,480:waittimer 69885 blt 0,0,640,480,0, 4510,640,480:waittimer 69901 blt 0,0,640,480,0, 4511,640,480:waittimer 69916 blt 0,0,640,480,0, 4512,640,480:waittimer 69932 blt 0,0,640,480,0, 4513,640,480:waittimer 69947 blt 0,0,640,480,0, 4514,640,480:waittimer 69963 blt 0,0,640,480,0, 4515,640,480:waittimer 69978 blt 0,0,640,480,0, 4516,640,480:waittimer 69994 blt 0,0,640,480,0, 4517,640,480:waittimer 70009 blt 0,0,640,480,0, 4518,640,480:waittimer 70025 blt 0,0,640,480,0, 4519,640,480:waittimer 70040 blt 0,0,640,480,0, 4520,640,480:waittimer 70056 blt 0,0,640,480,0, 4521,640,480:waittimer 70071 blt 0,0,640,480,0, 4522,640,480:waittimer 70087 blt 0,0,640,480,0, 4523,640,480:waittimer 70102 blt 0,0,640,480,0, 4524,640,480:waittimer 70118 blt 0,0,640,480,0, 4525,640,480:waittimer 70133 blt 0,0,640,480,0, 4526,640,480:waittimer 70149 blt 0,0,640,480,0, 4527,640,480:waittimer 70164 blt 0,0,640,480,0, 4528,640,480:waittimer 70180 blt 0,0,640,480,0, 4529,640,480:waittimer 70195 blt 0,0,640,480,0, 4530,640,480:waittimer 70211 blt 0,0,640,480,0, 4531,640,480:waittimer 70226 blt 0,0,640,480,0, 4532,640,480:waittimer 70242 blt 0,0,640,480,0, 4533,640,480:waittimer 70257 blt 0,0,640,480,0, 4534,640,480:waittimer 70273 blt 0,0,640,480,0, 4535,640,480:waittimer 70288 blt 0,0,640,480,0, 4536,640,480:waittimer 70304 blt 0,0,640,480,0, 4537,640,480:waittimer 70319 blt 0,0,640,480,0, 4538,640,480:waittimer 70335 blt 0,0,640,480,0, 4539,640,480:waittimer 70350 blt 0,0,640,480,0, 4540,640,480:waittimer 70366 blt 0,0,640,480,0, 4541,640,480:waittimer 70381 blt 0,0,640,480,0, 4542,640,480:waittimer 70397 blt 0,0,640,480,0, 4543,640,480:waittimer 70412 blt 0,0,640,480,0, 4544,640,480:waittimer 70428 blt 0,0,640,480,0, 4545,640,480:waittimer 70443 blt 0,0,640,480,0, 4546,640,480:waittimer 70459 blt 0,0,640,480,0, 4547,640,480:waittimer 70474 blt 0,0,640,480,0, 4548,640,480:waittimer 70490 blt 0,0,640,480,0, 4549,640,480:waittimer 70505 blt 0,0,640,480,0, 4550,640,480:waittimer 70521 blt 0,0,640,480,0, 4551,640,480:waittimer 70536 blt 0,0,640,480,0, 4552,640,480:waittimer 70552 blt 0,0,640,480,0, 4553,640,480:waittimer 70567 blt 0,0,640,480,0, 4554,640,480:waittimer 70583 blt 0,0,640,480,0, 4555,640,480:waittimer 70598 blt 0,0,640,480,0, 4556,640,480:waittimer 70614 blt 0,0,640,480,0, 4557,640,480:waittimer 70629 blt 0,0,640,480,0, 4558,640,480:waittimer 70645 blt 0,0,640,480,0, 4559,640,480:waittimer 70660 blt 0,0,640,480,0, 4560,640,480:waittimer 70676 blt 0,0,640,480,0, 4561,640,480:waittimer 70691 blt 0,0,640,480,0, 4562,640,480:waittimer 70707 blt 0,0,640,480,0, 4563,640,480:waittimer 70722 blt 0,0,640,480,0, 4564,640,480:waittimer 70738 blt 0,0,640,480,0, 4565,640,480:waittimer 70753 blt 0,0,640,480,0, 4566,640,480:waittimer 70769 blt 0,0,640,480,0, 4567,640,480:waittimer 70784 blt 0,0,640,480,0, 4568,640,480:waittimer 70800 blt 0,0,640,480,0, 4569,640,480:waittimer 70815 blt 0,0,640,480,0, 4570,640,480:waittimer 70830 blt 0,0,640,480,0, 4571,640,480:waittimer 70846 blt 0,0,640,480,0, 4572,640,480:waittimer 70861 blt 0,0,640,480,0, 4573,640,480:waittimer 70877 blt 0,0,640,480,0, 4574,640,480:waittimer 70892 blt 0,0,640,480,0, 4575,640,480:waittimer 70908 blt 0,0,640,480,0, 4576,640,480:waittimer 70923 blt 0,0,640,480,0, 4577,640,480:waittimer 70939 blt 0,0,640,480,0, 4578,640,480:waittimer 70954 blt 0,0,640,480,0, 4579,640,480:waittimer 70970 blt 0,0,640,480,0, 4580,640,480:waittimer 70985 blt 0,0,640,480,0, 4581,640,480:waittimer 71001 blt 0,0,640,480,0, 4582,640,480:waittimer 71016 blt 0,0,640,480,0, 4583,640,480:waittimer 71032 blt 0,0,640,480,0, 4584,640,480:waittimer 71047 blt 0,0,640,480,0, 4585,640,480:waittimer 71063 blt 0,0,640,480,0, 4586,640,480:waittimer 71078 blt 0,0,640,480,0, 4587,640,480:waittimer 71094 blt 0,0,640,480,0, 4588,640,480:waittimer 71109 blt 0,0,640,480,0, 4589,640,480:waittimer 71125 blt 0,0,640,480,0, 4590,640,480:waittimer 71140 blt 0,0,640,480,0, 4591,640,480:waittimer 71156 blt 0,0,640,480,0, 4592,640,480:waittimer 71171 blt 0,0,640,480,0, 4593,640,480:waittimer 71187 blt 0,0,640,480,0, 4594,640,480:waittimer 71202 blt 0,0,640,480,0, 4595,640,480:waittimer 71218 blt 0,0,640,480,0, 4596,640,480:waittimer 71233 blt 0,0,640,480,0, 4597,640,480:waittimer 71249 blt 0,0,640,480,0, 4598,640,480:waittimer 71264 blt 0,0,640,480,0, 4599,640,480:waittimer 71280 blt 0,0,640,480,0, 4600,640,480:waittimer 71295 blt 0,0,640,480,0, 4601,640,480:waittimer 71311 blt 0,0,640,480,0, 4602,640,480:waittimer 71326 blt 0,0,640,480,0, 4603,640,480:waittimer 71342 blt 0,0,640,480,0, 4604,640,480:waittimer 71357 blt 0,0,640,480,0, 4605,640,480:waittimer 71373 blt 0,0,640,480,0, 4606,640,480:waittimer 71388 blt 0,0,640,480,0, 4607,640,480:waittimer 71404 blt 0,0,640,480,0, 4608,640,480:waittimer 71419 blt 0,0,640,480,0, 4609,640,480:waittimer 71435 blt 0,0,640,480,0, 4610,640,480:waittimer 71450 blt 0,0,640,480,0, 4611,640,480:waittimer 71466 blt 0,0,640,480,0, 4612,640,480:waittimer 71481 blt 0,0,640,480,0, 4613,640,480:waittimer 71497 blt 0,0,640,480,0, 4614,640,480:waittimer 71512 blt 0,0,640,480,0, 4615,640,480:waittimer 71528 blt 0,0,640,480,0, 4616,640,480:waittimer 71543 blt 0,0,640,480,0, 4617,640,480:waittimer 71559 blt 0,0,640,480,0, 4618,640,480:waittimer 71574 blt 0,0,640,480,0, 4619,640,480:waittimer 71590 blt 0,0,640,480,0, 4620,640,480:waittimer 71605 blt 0,0,640,480,0, 4621,640,480:waittimer 71621 blt 0,0,640,480,0, 4622,640,480:waittimer 71636 blt 0,0,640,480,0, 4623,640,480:waittimer 71652 blt 0,0,640,480,0, 4624,640,480:waittimer 71667 blt 0,0,640,480,0, 4625,640,480:waittimer 71683 blt 0,0,640,480,0, 4626,640,480:waittimer 71698 blt 0,0,640,480,0, 4627,640,480:waittimer 71714 blt 0,0,640,480,0, 4628,640,480:waittimer 71729 blt 0,0,640,480,0, 4629,640,480:waittimer 71745 blt 0,0,640,480,0, 4630,640,480:waittimer 71760 blt 0,0,640,480,0, 4631,640,480:waittimer 71776 blt 0,0,640,480,0, 4632,640,480:waittimer 71791 blt 0,0,640,480,0, 4633,640,480:waittimer 71807 blt 0,0,640,480,0, 4634,640,480:waittimer 71822 blt 0,0,640,480,0, 4635,640,480:waittimer 71838 blt 0,0,640,480,0, 4636,640,480:waittimer 71853 blt 0,0,640,480,0, 4637,640,480:waittimer 71869 blt 0,0,640,480,0, 4638,640,480:waittimer 71884 blt 0,0,640,480,0, 4639,640,480:waittimer 71900 blt 0,0,640,480,0, 4640,640,480:waittimer 71915 blt 0,0,640,480,0, 4641,640,480:waittimer 71931 blt 0,0,640,480,0, 4642,640,480:waittimer 71946 blt 0,0,640,480,0, 4643,640,480:waittimer 71962 blt 0,0,640,480,0, 4644,640,480:waittimer 71977 blt 0,0,640,480,0, 4645,640,480:waittimer 71993 blt 0,0,640,480,0, 4646,640,480:waittimer 72008 blt 0,0,640,480,0, 4647,640,480:waittimer 72024 blt 0,0,640,480,0, 4648,640,480:waittimer 72039 blt 0,0,640,480,0, 4649,640,480:waittimer 72055 blt 0,0,640,480,0, 4650,640,480:waittimer 72070 blt 0,0,640,480,0, 4651,640,480:waittimer 72086 blt 0,0,640,480,0, 4652,640,480:waittimer 72101 blt 0,0,640,480,0, 4653,640,480:waittimer 72117 blt 0,0,640,480,0, 4654,640,480:waittimer 72132 blt 0,0,640,480,0, 4655,640,480:waittimer 72148 blt 0,0,640,480,0, 4656,640,480:waittimer 72163 blt 0,0,640,480,0, 4657,640,480:waittimer 72179 blt 0,0,640,480,0, 4658,640,480:waittimer 72194 blt 0,0,640,480,0, 4659,640,480:waittimer 72210 blt 0,0,640,480,0, 4660,640,480:waittimer 72225 blt 0,0,640,480,0, 4661,640,480:waittimer 72241 blt 0,0,640,480,0, 4662,640,480:waittimer 72256 blt 0,0,640,480,0, 4663,640,480:waittimer 72272 blt 0,0,640,480,0, 4664,640,480:waittimer 72287 blt 0,0,640,480,0, 4665,640,480:waittimer 72303 blt 0,0,640,480,0, 4666,640,480:waittimer 72318 blt 0,0,640,480,0, 4667,640,480:waittimer 72334 blt 0,0,640,480,0, 4668,640,480:waittimer 72349 blt 0,0,640,480,0, 4669,640,480:waittimer 72365 blt 0,0,640,480,0, 4670,640,480:waittimer 72380 blt 0,0,640,480,0, 4671,640,480:waittimer 72396 blt 0,0,640,480,0, 4672,640,480:waittimer 72411 blt 0,0,640,480,0, 4673,640,480:waittimer 72427 blt 0,0,640,480,0, 4674,640,480:waittimer 72442 blt 0,0,640,480,0, 4675,640,480:waittimer 72458 blt 0,0,640,480,0, 4676,640,480:waittimer 72473 blt 0,0,640,480,0, 4677,640,480:waittimer 72489 blt 0,0,640,480,0, 4678,640,480:waittimer 72504 blt 0,0,640,480,0, 4679,640,480:waittimer 72520 blt 0,0,640,480,0, 4680,640,480:waittimer 72535 blt 0,0,640,480,0, 4681,640,480:waittimer 72551 blt 0,0,640,480,0, 4682,640,480:waittimer 72566 blt 0,0,640,480,0, 4683,640,480:waittimer 72582 blt 0,0,640,480,0, 4684,640,480:waittimer 72597 blt 0,0,640,480,0, 4685,640,480:waittimer 72613 blt 0,0,640,480,0, 4686,640,480:waittimer 72628 blt 0,0,640,480,0, 4687,640,480:waittimer 72644 blt 0,0,640,480,0, 4688,640,480:waittimer 72659 blt 0,0,640,480,0, 4689,640,480:waittimer 72675 blt 0,0,640,480,0, 4690,640,480:waittimer 72690 blt 0,0,640,480,0, 4691,640,480:waittimer 72706 blt 0,0,640,480,0, 4692,640,480:waittimer 72721 blt 0,0,640,480,0, 4693,640,480:waittimer 72737 blt 0,0,640,480,0, 4694,640,480:waittimer 72752 blt 0,0,640,480,0, 4695,640,480:waittimer 72768 blt 0,0,640,480,0, 4696,640,480:waittimer 72783 blt 0,0,640,480,0, 4697,640,480:waittimer 72799 blt 0,0,640,480,0, 4698,640,480:waittimer 72814 blt 0,0,640,480,0, 4699,640,480:waittimer 72830 blt 0,0,640,480,0, 4700,640,480:waittimer 72845 blt 0,0,640,480,0, 4701,640,480:waittimer 72861 blt 0,0,640,480,0, 4702,640,480:waittimer 72876 blt 0,0,640,480,0, 4703,640,480:waittimer 72892 blt 0,0,640,480,0, 4704,640,480:waittimer 72907 blt 0,0,640,480,0, 4705,640,480:waittimer 72923 blt 0,0,640,480,0, 4706,640,480:waittimer 72938 blt 0,0,640,480,0, 4707,640,480:waittimer 72954 blt 0,0,640,480,0, 4708,640,480:waittimer 72969 blt 0,0,640,480,0, 4709,640,480:waittimer 72985 blt 0,0,640,480,0, 4710,640,480:waittimer 73000 blt 0,0,640,480,0, 4711,640,480:waittimer 73016 blt 0,0,640,480,0, 4712,640,480:waittimer 73031 blt 0,0,640,480,0, 4713,640,480:waittimer 73047 blt 0,0,640,480,0, 4714,640,480:waittimer 73062 blt 0,0,640,480,0, 4715,640,480:waittimer 73078 blt 0,0,640,480,0, 4716,640,480:waittimer 73093 blt 0,0,640,480,0, 4717,640,480:waittimer 73109 blt 0,0,640,480,0, 4718,640,480:waittimer 73124 blt 0,0,640,480,0, 4719,640,480:waittimer 73140 blt 0,0,640,480,0, 4720,640,480:waittimer 73155 blt 0,0,640,480,0, 4721,640,480:waittimer 73171 blt 0,0,640,480,0, 4722,640,480:waittimer 73186 blt 0,0,640,480,0, 4723,640,480:waittimer 73202 blt 0,0,640,480,0, 4724,640,480:waittimer 73217 blt 0,0,640,480,0, 4725,640,480:waittimer 73233 blt 0,0,640,480,0, 4726,640,480:waittimer 73248 blt 0,0,640,480,0, 4727,640,480:waittimer 73264 blt 0,0,640,480,0, 4728,640,480:waittimer 73279 blt 0,0,640,480,0, 4729,640,480:waittimer 73295 blt 0,0,640,480,0, 4730,640,480:waittimer 73310 blt 0,0,640,480,0, 4731,640,480:waittimer 73326 blt 0,0,640,480,0, 4732,640,480:waittimer 73341 blt 0,0,640,480,0, 4733,640,480:waittimer 73357 blt 0,0,640,480,0, 4734,640,480:waittimer 73372 blt 0,0,640,480,0, 4735,640,480:waittimer 73388 blt 0,0,640,480,0, 4736,640,480:waittimer 73403 blt 0,0,640,480,0, 4737,640,480:waittimer 73419 blt 0,0,640,480,0, 4738,640,480:waittimer 73434 blt 0,0,640,480,0, 4739,640,480:waittimer 73450 blt 0,0,640,480,0, 4740,640,480:waittimer 73465 blt 0,0,640,480,0, 4741,640,480:waittimer 73481 blt 0,0,640,480,0, 4742,640,480:waittimer 73496 blt 0,0,640,480,0, 4743,640,480:waittimer 73512 blt 0,0,640,480,0, 4744,640,480:waittimer 73527 blt 0,0,640,480,0, 4745,640,480:waittimer 73543 blt 0,0,640,480,0, 4746,640,480:waittimer 73558 blt 0,0,640,480,0, 4747,640,480:waittimer 73574 blt 0,0,640,480,0, 4748,640,480:waittimer 73589 blt 0,0,640,480,0, 4749,640,480:waittimer 73605 blt 0,0,640,480,0, 4750,640,480:waittimer 73620 blt 0,0,640,480,0, 4751,640,480:waittimer 73636 blt 0,0,640,480,0, 4752,640,480:waittimer 73651 blt 0,0,640,480,0, 4753,640,480:waittimer 73667 blt 0,0,640,480,0, 4754,640,480:waittimer 73682 blt 0,0,640,480,0, 4755,640,480:waittimer 73698 blt 0,0,640,480,0, 4756,640,480:waittimer 73713 blt 0,0,640,480,0, 4757,640,480:waittimer 73729 blt 0,0,640,480,0, 4758,640,480:waittimer 73744 blt 0,0,640,480,0, 4759,640,480:waittimer 73760 blt 0,0,640,480,0, 4760,640,480:waittimer 73775 blt 0,0,640,480,0, 4761,640,480:waittimer 73791 blt 0,0,640,480,0, 4762,640,480:waittimer 73806 blt 0,0,640,480,0, 4763,640,480:waittimer 73822 blt 0,0,640,480,0, 4764,640,480:waittimer 73837 blt 0,0,640,480,0, 4765,640,480:waittimer 73853 blt 0,0,640,480,0, 4766,640,480:waittimer 73868 blt 0,0,640,480,0, 4767,640,480:waittimer 73884 blt 0,0,640,480,0, 4768,640,480:waittimer 73899 blt 0,0,640,480,0, 4769,640,480:waittimer 73915 blt 0,0,640,480,0, 4770,640,480:waittimer 73930 blt 0,0,640,480,0, 4771,640,480:waittimer 73946 blt 0,0,640,480,0, 4772,640,480:waittimer 73961 blt 0,0,640,480,0, 4773,640,480:waittimer 73977 blt 0,0,640,480,0, 4774,640,480:waittimer 73992 blt 0,0,640,480,0, 4775,640,480:waittimer 74008 blt 0,0,640,480,0, 4776,640,480:waittimer 74023 blt 0,0,640,480,0, 4777,640,480:waittimer 74039 blt 0,0,640,480,0, 4778,640,480:waittimer 74054 blt 0,0,640,480,0, 4779,640,480:waittimer 74070 blt 0,0,640,480,0, 4780,640,480:waittimer 74085 blt 0,0,640,480,0, 4781,640,480:waittimer 74101 blt 0,0,640,480,0, 4782,640,480:waittimer 74116 blt 0,0,640,480,0, 4783,640,480:waittimer 74132 blt 0,0,640,480,0, 4784,640,480:waittimer 74147 blt 0,0,640,480,0, 4785,640,480:waittimer 74163 blt 0,0,640,480,0, 4786,640,480:waittimer 74178 blt 0,0,640,480,0, 4787,640,480:waittimer 74194 blt 0,0,640,480,0, 4788,640,480:waittimer 74209 blt 0,0,640,480,0, 4789,640,480:waittimer 74225 blt 0,0,640,480,0, 4790,640,480:waittimer 74240 blt 0,0,640,480,0, 4791,640,480:waittimer 74256 blt 0,0,640,480,0, 4792,640,480:waittimer 74271 blt 0,0,640,480,0, 4793,640,480:waittimer 74287 blt 0,0,640,480,0, 4794,640,480:waittimer 74302 blt 0,0,640,480,0, 4795,640,480:waittimer 74318 blt 0,0,640,480,0, 4796,640,480:waittimer 74333 blt 0,0,640,480,0, 4797,640,480:waittimer 74349 blt 0,0,640,480,0, 4798,640,480:waittimer 74364 blt 0,0,640,480,0, 4799,640,480:waittimer 74380 blt 0,0,640,480,0, 4800,640,480:waittimer 74395 blt 0,0,640,480,0, 4801,640,480:waittimer 74411 blt 0,0,640,480,0, 4802,640,480:waittimer 74426 blt 0,0,640,480,0, 4803,640,480:waittimer 74442 blt 0,0,640,480,0, 4804,640,480:waittimer 74457 blt 0,0,640,480,0, 4805,640,480:waittimer 74473 blt 0,0,640,480,0, 4806,640,480:waittimer 74488 blt 0,0,640,480,0, 4807,640,480:waittimer 74504 blt 0,0,640,480,0, 4808,640,480:waittimer 74519 blt 0,0,640,480,0, 4809,640,480:waittimer 74535 blt 0,0,640,480,0, 4810,640,480:waittimer 74550 blt 0,0,640,480,0, 4811,640,480:waittimer 74566 blt 0,0,640,480,0, 4812,640,480:waittimer 74581 blt 0,0,640,480,0, 4813,640,480:waittimer 74597 blt 0,0,640,480,0, 4814,640,480:waittimer 74612 blt 0,0,640,480,0, 4815,640,480:waittimer 74628 blt 0,0,640,480,0, 4816,640,480:waittimer 74643 blt 0,0,640,480,0, 4817,640,480:waittimer 74659 blt 0,0,640,480,0, 4818,640,480:waittimer 74674 blt 0,0,640,480,0, 4819,640,480:waittimer 74690 blt 0,0,640,480,0, 4820,640,480:waittimer 74705 blt 0,0,640,480,0, 4821,640,480:waittimer 74721 blt 0,0,640,480,0, 4822,640,480:waittimer 74736 blt 0,0,640,480,0, 4823,640,480:waittimer 74752 blt 0,0,640,480,0, 4824,640,480:waittimer 74767 blt 0,0,640,480,0, 4825,640,480:waittimer 74783 blt 0,0,640,480,0, 4826,640,480:waittimer 74798 blt 0,0,640,480,0, 4827,640,480:waittimer 74814 blt 0,0,640,480,0, 4828,640,480:waittimer 74829 blt 0,0,640,480,0, 4829,640,480:waittimer 74845 blt 0,0,640,480,0, 4830,640,480:waittimer 74860 blt 0,0,640,480,0, 4831,640,480:waittimer 74876 blt 0,0,640,480,0, 4832,640,480:waittimer 74891 blt 0,0,640,480,0, 4833,640,480:waittimer 74907 blt 0,0,640,480,0, 4834,640,480:waittimer 74922 blt 0,0,640,480,0, 4835,640,480:waittimer 74938 blt 0,0,640,480,0, 4836,640,480:waittimer 74953 blt 0,0,640,480,0, 4837,640,480:waittimer 74969 blt 0,0,640,480,0, 4838,640,480:waittimer 74984 blt 0,0,640,480,0, 4839,640,480:waittimer 75000 blt 0,0,640,480,0, 4840,640,480:waittimer 75015 blt 0,0,640,480,0, 4841,640,480:waittimer 75031 blt 0,0,640,480,0, 4842,640,480:waittimer 75046 blt 0,0,640,480,0, 4843,640,480:waittimer 75062 blt 0,0,640,480,0, 4844,640,480:waittimer 75077 blt 0,0,640,480,0, 4845,640,480:waittimer 75093 blt 0,0,640,480,0, 4846,640,480:waittimer 75108 blt 0,0,640,480,0, 4847,640,480:waittimer 75124 blt 0,0,640,480,0, 4848,640,480:waittimer 75139 blt 0,0,640,480,0, 4849,640,480:waittimer 75155 blt 0,0,640,480,0, 4850,640,480:waittimer 75170 blt 0,0,640,480,0, 4851,640,480:waittimer 75186 blt 0,0,640,480,0, 4852,640,480:waittimer 75201 blt 0,0,640,480,0, 4853,640,480:waittimer 75217 blt 0,0,640,480,0, 4854,640,480:waittimer 75232 blt 0,0,640,480,0, 4855,640,480:waittimer 75248 blt 0,0,640,480,0, 4856,640,480:waittimer 75263 blt 0,0,640,480,0, 4857,640,480:waittimer 75279 blt 0,0,640,480,0, 4858,640,480:waittimer 75294 blt 0,0,640,480,0, 4859,640,480:waittimer 75310 blt 0,0,640,480,0, 4860,640,480:waittimer 75325 blt 0,0,640,480,0, 4861,640,480:waittimer 75341 blt 0,0,640,480,0, 4862,640,480:waittimer 75356 blt 0,0,640,480,0, 4863,640,480:waittimer 75372 blt 0,0,640,480,0, 4864,640,480:waittimer 75387 blt 0,0,640,480,0, 4865,640,480:waittimer 75403 blt 0,0,640,480,0, 4866,640,480:waittimer 75418 blt 0,0,640,480,0, 4867,640,480:waittimer 75434 blt 0,0,640,480,0, 4868,640,480:waittimer 75449 blt 0,0,640,480,0, 4869,640,480:waittimer 75465 blt 0,0,640,480,0, 4870,640,480:waittimer 75480 blt 0,0,640,480,0, 4871,640,480:waittimer 75496 blt 0,0,640,480,0, 4872,640,480:waittimer 75511 blt 0,0,640,480,0, 4873,640,480:waittimer 75527 blt 0,0,640,480,0, 4874,640,480:waittimer 75542 blt 0,0,640,480,0, 4875,640,480:waittimer 75558 blt 0,0,640,480,0, 4876,640,480:waittimer 75573 blt 0,0,640,480,0, 4877,640,480:waittimer 75589 blt 0,0,640,480,0, 4878,640,480:waittimer 75604 blt 0,0,640,480,0, 4879,640,480:waittimer 75620 blt 0,0,640,480,0, 4880,640,480:waittimer 75635 blt 0,0,640,480,0, 4881,640,480:waittimer 75651 blt 0,0,640,480,0, 4882,640,480:waittimer 75666 blt 0,0,640,480,0, 4883,640,480:waittimer 75682 blt 0,0,640,480,0, 4884,640,480:waittimer 75697 blt 0,0,640,480,0, 4885,640,480:waittimer 75713 blt 0,0,640,480,0, 4886,640,480:waittimer 75728 blt 0,0,640,480,0, 4887,640,480:waittimer 75744 blt 0,0,640,480,0, 4888,640,480:waittimer 75759 blt 0,0,640,480,0, 4889,640,480:waittimer 75775 blt 0,0,640,480,0, 4890,640,480:waittimer 75790 blt 0,0,640,480,0, 4891,640,480:waittimer 75806 blt 0,0,640,480,0, 4892,640,480:waittimer 75821 blt 0,0,640,480,0, 4893,640,480:waittimer 75837 blt 0,0,640,480,0, 4894,640,480:waittimer 75852 blt 0,0,640,480,0, 4895,640,480:waittimer 75868 blt 0,0,640,480,0, 4896,640,480:waittimer 75883 blt 0,0,640,480,0, 4897,640,480:waittimer 75899 blt 0,0,640,480,0, 4898,640,480:waittimer 75914 blt 0,0,640,480,0, 4899,640,480:waittimer 75930 blt 0,0,640,480,0, 4900,640,480:waittimer 75945 blt 0,0,640,480,0, 4901,640,480:waittimer 75961 blt 0,0,640,480,0, 4902,640,480:waittimer 75976 blt 0,0,640,480,0, 4903,640,480:waittimer 75992 blt 0,0,640,480,0, 4904,640,480:waittimer 76007 blt 0,0,640,480,0, 4905,640,480:waittimer 76023 blt 0,0,640,480,0, 4906,640,480:waittimer 76038 blt 0,0,640,480,0, 4907,640,480:waittimer 76054 blt 0,0,640,480,0, 4908,640,480:waittimer 76069 blt 0,0,640,480,0, 4909,640,480:waittimer 76085 blt 0,0,640,480,0, 4910,640,480:waittimer 76100 blt 0,0,640,480,0, 4911,640,480:waittimer 76116 blt 0,0,640,480,0, 4912,640,480:waittimer 76131 blt 0,0,640,480,0, 4913,640,480:waittimer 76147 blt 0,0,640,480,0, 4914,640,480:waittimer 76162 blt 0,0,640,480,0, 4915,640,480:waittimer 76178 blt 0,0,640,480,0, 4916,640,480:waittimer 76193 blt 0,0,640,480,0, 4917,640,480:waittimer 76209 blt 0,0,640,480,0, 4918,640,480:waittimer 76224 blt 0,0,640,480,0, 4919,640,480:waittimer 76240 blt 0,0,640,480,0, 4920,640,480:waittimer 76255 blt 0,0,640,480,0, 4921,640,480:waittimer 76271 blt 0,0,640,480,0, 4922,640,480:waittimer 76286 blt 0,0,640,480,0, 4923,640,480:waittimer 76302 blt 0,0,640,480,0, 4924,640,480:waittimer 76317 blt 0,0,640,480,0, 4925,640,480:waittimer 76333 blt 0,0,640,480,0, 4926,640,480:waittimer 76348 blt 0,0,640,480,0, 4927,640,480:waittimer 76364 blt 0,0,640,480,0, 4928,640,480:waittimer 76379 blt 0,0,640,480,0, 4929,640,480:waittimer 76395 blt 0,0,640,480,0, 4930,640,480:waittimer 76410 blt 0,0,640,480,0, 4931,640,480:waittimer 76426 blt 0,0,640,480,0, 4932,640,480:waittimer 76441 blt 0,0,640,480,0, 4933,640,480:waittimer 76457 blt 0,0,640,480,0, 4934,640,480:waittimer 76472 blt 0,0,640,480,0, 4935,640,480:waittimer 76488 blt 0,0,640,480,0, 4936,640,480:waittimer 76503 blt 0,0,640,480,0, 4937,640,480:waittimer 76519 blt 0,0,640,480,0, 4938,640,480:waittimer 76534 blt 0,0,640,480,0, 4939,640,480:waittimer 76550 blt 0,0,640,480,0, 4940,640,480:waittimer 76565 blt 0,0,640,480,0, 4941,640,480:waittimer 76581 blt 0,0,640,480,0, 4942,640,480:waittimer 76596 blt 0,0,640,480,0, 4943,640,480:waittimer 76612 blt 0,0,640,480,0, 4944,640,480:waittimer 76627 blt 0,0,640,480,0, 4945,640,480:waittimer 76643 blt 0,0,640,480,0, 4946,640,480:waittimer 76658 blt 0,0,640,480,0, 4947,640,480:waittimer 76674 blt 0,0,640,480,0, 4948,640,480:waittimer 76689 blt 0,0,640,480,0, 4949,640,480:waittimer 76705 blt 0,0,640,480,0, 4950,640,480:waittimer 76720 blt 0,0,640,480,0, 4951,640,480:waittimer 76736 blt 0,0,640,480,0, 4952,640,480:waittimer 76751 blt 0,0,640,480,0, 4953,640,480:waittimer 76767 blt 0,0,640,480,0, 4954,640,480:waittimer 76782 blt 0,0,640,480,0, 4955,640,480:waittimer 76798 blt 0,0,640,480,0, 4956,640,480:waittimer 76813 blt 0,0,640,480,0, 4957,640,480:waittimer 76829 blt 0,0,640,480,0, 4958,640,480:waittimer 76844 blt 0,0,640,480,0, 4959,640,480:waittimer 76860 blt 0,0,640,480,0, 4960,640,480:waittimer 76875 blt 0,0,640,480,0, 4961,640,480:waittimer 76891 blt 0,0,640,480,0, 4962,640,480:waittimer 76906 blt 0,0,640,480,0, 4963,640,480:waittimer 76922 blt 0,0,640,480,0, 4964,640,480:waittimer 76937 blt 0,0,640,480,0, 4965,640,480:waittimer 76953 blt 0,0,640,480,0, 4966,640,480:waittimer 76968 blt 0,0,640,480,0, 4967,640,480:waittimer 76984 blt 0,0,640,480,0, 4968,640,480:waittimer 76999 blt 0,0,640,480,0, 4969,640,480:waittimer 77015 blt 0,0,640,480,0, 4970,640,480:waittimer 77030 blt 0,0,640,480,0, 4971,640,480:waittimer 77046 blt 0,0,640,480,0, 4972,640,480:waittimer 77061 blt 0,0,640,480,0, 4973,640,480:waittimer 77077 blt 0,0,640,480,0, 4974,640,480:waittimer 77092 blt 0,0,640,480,0, 4975,640,480:waittimer 77108 blt 0,0,640,480,0, 4976,640,480:waittimer 77123 blt 0,0,640,480,0, 4977,640,480:waittimer 77139 blt 0,0,640,480,0, 4978,640,480:waittimer 77154 blt 0,0,640,480,0, 4979,640,480:waittimer 77170 blt 0,0,640,480,0, 4980,640,480:waittimer 77185 blt 0,0,640,480,0, 4981,640,480:waittimer 77201 blt 0,0,640,480,0, 4982,640,480:waittimer 77216 blt 0,0,640,480,0, 4983,640,480:waittimer 77232 blt 0,0,640,480,0, 4984,640,480:waittimer 77247 blt 0,0,640,480,0, 4985,640,480:waittimer 77263 blt 0,0,640,480,0, 4986,640,480:waittimer 77278 blt 0,0,640,480,0, 4987,640,480:waittimer 77294 blt 0,0,640,480,0, 4988,640,480:waittimer 77309 blt 0,0,640,480,0, 4989,640,480:waittimer 77325 blt 0,0,640,480,0, 4990,640,480:waittimer 77340 blt 0,0,640,480,0, 4991,640,480:waittimer 77356 blt 0,0,640,480,0, 4992,640,480:waittimer 77371 blt 0,0,640,480,0, 4993,640,480:waittimer 77387 blt 0,0,640,480,0, 4994,640,480:waittimer 77402 blt 0,0,640,480,0, 4995,640,480:waittimer 77418 blt 0,0,640,480,0, 4996,640,480:waittimer 77433 blt 0,0,640,480,0, 4997,640,480:waittimer 77449 blt 0,0,640,480,0, 4998,640,480:waittimer 77464 blt 0,0,640,480,0, 4999,640,480:waittimer 77480 blt 0,0,640,480,0, 5000,640,480:waittimer 77495 blt 0,0,640,480,0, 5001,640,480:waittimer 77511 blt 0,0,640,480,0, 5002,640,480:waittimer 77526 blt 0,0,640,480,0, 5003,640,480:waittimer 77542 blt 0,0,640,480,0, 5004,640,480:waittimer 77557 blt 0,0,640,480,0, 5005,640,480:waittimer 77573 blt 0,0,640,480,0, 5006,640,480:waittimer 77588 blt 0,0,640,480,0, 5007,640,480:waittimer 77604 blt 0,0,640,480,0, 5008,640,480:waittimer 77619 blt 0,0,640,480,0, 5009,640,480:waittimer 77635 blt 0,0,640,480,0, 5010,640,480:waittimer 77650 blt 0,0,640,480,0, 5011,640,480:waittimer 77666 blt 0,0,640,480,0, 5012,640,480:waittimer 77681 blt 0,0,640,480,0, 5013,640,480:waittimer 77697 blt 0,0,640,480,0, 5014,640,480:waittimer 77712 blt 0,0,640,480,0, 5015,640,480:waittimer 77728 blt 0,0,640,480,0, 5016,640,480:waittimer 77743 blt 0,0,640,480,0, 5017,640,480:waittimer 77759 blt 0,0,640,480,0, 5018,640,480:waittimer 77774 blt 0,0,640,480,0, 5019,640,480:waittimer 77790 blt 0,0,640,480,0, 5020,640,480:waittimer 77805 blt 0,0,640,480,0, 5021,640,480:waittimer 77821 blt 0,0,640,480,0, 5022,640,480:waittimer 77836 blt 0,0,640,480,0, 5023,640,480:waittimer 77852 blt 0,0,640,480,0, 5024,640,480:waittimer 77867 blt 0,0,640,480,0, 5025,640,480:waittimer 77883 blt 0,0,640,480,0, 5026,640,480:waittimer 77898 blt 0,0,640,480,0, 5027,640,480:waittimer 77914 blt 0,0,640,480,0, 5028,640,480:waittimer 77929 blt 0,0,640,480,0, 5029,640,480:waittimer 77945 blt 0,0,640,480,0, 5030,640,480:waittimer 77960 blt 0,0,640,480,0, 5031,640,480:waittimer 77976 blt 0,0,640,480,0, 5032,640,480:waittimer 77991 blt 0,0,640,480,0, 5033,640,480:waittimer 78007 blt 0,0,640,480,0, 5034,640,480:waittimer 78022 blt 0,0,640,480,0, 5035,640,480:waittimer 78038 blt 0,0,640,480,0, 5036,640,480:waittimer 78053 blt 0,0,640,480,0, 5037,640,480:waittimer 78069 blt 0,0,640,480,0, 5038,640,480:waittimer 78084 blt 0,0,640,480,0, 5039,640,480:waittimer 78100 blt 0,0,640,480,0, 5040,640,480:waittimer 78115 blt 0,0,640,480,0, 5041,640,480:waittimer 78131 blt 0,0,640,480,0, 5042,640,480:waittimer 78146 blt 0,0,640,480,0, 5043,640,480:waittimer 78162 blt 0,0,640,480,0, 5044,640,480:waittimer 78177 blt 0,0,640,480,0, 5045,640,480:waittimer 78193 blt 0,0,640,480,0, 5046,640,480:waittimer 78208 blt 0,0,640,480,0, 5047,640,480:waittimer 78224 blt 0,0,640,480,0, 5048,640,480:waittimer 78239 blt 0,0,640,480,0, 5049,640,480:waittimer 78255 blt 0,0,640,480,0, 5050,640,480:waittimer 78270 blt 0,0,640,480,0, 5051,640,480:waittimer 78286 blt 0,0,640,480,0, 5052,640,480:waittimer 78301 blt 0,0,640,480,0, 5053,640,480:waittimer 78317 blt 0,0,640,480,0, 5054,640,480:waittimer 78332 blt 0,0,640,480,0, 5055,640,480:waittimer 78348 blt 0,0,640,480,0, 5056,640,480:waittimer 78363 blt 0,0,640,480,0, 5057,640,480:waittimer 78379 blt 0,0,640,480,0, 5058,640,480:waittimer 78394 blt 0,0,640,480,0, 5059,640,480:waittimer 78410 blt 0,0,640,480,0, 5060,640,480:waittimer 78425 blt 0,0,640,480,0, 5061,640,480:waittimer 78441 blt 0,0,640,480,0, 5062,640,480:waittimer 78456 blt 0,0,640,480,0, 5063,640,480:waittimer 78472 blt 0,0,640,480,0, 5064,640,480:waittimer 78487 blt 0,0,640,480,0, 5065,640,480:waittimer 78503 blt 0,0,640,480,0, 5066,640,480:waittimer 78518 blt 0,0,640,480,0, 5067,640,480:waittimer 78534 blt 0,0,640,480,0, 5068,640,480:waittimer 78549 blt 0,0,640,480,0, 5069,640,480:waittimer 78565 blt 0,0,640,480,0, 5070,640,480:waittimer 78580 blt 0,0,640,480,0, 5071,640,480:waittimer 78596 blt 0,0,640,480,0, 5072,640,480:waittimer 78611 blt 0,0,640,480,0, 5073,640,480:waittimer 78627 blt 0,0,640,480,0, 5074,640,480:waittimer 78642 blt 0,0,640,480,0, 5075,640,480:waittimer 78658 blt 0,0,640,480,0, 5076,640,480:waittimer 78673 blt 0,0,640,480,0, 5077,640,480:waittimer 78689 blt 0,0,640,480,0, 5078,640,480:waittimer 78704 blt 0,0,640,480,0, 5079,640,480:waittimer 78720 blt 0,0,640,480,0, 5080,640,480:waittimer 78735 blt 0,0,640,480,0, 5081,640,480:waittimer 78751 blt 0,0,640,480,0, 5082,640,480:waittimer 78766 blt 0,0,640,480,0, 5083,640,480:waittimer 78782 blt 0,0,640,480,0, 5084,640,480:waittimer 78797 blt 0,0,640,480,0, 5085,640,480:waittimer 78813 blt 0,0,640,480,0, 5086,640,480:waittimer 78828 blt 0,0,640,480,0, 5087,640,480:waittimer 78844 blt 0,0,640,480,0, 5088,640,480:waittimer 78859 blt 0,0,640,480,0, 5089,640,480:waittimer 78875 blt 0,0,640,480,0, 5090,640,480:waittimer 78890 blt 0,0,640,480,0, 5091,640,480:waittimer 78906 blt 0,0,640,480,0, 5092,640,480:waittimer 78921 blt 0,0,640,480,0, 5093,640,480:waittimer 78937 blt 0,0,640,480,0, 5094,640,480:waittimer 78952 blt 0,0,640,480,0, 5095,640,480:waittimer 78968 blt 0,0,640,480,0, 5096,640,480:waittimer 78983 blt 0,0,640,480,0, 5097,640,480:waittimer 78999 blt 0,0,640,480,0, 5098,640,480:waittimer 79014 blt 0,0,640,480,0, 5099,640,480:waittimer 79030 blt 0,0,640,480,0, 5100,640,480:waittimer 79045 blt 0,0,640,480,0, 5101,640,480:waittimer 79061 blt 0,0,640,480,0, 5102,640,480:waittimer 79076 blt 0,0,640,480,0, 5103,640,480:waittimer 79092 blt 0,0,640,480,0, 5104,640,480:waittimer 79107 blt 0,0,640,480,0, 5105,640,480:waittimer 79123 blt 0,0,640,480,0, 5106,640,480:waittimer 79138 blt 0,0,640,480,0, 5107,640,480:waittimer 79154 blt 0,0,640,480,0, 5108,640,480:waittimer 79169 blt 0,0,640,480,0, 5109,640,480:waittimer 79185 blt 0,0,640,480,0, 5110,640,480:waittimer 79200 blt 0,0,640,480,0, 5111,640,480:waittimer 79216 blt 0,0,640,480,0, 5112,640,480:waittimer 79231 blt 0,0,640,480,0, 5113,640,480:waittimer 79247 blt 0,0,640,480,0, 5114,640,480:waittimer 79262 blt 0,0,640,480,0, 5115,640,480:waittimer 79278 blt 0,0,640,480,0, 5116,640,480:waittimer 79293 blt 0,0,640,480,0, 5117,640,480:waittimer 79309 blt 0,0,640,480,0, 5118,640,480:waittimer 79324 blt 0,0,640,480,0, 5119,640,480:waittimer 79340 blt 0,0,640,480,0, 5120,640,480:waittimer 79355 blt 0,0,640,480,0, 5121,640,480:waittimer 79371 blt 0,0,640,480,0, 5122,640,480:waittimer 79386 blt 0,0,640,480,0, 5123,640,480:waittimer 79402 blt 0,0,640,480,0, 5124,640,480:waittimer 79417 blt 0,0,640,480,0, 5125,640,480:waittimer 79433 blt 0,0,640,480,0, 5126,640,480:waittimer 79448 blt 0,0,640,480,0, 5127,640,480:waittimer 79464 blt 0,0,640,480,0, 5128,640,480:waittimer 79479 blt 0,0,640,480,0, 5129,640,480:waittimer 79495 blt 0,0,640,480,0, 5130,640,480:waittimer 79510 blt 0,0,640,480,0, 5131,640,480:waittimer 79526 blt 0,0,640,480,0, 5132,640,480:waittimer 79541 blt 0,0,640,480,0, 5133,640,480:waittimer 79557 blt 0,0,640,480,0, 5134,640,480:waittimer 79572 blt 0,0,640,480,0, 5135,640,480:waittimer 79588 blt 0,0,640,480,0, 5136,640,480:waittimer 79603 blt 0,0,640,480,0, 5137,640,480:waittimer 79619 blt 0,0,640,480,0, 5138,640,480:waittimer 79634 blt 0,0,640,480,0, 5139,640,480:waittimer 79650 blt 0,0,640,480,0, 5140,640,480:waittimer 79665 blt 0,0,640,480,0, 5141,640,480:waittimer 79680 blt 0,0,640,480,0, 5142,640,480:waittimer 79696 blt 0,0,640,480,0, 5143,640,480:waittimer 79711 blt 0,0,640,480,0, 5144,640,480:waittimer 79727 blt 0,0,640,480,0, 5145,640,480:waittimer 79742 blt 0,0,640,480,0, 5146,640,480:waittimer 79758 blt 0,0,640,480,0, 5147,640,480:waittimer 79773 blt 0,0,640,480,0, 5148,640,480:waittimer 79789 blt 0,0,640,480,0, 5149,640,480:waittimer 79804 blt 0,0,640,480,0, 5150,640,480:waittimer 79820 blt 0,0,640,480,0, 5151,640,480:waittimer 79835 blt 0,0,640,480,0, 5152,640,480:waittimer 79851 blt 0,0,640,480,0, 5153,640,480:waittimer 79866 blt 0,0,640,480,0, 5154,640,480:waittimer 79882 blt 0,0,640,480,0, 5155,640,480:waittimer 79897 blt 0,0,640,480,0, 5156,640,480:waittimer 79913 blt 0,0,640,480,0, 5157,640,480:waittimer 79928 blt 0,0,640,480,0, 5158,640,480:waittimer 79944 blt 0,0,640,480,0, 5159,640,480:waittimer 79959 blt 0,0,640,480,0, 5160,640,480:waittimer 79975 blt 0,0,640,480,0, 5161,640,480:waittimer 79990 blt 0,0,640,480,0, 5162,640,480:waittimer 80006 blt 0,0,640,480,0, 5163,640,480:waittimer 80021 blt 0,0,640,480,0, 5164,640,480:waittimer 80037 blt 0,0,640,480,0, 5165,640,480:waittimer 80052 blt 0,0,640,480,0, 5166,640,480:waittimer 80068 blt 0,0,640,480,0, 5167,640,480:waittimer 80083 blt 0,0,640,480,0, 5168,640,480:waittimer 80099 blt 0,0,640,480,0, 5169,640,480:waittimer 80114 blt 0,0,640,480,0, 5170,640,480:waittimer 80130 blt 0,0,640,480,0, 5171,640,480:waittimer 80145 blt 0,0,640,480,0, 5172,640,480:waittimer 80161 blt 0,0,640,480,0, 5173,640,480:waittimer 80176 blt 0,0,640,480,0, 5174,640,480:waittimer 80192 blt 0,0,640,480,0, 5175,640,480:waittimer 80207 blt 0,0,640,480,0, 5176,640,480:waittimer 80223 blt 0,0,640,480,0, 5177,640,480:waittimer 80238 blt 0,0,640,480,0, 5178,640,480:waittimer 80254 blt 0,0,640,480,0, 5179,640,480:waittimer 80269 blt 0,0,640,480,0, 5180,640,480:waittimer 80285 blt 0,0,640,480,0, 5181,640,480:waittimer 80300 blt 0,0,640,480,0, 5182,640,480:waittimer 80316 blt 0,0,640,480,0, 5183,640,480:waittimer 80331 blt 0,0,640,480,0, 5184,640,480:waittimer 80347 blt 0,0,640,480,0, 5185,640,480:waittimer 80362 blt 0,0,640,480,0, 5186,640,480:waittimer 80378 blt 0,0,640,480,0, 5187,640,480:waittimer 80393 blt 0,0,640,480,0, 5188,640,480:waittimer 80409 blt 0,0,640,480,0, 5189,640,480:waittimer 80424 blt 0,0,640,480,0, 5190,640,480:waittimer 80440 blt 0,0,640,480,0, 5191,640,480:waittimer 80455 blt 0,0,640,480,0, 5192,640,480:waittimer 80471 blt 0,0,640,480,0, 5193,640,480:waittimer 80486 blt 0,0,640,480,0, 5194,640,480:waittimer 80502 blt 0,0,640,480,0, 5195,640,480:waittimer 80517 blt 0,0,640,480,0, 5196,640,480:waittimer 80533 blt 0,0,640,480,0, 5197,640,480:waittimer 80548 blt 0,0,640,480,0, 5198,640,480:waittimer 80564 blt 0,0,640,480,0, 5199,640,480:waittimer 80579 blt 0,0,640,480,0, 5200,640,480:waittimer 80595 blt 0,0,640,480,0, 5201,640,480:waittimer 80610 blt 0,0,640,480,0, 5202,640,480:waittimer 80626 blt 0,0,640,480,0, 5203,640,480:waittimer 80641 blt 0,0,640,480,0, 5204,640,480:waittimer 80657 blt 0,0,640,480,0, 5205,640,480:waittimer 80672 blt 0,0,640,480,0, 5206,640,480:waittimer 80688 blt 0,0,640,480,0, 5207,640,480:waittimer 80703 blt 0,0,640,480,0, 5208,640,480:waittimer 80719 blt 0,0,640,480,0, 5209,640,480:waittimer 80734 blt 0,0,640,480,0, 5210,640,480:waittimer 80750 blt 0,0,640,480,0, 5211,640,480:waittimer 80765 blt 0,0,640,480,0, 5212,640,480:waittimer 80781 blt 0,0,640,480,0, 5213,640,480:waittimer 80796 blt 0,0,640,480,0, 5214,640,480:waittimer 80812 blt 0,0,640,480,0, 5215,640,480:waittimer 80827 blt 0,0,640,480,0, 5216,640,480:waittimer 80843 blt 0,0,640,480,0, 5217,640,480:waittimer 80858 blt 0,0,640,480,0, 5218,640,480:waittimer 80874 blt 0,0,640,480,0, 5219,640,480:waittimer 80889 blt 0,0,640,480,0, 5220,640,480:waittimer 80905 blt 0,0,640,480,0, 5221,640,480:waittimer 80920 blt 0,0,640,480,0, 5222,640,480:waittimer 80936 blt 0,0,640,480,0, 5223,640,480:waittimer 80951 blt 0,0,640,480,0, 5224,640,480:waittimer 80967 blt 0,0,640,480,0, 5225,640,480:waittimer 80982 blt 0,0,640,480,0, 5226,640,480:waittimer 80998 blt 0,0,640,480,0, 5227,640,480:waittimer 81013 blt 0,0,640,480,0, 5228,640,480:waittimer 81029 blt 0,0,640,480,0, 5229,640,480:waittimer 81044 blt 0,0,640,480,0, 5230,640,480:waittimer 81060 blt 0,0,640,480,0, 5231,640,480:waittimer 81075 blt 0,0,640,480,0, 5232,640,480:waittimer 81091 blt 0,0,640,480,0, 5233,640,480:waittimer 81106 blt 0,0,640,480,0, 5234,640,480:waittimer 81122 blt 0,0,640,480,0, 5235,640,480:waittimer 81137 blt 0,0,640,480,0, 5236,640,480:waittimer 81153 blt 0,0,640,480,0, 5237,640,480:waittimer 81168 blt 0,0,640,480,0, 5238,640,480:waittimer 81184 blt 0,0,640,480,0, 5239,640,480:waittimer 81199 blt 0,0,640,480,0, 5240,640,480:waittimer 81215 blt 0,0,640,480,0, 5241,640,480:waittimer 81230 blt 0,0,640,480,0, 5242,640,480:waittimer 81246 blt 0,0,640,480,0, 5243,640,480:waittimer 81261 blt 0,0,640,480,0, 5244,640,480:waittimer 81277 blt 0,0,640,480,0, 5245,640,480:waittimer 81292 blt 0,0,640,480,0, 5246,640,480:waittimer 81308 blt 0,0,640,480,0, 5247,640,480:waittimer 81323 blt 0,0,640,480,0, 5248,640,480:waittimer 81339 blt 0,0,640,480,0, 5249,640,480:waittimer 81354 blt 0,0,640,480,0, 5250,640,480:waittimer 81370 blt 0,0,640,480,0, 5251,640,480:waittimer 81385 blt 0,0,640,480,0, 5252,640,480:waittimer 81401 blt 0,0,640,480,0, 5253,640,480:waittimer 81416 blt 0,0,640,480,0, 5254,640,480:waittimer 81432 blt 0,0,640,480,0, 5255,640,480:waittimer 81447 blt 0,0,640,480,0, 5256,640,480:waittimer 81463 blt 0,0,640,480,0, 5257,640,480:waittimer 81478 blt 0,0,640,480,0, 5258,640,480:waittimer 81494 blt 0,0,640,480,0, 5259,640,480:waittimer 81509 blt 0,0,640,480,0, 5260,640,480:waittimer 81525 blt 0,0,640,480,0, 5261,640,480:waittimer 81540 blt 0,0,640,480,0, 5262,640,480:waittimer 81556 blt 0,0,640,480,0, 5263,640,480:waittimer 81571 blt 0,0,640,480,0, 5264,640,480:waittimer 81587 blt 0,0,640,480,0, 5265,640,480:waittimer 81602 blt 0,0,640,480,0, 5266,640,480:waittimer 81618 blt 0,0,640,480,0, 5267,640,480:waittimer 81633 blt 0,0,640,480,0, 5268,640,480:waittimer 81649 blt 0,0,640,480,0, 5269,640,480:waittimer 81664 blt 0,0,640,480,0, 5270,640,480:waittimer 81680 blt 0,0,640,480,0, 5271,640,480:waittimer 81695 blt 0,0,640,480,0, 5272,640,480:waittimer 81711 blt 0,0,640,480,0, 5273,640,480:waittimer 81726 blt 0,0,640,480,0, 5274,640,480:waittimer 81742 blt 0,0,640,480,0, 5275,640,480:waittimer 81757 blt 0,0,640,480,0, 5276,640,480:waittimer 81773 blt 0,0,640,480,0, 5277,640,480:waittimer 81788 blt 0,0,640,480,0, 5278,640,480:waittimer 81804 blt 0,0,640,480,0, 5279,640,480:waittimer 81819 blt 0,0,640,480,0, 5280,640,480:waittimer 81835 blt 0,0,640,480,0, 5281,640,480:waittimer 81850 blt 0,0,640,480,0, 5282,640,480:waittimer 81866 blt 0,0,640,480,0, 5283,640,480:waittimer 81881 blt 0,0,640,480,0, 5284,640,480:waittimer 81897 blt 0,0,640,480,0, 5285,640,480:waittimer 81912 blt 0,0,640,480,0, 5286,640,480:waittimer 81928 blt 0,0,640,480,0, 5287,640,480:waittimer 81943 blt 0,0,640,480,0, 5288,640,480:waittimer 81959 blt 0,0,640,480,0, 5289,640,480:waittimer 81974 blt 0,0,640,480,0, 5290,640,480:waittimer 81990 blt 0,0,640,480,0, 5291,640,480:waittimer 82005 blt 0,0,640,480,0, 5292,640,480:waittimer 82021 blt 0,0,640,480,0, 5293,640,480:waittimer 82036 blt 0,0,640,480,0, 5294,640,480:waittimer 82052 blt 0,0,640,480,0, 5295,640,480:waittimer 82067 blt 0,0,640,480,0, 5296,640,480:waittimer 82083 blt 0,0,640,480,0, 5297,640,480:waittimer 82098 blt 0,0,640,480,0, 5298,640,480:waittimer 82114 blt 0,0,640,480,0, 5299,640,480:waittimer 82129 blt 0,0,640,480,0, 5300,640,480:waittimer 82145 blt 0,0,640,480,0, 5301,640,480:waittimer 82160 blt 0,0,640,480,0, 5302,640,480:waittimer 82176 blt 0,0,640,480,0, 5303,640,480:waittimer 82191 blt 0,0,640,480,0, 5304,640,480:waittimer 82207 blt 0,0,640,480,0, 5305,640,480:waittimer 82222 blt 0,0,640,480,0, 5306,640,480:waittimer 82238 blt 0,0,640,480,0, 5307,640,480:waittimer 82253 blt 0,0,640,480,0, 5308,640,480:waittimer 82269 blt 0,0,640,480,0, 5309,640,480:waittimer 82284 blt 0,0,640,480,0, 5310,640,480:waittimer 82300 blt 0,0,640,480,0, 5311,640,480:waittimer 82315 blt 0,0,640,480,0, 5312,640,480:waittimer 82331 blt 0,0,640,480,0, 5313,640,480:waittimer 82346 blt 0,0,640,480,0, 5314,640,480:waittimer 82362 blt 0,0,640,480,0, 5315,640,480:waittimer 82377 blt 0,0,640,480,0, 5316,640,480:waittimer 82393 blt 0,0,640,480,0, 5317,640,480:waittimer 82408 blt 0,0,640,480,0, 5318,640,480:waittimer 82424 blt 0,0,640,480,0, 5319,640,480:waittimer 82439 blt 0,0,640,480,0, 5320,640,480:waittimer 82455 blt 0,0,640,480,0, 5321,640,480:waittimer 82470 blt 0,0,640,480,0, 5322,640,480:waittimer 82486 blt 0,0,640,480,0, 5323,640,480:waittimer 82501 blt 0,0,640,480,0, 5324,640,480:waittimer 82517 blt 0,0,640,480,0, 5325,640,480:waittimer 82532 blt 0,0,640,480,0, 5326,640,480:waittimer 82548 blt 0,0,640,480,0, 5327,640,480:waittimer 82563 blt 0,0,640,480,0, 5328,640,480:waittimer 82579 blt 0,0,640,480,0, 5329,640,480:waittimer 82594 blt 0,0,640,480,0, 5330,640,480:waittimer 82610 blt 0,0,640,480,0, 5331,640,480:waittimer 82625 blt 0,0,640,480,0, 5332,640,480:waittimer 82641 blt 0,0,640,480,0, 5333,640,480:waittimer 82656 blt 0,0,640,480,0, 5334,640,480:waittimer 82672 blt 0,0,640,480,0, 5335,640,480:waittimer 82687 blt 0,0,640,480,0, 5336,640,480:waittimer 82703 blt 0,0,640,480,0, 5337,640,480:waittimer 82718 blt 0,0,640,480,0, 5338,640,480:waittimer 82734 blt 0,0,640,480,0, 5339,640,480:waittimer 82749 blt 0,0,640,480,0, 5340,640,480:waittimer 82765 blt 0,0,640,480,0, 5341,640,480:waittimer 82780 blt 0,0,640,480,0, 5342,640,480:waittimer 82796 blt 0,0,640,480,0, 5343,640,480:waittimer 82811 blt 0,0,640,480,0, 5344,640,480:waittimer 82827 blt 0,0,640,480,0, 5345,640,480:waittimer 82842 blt 0,0,640,480,0, 5346,640,480:waittimer 82858 blt 0,0,640,480,0, 5347,640,480:waittimer 82873 blt 0,0,640,480,0, 5348,640,480:waittimer 82889 blt 0,0,640,480,0, 5349,640,480:waittimer 82904 blt 0,0,640,480,0, 5350,640,480:waittimer 82920 blt 0,0,640,480,0, 5351,640,480:waittimer 82935 blt 0,0,640,480,0, 5352,640,480:waittimer 82951 blt 0,0,640,480,0, 5353,640,480:waittimer 82966 blt 0,0,640,480,0, 5354,640,480:waittimer 82982 blt 0,0,640,480,0, 5355,640,480:waittimer 82997 blt 0,0,640,480,0, 5356,640,480:waittimer 83013 blt 0,0,640,480,0, 5357,640,480:waittimer 83028 blt 0,0,640,480,0, 5358,640,480:waittimer 83044 blt 0,0,640,480,0, 5359,640,480:waittimer 83059 blt 0,0,640,480,0, 5360,640,480:waittimer 83075 blt 0,0,640,480,0, 5361,640,480:waittimer 83090 blt 0,0,640,480,0, 5362,640,480:waittimer 83106 blt 0,0,640,480,0, 5363,640,480:waittimer 83121 blt 0,0,640,480,0, 5364,640,480:waittimer 83137 blt 0,0,640,480,0, 5365,640,480:waittimer 83152 blt 0,0,640,480,0, 5366,640,480:waittimer 83168 blt 0,0,640,480,0, 5367,640,480:waittimer 83183 blt 0,0,640,480,0, 5368,640,480:waittimer 83199 blt 0,0,640,480,0, 5369,640,480:waittimer 83214 blt 0,0,640,480,0, 5370,640,480:waittimer 83230 blt 0,0,640,480,0, 5371,640,480:waittimer 83245 blt 0,0,640,480,0, 5372,640,480:waittimer 83261 blt 0,0,640,480,0, 5373,640,480:waittimer 83276 blt 0,0,640,480,0, 5374,640,480:waittimer 83292 blt 0,0,640,480,0, 5375,640,480:waittimer 83307 blt 0,0,640,480,0, 5376,640,480:waittimer 83323 blt 0,0,640,480,0, 5377,640,480:waittimer 83338 blt 0,0,640,480,0, 5378,640,480:waittimer 83354 blt 0,0,640,480,0, 5379,640,480:waittimer 83369 blt 0,0,640,480,0, 5380,640,480:waittimer 83385 blt 0,0,640,480,0, 5381,640,480:waittimer 83400 blt 0,0,640,480,0, 5382,640,480:waittimer 83416 blt 0,0,640,480,0, 5383,640,480:waittimer 83431 blt 0,0,640,480,0, 5384,640,480:waittimer 83447 blt 0,0,640,480,0, 5385,640,480:waittimer 83462 blt 0,0,640,480,0, 5386,640,480:waittimer 83478 blt 0,0,640,480,0, 5387,640,480:waittimer 83493 blt 0,0,640,480,0, 5388,640,480:waittimer 83509 blt 0,0,640,480,0, 5389,640,480:waittimer 83524 blt 0,0,640,480,0, 5390,640,480:waittimer 83540 blt 0,0,640,480,0, 5391,640,480:waittimer 83555 blt 0,0,640,480,0, 5392,640,480:waittimer 83571 blt 0,0,640,480,0, 5393,640,480:waittimer 83586 blt 0,0,640,480,0, 5394,640,480:waittimer 83602 blt 0,0,640,480,0, 5395,640,480:waittimer 83617 blt 0,0,640,480,0, 5396,640,480:waittimer 83633 blt 0,0,640,480,0, 5397,640,480:waittimer 83648 blt 0,0,640,480,0, 5398,640,480:waittimer 83664 blt 0,0,640,480,0, 5399,640,480:waittimer 83679 blt 0,0,640,480,0, 5400,640,480:waittimer 83695 blt 0,0,640,480,0, 5401,640,480:waittimer 83710 blt 0,0,640,480,0, 5402,640,480:waittimer 83726 blt 0,0,640,480,0, 5403,640,480:waittimer 83741 blt 0,0,640,480,0, 5404,640,480:waittimer 83757 blt 0,0,640,480,0, 5405,640,480:waittimer 83772 blt 0,0,640,480,0, 5406,640,480:waittimer 83788 blt 0,0,640,480,0, 5407,640,480:waittimer 83803 blt 0,0,640,480,0, 5408,640,480:waittimer 83819 blt 0,0,640,480,0, 5409,640,480:waittimer 83834 blt 0,0,640,480,0, 5410,640,480:waittimer 83850 blt 0,0,640,480,0, 5411,640,480:waittimer 83865 blt 0,0,640,480,0, 5412,640,480:waittimer 83881 blt 0,0,640,480,0, 5413,640,480:waittimer 83896 blt 0,0,640,480,0, 5414,640,480:waittimer 83912 blt 0,0,640,480,0, 5415,640,480:waittimer 83927 blt 0,0,640,480,0, 5416,640,480:waittimer 83943 blt 0,0,640,480,0, 5417,640,480:waittimer 83958 blt 0,0,640,480,0, 5418,640,480:waittimer 83974 blt 0,0,640,480,0, 5419,640,480:waittimer 83989 blt 0,0,640,480,0, 5420,640,480:waittimer 84005 blt 0,0,640,480,0, 5421,640,480:waittimer 84020 blt 0,0,640,480,0, 5422,640,480:waittimer 84036 blt 0,0,640,480,0, 5423,640,480:waittimer 84051 blt 0,0,640,480,0, 5424,640,480:waittimer 84067 blt 0,0,640,480,0, 5425,640,480:waittimer 84082 blt 0,0,640,480,0, 5426,640,480:waittimer 84098 blt 0,0,640,480,0, 5427,640,480:waittimer 84113 blt 0,0,640,480,0, 5428,640,480:waittimer 84129 blt 0,0,640,480,0, 5429,640,480:waittimer 84144 blt 0,0,640,480,0, 5430,640,480:waittimer 84160 blt 0,0,640,480,0, 5431,640,480:waittimer 84175 blt 0,0,640,480,0, 5432,640,480:waittimer 84191 blt 0,0,640,480,0, 5433,640,480:waittimer 84206 blt 0,0,640,480,0, 5434,640,480:waittimer 84222 blt 0,0,640,480,0, 5435,640,480:waittimer 84237 blt 0,0,640,480,0, 5436,640,480:waittimer 84253 blt 0,0,640,480,0, 5437,640,480:waittimer 84268 blt 0,0,640,480,0, 5438,640,480:waittimer 84284 blt 0,0,640,480,0, 5439,640,480:waittimer 84299 blt 0,0,640,480,0, 5440,640,480:waittimer 84315 blt 0,0,640,480,0, 5441,640,480:waittimer 84330 blt 0,0,640,480,0, 5442,640,480:waittimer 84346 blt 0,0,640,480,0, 5443,640,480:waittimer 84361 blt 0,0,640,480,0, 5444,640,480:waittimer 84377 blt 0,0,640,480,0, 5445,640,480:waittimer 84392 blt 0,0,640,480,0, 5446,640,480:waittimer 84408 blt 0,0,640,480,0, 5447,640,480:waittimer 84423 blt 0,0,640,480,0, 5448,640,480:waittimer 84439 blt 0,0,640,480,0, 5449,640,480:waittimer 84454 blt 0,0,640,480,0, 5450,640,480:waittimer 84470 blt 0,0,640,480,0, 5451,640,480:waittimer 84485 blt 0,0,640,480,0, 5452,640,480:waittimer 84501 blt 0,0,640,480,0, 5453,640,480:waittimer 84516 blt 0,0,640,480,0, 5454,640,480:waittimer 84532 blt 0,0,640,480,0, 5455,640,480:waittimer 84547 blt 0,0,640,480,0, 5456,640,480:waittimer 84563 blt 0,0,640,480,0, 5457,640,480:waittimer 84578 blt 0,0,640,480,0, 5458,640,480:waittimer 84594 blt 0,0,640,480,0, 5459,640,480:waittimer 84609 blt 0,0,640,480,0, 5460,640,480:waittimer 84625 blt 0,0,640,480,0, 5461,640,480:waittimer 84640 blt 0,0,640,480,0, 5462,640,480:waittimer 84656 blt 0,0,640,480,0, 5463,640,480:waittimer 84671 blt 0,0,640,480,0, 5464,640,480:waittimer 84687 blt 0,0,640,480,0, 5465,640,480:waittimer 84702 blt 0,0,640,480,0, 5466,640,480:waittimer 84718 blt 0,0,640,480,0, 5467,640,480:waittimer 84733 blt 0,0,640,480,0, 5468,640,480:waittimer 84749 blt 0,0,640,480,0, 5469,640,480:waittimer 84764 blt 0,0,640,480,0, 5470,640,480:waittimer 84780 blt 0,0,640,480,0, 5471,640,480:waittimer 84795 blt 0,0,640,480,0, 5472,640,480:waittimer 84811 blt 0,0,640,480,0, 5473,640,480:waittimer 84826 blt 0,0,640,480,0, 5474,640,480:waittimer 84842 blt 0,0,640,480,0, 5475,640,480:waittimer 84857 blt 0,0,640,480,0, 5476,640,480:waittimer 84873 blt 0,0,640,480,0, 5477,640,480:waittimer 84888 blt 0,0,640,480,0, 5478,640,480:waittimer 84904 blt 0,0,640,480,0, 5479,640,480:waittimer 84919 blt 0,0,640,480,0, 5480,640,480:waittimer 84935 blt 0,0,640,480,0, 5481,640,480:waittimer 84950 blt 0,0,640,480,0, 5482,640,480:waittimer 84966 blt 0,0,640,480,0, 5483,640,480:waittimer 84981 blt 0,0,640,480,0, 5484,640,480:waittimer 84997 blt 0,0,640,480,0, 5485,640,480:waittimer 85012 blt 0,0,640,480,0, 5486,640,480:waittimer 85028 blt 0,0,640,480,0, 5487,640,480:waittimer 85043 blt 0,0,640,480,0, 5488,640,480:waittimer 85059 blt 0,0,640,480,0, 5489,640,480:waittimer 85074 blt 0,0,640,480,0, 5490,640,480:waittimer 85090 blt 0,0,640,480,0, 5491,640,480:waittimer 85105 blt 0,0,640,480,0, 5492,640,480:waittimer 85121 blt 0,0,640,480,0, 5493,640,480:waittimer 85136 blt 0,0,640,480,0, 5494,640,480:waittimer 85152 blt 0,0,640,480,0, 5495,640,480:waittimer 85167 blt 0,0,640,480,0, 5496,640,480:waittimer 85183 blt 0,0,640,480,0, 5497,640,480:waittimer 85198 blt 0,0,640,480,0, 5498,640,480:waittimer 85214 blt 0,0,640,480,0, 5499,640,480:waittimer 85229 blt 0,0,640,480,0, 5500,640,480:waittimer 85245 blt 0,0,640,480,0, 5501,640,480:waittimer 85260 blt 0,0,640,480,0, 5502,640,480:waittimer 85276 blt 0,0,640,480,0, 5503,640,480:waittimer 85291 blt 0,0,640,480,0, 5504,640,480:waittimer 85307 blt 0,0,640,480,0, 5505,640,480:waittimer 85322 blt 0,0,640,480,0, 5506,640,480:waittimer 85338 blt 0,0,640,480,0, 5507,640,480:waittimer 85353 blt 0,0,640,480,0, 5508,640,480:waittimer 85369 blt 0,0,640,480,0, 5509,640,480:waittimer 85384 blt 0,0,640,480,0, 5510,640,480:waittimer 85400 blt 0,0,640,480,0, 5511,640,480:waittimer 85415 blt 0,0,640,480,0, 5512,640,480:waittimer 85431 blt 0,0,640,480,0, 5513,640,480:waittimer 85446 blt 0,0,640,480,0, 5514,640,480:waittimer 85462 blt 0,0,640,480,0, 5515,640,480:waittimer 85477 blt 0,0,640,480,0, 5516,640,480:waittimer 85493 blt 0,0,640,480,0, 5517,640,480:waittimer 85508 blt 0,0,640,480,0, 5518,640,480:waittimer 85524 blt 0,0,640,480,0, 5519,640,480:waittimer 85539 blt 0,0,640,480,0, 5520,640,480:waittimer 85555 blt 0,0,640,480,0, 5521,640,480:waittimer 85570 blt 0,0,640,480,0, 5522,640,480:waittimer 85586 blt 0,0,640,480,0, 5523,640,480:waittimer 85601 blt 0,0,640,480,0, 5524,640,480:waittimer 85617 blt 0,0,640,480,0, 5525,640,480:waittimer 85632 blt 0,0,640,480,0, 5526,640,480:waittimer 85648 blt 0,0,640,480,0, 5527,640,480:waittimer 85663 blt 0,0,640,480,0, 5528,640,480:waittimer 85679 blt 0,0,640,480,0, 5529,640,480:waittimer 85694 blt 0,0,640,480,0, 5530,640,480:waittimer 85710 blt 0,0,640,480,0, 5531,640,480:waittimer 85725 blt 0,0,640,480,0, 5532,640,480:waittimer 85741 blt 0,0,640,480,0, 5533,640,480:waittimer 85756 blt 0,0,640,480,0, 5534,640,480:waittimer 85772 blt 0,0,640,480,0, 5535,640,480:waittimer 85787 blt 0,0,640,480,0, 5536,640,480:waittimer 85803 blt 0,0,640,480,0, 5537,640,480:waittimer 85818 blt 0,0,640,480,0, 5538,640,480:waittimer 85834 blt 0,0,640,480,0, 5539,640,480:waittimer 85849 blt 0,0,640,480,0, 5540,640,480:waittimer 85865 blt 0,0,640,480,0, 5541,640,480:waittimer 85880 blt 0,0,640,480,0, 5542,640,480:waittimer 85896 blt 0,0,640,480,0, 5543,640,480:waittimer 85911 blt 0,0,640,480,0, 5544,640,480:waittimer 85927 blt 0,0,640,480,0, 5545,640,480:waittimer 85942 blt 0,0,640,480,0, 5546,640,480:waittimer 85958 blt 0,0,640,480,0, 5547,640,480:waittimer 85973 blt 0,0,640,480,0, 5548,640,480:waittimer 85989 blt 0,0,640,480,0, 5549,640,480:waittimer 86004 blt 0,0,640,480,0, 5550,640,480:waittimer 86020 blt 0,0,640,480,0, 5551,640,480:waittimer 86035 blt 0,0,640,480,0, 5552,640,480:waittimer 86051 blt 0,0,640,480,0, 5553,640,480:waittimer 86066 blt 0,0,640,480,0, 5554,640,480:waittimer 86082 blt 0,0,640,480,0, 5555,640,480:waittimer 86097 blt 0,0,640,480,0, 5556,640,480:waittimer 86113 blt 0,0,640,480,0, 5557,640,480:waittimer 86128 blt 0,0,640,480,0, 5558,640,480:waittimer 86144 blt 0,0,640,480,0, 5559,640,480:waittimer 86159 blt 0,0,640,480,0, 5560,640,480:waittimer 86175 blt 0,0,640,480,0, 5561,640,480:waittimer 86190 blt 0,0,640,480,0, 5562,640,480:waittimer 86206 blt 0,0,640,480,0, 5563,640,480:waittimer 86221 blt 0,0,640,480,0, 5564,640,480:waittimer 86237 blt 0,0,640,480,0, 5565,640,480:waittimer 86252 blt 0,0,640,480,0, 5566,640,480:waittimer 86268 blt 0,0,640,480,0, 5567,640,480:waittimer 86283 blt 0,0,640,480,0, 5568,640,480:waittimer 86299 blt 0,0,640,480,0, 5569,640,480:waittimer 86314 blt 0,0,640,480,0, 5570,640,480:waittimer 86330 blt 0,0,640,480,0, 5571,640,480:waittimer 86345 blt 0,0,640,480,0, 5572,640,480:waittimer 86361 blt 0,0,640,480,0, 5573,640,480:waittimer 86376 blt 0,0,640,480,0, 5574,640,480:waittimer 86392 blt 0,0,640,480,0, 5575,640,480:waittimer 86407 blt 0,0,640,480,0, 5576,640,480:waittimer 86423 blt 0,0,640,480,0, 5577,640,480:waittimer 86438 blt 0,0,640,480,0, 5578,640,480:waittimer 86454 blt 0,0,640,480,0, 5579,640,480:waittimer 86469 blt 0,0,640,480,0, 5580,640,480:waittimer 86485 blt 0,0,640,480,0, 5581,640,480:waittimer 86500 blt 0,0,640,480,0, 5582,640,480:waittimer 86516 blt 0,0,640,480,0, 5583,640,480:waittimer 86531 blt 0,0,640,480,0, 5584,640,480:waittimer 86547 blt 0,0,640,480,0, 5585,640,480:waittimer 86562 blt 0,0,640,480,0, 5586,640,480:waittimer 86578 blt 0,0,640,480,0, 5587,640,480:waittimer 86593 blt 0,0,640,480,0, 5588,640,480:waittimer 86609 blt 0,0,640,480,0, 5589,640,480:waittimer 86624 blt 0,0,640,480,0, 5590,640,480:waittimer 86640 blt 0,0,640,480,0, 5591,640,480:waittimer 86655 blt 0,0,640,480,0, 5592,640,480:waittimer 86671 blt 0,0,640,480,0, 5593,640,480:waittimer 86686 blt 0,0,640,480,0, 5594,640,480:waittimer 86702 blt 0,0,640,480,0, 5595,640,480:waittimer 86717 blt 0,0,640,480,0, 5596,640,480:waittimer 86733 blt 0,0,640,480,0, 5597,640,480:waittimer 86748 blt 0,0,640,480,0, 5598,640,480:waittimer 86764 blt 0,0,640,480,0, 5599,640,480:waittimer 86779 blt 0,0,640,480,0, 5600,640,480:waittimer 86795 blt 0,0,640,480,0, 5601,640,480:waittimer 86810 blt 0,0,640,480,0, 5602,640,480:waittimer 86826 blt 0,0,640,480,0, 5603,640,480:waittimer 86841 blt 0,0,640,480,0, 5604,640,480:waittimer 86857 blt 0,0,640,480,0, 5605,640,480:waittimer 86872 blt 0,0,640,480,0, 5606,640,480:waittimer 86888 blt 0,0,640,480,0, 5607,640,480:waittimer 86903 blt 0,0,640,480,0, 5608,640,480:waittimer 86919 blt 0,0,640,480,0, 5609,640,480:waittimer 86934 blt 0,0,640,480,0, 5610,640,480:waittimer 86950 blt 0,0,640,480,0, 5611,640,480:waittimer 86965 blt 0,0,640,480,0, 5612,640,480:waittimer 86981 blt 0,0,640,480,0, 5613,640,480:waittimer 86996 blt 0,0,640,480,0, 5614,640,480:waittimer 87012 blt 0,0,640,480,0, 5615,640,480:waittimer 87027 blt 0,0,640,480,0, 5616,640,480:waittimer 87043 blt 0,0,640,480,0, 5617,640,480:waittimer 87058 blt 0,0,640,480,0, 5618,640,480:waittimer 87074 blt 0,0,640,480,0, 5619,640,480:waittimer 87089 blt 0,0,640,480,0, 5620,640,480:waittimer 87105 blt 0,0,640,480,0, 5621,640,480:waittimer 87120 blt 0,0,640,480,0, 5622,640,480:waittimer 87136 blt 0,0,640,480,0, 5623,640,480:waittimer 87151 blt 0,0,640,480,0, 5624,640,480:waittimer 87167 blt 0,0,640,480,0, 5625,640,480:waittimer 87182 blt 0,0,640,480,0, 5626,640,480:waittimer 87198 blt 0,0,640,480,0, 5627,640,480:waittimer 87213 blt 0,0,640,480,0, 5628,640,480:waittimer 87229 blt 0,0,640,480,0, 5629,640,480:waittimer 87244 blt 0,0,640,480,0, 5630,640,480:waittimer 87260 blt 0,0,640,480,0, 5631,640,480:waittimer 87275 blt 0,0,640,480,0, 5632,640,480:waittimer 87291 blt 0,0,640,480,0, 5633,640,480:waittimer 87306 blt 0,0,640,480,0, 5634,640,480:waittimer 87322 blt 0,0,640,480,0, 5635,640,480:waittimer 87337 blt 0,0,640,480,0, 5636,640,480:waittimer 87353 blt 0,0,640,480,0, 5637,640,480:waittimer 87368 blt 0,0,640,480,0, 5638,640,480:waittimer 87384 blt 0,0,640,480,0, 5639,640,480:waittimer 87399 blt 0,0,640,480,0, 5640,640,480:waittimer 87415 blt 0,0,640,480,0, 5641,640,480:waittimer 87430 blt 0,0,640,480,0, 5642,640,480:waittimer 87446 blt 0,0,640,480,0, 5643,640,480:waittimer 87461 blt 0,0,640,480,0, 5644,640,480:waittimer 87477 blt 0,0,640,480,0, 5645,640,480:waittimer 87492 blt 0,0,640,480,0, 5646,640,480:waittimer 87508 blt 0,0,640,480,0, 5647,640,480:waittimer 87523 blt 0,0,640,480,0, 5648,640,480:waittimer 87539 blt 0,0,640,480,0, 5649,640,480:waittimer 87554 blt 0,0,640,480,0, 5650,640,480:waittimer 87570 blt 0,0,640,480,0, 5651,640,480:waittimer 87585 blt 0,0,640,480,0, 5652,640,480:waittimer 87601 blt 0,0,640,480,0, 5653,640,480:waittimer 87616 blt 0,0,640,480,0, 5654,640,480:waittimer 87632 blt 0,0,640,480,0, 5655,640,480:waittimer 87647 blt 0,0,640,480,0, 5656,640,480:waittimer 87663 blt 0,0,640,480,0, 5657,640,480:waittimer 87678 blt 0,0,640,480,0, 5658,640,480:waittimer 87694 blt 0,0,640,480,0, 5659,640,480:waittimer 87709 blt 0,0,640,480,0, 5660,640,480:waittimer 87725 blt 0,0,640,480,0, 5661,640,480:waittimer 87740 blt 0,0,640,480,0, 5662,640,480:waittimer 87756 blt 0,0,640,480,0, 5663,640,480:waittimer 87771 blt 0,0,640,480,0, 5664,640,480:waittimer 87787 blt 0,0,640,480,0, 5665,640,480:waittimer 87802 blt 0,0,640,480,0, 5666,640,480:waittimer 87818 blt 0,0,640,480,0, 5667,640,480:waittimer 87833 blt 0,0,640,480,0, 5668,640,480:waittimer 87849 blt 0,0,640,480,0, 5669,640,480:waittimer 87864 blt 0,0,640,480,0, 5670,640,480:waittimer 87880 blt 0,0,640,480,0, 5671,640,480:waittimer 87895 blt 0,0,640,480,0, 5672,640,480:waittimer 87911 blt 0,0,640,480,0, 5673,640,480:waittimer 87926 blt 0,0,640,480,0, 5674,640,480:waittimer 87942 blt 0,0,640,480,0, 5675,640,480:waittimer 87957 blt 0,0,640,480,0, 5676,640,480:waittimer 87973 blt 0,0,640,480,0, 5677,640,480:waittimer 87988 blt 0,0,640,480,0, 5678,640,480:waittimer 88004 blt 0,0,640,480,0, 5679,640,480:waittimer 88019 blt 0,0,640,480,0, 5680,640,480:waittimer 88035 blt 0,0,640,480,0, 5681,640,480:waittimer 88050 blt 0,0,640,480,0, 5682,640,480:waittimer 88066 blt 0,0,640,480,0, 5683,640,480:waittimer 88081 blt 0,0,640,480,0, 5684,640,480:waittimer 88097 blt 0,0,640,480,0, 5685,640,480:waittimer 88112 blt 0,0,640,480,0, 5686,640,480:waittimer 88128 blt 0,0,640,480,0, 5687,640,480:waittimer 88143 blt 0,0,640,480,0, 5688,640,480:waittimer 88159 blt 0,0,640,480,0, 5689,640,480:waittimer 88174 blt 0,0,640,480,0, 5690,640,480:waittimer 88190 blt 0,0,640,480,0, 5691,640,480:waittimer 88205 blt 0,0,640,480,0, 5692,640,480:waittimer 88221 blt 0,0,640,480,0, 5693,640,480:waittimer 88236 blt 0,0,640,480,0, 5694,640,480:waittimer 88252 blt 0,0,640,480,0, 5695,640,480:waittimer 88267 blt 0,0,640,480,0, 5696,640,480:waittimer 88283 blt 0,0,640,480,0, 5697,640,480:waittimer 88298 blt 0,0,640,480,0, 5698,640,480:waittimer 88314 blt 0,0,640,480,0, 5699,640,480:waittimer 88329 blt 0,0,640,480,0, 5700,640,480:waittimer 88345 blt 0,0,640,480,0, 5701,640,480:waittimer 88360 blt 0,0,640,480,0, 5702,640,480:waittimer 88376 blt 0,0,640,480,0, 5703,640,480:waittimer 88391 blt 0,0,640,480,0, 5704,640,480:waittimer 88407 blt 0,0,640,480,0, 5705,640,480:waittimer 88422 blt 0,0,640,480,0, 5706,640,480:waittimer 88438 blt 0,0,640,480,0, 5707,640,480:waittimer 88453 blt 0,0,640,480,0, 5708,640,480:waittimer 88469 blt 0,0,640,480,0, 5709,640,480:waittimer 88484 blt 0,0,640,480,0, 5710,640,480:waittimer 88500 blt 0,0,640,480,0, 5711,640,480:waittimer 88515 blt 0,0,640,480,0, 5712,640,480:waittimer 88530 blt 0,0,640,480,0, 5713,640,480:waittimer 88546 blt 0,0,640,480,0, 5714,640,480:waittimer 88561 blt 0,0,640,480,0, 5715,640,480:waittimer 88577 blt 0,0,640,480,0, 5716,640,480:waittimer 88592 blt 0,0,640,480,0, 5717,640,480:waittimer 88608 blt 0,0,640,480,0, 5718,640,480:waittimer 88623 blt 0,0,640,480,0, 5719,640,480:waittimer 88639 blt 0,0,640,480,0, 5720,640,480:waittimer 88654 blt 0,0,640,480,0, 5721,640,480:waittimer 88670 blt 0,0,640,480,0, 5722,640,480:waittimer 88685 blt 0,0,640,480,0, 5723,640,480:waittimer 88701 blt 0,0,640,480,0, 5724,640,480:waittimer 88716 blt 0,0,640,480,0, 5725,640,480:waittimer 88732 blt 0,0,640,480,0, 5726,640,480:waittimer 88747 blt 0,0,640,480,0, 5727,640,480:waittimer 88763 blt 0,0,640,480,0, 5728,640,480:waittimer 88778 blt 0,0,640,480,0, 5729,640,480:waittimer 88794 blt 0,0,640,480,0, 5730,640,480:waittimer 88809 blt 0,0,640,480,0, 5731,640,480:waittimer 88825 blt 0,0,640,480,0, 5732,640,480:waittimer 88840 blt 0,0,640,480,0, 5733,640,480:waittimer 88856 blt 0,0,640,480,0, 5734,640,480:waittimer 88871 blt 0,0,640,480,0, 5735,640,480:waittimer 88887 blt 0,0,640,480,0, 5736,640,480:waittimer 88902 blt 0,0,640,480,0, 5737,640,480:waittimer 88918 blt 0,0,640,480,0, 5738,640,480:waittimer 88933 blt 0,0,640,480,0, 5739,640,480:waittimer 88949 blt 0,0,640,480,0, 5740,640,480:waittimer 88964 blt 0,0,640,480,0, 5741,640,480:waittimer 88980 blt 0,0,640,480,0, 5742,640,480:waittimer 88995 blt 0,0,640,480,0, 5743,640,480:waittimer 89011 blt 0,0,640,480,0, 5744,640,480:waittimer 89026 blt 0,0,640,480,0, 5745,640,480:waittimer 89042 blt 0,0,640,480,0, 5746,640,480:waittimer 89057 blt 0,0,640,480,0, 5747,640,480:waittimer 89073 blt 0,0,640,480,0, 5748,640,480:waittimer 89088 blt 0,0,640,480,0, 5749,640,480:waittimer 89104 blt 0,0,640,480,0, 5750,640,480:waittimer 89119 blt 0,0,640,480,0, 5751,640,480:waittimer 89135 blt 0,0,640,480,0, 5752,640,480:waittimer 89150 blt 0,0,640,480,0, 5753,640,480:waittimer 89166 blt 0,0,640,480,0, 5754,640,480:waittimer 89181 blt 0,0,640,480,0, 5755,640,480:waittimer 89197 blt 0,0,640,480,0, 5756,640,480:waittimer 89212 blt 0,0,640,480,0, 5757,640,480:waittimer 89228 blt 0,0,640,480,0, 5758,640,480:waittimer 89243 blt 0,0,640,480,0, 5759,640,480:waittimer 89259 blt 0,0,640,480,0, 5760,640,480:waittimer 89274 blt 0,0,640,480,0, 5761,640,480:waittimer 89290 blt 0,0,640,480,0, 5762,640,480:waittimer 89305 blt 0,0,640,480,0, 5763,640,480:waittimer 89321 blt 0,0,640,480,0, 5764,640,480:waittimer 89336 blt 0,0,640,480,0, 5765,640,480:waittimer 89352 blt 0,0,640,480,0, 5766,640,480:waittimer 89367 blt 0,0,640,480,0, 5767,640,480:waittimer 89383 blt 0,0,640,480,0, 5768,640,480:waittimer 89398 blt 0,0,640,480,0, 5769,640,480:waittimer 89414 blt 0,0,640,480,0, 5770,640,480:waittimer 89429 blt 0,0,640,480,0, 5771,640,480:waittimer 89445 blt 0,0,640,480,0, 5772,640,480:waittimer 89460 blt 0,0,640,480,0, 5773,640,480:waittimer 89476 blt 0,0,640,480,0, 5774,640,480:waittimer 89491 blt 0,0,640,480,0, 5775,640,480:waittimer 89507 blt 0,0,640,480,0, 5776,640,480:waittimer 89522 blt 0,0,640,480,0, 5777,640,480:waittimer 89538 blt 0,0,640,480,0, 5778,640,480:waittimer 89553 blt 0,0,640,480,0, 5779,640,480:waittimer 89569 blt 0,0,640,480,0, 5780,640,480:waittimer 89584 blt 0,0,640,480,0, 5781,640,480:waittimer 89600 blt 0,0,640,480,0, 5782,640,480:waittimer 89615 blt 0,0,640,480,0, 5783,640,480:waittimer 89631 blt 0,0,640,480,0, 5784,640,480:waittimer 89646 blt 0,0,640,480,0, 5785,640,480:waittimer 89662 blt 0,0,640,480,0, 5786,640,480:waittimer 89677 blt 0,0,640,480,0, 5787,640,480:waittimer 89693 blt 0,0,640,480,0, 5788,640,480:waittimer 89708 blt 0,0,640,480,0, 5789,640,480:waittimer 89724 blt 0,0,640,480,0, 5790,640,480:waittimer 89739 blt 0,0,640,480,0, 5791,640,480:waittimer 89755 blt 0,0,640,480,0, 5792,640,480:waittimer 89770 blt 0,0,640,480,0, 5793,640,480:waittimer 89786 blt 0,0,640,480,0, 5794,640,480:waittimer 89801 blt 0,0,640,480,0, 5795,640,480:waittimer 89817 blt 0,0,640,480,0, 5796,640,480:waittimer 89832 blt 0,0,640,480,0, 5797,640,480:waittimer 89848 blt 0,0,640,480,0, 5798,640,480:waittimer 89863 blt 0,0,640,480,0, 5799,640,480:waittimer 89879 blt 0,0,640,480,0, 5800,640,480:waittimer 89894 blt 0,0,640,480,0, 5801,640,480:waittimer 89910 blt 0,0,640,480,0, 5802,640,480:waittimer 89925 blt 0,0,640,480,0, 5803,640,480:waittimer 89941 blt 0,0,640,480,0, 5804,640,480:waittimer 89956 blt 0,0,640,480,0, 5805,640,480:waittimer 89972 blt 0,0,640,480,0, 5806,640,480:waittimer 89987 blt 0,0,640,480,0, 5807,640,480:waittimer 90003 blt 0,0,640,480,0, 5808,640,480:waittimer 90018 blt 0,0,640,480,0, 5809,640,480:waittimer 90034 blt 0,0,640,480,0, 5810,640,480:waittimer 90049 blt 0,0,640,480,0, 5811,640,480:waittimer 90065 blt 0,0,640,480,0, 5812,640,480:waittimer 90080 blt 0,0,640,480,0, 5813,640,480:waittimer 90096 blt 0,0,640,480,0, 5814,640,480:waittimer 90111 blt 0,0,640,480,0, 5815,640,480:waittimer 90127 blt 0,0,640,480,0, 5816,640,480:waittimer 90142 blt 0,0,640,480,0, 5817,640,480:waittimer 90158 blt 0,0,640,480,0, 5818,640,480:waittimer 90173 blt 0,0,640,480,0, 5819,640,480:waittimer 90189 blt 0,0,640,480,0, 5820,640,480:waittimer 90204 blt 0,0,640,480,0, 5821,640,480:waittimer 90220 blt 0,0,640,480,0, 5822,640,480:waittimer 90235 blt 0,0,640,480,0, 5823,640,480:waittimer 90251 blt 0,0,640,480,0, 5824,640,480:waittimer 90266 blt 0,0,640,480,0, 5825,640,480:waittimer 90282 blt 0,0,640,480,0, 5826,640,480:waittimer 90297 blt 0,0,640,480,0, 5827,640,480:waittimer 90313 blt 0,0,640,480,0, 5828,640,480:waittimer 90328 blt 0,0,640,480,0, 5829,640,480:waittimer 90344 blt 0,0,640,480,0, 5830,640,480:waittimer 90359 blt 0,0,640,480,0, 5831,640,480:waittimer 90375 blt 0,0,640,480,0, 5832,640,480:waittimer 90390 blt 0,0,640,480,0, 5833,640,480:waittimer 90406 blt 0,0,640,480,0, 5834,640,480:waittimer 90421 blt 0,0,640,480,0, 5835,640,480:waittimer 90437 blt 0,0,640,480,0, 5836,640,480:waittimer 90452 blt 0,0,640,480,0, 5837,640,480:waittimer 90468 blt 0,0,640,480,0, 5838,640,480:waittimer 90483 blt 0,0,640,480,0, 5839,640,480:waittimer 90499 blt 0,0,640,480,0, 5840,640,480:waittimer 90514 blt 0,0,640,480,0, 5841,640,480:waittimer 90530 blt 0,0,640,480,0, 5842,640,480:waittimer 90545 blt 0,0,640,480,0, 5843,640,480:waittimer 90561 blt 0,0,640,480,0, 5844,640,480:waittimer 90576 blt 0,0,640,480,0, 5845,640,480:waittimer 90592 blt 0,0,640,480,0, 5846,640,480:waittimer 90607 blt 0,0,640,480,0, 5847,640,480:waittimer 90623 blt 0,0,640,480,0, 5848,640,480:waittimer 90638 blt 0,0,640,480,0, 5849,640,480:waittimer 90654 blt 0,0,640,480,0, 5850,640,480:waittimer 90669 blt 0,0,640,480,0, 5851,640,480:waittimer 90685 blt 0,0,640,480,0, 5852,640,480:waittimer 90700 blt 0,0,640,480,0, 5853,640,480:waittimer 90716 blt 0,0,640,480,0, 5854,640,480:waittimer 90731 blt 0,0,640,480,0, 5855,640,480:waittimer 90747 blt 0,0,640,480,0, 5856,640,480:waittimer 90762 blt 0,0,640,480,0, 5857,640,480:waittimer 90778 blt 0,0,640,480,0, 5858,640,480:waittimer 90793 blt 0,0,640,480,0, 5859,640,480:waittimer 90809 blt 0,0,640,480,0, 5860,640,480:waittimer 90824 blt 0,0,640,480,0, 5861,640,480:waittimer 90840 blt 0,0,640,480,0, 5862,640,480:waittimer 90855 blt 0,0,640,480,0, 5863,640,480:waittimer 90871 blt 0,0,640,480,0, 5864,640,480:waittimer 90886 blt 0,0,640,480,0, 5865,640,480:waittimer 90902 blt 0,0,640,480,0, 5866,640,480:waittimer 90917 blt 0,0,640,480,0, 5867,640,480:waittimer 90933 blt 0,0,640,480,0, 5868,640,480:waittimer 90948 blt 0,0,640,480,0, 5869,640,480:waittimer 90964 blt 0,0,640,480,0, 5870,640,480:waittimer 90979 blt 0,0,640,480,0, 5871,640,480:waittimer 90995 blt 0,0,640,480,0, 5872,640,480:waittimer 91010 blt 0,0,640,480,0, 5873,640,480:waittimer 91026 blt 0,0,640,480,0, 5874,640,480:waittimer 91041 blt 0,0,640,480,0, 5875,640,480:waittimer 91057 blt 0,0,640,480,0, 5876,640,480:waittimer 91072 blt 0,0,640,480,0, 5877,640,480:waittimer 91088 blt 0,0,640,480,0, 5878,640,480:waittimer 91103 blt 0,0,640,480,0, 5879,640,480:waittimer 91119 blt 0,0,640,480,0, 5880,640,480:waittimer 91134 blt 0,0,640,480,0, 5881,640,480:waittimer 91150 blt 0,0,640,480,0, 5882,640,480:waittimer 91165 blt 0,0,640,480,0, 5883,640,480:waittimer 91181 blt 0,0,640,480,0, 5884,640,480:waittimer 91196 blt 0,0,640,480,0, 5885,640,480:waittimer 91212 blt 0,0,640,480,0, 5886,640,480:waittimer 91227 blt 0,0,640,480,0, 5887,640,480:waittimer 91243 blt 0,0,640,480,0, 5888,640,480:waittimer 91258 blt 0,0,640,480,0, 5889,640,480:waittimer 91274 blt 0,0,640,480,0, 5890,640,480:waittimer 91289 blt 0,0,640,480,0, 5891,640,480:waittimer 91305 blt 0,0,640,480,0, 5892,640,480:waittimer 91320 blt 0,0,640,480,0, 5893,640,480:waittimer 91336 blt 0,0,640,480,0, 5894,640,480:waittimer 91351 blt 0,0,640,480,0, 5895,640,480:waittimer 91367 blt 0,0,640,480,0, 5896,640,480:waittimer 91382 blt 0,0,640,480,0, 5897,640,480:waittimer 91398 blt 0,0,640,480,0, 5898,640,480:waittimer 91413 blt 0,0,640,480,0, 5899,640,480:waittimer 91429 blt 0,0,640,480,0, 5900,640,480:waittimer 91444 blt 0,0,640,480,0, 5901,640,480:waittimer 91460 blt 0,0,640,480,0, 5902,640,480:waittimer 91475 blt 0,0,640,480,0, 5903,640,480:waittimer 91491 blt 0,0,640,480,0, 5904,640,480:waittimer 91506 blt 0,0,640,480,0, 5905,640,480:waittimer 91522 blt 0,0,640,480,0, 5906,640,480:waittimer 91537 blt 0,0,640,480,0, 5907,640,480:waittimer 91553 blt 0,0,640,480,0, 5908,640,480:waittimer 91568 blt 0,0,640,480,0, 5909,640,480:waittimer 91584 blt 0,0,640,480,0, 5910,640,480:waittimer 91599 blt 0,0,640,480,0, 5911,640,480:waittimer 91615 blt 0,0,640,480,0, 5912,640,480:waittimer 91630 blt 0,0,640,480,0, 5913,640,480:waittimer 91646 blt 0,0,640,480,0, 5914,640,480:waittimer 91661 blt 0,0,640,480,0, 5915,640,480:waittimer 91677 blt 0,0,640,480,0, 5916,640,480:waittimer 91692 blt 0,0,640,480,0, 5917,640,480:waittimer 91708 blt 0,0,640,480,0, 5918,640,480:waittimer 91723 blt 0,0,640,480,0, 5919,640,480:waittimer 91739 blt 0,0,640,480,0, 5920,640,480:waittimer 91754 blt 0,0,640,480,0, 5921,640,480:waittimer 91770 blt 0,0,640,480,0, 5922,640,480:waittimer 91785 blt 0,0,640,480,0, 5923,640,480:waittimer 91801 blt 0,0,640,480,0, 5924,640,480:waittimer 91816 blt 0,0,640,480,0, 5925,640,480:waittimer 91832 blt 0,0,640,480,0, 5926,640,480:waittimer 91847 blt 0,0,640,480,0, 5927,640,480:waittimer 91863 blt 0,0,640,480,0, 5928,640,480:waittimer 91878 blt 0,0,640,480,0, 5929,640,480:waittimer 91894 blt 0,0,640,480,0, 5930,640,480:waittimer 91909 blt 0,0,640,480,0, 5931,640,480:waittimer 91925 blt 0,0,640,480,0, 5932,640,480:waittimer 91940 blt 0,0,640,480,0, 5933,640,480:waittimer 91956 blt 0,0,640,480,0, 5934,640,480:waittimer 91971 blt 0,0,640,480,0, 5935,640,480:waittimer 91987 blt 0,0,640,480,0, 5936,640,480:waittimer 92002 blt 0,0,640,480,0, 5937,640,480:waittimer 92018 blt 0,0,640,480,0, 5938,640,480:waittimer 92033 blt 0,0,640,480,0, 5939,640,480:waittimer 92049 blt 0,0,640,480,0, 5940,640,480:waittimer 92064 blt 0,0,640,480,0, 5941,640,480:waittimer 92080 blt 0,0,640,480,0, 5942,640,480:waittimer 92095 blt 0,0,640,480,0, 5943,640,480:waittimer 92111 blt 0,0,640,480,0, 5944,640,480:waittimer 92126 blt 0,0,640,480,0, 5945,640,480:waittimer 92142 blt 0,0,640,480,0, 5946,640,480:waittimer 92157 blt 0,0,640,480,0, 5947,640,480:waittimer 92173 blt 0,0,640,480,0, 5948,640,480:waittimer 92188 blt 0,0,640,480,0, 5949,640,480:waittimer 92204 blt 0,0,640,480,0, 5950,640,480:waittimer 92219 blt 0,0,640,480,0, 5951,640,480:waittimer 92235 blt 0,0,640,480,0, 5952,640,480:waittimer 92250 blt 0,0,640,480,0, 5953,640,480:waittimer 92266 blt 0,0,640,480,0, 5954,640,480:waittimer 92281 blt 0,0,640,480,0, 5955,640,480:waittimer 92297 blt 0,0,640,480,0, 5956,640,480:waittimer 92312 blt 0,0,640,480,0, 5957,640,480:waittimer 92328 blt 0,0,640,480,0, 5958,640,480:waittimer 92343 blt 0,0,640,480,0, 5959,640,480:waittimer 92359 blt 0,0,640,480,0, 5960,640,480:waittimer 92374 blt 0,0,640,480,0, 5961,640,480:waittimer 92390 blt 0,0,640,480,0, 5962,640,480:waittimer 92405 blt 0,0,640,480,0, 5963,640,480:waittimer 92421 blt 0,0,640,480,0, 5964,640,480:waittimer 92436 blt 0,0,640,480,0, 5965,640,480:waittimer 92452 blt 0,0,640,480,0, 5966,640,480:waittimer 92467 blt 0,0,640,480,0, 5967,640,480:waittimer 92483 blt 0,0,640,480,0, 5968,640,480:waittimer 92498 blt 0,0,640,480,0, 5969,640,480:waittimer 92514 blt 0,0,640,480,0, 5970,640,480:waittimer 92529 blt 0,0,640,480,0, 5971,640,480:waittimer 92545 blt 0,0,640,480,0, 5972,640,480:waittimer 92560 blt 0,0,640,480,0, 5973,640,480:waittimer 92576 blt 0,0,640,480,0, 5974,640,480:waittimer 92591 blt 0,0,640,480,0, 5975,640,480:waittimer 92607 blt 0,0,640,480,0, 5976,640,480:waittimer 92622 blt 0,0,640,480,0, 5977,640,480:waittimer 92638 blt 0,0,640,480,0, 5978,640,480:waittimer 92653 blt 0,0,640,480,0, 5979,640,480:waittimer 92669 blt 0,0,640,480,0, 5980,640,480:waittimer 92684 blt 0,0,640,480,0, 5981,640,480:waittimer 92700 blt 0,0,640,480,0, 5982,640,480:waittimer 92715 blt 0,0,640,480,0, 5983,640,480:waittimer 92731 blt 0,0,640,480,0, 5984,640,480:waittimer 92746 blt 0,0,640,480,0, 5985,640,480:waittimer 92762 blt 0,0,640,480,0, 5986,640,480:waittimer 92777 blt 0,0,640,480,0, 5987,640,480:waittimer 92793 blt 0,0,640,480,0, 5988,640,480:waittimer 92808 blt 0,0,640,480,0, 5989,640,480:waittimer 92824 blt 0,0,640,480,0, 5990,640,480:waittimer 92839 blt 0,0,640,480,0, 5991,640,480:waittimer 92855 blt 0,0,640,480,0, 5992,640,480:waittimer 92870 blt 0,0,640,480,0, 5993,640,480:waittimer 92886 blt 0,0,640,480,0, 5994,640,480:waittimer 92901 blt 0,0,640,480,0, 5995,640,480:waittimer 92917 blt 0,0,640,480,0, 5996,640,480:waittimer 92932 blt 0,0,640,480,0, 5997,640,480:waittimer 92948 blt 0,0,640,480,0, 5998,640,480:waittimer 92963 blt 0,0,640,480,0, 5999,640,480:waittimer 92979 blt 0,0,640,480,0, 6000,640,480:waittimer 92994 blt 0,0,640,480,0, 6001,640,480:waittimer 93010 blt 0,0,640,480,0, 6002,640,480:waittimer 93025 blt 0,0,640,480,0, 6003,640,480:waittimer 93041 blt 0,0,640,480,0, 6004,640,480:waittimer 93056 blt 0,0,640,480,0, 6005,640,480:waittimer 93072 blt 0,0,640,480,0, 6006,640,480:waittimer 93087 blt 0,0,640,480,0, 6007,640,480:waittimer 93103 blt 0,0,640,480,0, 6008,640,480:waittimer 93118 blt 0,0,640,480,0, 6009,640,480:waittimer 93134 blt 0,0,640,480,0, 6010,640,480:waittimer 93149 blt 0,0,640,480,0, 6011,640,480:waittimer 93165 blt 0,0,640,480,0, 6012,640,480:waittimer 93180 blt 0,0,640,480,0, 6013,640,480:waittimer 93196 blt 0,0,640,480,0, 6014,640,480:waittimer 93211 blt 0,0,640,480,0, 6015,640,480:waittimer 93227 blt 0,0,640,480,0, 6016,640,480:waittimer 93242 blt 0,0,640,480,0, 6017,640,480:waittimer 93258 blt 0,0,640,480,0, 6018,640,480:waittimer 93273 blt 0,0,640,480,0, 6019,640,480:waittimer 93289 blt 0,0,640,480,0, 6020,640,480:waittimer 93304 blt 0,0,640,480,0, 6021,640,480:waittimer 93320 blt 0,0,640,480,0, 6022,640,480:waittimer 93335 blt 0,0,640,480,0, 6023,640,480:waittimer 93351 blt 0,0,640,480,0, 6024,640,480:waittimer 93366 blt 0,0,640,480,0, 6025,640,480:waittimer 93382 blt 0,0,640,480,0, 6026,640,480:waittimer 93397 blt 0,0,640,480,0, 6027,640,480:waittimer 93413 blt 0,0,640,480,0, 6028,640,480:waittimer 93428 blt 0,0,640,480,0, 6029,640,480:waittimer 93444 blt 0,0,640,480,0, 6030,640,480:waittimer 93459 blt 0,0,640,480,0, 6031,640,480:waittimer 93475 blt 0,0,640,480,0, 6032,640,480:waittimer 93490 blt 0,0,640,480,0, 6033,640,480:waittimer 93506 blt 0,0,640,480,0, 6034,640,480:waittimer 93521 blt 0,0,640,480,0, 6035,640,480:waittimer 93537 blt 0,0,640,480,0, 6036,640,480:waittimer 93552 blt 0,0,640,480,0, 6037,640,480:waittimer 93568 blt 0,0,640,480,0, 6038,640,480:waittimer 93583 blt 0,0,640,480,0, 6039,640,480:waittimer 93599 blt 0,0,640,480,0, 6040,640,480:waittimer 93614 blt 0,0,640,480,0, 6041,640,480:waittimer 93630 blt 0,0,640,480,0, 6042,640,480:waittimer 93645 blt 0,0,640,480,0, 6043,640,480:waittimer 93661 blt 0,0,640,480,0, 6044,640,480:waittimer 93676 blt 0,0,640,480,0, 6045,640,480:waittimer 93692 blt 0,0,640,480,0, 6046,640,480:waittimer 93707 blt 0,0,640,480,0, 6047,640,480:waittimer 93723 blt 0,0,640,480,0, 6048,640,480:waittimer 93738 blt 0,0,640,480,0, 6049,640,480:waittimer 93754 blt 0,0,640,480,0, 6050,640,480:waittimer 93769 blt 0,0,640,480,0, 6051,640,480:waittimer 93785 blt 0,0,640,480,0, 6052,640,480:waittimer 93800 blt 0,0,640,480,0, 6053,640,480:waittimer 93816 blt 0,0,640,480,0, 6054,640,480:waittimer 93831 blt 0,0,640,480,0, 6055,640,480:waittimer 93847 blt 0,0,640,480,0, 6056,640,480:waittimer 93862 blt 0,0,640,480,0, 6057,640,480:waittimer 93878 blt 0,0,640,480,0, 6058,640,480:waittimer 93893 blt 0,0,640,480,0, 6059,640,480:waittimer 93909 blt 0,0,640,480,0, 6060,640,480:waittimer 93924 blt 0,0,640,480,0, 6061,640,480:waittimer 93940 blt 0,0,640,480,0, 6062,640,480:waittimer 93955 blt 0,0,640,480,0, 6063,640,480:waittimer 93971 blt 0,0,640,480,0, 6064,640,480:waittimer 93986 blt 0,0,640,480,0, 6065,640,480:waittimer 94002 blt 0,0,640,480,0, 6066,640,480:waittimer 94017 blt 0,0,640,480,0, 6067,640,480:waittimer 94033 blt 0,0,640,480,0, 6068,640,480:waittimer 94048 blt 0,0,640,480,0, 6069,640,480:waittimer 94064 blt 0,0,640,480,0, 6070,640,480:waittimer 94079 blt 0,0,640,480,0, 6071,640,480:waittimer 94095 blt 0,0,640,480,0, 6072,640,480:waittimer 94110 blt 0,0,640,480,0, 6073,640,480:waittimer 94126 blt 0,0,640,480,0, 6074,640,480:waittimer 94141 blt 0,0,640,480,0, 6075,640,480:waittimer 94157 blt 0,0,640,480,0, 6076,640,480:waittimer 94172 blt 0,0,640,480,0, 6077,640,480:waittimer 94188 blt 0,0,640,480,0, 6078,640,480:waittimer 94203 blt 0,0,640,480,0, 6079,640,480:waittimer 94219 blt 0,0,640,480,0, 6080,640,480:waittimer 94234 blt 0,0,640,480,0, 6081,640,480:waittimer 94250 blt 0,0,640,480,0, 6082,640,480:waittimer 94265 blt 0,0,640,480,0, 6083,640,480:waittimer 94281 blt 0,0,640,480,0, 6084,640,480:waittimer 94296 blt 0,0,640,480,0, 6085,640,480:waittimer 94312 blt 0,0,640,480,0, 6086,640,480:waittimer 94327 blt 0,0,640,480,0, 6087,640,480:waittimer 94343 blt 0,0,640,480,0, 6088,640,480:waittimer 94358 blt 0,0,640,480,0, 6089,640,480:waittimer 94374 blt 0,0,640,480,0, 6090,640,480:waittimer 94389 blt 0,0,640,480,0, 6091,640,480:waittimer 94405 blt 0,0,640,480,0, 6092,640,480:waittimer 94420 blt 0,0,640,480,0, 6093,640,480:waittimer 94436 blt 0,0,640,480,0, 6094,640,480:waittimer 94451 blt 0,0,640,480,0, 6095,640,480:waittimer 94467 blt 0,0,640,480,0, 6096,640,480:waittimer 94482 blt 0,0,640,480,0, 6097,640,480:waittimer 94498 blt 0,0,640,480,0, 6098,640,480:waittimer 94513 blt 0,0,640,480,0, 6099,640,480:waittimer 94529 blt 0,0,640,480,0, 6100,640,480:waittimer 94544 blt 0,0,640,480,0, 6101,640,480:waittimer 94560 blt 0,0,640,480,0, 6102,640,480:waittimer 94575 blt 0,0,640,480,0, 6103,640,480:waittimer 94591 blt 0,0,640,480,0, 6104,640,480:waittimer 94606 blt 0,0,640,480,0, 6105,640,480:waittimer 94622 blt 0,0,640,480,0, 6106,640,480:waittimer 94637 blt 0,0,640,480,0, 6107,640,480:waittimer 94653 blt 0,0,640,480,0, 6108,640,480:waittimer 94668 blt 0,0,640,480,0, 6109,640,480:waittimer 94684 blt 0,0,640,480,0, 6110,640,480:waittimer 94699 blt 0,0,640,480,0, 6111,640,480:waittimer 94715 blt 0,0,640,480,0, 6112,640,480:waittimer 94730 blt 0,0,640,480,0, 6113,640,480:waittimer 94746 blt 0,0,640,480,0, 6114,640,480:waittimer 94761 blt 0,0,640,480,0, 6115,640,480:waittimer 94777 blt 0,0,640,480,0, 6116,640,480:waittimer 94792 blt 0,0,640,480,0, 6117,640,480:waittimer 94808 blt 0,0,640,480,0, 6118,640,480:waittimer 94823 blt 0,0,640,480,0, 6119,640,480:waittimer 94839 blt 0,0,640,480,0, 6120,640,480:waittimer 94854 blt 0,0,640,480,0, 6121,640,480:waittimer 94870 blt 0,0,640,480,0, 6122,640,480:waittimer 94885 blt 0,0,640,480,0, 6123,640,480:waittimer 94901 blt 0,0,640,480,0, 6124,640,480:waittimer 94916 blt 0,0,640,480,0, 6125,640,480:waittimer 94932 blt 0,0,640,480,0, 6126,640,480:waittimer 94947 blt 0,0,640,480,0, 6127,640,480:waittimer 94963 blt 0,0,640,480,0, 6128,640,480:waittimer 94978 blt 0,0,640,480,0, 6129,640,480:waittimer 94994 blt 0,0,640,480,0, 6130,640,480:waittimer 95009 blt 0,0,640,480,0, 6131,640,480:waittimer 95025 blt 0,0,640,480,0, 6132,640,480:waittimer 95040 blt 0,0,640,480,0, 6133,640,480:waittimer 95056 blt 0,0,640,480,0, 6134,640,480:waittimer 95071 blt 0,0,640,480,0, 6135,640,480:waittimer 95087 blt 0,0,640,480,0, 6136,640,480:waittimer 95102 blt 0,0,640,480,0, 6137,640,480:waittimer 95118 blt 0,0,640,480,0, 6138,640,480:waittimer 95133 blt 0,0,640,480,0, 6139,640,480:waittimer 95149 blt 0,0,640,480,0, 6140,640,480:waittimer 95164 blt 0,0,640,480,0, 6141,640,480:waittimer 95180 blt 0,0,640,480,0, 6142,640,480:waittimer 95195 blt 0,0,640,480,0, 6143,640,480:waittimer 95211 blt 0,0,640,480,0, 6144,640,480:waittimer 95226 blt 0,0,640,480,0, 6145,640,480:waittimer 95242 blt 0,0,640,480,0, 6146,640,480:waittimer 95257 blt 0,0,640,480,0, 6147,640,480:waittimer 95273 blt 0,0,640,480,0, 6148,640,480:waittimer 95288 blt 0,0,640,480,0, 6149,640,480:waittimer 95304 blt 0,0,640,480,0, 6150,640,480:waittimer 95319 blt 0,0,640,480,0, 6151,640,480:waittimer 95335 blt 0,0,640,480,0, 6152,640,480:waittimer 95350 blt 0,0,640,480,0, 6153,640,480:waittimer 95366 blt 0,0,640,480,0, 6154,640,480:waittimer 95381 blt 0,0,640,480,0, 6155,640,480:waittimer 95397 blt 0,0,640,480,0, 6156,640,480:waittimer 95412 blt 0,0,640,480,0, 6157,640,480:waittimer 95428 blt 0,0,640,480,0, 6158,640,480:waittimer 95443 blt 0,0,640,480,0, 6159,640,480:waittimer 95459 blt 0,0,640,480,0, 6160,640,480:waittimer 95474 blt 0,0,640,480,0, 6161,640,480:waittimer 95490 blt 0,0,640,480,0, 6162,640,480:waittimer 95505 blt 0,0,640,480,0, 6163,640,480:waittimer 95521 blt 0,0,640,480,0, 6164,640,480:waittimer 95536 blt 0,0,640,480,0, 6165,640,480:waittimer 95552 blt 0,0,640,480,0, 6166,640,480:waittimer 95567 blt 0,0,640,480,0, 6167,640,480:waittimer 95583 blt 0,0,640,480,0, 6168,640,480:waittimer 95598 blt 0,0,640,480,0, 6169,640,480:waittimer 95614 blt 0,0,640,480,0, 6170,640,480:waittimer 95629 blt 0,0,640,480,0, 6171,640,480:waittimer 95645 blt 0,0,640,480,0, 6172,640,480:waittimer 95660 blt 0,0,640,480,0, 6173,640,480:waittimer 95676 blt 0,0,640,480,0, 6174,640,480:waittimer 95691 blt 0,0,640,480,0, 6175,640,480:waittimer 95707 blt 0,0,640,480,0, 6176,640,480:waittimer 95722 blt 0,0,640,480,0, 6177,640,480:waittimer 95738 blt 0,0,640,480,0, 6178,640,480:waittimer 95753 blt 0,0,640,480,0, 6179,640,480:waittimer 95769 blt 0,0,640,480,0, 6180,640,480:waittimer 95784 blt 0,0,640,480,0, 6181,640,480:waittimer 95800 blt 0,0,640,480,0, 6182,640,480:waittimer 95815 blt 0,0,640,480,0, 6183,640,480:waittimer 95831 blt 0,0,640,480,0, 6184,640,480:waittimer 95846 blt 0,0,640,480,0, 6185,640,480:waittimer 95862 blt 0,0,640,480,0, 6186,640,480:waittimer 95877 blt 0,0,640,480,0, 6187,640,480:waittimer 95893 blt 0,0,640,480,0, 6188,640,480:waittimer 95908 blt 0,0,640,480,0, 6189,640,480:waittimer 95924 blt 0,0,640,480,0, 6190,640,480:waittimer 95939 blt 0,0,640,480,0, 6191,640,480:waittimer 95955 blt 0,0,640,480,0, 6192,640,480:waittimer 95970 blt 0,0,640,480,0, 6193,640,480:waittimer 95986 blt 0,0,640,480,0, 6194,640,480:waittimer 96001 blt 0,0,640,480,0, 6195,640,480:waittimer 96017 blt 0,0,640,480,0, 6196,640,480:waittimer 96032 blt 0,0,640,480,0, 6197,640,480:waittimer 96048 blt 0,0,640,480,0, 6198,640,480:waittimer 96063 blt 0,0,640,480,0, 6199,640,480:waittimer 96079 blt 0,0,640,480,0, 6200,640,480:waittimer 96094 blt 0,0,640,480,0, 6201,640,480:waittimer 96110 blt 0,0,640,480,0, 6202,640,480:waittimer 96125 blt 0,0,640,480,0, 6203,640,480:waittimer 96141 blt 0,0,640,480,0, 6204,640,480:waittimer 96156 blt 0,0,640,480,0, 6205,640,480:waittimer 96172 blt 0,0,640,480,0, 6206,640,480:waittimer 96187 blt 0,0,640,480,0, 6207,640,480:waittimer 96203 blt 0,0,640,480,0, 6208,640,480:waittimer 96218 blt 0,0,640,480,0, 6209,640,480:waittimer 96234 blt 0,0,640,480,0, 6210,640,480:waittimer 96249 blt 0,0,640,480,0, 6211,640,480:waittimer 96265 blt 0,0,640,480,0, 6212,640,480:waittimer 96280 blt 0,0,640,480,0, 6213,640,480:waittimer 96296 blt 0,0,640,480,0, 6214,640,480:waittimer 96311 blt 0,0,640,480,0, 6215,640,480:waittimer 96327 blt 0,0,640,480,0, 6216,640,480:waittimer 96342 blt 0,0,640,480,0, 6217,640,480:waittimer 96358 blt 0,0,640,480,0, 6218,640,480:waittimer 96373 blt 0,0,640,480,0, 6219,640,480:waittimer 96389 blt 0,0,640,480,0, 6220,640,480:waittimer 96404 blt 0,0,640,480,0, 6221,640,480:waittimer 96420 blt 0,0,640,480,0, 6222,640,480:waittimer 96435 blt 0,0,640,480,0, 6223,640,480:waittimer 96451 blt 0,0,640,480,0, 6224,640,480:waittimer 96466 blt 0,0,640,480,0, 6225,640,480:waittimer 96482 blt 0,0,640,480,0, 6226,640,480:waittimer 96497 blt 0,0,640,480,0, 6227,640,480:waittimer 96513 blt 0,0,640,480,0, 6228,640,480:waittimer 96528 blt 0,0,640,480,0, 6229,640,480:waittimer 96544 blt 0,0,640,480,0, 6230,640,480:waittimer 96559 blt 0,0,640,480,0, 6231,640,480:waittimer 96575 blt 0,0,640,480,0, 6232,640,480:waittimer 96590 blt 0,0,640,480,0, 6233,640,480:waittimer 96606 blt 0,0,640,480,0, 6234,640,480:waittimer 96621 blt 0,0,640,480,0, 6235,640,480:waittimer 96637 blt 0,0,640,480,0, 6236,640,480:waittimer 96652 blt 0,0,640,480,0, 6237,640,480:waittimer 96668 blt 0,0,640,480,0, 6238,640,480:waittimer 96683 blt 0,0,640,480,0, 6239,640,480:waittimer 96699 blt 0,0,640,480,0, 6240,640,480:waittimer 96714 blt 0,0,640,480,0, 6241,640,480:waittimer 96730 blt 0,0,640,480,0, 6242,640,480:waittimer 96745 blt 0,0,640,480,0, 6243,640,480:waittimer 96761 blt 0,0,640,480,0, 6244,640,480:waittimer 96776 blt 0,0,640,480,0, 6245,640,480:waittimer 96792 blt 0,0,640,480,0, 6246,640,480:waittimer 96807 blt 0,0,640,480,0, 6247,640,480:waittimer 96823 blt 0,0,640,480,0, 6248,640,480:waittimer 96838 blt 0,0,640,480,0, 6249,640,480:waittimer 96854 blt 0,0,640,480,0, 6250,640,480:waittimer 96869 blt 0,0,640,480,0, 6251,640,480:waittimer 96885 blt 0,0,640,480,0, 6252,640,480:waittimer 96900 blt 0,0,640,480,0, 6253,640,480:waittimer 96916 blt 0,0,640,480,0, 6254,640,480:waittimer 96931 blt 0,0,640,480,0, 6255,640,480:waittimer 96947 blt 0,0,640,480,0, 6256,640,480:waittimer 96962 blt 0,0,640,480,0, 6257,640,480:waittimer 96978 blt 0,0,640,480,0, 6258,640,480:waittimer 96993 blt 0,0,640,480,0, 6259,640,480:waittimer 97009 blt 0,0,640,480,0, 6260,640,480:waittimer 97024 blt 0,0,640,480,0, 6261,640,480:waittimer 97040 blt 0,0,640,480,0, 6262,640,480:waittimer 97055 blt 0,0,640,480,0, 6263,640,480:waittimer 97071 blt 0,0,640,480,0, 6264,640,480:waittimer 97086 blt 0,0,640,480,0, 6265,640,480:waittimer 97102 blt 0,0,640,480,0, 6266,640,480:waittimer 97117 blt 0,0,640,480,0, 6267,640,480:waittimer 97133 blt 0,0,640,480,0, 6268,640,480:waittimer 97148 blt 0,0,640,480,0, 6269,640,480:waittimer 97164 blt 0,0,640,480,0, 6270,640,480:waittimer 97179 blt 0,0,640,480,0, 6271,640,480:waittimer 97195 blt 0,0,640,480,0, 6272,640,480:waittimer 97210 blt 0,0,640,480,0, 6273,640,480:waittimer 97226 blt 0,0,640,480,0, 6274,640,480:waittimer 97241 blt 0,0,640,480,0, 6275,640,480:waittimer 97257 blt 0,0,640,480,0, 6276,640,480:waittimer 97272 blt 0,0,640,480,0, 6277,640,480:waittimer 97288 blt 0,0,640,480,0, 6278,640,480:waittimer 97303 blt 0,0,640,480,0, 6279,640,480:waittimer 97319 blt 0,0,640,480,0, 6280,640,480:waittimer 97334 blt 0,0,640,480,0, 6281,640,480:waittimer 97350 blt 0,0,640,480,0, 6282,640,480:waittimer 97365 blt 0,0,640,480,0, 6283,640,480:waittimer 97380 blt 0,0,640,480,0, 6284,640,480:waittimer 97396 blt 0,0,640,480,0, 6285,640,480:waittimer 97411 blt 0,0,640,480,0, 6286,640,480:waittimer 97427 blt 0,0,640,480,0, 6287,640,480:waittimer 97442 blt 0,0,640,480,0, 6288,640,480:waittimer 97458 blt 0,0,640,480,0, 6289,640,480:waittimer 97473 blt 0,0,640,480,0, 6290,640,480:waittimer 97489 blt 0,0,640,480,0, 6291,640,480:waittimer 97504 blt 0,0,640,480,0, 6292,640,480:waittimer 97520 blt 0,0,640,480,0, 6293,640,480:waittimer 97535 blt 0,0,640,480,0, 6294,640,480:waittimer 97551 blt 0,0,640,480,0, 6295,640,480:waittimer 97566 blt 0,0,640,480,0, 6296,640,480:waittimer 97582 blt 0,0,640,480,0, 6297,640,480:waittimer 97597 blt 0,0,640,480,0, 6298,640,480:waittimer 97613 blt 0,0,640,480,0, 6299,640,480:waittimer 97628 blt 0,0,640,480,0, 6300,640,480:waittimer 97644 blt 0,0,640,480,0, 6301,640,480:waittimer 97659 blt 0,0,640,480,0, 6302,640,480:waittimer 97675 blt 0,0,640,480,0, 6303,640,480:waittimer 97690 blt 0,0,640,480,0, 6304,640,480:waittimer 97706 blt 0,0,640,480,0, 6305,640,480:waittimer 97721 blt 0,0,640,480,0, 6306,640,480:waittimer 97737 blt 0,0,640,480,0, 6307,640,480:waittimer 97752 blt 0,0,640,480,0, 6308,640,480:waittimer 97768 blt 0,0,640,480,0, 6309,640,480:waittimer 97783 blt 0,0,640,480,0, 6310,640,480:waittimer 97799 blt 0,0,640,480,0, 6311,640,480:waittimer 97814 blt 0,0,640,480,0, 6312,640,480:waittimer 97830 blt 0,0,640,480,0, 6313,640,480:waittimer 97845 blt 0,0,640,480,0, 6314,640,480:waittimer 97861 blt 0,0,640,480,0, 6315,640,480:waittimer 97876 blt 0,0,640,480,0, 6316,640,480:waittimer 97892 blt 0,0,640,480,0, 6317,640,480:waittimer 97907 blt 0,0,640,480,0, 6318,640,480:waittimer 97923 blt 0,0,640,480,0, 6319,640,480:waittimer 97938 blt 0,0,640,480,0, 6320,640,480:waittimer 97954 blt 0,0,640,480,0, 6321,640,480:waittimer 97969 blt 0,0,640,480,0, 6322,640,480:waittimer 97985 blt 0,0,640,480,0, 6323,640,480:waittimer 98000 blt 0,0,640,480,0, 6324,640,480:waittimer 98016 blt 0,0,640,480,0, 6325,640,480:waittimer 98031 blt 0,0,640,480,0, 6326,640,480:waittimer 98047 blt 0,0,640,480,0, 6327,640,480:waittimer 98062 blt 0,0,640,480,0, 6328,640,480:waittimer 98078 blt 0,0,640,480,0, 6329,640,480:waittimer 98093 blt 0,0,640,480,0, 6330,640,480:waittimer 98109 blt 0,0,640,480,0, 6331,640,480:waittimer 98124 blt 0,0,640,480,0, 6332,640,480:waittimer 98140 blt 0,0,640,480,0, 6333,640,480:waittimer 98155 blt 0,0,640,480,0, 6334,640,480:waittimer 98171 blt 0,0,640,480,0, 6335,640,480:waittimer 98186 blt 0,0,640,480,0, 6336,640,480:waittimer 98202 blt 0,0,640,480,0, 6337,640,480:waittimer 98217 blt 0,0,640,480,0, 6338,640,480:waittimer 98233 blt 0,0,640,480,0, 6339,640,480:waittimer 98248 blt 0,0,640,480,0, 6340,640,480:waittimer 98264 blt 0,0,640,480,0, 6341,640,480:waittimer 98279 blt 0,0,640,480,0, 6342,640,480:waittimer 98295 blt 0,0,640,480,0, 6343,640,480:waittimer 98310 blt 0,0,640,480,0, 6344,640,480:waittimer 98326 blt 0,0,640,480,0, 6345,640,480:waittimer 98341 blt 0,0,640,480,0, 6346,640,480:waittimer 98357 blt 0,0,640,480,0, 6347,640,480:waittimer 98372 blt 0,0,640,480,0, 6348,640,480:waittimer 98388 blt 0,0,640,480,0, 6349,640,480:waittimer 98403 blt 0,0,640,480,0, 6350,640,480:waittimer 98419 blt 0,0,640,480,0, 6351,640,480:waittimer 98434 blt 0,0,640,480,0, 6352,640,480:waittimer 98450 blt 0,0,640,480,0, 6353,640,480:waittimer 98465 blt 0,0,640,480,0, 6354,640,480:waittimer 98481 blt 0,0,640,480,0, 6355,640,480:waittimer 98496 blt 0,0,640,480,0, 6356,640,480:waittimer 98512 blt 0,0,640,480,0, 6357,640,480:waittimer 98527 blt 0,0,640,480,0, 6358,640,480:waittimer 98543 blt 0,0,640,480,0, 6359,640,480:waittimer 98558 blt 0,0,640,480,0, 6360,640,480:waittimer 98574 blt 0,0,640,480,0, 6361,640,480:waittimer 98589 blt 0,0,640,480,0, 6362,640,480:waittimer 98605 blt 0,0,640,480,0, 6363,640,480:waittimer 98620 blt 0,0,640,480,0, 6364,640,480:waittimer 98636 blt 0,0,640,480,0, 6365,640,480:waittimer 98651 blt 0,0,640,480,0, 6366,640,480:waittimer 98667 blt 0,0,640,480,0, 6367,640,480:waittimer 98682 blt 0,0,640,480,0, 6368,640,480:waittimer 98698 blt 0,0,640,480,0, 6369,640,480:waittimer 98713 blt 0,0,640,480,0, 6370,640,480:waittimer 98729 blt 0,0,640,480,0, 6371,640,480:waittimer 98744 blt 0,0,640,480,0, 6372,640,480:waittimer 98760 blt 0,0,640,480,0, 6373,640,480:waittimer 98775 blt 0,0,640,480,0, 6374,640,480:waittimer 98791 blt 0,0,640,480,0, 6375,640,480:waittimer 98806 blt 0,0,640,480,0, 6376,640,480:waittimer 98822 blt 0,0,640,480,0, 6377,640,480:waittimer 98837 blt 0,0,640,480,0, 6378,640,480:waittimer 98853 blt 0,0,640,480,0, 6379,640,480:waittimer 98868 blt 0,0,640,480,0, 6380,640,480:waittimer 98884 blt 0,0,640,480,0, 6381,640,480:waittimer 98899 blt 0,0,640,480,0, 6382,640,480:waittimer 98915 blt 0,0,640,480,0, 6383,640,480:waittimer 98930 blt 0,0,640,480,0, 6384,640,480:waittimer 98946 blt 0,0,640,480,0, 6385,640,480:waittimer 98961 blt 0,0,640,480,0, 6386,640,480:waittimer 98977 blt 0,0,640,480,0, 6387,640,480:waittimer 98992 blt 0,0,640,480,0, 6388,640,480:waittimer 99008 blt 0,0,640,480,0, 6389,640,480:waittimer 99023 blt 0,0,640,480,0, 6390,640,480:waittimer 99039 blt 0,0,640,480,0, 6391,640,480:waittimer 99054 blt 0,0,640,480,0, 6392,640,480:waittimer 99070 blt 0,0,640,480,0, 6393,640,480:waittimer 99085 blt 0,0,640,480,0, 6394,640,480:waittimer 99101 blt 0,0,640,480,0, 6395,640,480:waittimer 99116 blt 0,0,640,480,0, 6396,640,480:waittimer 99132 blt 0,0,640,480,0, 6397,640,480:waittimer 99147 blt 0,0,640,480,0, 6398,640,480:waittimer 99163 blt 0,0,640,480,0, 6399,640,480:waittimer 99178 blt 0,0,640,480,0, 6400,640,480:waittimer 99194 blt 0,0,640,480,0, 6401,640,480:waittimer 99209 blt 0,0,640,480,0, 6402,640,480:waittimer 99225 blt 0,0,640,480,0, 6403,640,480:waittimer 99240 blt 0,0,640,480,0, 6404,640,480:waittimer 99256 blt 0,0,640,480,0, 6405,640,480:waittimer 99271 blt 0,0,640,480,0, 6406,640,480:waittimer 99287 blt 0,0,640,480,0, 6407,640,480:waittimer 99302 blt 0,0,640,480,0, 6408,640,480:waittimer 99318 blt 0,0,640,480,0, 6409,640,480:waittimer 99333 blt 0,0,640,480,0, 6410,640,480:waittimer 99349 blt 0,0,640,480,0, 6411,640,480:waittimer 99364 blt 0,0,640,480,0, 6412,640,480:waittimer 99380 blt 0,0,640,480,0, 6413,640,480:waittimer 99395 blt 0,0,640,480,0, 6414,640,480:waittimer 99411 blt 0,0,640,480,0, 6415,640,480:waittimer 99426 blt 0,0,640,480,0, 6416,640,480:waittimer 99442 blt 0,0,640,480,0, 6417,640,480:waittimer 99457 blt 0,0,640,480,0, 6418,640,480:waittimer 99473 blt 0,0,640,480,0, 6419,640,480:waittimer 99488 blt 0,0,640,480,0, 6420,640,480:waittimer 99504 blt 0,0,640,480,0, 6421,640,480:waittimer 99519 blt 0,0,640,480,0, 6422,640,480:waittimer 99535 blt 0,0,640,480,0, 6423,640,480:waittimer 99550 blt 0,0,640,480,0, 6424,640,480:waittimer 99566 blt 0,0,640,480,0, 6425,640,480:waittimer 99581 blt 0,0,640,480,0, 6426,640,480:waittimer 99597 blt 0,0,640,480,0, 6427,640,480:waittimer 99612 blt 0,0,640,480,0, 6428,640,480:waittimer 99628 blt 0,0,640,480,0, 6429,640,480:waittimer 99643 blt 0,0,640,480,0, 6430,640,480:waittimer 99659 blt 0,0,640,480,0, 6431,640,480:waittimer 99674 blt 0,0,640,480,0, 6432,640,480:waittimer 99690 blt 0,0,640,480,0, 6433,640,480:waittimer 99705 blt 0,0,640,480,0, 6434,640,480:waittimer 99721 blt 0,0,640,480,0, 6435,640,480:waittimer 99736 blt 0,0,640,480,0, 6436,640,480:waittimer 99752 blt 0,0,640,480,0, 6437,640,480:waittimer 99767 blt 0,0,640,480,0, 6438,640,480:waittimer 99783 blt 0,0,640,480,0, 6439,640,480:waittimer 99798 blt 0,0,640,480,0, 6440,640,480:waittimer 99814 blt 0,0,640,480,0, 6441,640,480:waittimer 99829 blt 0,0,640,480,0, 6442,640,480:waittimer 99845 blt 0,0,640,480,0, 6443,640,480:waittimer 99860 blt 0,0,640,480,0, 6444,640,480:waittimer 99876 blt 0,0,640,480,0, 6445,640,480:waittimer 99891 blt 0,0,640,480,0, 6446,640,480:waittimer 99907 blt 0,0,640,480,0, 6447,640,480:waittimer 99922 blt 0,0,640,480,0, 6448,640,480:waittimer 99938 blt 0,0,640,480,0, 6449,640,480:waittimer 99953 blt 0,0,640,480,0, 6450,640,480:waittimer 99969 blt 0,0,640,480,0, 6451,640,480:waittimer 99984 blt 0,0,640,480,0, 6452,640,480:waittimer 100000 blt 0,0,640,480,0, 6453,640,480:waittimer 100015 blt 0,0,640,480,0, 6454,640,480:waittimer 100031 blt 0,0,640,480,0, 6455,640,480:waittimer 100046 blt 0,0,640,480,0, 6456,640,480:waittimer 100062 blt 0,0,640,480,0, 6457,640,480:waittimer 100077 blt 0,0,640,480,0, 6458,640,480:waittimer 100093 blt 0,0,640,480,0, 6459,640,480:waittimer 100108 blt 0,0,640,480,0, 6460,640,480:waittimer 100124 blt 0,0,640,480,0, 6461,640,480:waittimer 100139 blt 0,0,640,480,0, 6462,640,480:waittimer 100155 blt 0,0,640,480,0, 6463,640,480:waittimer 100170 blt 0,0,640,480,0, 6464,640,480:waittimer 100186 blt 0,0,640,480,0, 6465,640,480:waittimer 100201 blt 0,0,640,480,0, 6466,640,480:waittimer 100217 blt 0,0,640,480,0, 6467,640,480:waittimer 100232 blt 0,0,640,480,0, 6468,640,480:waittimer 100248 blt 0,0,640,480,0, 6469,640,480:waittimer 100263 blt 0,0,640,480,0, 6470,640,480:waittimer 100279 blt 0,0,640,480,0, 6471,640,480:waittimer 100294 blt 0,0,640,480,0, 6472,640,480:waittimer 100310 blt 0,0,640,480,0, 6473,640,480:waittimer 100325 blt 0,0,640,480,0, 6474,640,480:waittimer 100341 blt 0,0,640,480,0, 6475,640,480:waittimer 100356 blt 0,0,640,480,0, 6476,640,480:waittimer 100372 blt 0,0,640,480,0, 6477,640,480:waittimer 100387 blt 0,0,640,480,0, 6478,640,480:waittimer 100403 blt 0,0,640,480,0, 6479,640,480:waittimer 100418 blt 0,0,640,480,0, 6480,640,480:waittimer 100434 blt 0,0,640,480,0, 6481,640,480:waittimer 100449 blt 0,0,640,480,0, 6482,640,480:waittimer 100465 blt 0,0,640,480,0, 6483,640,480:waittimer 100480 blt 0,0,640,480,0, 6484,640,480:waittimer 100496 blt 0,0,640,480,0, 6485,640,480:waittimer 100511 blt 0,0,640,480,0, 6486,640,480:waittimer 100527 blt 0,0,640,480,0, 6487,640,480:waittimer 100542 blt 0,0,640,480,0, 6488,640,480:waittimer 100558 blt 0,0,640,480,0, 6489,640,480:waittimer 100573 blt 0,0,640,480,0, 6490,640,480:waittimer 100589 blt 0,0,640,480,0, 6491,640,480:waittimer 100604 blt 0,0,640,480,0, 6492,640,480:waittimer 100620 blt 0,0,640,480,0, 6493,640,480:waittimer 100635 blt 0,0,640,480,0, 6494,640,480:waittimer 100651 blt 0,0,640,480,0, 6495,640,480:waittimer 100666 blt 0,0,640,480,0, 6496,640,480:waittimer 100682 blt 0,0,640,480,0, 6497,640,480:waittimer 100697 blt 0,0,640,480,0, 6498,640,480:waittimer 100713 blt 0,0,640,480,0, 6499,640,480:waittimer 100728 blt 0,0,640,480,0, 6500,640,480:waittimer 100744 blt 0,0,640,480,0, 6501,640,480:waittimer 100759 blt 0,0,640,480,0, 6502,640,480:waittimer 100775 blt 0,0,640,480,0, 6503,640,480:waittimer 100790 blt 0,0,640,480,0, 6504,640,480:waittimer 100806 blt 0,0,640,480,0, 6505,640,480:waittimer 100821 blt 0,0,640,480,0, 6506,640,480:waittimer 100837 blt 0,0,640,480,0, 6507,640,480:waittimer 100852 blt 0,0,640,480,0, 6508,640,480:waittimer 100868 blt 0,0,640,480,0, 6509,640,480:waittimer 100883 blt 0,0,640,480,0, 6510,640,480:waittimer 100899 blt 0,0,640,480,0, 6511,640,480:waittimer 100914 blt 0,0,640,480,0, 6512,640,480:waittimer 100930 blt 0,0,640,480,0, 6513,640,480:waittimer 100945 blt 0,0,640,480,0, 6514,640,480:waittimer 100961 blt 0,0,640,480,0, 6515,640,480:waittimer 100976 blt 0,0,640,480,0, 6516,640,480:waittimer 100992 blt 0,0,640,480,0, 6517,640,480:waittimer 101007 blt 0,0,640,480,0, 6518,640,480:waittimer 101023 blt 0,0,640,480,0, 6519,640,480:waittimer 101038 blt 0,0,640,480,0, 6520,640,480:waittimer 101054 blt 0,0,640,480,0, 6521,640,480:waittimer 101069 blt 0,0,640,480,0, 6522,640,480:waittimer 101085 blt 0,0,640,480,0, 6523,640,480:waittimer 101100 blt 0,0,640,480,0, 6524,640,480:waittimer 101116 blt 0,0,640,480,0, 6525,640,480:waittimer 101131 blt 0,0,640,480,0, 6526,640,480:waittimer 101147 blt 0,0,640,480,0, 6527,640,480:waittimer 101162 blt 0,0,640,480,0, 6528,640,480:waittimer 101178 blt 0,0,640,480,0, 6529,640,480:waittimer 101193 blt 0,0,640,480,0, 6530,640,480:waittimer 101209 blt 0,0,640,480,0, 6531,640,480:waittimer 101224 blt 0,0,640,480,0, 6532,640,480:waittimer 101240 blt 0,0,640,480,0, 6533,640,480:waittimer 101255 blt 0,0,640,480,0, 6534,640,480:waittimer 101271 blt 0,0,640,480,0, 6535,640,480:waittimer 101286 blt 0,0,640,480,0, 6536,640,480:waittimer 101302 blt 0,0,640,480,0, 6537,640,480:waittimer 101317 blt 0,0,640,480,0, 6538,640,480:waittimer 101333 blt 0,0,640,480,0, 6539,640,480:waittimer 101348 blt 0,0,640,480,0, 6540,640,480:waittimer 101364 blt 0,0,640,480,0, 6541,640,480:waittimer 101379 blt 0,0,640,480,0, 6542,640,480:waittimer 101395 blt 0,0,640,480,0, 6543,640,480:waittimer 101410 blt 0,0,640,480,0, 6544,640,480:waittimer 101426 blt 0,0,640,480,0, 6545,640,480:waittimer 101441 blt 0,0,640,480,0, 6546,640,480:waittimer 101457 blt 0,0,640,480,0, 6547,640,480:waittimer 101472 blt 0,0,640,480,0, 6548,640,480:waittimer 101488 blt 0,0,640,480,0, 6549,640,480:waittimer 101503 blt 0,0,640,480,0, 6550,640,480:waittimer 101519 blt 0,0,640,480,0, 6551,640,480:waittimer 101534 blt 0,0,640,480,0, 6552,640,480:waittimer 101550 blt 0,0,640,480,0, 6553,640,480:waittimer 101565 blt 0,0,640,480,0, 6554,640,480:waittimer 101581 blt 0,0,640,480,0, 6555,640,480:waittimer 101596 blt 0,0,640,480,0, 6556,640,480:waittimer 101612 blt 0,0,640,480,0, 6557,640,480:waittimer 101627 blt 0,0,640,480,0, 6558,640,480:waittimer 101643 blt 0,0,640,480,0, 6559,640,480:waittimer 101658 blt 0,0,640,480,0, 6560,640,480:waittimer 101674 blt 0,0,640,480,0, 6561,640,480:waittimer 101689 blt 0,0,640,480,0, 6562,640,480:waittimer 101705 blt 0,0,640,480,0, 6563,640,480:waittimer 101720 blt 0,0,640,480,0, 6564,640,480:waittimer 101736 blt 0,0,640,480,0, 6565,640,480:waittimer 101751 blt 0,0,640,480,0, 6566,640,480:waittimer 101767 blt 0,0,640,480,0, 6567,640,480:waittimer 101782 blt 0,0,640,480,0, 6568,640,480:waittimer 101798 blt 0,0,640,480,0, 6569,640,480:waittimer 101813 blt 0,0,640,480,0, 6570,640,480:waittimer 101829 blt 0,0,640,480,0, 6571,640,480:waittimer 101844 blt 0,0,640,480,0, 6572,640,480:waittimer 101860 blt 0,0,640,480,0, 6573,640,480:waittimer 101875 blt 0,0,640,480,0, 6574,640,480:waittimer 101891 blt 0,0,640,480,0, 6575,640,480:waittimer 101906 blt 0,0,640,480,0, 6576,640,480:waittimer 101922 blt 0,0,640,480,0, 6577,640,480:waittimer 101937 blt 0,0,640,480,0, 6578,640,480:waittimer 101953 blt 0,0,640,480,0, 6579,640,480:waittimer 101968 blt 0,0,640,480,0, 6580,640,480:waittimer 101984 blt 0,0,640,480,0, 6581,640,480:waittimer 101999 blt 0,0,640,480,0, 6582,640,480:waittimer 102015 blt 0,0,640,480,0, 6583,640,480:waittimer 102030 blt 0,0,640,480,0, 6584,640,480:waittimer 102046 blt 0,0,640,480,0, 6585,640,480:waittimer 102061 blt 0,0,640,480,0, 6586,640,480:waittimer 102077 blt 0,0,640,480,0, 6587,640,480:waittimer 102092 blt 0,0,640,480,0, 6588,640,480:waittimer 102108 blt 0,0,640,480,0, 6589,640,480:waittimer 102123 blt 0,0,640,480,0, 6590,640,480:waittimer 102139 blt 0,0,640,480,0, 6591,640,480:waittimer 102154 blt 0,0,640,480,0, 6592,640,480:waittimer 102170 blt 0,0,640,480,0, 6593,640,480:waittimer 102185 blt 0,0,640,480,0, 6594,640,480:waittimer 102201 blt 0,0,640,480,0, 6595,640,480:waittimer 102216 blt 0,0,640,480,0, 6596,640,480:waittimer 102232 blt 0,0,640,480,0, 6597,640,480:waittimer 102247 blt 0,0,640,480,0, 6598,640,480:waittimer 102263 blt 0,0,640,480,0, 6599,640,480:waittimer 102278 blt 0,0,640,480,0, 6600,640,480:waittimer 102294 blt 0,0,640,480,0, 6601,640,480:waittimer 102309 blt 0,0,640,480,0, 6602,640,480:waittimer 102325 blt 0,0,640,480,0, 6603,640,480:waittimer 102340 blt 0,0,640,480,0, 6604,640,480:waittimer 102356 blt 0,0,640,480,0, 6605,640,480:waittimer 102371 blt 0,0,640,480,0, 6606,640,480:waittimer 102387 blt 0,0,640,480,0, 6607,640,480:waittimer 102402 blt 0,0,640,480,0, 6608,640,480:waittimer 102418 blt 0,0,640,480,0, 6609,640,480:waittimer 102433 blt 0,0,640,480,0, 6610,640,480:waittimer 102449 blt 0,0,640,480,0, 6611,640,480:waittimer 102464 blt 0,0,640,480,0, 6612,640,480:waittimer 102480 blt 0,0,640,480,0, 6613,640,480:waittimer 102495 blt 0,0,640,480,0, 6614,640,480:waittimer 102511 blt 0,0,640,480,0, 6615,640,480:waittimer 102526 blt 0,0,640,480,0, 6616,640,480:waittimer 102542 blt 0,0,640,480,0, 6617,640,480:waittimer 102557 blt 0,0,640,480,0, 6618,640,480:waittimer 102573 blt 0,0,640,480,0, 6619,640,480:waittimer 102588 blt 0,0,640,480,0, 6620,640,480:waittimer 102604 blt 0,0,640,480,0, 6621,640,480:waittimer 102619 blt 0,0,640,480,0, 6622,640,480:waittimer 102635 blt 0,0,640,480,0, 6623,640,480:waittimer 102650 blt 0,0,640,480,0, 6624,640,480:waittimer 102666 blt 0,0,640,480,0, 6625,640,480:waittimer 102681 blt 0,0,640,480,0, 6626,640,480:waittimer 102697 blt 0,0,640,480,0, 6627,640,480:waittimer 102712 blt 0,0,640,480,0, 6628,640,480:waittimer 102728 blt 0,0,640,480,0, 6629,640,480:waittimer 102743 blt 0,0,640,480,0, 6630,640,480:waittimer 102759 blt 0,0,640,480,0, 6631,640,480:waittimer 102774 blt 0,0,640,480,0, 6632,640,480:waittimer 102790 blt 0,0,640,480,0, 6633,640,480:waittimer 102805 blt 0,0,640,480,0, 6634,640,480:waittimer 102821 blt 0,0,640,480,0, 6635,640,480:waittimer 102836 blt 0,0,640,480,0, 6636,640,480:waittimer 102852 blt 0,0,640,480,0, 6637,640,480:waittimer 102867 blt 0,0,640,480,0, 6638,640,480:waittimer 102883 blt 0,0,640,480,0, 6639,640,480:waittimer 102898 blt 0,0,640,480,0, 6640,640,480:waittimer 102914 blt 0,0,640,480,0, 6641,640,480:waittimer 102929 blt 0,0,640,480,0, 6642,640,480:waittimer 102945 blt 0,0,640,480,0, 6643,640,480:waittimer 102960 blt 0,0,640,480,0, 6644,640,480:waittimer 102976 blt 0,0,640,480,0, 6645,640,480:waittimer 102991 blt 0,0,640,480,0, 6646,640,480:waittimer 103007 blt 0,0,640,480,0, 6647,640,480:waittimer 103022 blt 0,0,640,480,0, 6648,640,480:waittimer 103038 blt 0,0,640,480,0, 6649,640,480:waittimer 103053 blt 0,0,640,480,0, 6650,640,480:waittimer 103069 blt 0,0,640,480,0, 6651,640,480:waittimer 103084 blt 0,0,640,480,0, 6652,640,480:waittimer 103100 blt 0,0,640,480,0, 6653,640,480:waittimer 103115 blt 0,0,640,480,0, 6654,640,480:waittimer 103131 blt 0,0,640,480,0, 6655,640,480:waittimer 103146 blt 0,0,640,480,0, 6656,640,480:waittimer 103162 blt 0,0,640,480,0, 6657,640,480:waittimer 103177 blt 0,0,640,480,0, 6658,640,480:waittimer 103193 blt 0,0,640,480,0, 6659,640,480:waittimer 103208 blt 0,0,640,480,0, 6660,640,480:waittimer 103224 blt 0,0,640,480,0, 6661,640,480:waittimer 103239 blt 0,0,640,480,0, 6662,640,480:waittimer 103255 blt 0,0,640,480,0, 6663,640,480:waittimer 103270 blt 0,0,640,480,0, 6664,640,480:waittimer 103286 blt 0,0,640,480,0, 6665,640,480:waittimer 103301 blt 0,0,640,480,0, 6666,640,480:waittimer 103317 blt 0,0,640,480,0, 6667,640,480:waittimer 103332 blt 0,0,640,480,0, 6668,640,480:waittimer 103348 blt 0,0,640,480,0, 6669,640,480:waittimer 103363 blt 0,0,640,480,0, 6670,640,480:waittimer 103379 blt 0,0,640,480,0, 6671,640,480:waittimer 103394 blt 0,0,640,480,0, 6672,640,480:waittimer 103410 blt 0,0,640,480,0, 6673,640,480:waittimer 103425 blt 0,0,640,480,0, 6674,640,480:waittimer 103441 blt 0,0,640,480,0, 6675,640,480:waittimer 103456 blt 0,0,640,480,0, 6676,640,480:waittimer 103472 blt 0,0,640,480,0, 6677,640,480:waittimer 103487 blt 0,0,640,480,0, 6678,640,480:waittimer 103503 blt 0,0,640,480,0, 6679,640,480:waittimer 103518 blt 0,0,640,480,0, 6680,640,480:waittimer 103534 blt 0,0,640,480,0, 6681,640,480:waittimer 103549 blt 0,0,640,480,0, 6682,640,480:waittimer 103565 blt 0,0,640,480,0, 6683,640,480:waittimer 103580 blt 0,0,640,480,0, 6684,640,480:waittimer 103596 blt 0,0,640,480,0, 6685,640,480:waittimer 103611 blt 0,0,640,480,0, 6686,640,480:waittimer 103627 blt 0,0,640,480,0, 6687,640,480:waittimer 103642 blt 0,0,640,480,0, 6688,640,480:waittimer 103658 blt 0,0,640,480,0, 6689,640,480:waittimer 103673 blt 0,0,640,480,0, 6690,640,480:waittimer 103689 blt 0,0,640,480,0, 6691,640,480:waittimer 103704 blt 0,0,640,480,0, 6692,640,480:waittimer 103720 blt 0,0,640,480,0, 6693,640,480:waittimer 103735 blt 0,0,640,480,0, 6694,640,480:waittimer 103751 blt 0,0,640,480,0, 6695,640,480:waittimer 103766 blt 0,0,640,480,0, 6696,640,480:waittimer 103782 blt 0,0,640,480,0, 6697,640,480:waittimer 103797 blt 0,0,640,480,0, 6698,640,480:waittimer 103813 blt 0,0,640,480,0, 6699,640,480:waittimer 103828 blt 0,0,640,480,0, 6700,640,480:waittimer 103844 blt 0,0,640,480,0, 6701,640,480:waittimer 103859 blt 0,0,640,480,0, 6702,640,480:waittimer 103875 blt 0,0,640,480,0, 6703,640,480:waittimer 103890 blt 0,0,640,480,0, 6704,640,480:waittimer 103906 blt 0,0,640,480,0, 6705,640,480:waittimer 103921 blt 0,0,640,480,0, 6706,640,480:waittimer 103937 blt 0,0,640,480,0, 6707,640,480:waittimer 103952 blt 0,0,640,480,0, 6708,640,480:waittimer 103968 blt 0,0,640,480,0, 6709,640,480:waittimer 103983 blt 0,0,640,480,0, 6710,640,480:waittimer 103999 blt 0,0,640,480,0, 6711,640,480:waittimer 104014 blt 0,0,640,480,0, 6712,640,480:waittimer 104030 blt 0,0,640,480,0, 6713,640,480:waittimer 104045 blt 0,0,640,480,0, 6714,640,480:waittimer 104061 blt 0,0,640,480,0, 6715,640,480:waittimer 104076 blt 0,0,640,480,0, 6716,640,480:waittimer 104092 blt 0,0,640,480,0, 6717,640,480:waittimer 104107 blt 0,0,640,480,0, 6718,640,480:waittimer 104123 blt 0,0,640,480,0, 6719,640,480:waittimer 104138 blt 0,0,640,480,0, 6720,640,480:waittimer 104154 blt 0,0,640,480,0, 6721,640,480:waittimer 104169 blt 0,0,640,480,0, 6722,640,480:waittimer 104185 blt 0,0,640,480,0, 6723,640,480:waittimer 104200 blt 0,0,640,480,0, 6724,640,480:waittimer 104216 blt 0,0,640,480,0, 6725,640,480:waittimer 104231 blt 0,0,640,480,0, 6726,640,480:waittimer 104247 blt 0,0,640,480,0, 6727,640,480:waittimer 104262 blt 0,0,640,480,0, 6728,640,480:waittimer 104278 blt 0,0,640,480,0, 6729,640,480:waittimer 104293 blt 0,0,640,480,0, 6730,640,480:waittimer 104309 blt 0,0,640,480,0, 6731,640,480:waittimer 104324 blt 0,0,640,480,0, 6732,640,480:waittimer 104340 blt 0,0,640,480,0, 6733,640,480:waittimer 104355 blt 0,0,640,480,0, 6734,640,480:waittimer 104371 blt 0,0,640,480,0, 6735,640,480:waittimer 104386 blt 0,0,640,480,0, 6736,640,480:waittimer 104402 blt 0,0,640,480,0, 6737,640,480:waittimer 104417 blt 0,0,640,480,0, 6738,640,480:waittimer 104433 blt 0,0,640,480,0, 6739,640,480:waittimer 104448 blt 0,0,640,480,0, 6740,640,480:waittimer 104464 blt 0,0,640,480,0, 6741,640,480:waittimer 104479 blt 0,0,640,480,0, 6742,640,480:waittimer 104495 blt 0,0,640,480,0, 6743,640,480:waittimer 104510 blt 0,0,640,480,0, 6744,640,480:waittimer 104526 blt 0,0,640,480,0, 6745,640,480:waittimer 104541 blt 0,0,640,480,0, 6746,640,480:waittimer 104557 blt 0,0,640,480,0, 6747,640,480:waittimer 104572 blt 0,0,640,480,0, 6748,640,480:waittimer 104588 blt 0,0,640,480,0, 6749,640,480:waittimer 104603 blt 0,0,640,480,0, 6750,640,480:waittimer 104619 blt 0,0,640,480,0, 6751,640,480:waittimer 104634 blt 0,0,640,480,0, 6752,640,480:waittimer 104650 blt 0,0,640,480,0, 6753,640,480:waittimer 104665 blt 0,0,640,480,0, 6754,640,480:waittimer 104681 blt 0,0,640,480,0, 6755,640,480:waittimer 104696 blt 0,0,640,480,0, 6756,640,480:waittimer 104712 blt 0,0,640,480,0, 6757,640,480:waittimer 104727 blt 0,0,640,480,0, 6758,640,480:waittimer 104743 blt 0,0,640,480,0, 6759,640,480:waittimer 104758 blt 0,0,640,480,0, 6760,640,480:waittimer 104774 blt 0,0,640,480,0, 6761,640,480:waittimer 104789 blt 0,0,640,480,0, 6762,640,480:waittimer 104805 blt 0,0,640,480,0, 6763,640,480:waittimer 104820 blt 0,0,640,480,0, 6764,640,480:waittimer 104836 blt 0,0,640,480,0, 6765,640,480:waittimer 104851 blt 0,0,640,480,0, 6766,640,480:waittimer 104867 blt 0,0,640,480,0, 6767,640,480:waittimer 104882 blt 0,0,640,480,0, 6768,640,480:waittimer 104898 blt 0,0,640,480,0, 6769,640,480:waittimer 104913 blt 0,0,640,480,0, 6770,640,480:waittimer 104929 blt 0,0,640,480,0, 6771,640,480:waittimer 104944 blt 0,0,640,480,0, 6772,640,480:waittimer 104960 blt 0,0,640,480,0, 6773,640,480:waittimer 104975 blt 0,0,640,480,0, 6774,640,480:waittimer 104991 blt 0,0,640,480,0, 6775,640,480:waittimer 105006 blt 0,0,640,480,0, 6776,640,480:waittimer 105022 blt 0,0,640,480,0, 6777,640,480:waittimer 105037 blt 0,0,640,480,0, 6778,640,480:waittimer 105053 blt 0,0,640,480,0, 6779,640,480:waittimer 105068 blt 0,0,640,480,0, 6780,640,480:waittimer 105084 blt 0,0,640,480,0, 6781,640,480:waittimer 105099 blt 0,0,640,480,0, 6782,640,480:waittimer 105115 blt 0,0,640,480,0, 6783,640,480:waittimer 105130 blt 0,0,640,480,0, 6784,640,480:waittimer 105146 blt 0,0,640,480,0, 6785,640,480:waittimer 105161 blt 0,0,640,480,0, 6786,640,480:waittimer 105177 blt 0,0,640,480,0, 6787,640,480:waittimer 105192 blt 0,0,640,480,0, 6788,640,480:waittimer 105208 blt 0,0,640,480,0, 6789,640,480:waittimer 105223 blt 0,0,640,480,0, 6790,640,480:waittimer 105239 blt 0,0,640,480,0, 6791,640,480:waittimer 105254 blt 0,0,640,480,0, 6792,640,480:waittimer 105270 blt 0,0,640,480,0, 6793,640,480:waittimer 105285 blt 0,0,640,480,0, 6794,640,480:waittimer 105301 blt 0,0,640,480,0, 6795,640,480:waittimer 105316 blt 0,0,640,480,0, 6796,640,480:waittimer 105332 blt 0,0,640,480,0, 6797,640,480:waittimer 105347 blt 0,0,640,480,0, 6798,640,480:waittimer 105363 blt 0,0,640,480,0, 6799,640,480:waittimer 105378 blt 0,0,640,480,0, 6800,640,480:waittimer 105394 blt 0,0,640,480,0, 6801,640,480:waittimer 105409 blt 0,0,640,480,0, 6802,640,480:waittimer 105425 blt 0,0,640,480,0, 6803,640,480:waittimer 105440 blt 0,0,640,480,0, 6804,640,480:waittimer 105456 blt 0,0,640,480,0, 6805,640,480:waittimer 105471 blt 0,0,640,480,0, 6806,640,480:waittimer 105487 blt 0,0,640,480,0, 6807,640,480:waittimer 105502 blt 0,0,640,480,0, 6808,640,480:waittimer 105518 blt 0,0,640,480,0, 6809,640,480:waittimer 105533 blt 0,0,640,480,0, 6810,640,480:waittimer 105549 blt 0,0,640,480,0, 6811,640,480:waittimer 105564 blt 0,0,640,480,0, 6812,640,480:waittimer 105580 blt 0,0,640,480,0, 6813,640,480:waittimer 105595 blt 0,0,640,480,0, 6814,640,480:waittimer 105611 blt 0,0,640,480,0, 6815,640,480:waittimer 105626 blt 0,0,640,480,0, 6816,640,480:waittimer 105642 blt 0,0,640,480,0, 6817,640,480:waittimer 105657 blt 0,0,640,480,0, 6818,640,480:waittimer 105673 blt 0,0,640,480,0, 6819,640,480:waittimer 105688 blt 0,0,640,480,0, 6820,640,480:waittimer 105704 blt 0,0,640,480,0, 6821,640,480:waittimer 105719 blt 0,0,640,480,0, 6822,640,480:waittimer 105735 blt 0,0,640,480,0, 6823,640,480:waittimer 105750 blt 0,0,640,480,0, 6824,640,480:waittimer 105766 blt 0,0,640,480,0, 6825,640,480:waittimer 105781 blt 0,0,640,480,0, 6826,640,480:waittimer 105797 blt 0,0,640,480,0, 6827,640,480:waittimer 105812 blt 0,0,640,480,0, 6828,640,480:waittimer 105828 blt 0,0,640,480,0, 6829,640,480:waittimer 105843 blt 0,0,640,480,0, 6830,640,480:waittimer 105859 blt 0,0,640,480,0, 6831,640,480:waittimer 105874 blt 0,0,640,480,0, 6832,640,480:waittimer 105890 blt 0,0,640,480,0, 6833,640,480:waittimer 105905 blt 0,0,640,480,0, 6834,640,480:waittimer 105921 blt 0,0,640,480,0, 6835,640,480:waittimer 105936 blt 0,0,640,480,0, 6836,640,480:waittimer 105952 blt 0,0,640,480,0, 6837,640,480:waittimer 105967 blt 0,0,640,480,0, 6838,640,480:waittimer 105983 blt 0,0,640,480,0, 6839,640,480:waittimer 105998 blt 0,0,640,480,0, 6840,640,480:waittimer 106014 blt 0,0,640,480,0, 6841,640,480:waittimer 106029 blt 0,0,640,480,0, 6842,640,480:waittimer 106045 blt 0,0,640,480,0, 6843,640,480:waittimer 106060 blt 0,0,640,480,0, 6844,640,480:waittimer 106076 blt 0,0,640,480,0, 6845,640,480:waittimer 106091 blt 0,0,640,480,0, 6846,640,480:waittimer 106107 blt 0,0,640,480,0, 6847,640,480:waittimer 106122 blt 0,0,640,480,0, 6848,640,480:waittimer 106138 blt 0,0,640,480,0, 6849,640,480:waittimer 106153 blt 0,0,640,480,0, 6850,640,480:waittimer 106169 blt 0,0,640,480,0, 6851,640,480:waittimer 106184 blt 0,0,640,480,0, 6852,640,480:waittimer 106200 blt 0,0,640,480,0, 6853,640,480:waittimer 106215 blt 0,0,640,480,0, 6854,640,480:waittimer 106230 blt 0,0,640,480,0, 6855,640,480:waittimer 106246 blt 0,0,640,480,0, 6856,640,480:waittimer 106261 blt 0,0,640,480,0, 6857,640,480:waittimer 106277 blt 0,0,640,480,0, 6858,640,480:waittimer 106292 blt 0,0,640,480,0, 6859,640,480:waittimer 106308 blt 0,0,640,480,0, 6860,640,480:waittimer 106323 blt 0,0,640,480,0, 6861,640,480:waittimer 106339 blt 0,0,640,480,0, 6862,640,480:waittimer 106354 blt 0,0,640,480,0, 6863,640,480:waittimer 106370 blt 0,0,640,480,0, 6864,640,480:waittimer 106385 blt 0,0,640,480,0, 6865,640,480:waittimer 106401 blt 0,0,640,480,0, 6866,640,480:waittimer 106416 blt 0,0,640,480,0, 6867,640,480:waittimer 106432 blt 0,0,640,480,0, 6868,640,480:waittimer 106447 blt 0,0,640,480,0, 6869,640,480:waittimer 106463 blt 0,0,640,480,0, 6870,640,480:waittimer 106478 blt 0,0,640,480,0, 6871,640,480:waittimer 106494 blt 0,0,640,480,0, 6872,640,480:waittimer 106509 blt 0,0,640,480,0, 6873,640,480:waittimer 106525 blt 0,0,640,480,0, 6874,640,480:waittimer 106540 blt 0,0,640,480,0, 6875,640,480:waittimer 106556 blt 0,0,640,480,0, 6876,640,480:waittimer 106571 blt 0,0,640,480,0, 6877,640,480:waittimer 106587 blt 0,0,640,480,0, 6878,640,480:waittimer 106602 blt 0,0,640,480,0, 6879,640,480:waittimer 106618 blt 0,0,640,480,0, 6880,640,480:waittimer 106633 blt 0,0,640,480,0, 6881,640,480:waittimer 106649 blt 0,0,640,480,0, 6882,640,480:waittimer 106664 blt 0,0,640,480,0, 6883,640,480:waittimer 106680 blt 0,0,640,480,0, 6884,640,480:waittimer 106695 blt 0,0,640,480,0, 6885,640,480:waittimer 106711 blt 0,0,640,480,0, 6886,640,480:waittimer 106726 blt 0,0,640,480,0, 6887,640,480:waittimer 106742 blt 0,0,640,480,0, 6888,640,480:waittimer 106757 blt 0,0,640,480,0, 6889,640,480:waittimer 106773 blt 0,0,640,480,0, 6890,640,480:waittimer 106788 blt 0,0,640,480,0, 6891,640,480:waittimer 106804 blt 0,0,640,480,0, 6892,640,480:waittimer 106819 blt 0,0,640,480,0, 6893,640,480:waittimer 106835 blt 0,0,640,480,0, 6894,640,480:waittimer 106850 blt 0,0,640,480,0, 6895,640,480:waittimer 106866 blt 0,0,640,480,0, 6896,640,480:waittimer 106881 blt 0,0,640,480,0, 6897,640,480:waittimer 106897 blt 0,0,640,480,0, 6898,640,480:waittimer 106912 blt 0,0,640,480,0, 6899,640,480:waittimer 106928 blt 0,0,640,480,0, 6900,640,480:waittimer 106943 blt 0,0,640,480,0, 6901,640,480:waittimer 106959 blt 0,0,640,480,0, 6902,640,480:waittimer 106974 blt 0,0,640,480,0, 6903,640,480:waittimer 106990 blt 0,0,640,480,0, 6904,640,480:waittimer 107005 blt 0,0,640,480,0, 6905,640,480:waittimer 107021 blt 0,0,640,480,0, 6906,640,480:waittimer 107036 blt 0,0,640,480,0, 6907,640,480:waittimer 107052 blt 0,0,640,480,0, 6908,640,480:waittimer 107067 blt 0,0,640,480,0, 6909,640,480:waittimer 107083 blt 0,0,640,480,0, 6910,640,480:waittimer 107098 blt 0,0,640,480,0, 6911,640,480:waittimer 107114 blt 0,0,640,480,0, 6912,640,480:waittimer 107129 blt 0,0,640,480,0, 6913,640,480:waittimer 107145 blt 0,0,640,480,0, 6914,640,480:waittimer 107160 blt 0,0,640,480,0, 6915,640,480:waittimer 107176 blt 0,0,640,480,0, 6916,640,480:waittimer 107191 blt 0,0,640,480,0, 6917,640,480:waittimer 107207 blt 0,0,640,480,0, 6918,640,480:waittimer 107222 blt 0,0,640,480,0, 6919,640,480:waittimer 107238 blt 0,0,640,480,0, 6920,640,480:waittimer 107253 blt 0,0,640,480,0, 6921,640,480:waittimer 107269 blt 0,0,640,480,0, 6922,640,480:waittimer 107284 blt 0,0,640,480,0, 6923,640,480:waittimer 107300 blt 0,0,640,480,0, 6924,640,480:waittimer 107315 blt 0,0,640,480,0, 6925,640,480:waittimer 107331 blt 0,0,640,480,0, 6926,640,480:waittimer 107346 blt 0,0,640,480,0, 6927,640,480:waittimer 107362 blt 0,0,640,480,0, 6928,640,480:waittimer 107377 blt 0,0,640,480,0, 6929,640,480:waittimer 107393 blt 0,0,640,480,0, 6930,640,480:waittimer 107408 blt 0,0,640,480,0, 6931,640,480:waittimer 107424 blt 0,0,640,480,0, 6932,640,480:waittimer 107439 blt 0,0,640,480,0, 6933,640,480:waittimer 107455 blt 0,0,640,480,0, 6934,640,480:waittimer 107470 blt 0,0,640,480,0, 6935,640,480:waittimer 107486 blt 0,0,640,480,0, 6936,640,480:waittimer 107501 blt 0,0,640,480,0, 6937,640,480:waittimer 107517 blt 0,0,640,480,0, 6938,640,480:waittimer 107532 blt 0,0,640,480,0, 6939,640,480:waittimer 107548 blt 0,0,640,480,0, 6940,640,480:waittimer 107563 blt 0,0,640,480,0, 6941,640,480:waittimer 107579 blt 0,0,640,480,0, 6942,640,480:waittimer 107594 blt 0,0,640,480,0, 6943,640,480:waittimer 107610 blt 0,0,640,480,0, 6944,640,480:waittimer 107625 blt 0,0,640,480,0, 6945,640,480:waittimer 107641 blt 0,0,640,480,0, 6946,640,480:waittimer 107656 blt 0,0,640,480,0, 6947,640,480:waittimer 107672 blt 0,0,640,480,0, 6948,640,480:waittimer 107687 blt 0,0,640,480,0, 6949,640,480:waittimer 107703 blt 0,0,640,480,0, 6950,640,480:waittimer 107718 blt 0,0,640,480,0, 6951,640,480:waittimer 107734 blt 0,0,640,480,0, 6952,640,480:waittimer 107749 blt 0,0,640,480,0, 6953,640,480:waittimer 107765 blt 0,0,640,480,0, 6954,640,480:waittimer 107780 blt 0,0,640,480,0, 6955,640,480:waittimer 107796 blt 0,0,640,480,0, 6956,640,480:waittimer 107811 blt 0,0,640,480,0, 6957,640,480:waittimer 107827 blt 0,0,640,480,0, 6958,640,480:waittimer 107842 blt 0,0,640,480,0, 6959,640,480:waittimer 107858 blt 0,0,640,480,0, 6960,640,480:waittimer 107873 blt 0,0,640,480,0, 6961,640,480:waittimer 107889 blt 0,0,640,480,0, 6962,640,480:waittimer 107904 blt 0,0,640,480,0, 6963,640,480:waittimer 107920 blt 0,0,640,480,0, 6964,640,480:waittimer 107935 blt 0,0,640,480,0, 6965,640,480:waittimer 107951 blt 0,0,640,480,0, 6966,640,480:waittimer 107966 blt 0,0,640,480,0, 6967,640,480:waittimer 107982 blt 0,0,640,480,0, 6968,640,480:waittimer 107997 blt 0,0,640,480,0, 6969,640,480:waittimer 108013 blt 0,0,640,480,0, 6970,640,480:waittimer 108028 blt 0,0,640,480,0, 6971,640,480:waittimer 108044 blt 0,0,640,480,0, 6972,640,480:waittimer 108059 blt 0,0,640,480,0, 6973,640,480:waittimer 108075 blt 0,0,640,480,0, 6974,640,480:waittimer 108090 blt 0,0,640,480,0, 6975,640,480:waittimer 108106 blt 0,0,640,480,0, 6976,640,480:waittimer 108121 blt 0,0,640,480,0, 6977,640,480:waittimer 108137 blt 0,0,640,480,0, 6978,640,480:waittimer 108152 blt 0,0,640,480,0, 6979,640,480:waittimer 108168 blt 0,0,640,480,0, 6980,640,480:waittimer 108183 blt 0,0,640,480,0, 6981,640,480:waittimer 108199 blt 0,0,640,480,0, 6982,640,480:waittimer 108214 blt 0,0,640,480,0, 6983,640,480:waittimer 108230 blt 0,0,640,480,0, 6984,640,480:waittimer 108245 blt 0,0,640,480,0, 6985,640,480:waittimer 108261 blt 0,0,640,480,0, 6986,640,480:waittimer 108276 blt 0,0,640,480,0, 6987,640,480:waittimer 108292 blt 0,0,640,480,0, 6988,640,480:waittimer 108307 blt 0,0,640,480,0, 6989,640,480:waittimer 108323 blt 0,0,640,480,0, 6990,640,480:waittimer 108338 blt 0,0,640,480,0, 6991,640,480:waittimer 108354 blt 0,0,640,480,0, 6992,640,480:waittimer 108369 blt 0,0,640,480,0, 6993,640,480:waittimer 108385 blt 0,0,640,480,0, 6994,640,480:waittimer 108400 blt 0,0,640,480,0, 6995,640,480:waittimer 108416 blt 0,0,640,480,0, 6996,640,480:waittimer 108431 blt 0,0,640,480,0, 6997,640,480:waittimer 108447 blt 0,0,640,480,0, 6998,640,480:waittimer 108462 blt 0,0,640,480,0, 6999,640,480:waittimer 108478 blt 0,0,640,480,0, 7000,640,480:waittimer 108493 blt 0,0,640,480,0, 7001,640,480:waittimer 108509 blt 0,0,640,480,0, 7002,640,480:waittimer 108524 blt 0,0,640,480,0, 7003,640,480:waittimer 108540 blt 0,0,640,480,0, 7004,640,480:waittimer 108555 blt 0,0,640,480,0, 7005,640,480:waittimer 108571 blt 0,0,640,480,0, 7006,640,480:waittimer 108586 blt 0,0,640,480,0, 7007,640,480:waittimer 108602 blt 0,0,640,480,0, 7008,640,480:waittimer 108617 blt 0,0,640,480,0, 7009,640,480:waittimer 108633 blt 0,0,640,480,0, 7010,640,480:waittimer 108648 blt 0,0,640,480,0, 7011,640,480:waittimer 108664 blt 0,0,640,480,0, 7012,640,480:waittimer 108679 blt 0,0,640,480,0, 7013,640,480:waittimer 108695 blt 0,0,640,480,0, 7014,640,480:waittimer 108710 blt 0,0,640,480,0, 7015,640,480:waittimer 108726 blt 0,0,640,480,0, 7016,640,480:waittimer 108741 blt 0,0,640,480,0, 7017,640,480:waittimer 108757 blt 0,0,640,480,0, 7018,640,480:waittimer 108772 blt 0,0,640,480,0, 7019,640,480:waittimer 108788 blt 0,0,640,480,0, 7020,640,480:waittimer 108803 blt 0,0,640,480,0, 7021,640,480:waittimer 108819 blt 0,0,640,480,0, 7022,640,480:waittimer 108834 blt 0,0,640,480,0, 7023,640,480:waittimer 108850 blt 0,0,640,480,0, 7024,640,480:waittimer 108865 blt 0,0,640,480,0, 7025,640,480:waittimer 108881 blt 0,0,640,480,0, 7026,640,480:waittimer 108896 blt 0,0,640,480,0, 7027,640,480:waittimer 108912 blt 0,0,640,480,0, 7028,640,480:waittimer 108927 blt 0,0,640,480,0, 7029,640,480:waittimer 108943 blt 0,0,640,480,0, 7030,640,480:waittimer 108958 blt 0,0,640,480,0, 7031,640,480:waittimer 108974 blt 0,0,640,480,0, 7032,640,480:waittimer 108989 blt 0,0,640,480,0, 7033,640,480:waittimer 109005 blt 0,0,640,480,0, 7034,640,480:waittimer 109020 blt 0,0,640,480,0, 7035,640,480:waittimer 109036 blt 0,0,640,480,0, 7036,640,480:waittimer 109051 blt 0,0,640,480,0, 7037,640,480:waittimer 109067 blt 0,0,640,480,0, 7038,640,480:waittimer 109082 blt 0,0,640,480,0, 7039,640,480:waittimer 109098 blt 0,0,640,480,0, 7040,640,480:waittimer 109113 blt 0,0,640,480,0, 7041,640,480:waittimer 109129 blt 0,0,640,480,0, 7042,640,480:waittimer 109144 blt 0,0,640,480,0, 7043,640,480:waittimer 109160 blt 0,0,640,480,0, 7044,640,480:waittimer 109175 blt 0,0,640,480,0, 7045,640,480:waittimer 109191 blt 0,0,640,480,0, 7046,640,480:waittimer 109206 blt 0,0,640,480,0, 7047,640,480:waittimer 109222 blt 0,0,640,480,0, 7048,640,480:waittimer 109237 blt 0,0,640,480,0, 7049,640,480:waittimer 109253 blt 0,0,640,480,0, 7050,640,480:waittimer 109268 blt 0,0,640,480,0, 7051,640,480:waittimer 109284 blt 0,0,640,480,0, 7052,640,480:waittimer 109299 blt 0,0,640,480,0, 7053,640,480:waittimer 109315 blt 0,0,640,480,0, 7054,640,480:waittimer 109330 blt 0,0,640,480,0, 7055,640,480:waittimer 109346 blt 0,0,640,480,0, 7056,640,480:waittimer 109361 blt 0,0,640,480,0, 7057,640,480:waittimer 109377 blt 0,0,640,480,0, 7058,640,480:waittimer 109392 blt 0,0,640,480,0, 7059,640,480:waittimer 109408 blt 0,0,640,480,0, 7060,640,480:waittimer 109423 blt 0,0,640,480,0, 7061,640,480:waittimer 109439 blt 0,0,640,480,0, 7062,640,480:waittimer 109454 blt 0,0,640,480,0, 7063,640,480:waittimer 109470 blt 0,0,640,480,0, 7064,640,480:waittimer 109485 blt 0,0,640,480,0, 7065,640,480:waittimer 109501 blt 0,0,640,480,0, 7066,640,480:waittimer 109516 blt 0,0,640,480,0, 7067,640,480:waittimer 109532 blt 0,0,640,480,0, 7068,640,480:waittimer 109547 blt 0,0,640,480,0, 7069,640,480:waittimer 109563 blt 0,0,640,480,0, 7070,640,480:waittimer 109578 blt 0,0,640,480,0, 7071,640,480:waittimer 109594 blt 0,0,640,480,0, 7072,640,480:waittimer 109609 blt 0,0,640,480,0, 7073,640,480:waittimer 109625 blt 0,0,640,480,0, 7074,640,480:waittimer 109640 blt 0,0,640,480,0, 7075,640,480:waittimer 109656 blt 0,0,640,480,0, 7076,640,480:waittimer 109671 blt 0,0,640,480,0, 7077,640,480:waittimer 109687 blt 0,0,640,480,0, 7078,640,480:waittimer 109702 blt 0,0,640,480,0, 7079,640,480:waittimer 109718 blt 0,0,640,480,0, 7080,640,480:waittimer 109733 blt 0,0,640,480,0, 7081,640,480:waittimer 109749 blt 0,0,640,480,0, 7082,640,480:waittimer 109764 blt 0,0,640,480,0, 7083,640,480:waittimer 109780 blt 0,0,640,480,0, 7084,640,480:waittimer 109795 blt 0,0,640,480,0, 7085,640,480:waittimer 109811 blt 0,0,640,480,0, 7086,640,480:waittimer 109826 blt 0,0,640,480,0, 7087,640,480:waittimer 109842 blt 0,0,640,480,0, 7088,640,480:waittimer 109857 blt 0,0,640,480,0, 7089,640,480:waittimer 109873 blt 0,0,640,480,0, 7090,640,480:waittimer 109888 blt 0,0,640,480,0, 7091,640,480:waittimer 109904 blt 0,0,640,480,0, 7092,640,480:waittimer 109919 blt 0,0,640,480,0, 7093,640,480:waittimer 109935 blt 0,0,640,480,0, 7094,640,480:waittimer 109950 blt 0,0,640,480,0, 7095,640,480:waittimer 109966 blt 0,0,640,480,0, 7096,640,480:waittimer 109981 blt 0,0,640,480,0, 7097,640,480:waittimer 109997 blt 0,0,640,480,0, 7098,640,480:waittimer 110012 blt 0,0,640,480,0, 7099,640,480:waittimer 110028 blt 0,0,640,480,0, 7100,640,480:waittimer 110043 blt 0,0,640,480,0, 7101,640,480:waittimer 110059 blt 0,0,640,480,0, 7102,640,480:waittimer 110074 blt 0,0,640,480,0, 7103,640,480:waittimer 110090 blt 0,0,640,480,0, 7104,640,480:waittimer 110105 blt 0,0,640,480,0, 7105,640,480:waittimer 110121 blt 0,0,640,480,0, 7106,640,480:waittimer 110136 blt 0,0,640,480,0, 7107,640,480:waittimer 110152 blt 0,0,640,480,0, 7108,640,480:waittimer 110167 blt 0,0,640,480,0, 7109,640,480:waittimer 110183 blt 0,0,640,480,0, 7110,640,480:waittimer 110198 blt 0,0,640,480,0, 7111,640,480:waittimer 110214 blt 0,0,640,480,0, 7112,640,480:waittimer 110229 blt 0,0,640,480,0, 7113,640,480:waittimer 110245 blt 0,0,640,480,0, 7114,640,480:waittimer 110260 blt 0,0,640,480,0, 7115,640,480:waittimer 110276 blt 0,0,640,480,0, 7116,640,480:waittimer 110291 blt 0,0,640,480,0, 7117,640,480:waittimer 110307 blt 0,0,640,480,0, 7118,640,480:waittimer 110322 blt 0,0,640,480,0, 7119,640,480:waittimer 110338 blt 0,0,640,480,0, 7120,640,480:waittimer 110353 blt 0,0,640,480,0, 7121,640,480:waittimer 110369 blt 0,0,640,480,0, 7122,640,480:waittimer 110384 blt 0,0,640,480,0, 7123,640,480:waittimer 110400 blt 0,0,640,480,0, 7124,640,480:waittimer 110415 blt 0,0,640,480,0, 7125,640,480:waittimer 110431 blt 0,0,640,480,0, 7126,640,480:waittimer 110446 blt 0,0,640,480,0, 7127,640,480:waittimer 110462 blt 0,0,640,480,0, 7128,640,480:waittimer 110477 blt 0,0,640,480,0, 7129,640,480:waittimer 110493 blt 0,0,640,480,0, 7130,640,480:waittimer 110508 blt 0,0,640,480,0, 7131,640,480:waittimer 110524 blt 0,0,640,480,0, 7132,640,480:waittimer 110539 blt 0,0,640,480,0, 7133,640,480:waittimer 110555 blt 0,0,640,480,0, 7134,640,480:waittimer 110570 blt 0,0,640,480,0, 7135,640,480:waittimer 110586 blt 0,0,640,480,0, 7136,640,480:waittimer 110601 blt 0,0,640,480,0, 7137,640,480:waittimer 110617 blt 0,0,640,480,0, 7138,640,480:waittimer 110632 blt 0,0,640,480,0, 7139,640,480:waittimer 110648 blt 0,0,640,480,0, 7140,640,480:waittimer 110663 blt 0,0,640,480,0, 7141,640,480:waittimer 110679 blt 0,0,640,480,0, 7142,640,480:waittimer 110694 blt 0,0,640,480,0, 7143,640,480:waittimer 110710 blt 0,0,640,480,0, 7144,640,480:waittimer 110725 blt 0,0,640,480,0, 7145,640,480:waittimer 110741 blt 0,0,640,480,0, 7146,640,480:waittimer 110756 blt 0,0,640,480,0, 7147,640,480:waittimer 110772 blt 0,0,640,480,0, 7148,640,480:waittimer 110787 blt 0,0,640,480,0, 7149,640,480:waittimer 110803 blt 0,0,640,480,0, 7150,640,480:waittimer 110818 blt 0,0,640,480,0, 7151,640,480:waittimer 110834 blt 0,0,640,480,0, 7152,640,480:waittimer 110849 blt 0,0,640,480,0, 7153,640,480:waittimer 110865 blt 0,0,640,480,0, 7154,640,480:waittimer 110880 blt 0,0,640,480,0, 7155,640,480:waittimer 110896 blt 0,0,640,480,0, 7156,640,480:waittimer 110911 blt 0,0,640,480,0, 7157,640,480:waittimer 110927 blt 0,0,640,480,0, 7158,640,480:waittimer 110942 blt 0,0,640,480,0, 7159,640,480:waittimer 110958 blt 0,0,640,480,0, 7160,640,480:waittimer 110973 blt 0,0,640,480,0, 7161,640,480:waittimer 110989 blt 0,0,640,480,0, 7162,640,480:waittimer 111004 blt 0,0,640,480,0, 7163,640,480:waittimer 111020 blt 0,0,640,480,0, 7164,640,480:waittimer 111035 blt 0,0,640,480,0, 7165,640,480:waittimer 111051 blt 0,0,640,480,0, 7166,640,480:waittimer 111066 blt 0,0,640,480,0, 7167,640,480:waittimer 111082 blt 0,0,640,480,0, 7168,640,480:waittimer 111097 blt 0,0,640,480,0, 7169,640,480:waittimer 111113 blt 0,0,640,480,0, 7170,640,480:waittimer 111128 blt 0,0,640,480,0, 7171,640,480:waittimer 111144 blt 0,0,640,480,0, 7172,640,480:waittimer 111159 blt 0,0,640,480,0, 7173,640,480:waittimer 111175 blt 0,0,640,480,0, 7174,640,480:waittimer 111190 blt 0,0,640,480,0, 7175,640,480:waittimer 111206 blt 0,0,640,480,0, 7176,640,480:waittimer 111221 blt 0,0,640,480,0, 7177,640,480:waittimer 111237 blt 0,0,640,480,0, 7178,640,480:waittimer 111252 blt 0,0,640,480,0, 7179,640,480:waittimer 111268 blt 0,0,640,480,0, 7180,640,480:waittimer 111283 blt 0,0,640,480,0, 7181,640,480:waittimer 111299 blt 0,0,640,480,0, 7182,640,480:waittimer 111314 blt 0,0,640,480,0, 7183,640,480:waittimer 111330 blt 0,0,640,480,0, 7184,640,480:waittimer 111345 blt 0,0,640,480,0, 7185,640,480:waittimer 111361 blt 0,0,640,480,0, 7186,640,480:waittimer 111376 blt 0,0,640,480,0, 7187,640,480:waittimer 111392 blt 0,0,640,480,0, 7188,640,480:waittimer 111407 blt 0,0,640,480,0, 7189,640,480:waittimer 111423 blt 0,0,640,480,0, 7190,640,480:waittimer 111438 blt 0,0,640,480,0, 7191,640,480:waittimer 111454 blt 0,0,640,480,0, 7192,640,480:waittimer 111469 blt 0,0,640,480,0, 7193,640,480:waittimer 111485 blt 0,0,640,480,0, 7194,640,480:waittimer 111500 blt 0,0,640,480,0, 7195,640,480:waittimer 111516 blt 0,0,640,480,0, 7196,640,480:waittimer 111531 blt 0,0,640,480,0, 7197,640,480:waittimer 111547 blt 0,0,640,480,0, 7198,640,480:waittimer 111562 blt 0,0,640,480,0, 7199,640,480:waittimer 111578 blt 0,0,640,480,0, 7200,640,480:waittimer 111593 blt 0,0,640,480,0, 7201,640,480:waittimer 111609 blt 0,0,640,480,0, 7202,640,480:waittimer 111624 blt 0,0,640,480,0, 7203,640,480:waittimer 111640 blt 0,0,640,480,0, 7204,640,480:waittimer 111655 blt 0,0,640,480,0, 7205,640,480:waittimer 111671 blt 0,0,640,480,0, 7206,640,480:waittimer 111686 blt 0,0,640,480,0, 7207,640,480:waittimer 111702 blt 0,0,640,480,0, 7208,640,480:waittimer 111717 blt 0,0,640,480,0, 7209,640,480:waittimer 111733 blt 0,0,640,480,0, 7210,640,480:waittimer 111748 blt 0,0,640,480,0, 7211,640,480:waittimer 111764 blt 0,0,640,480,0, 7212,640,480:waittimer 111779 blt 0,0,640,480,0, 7213,640,480:waittimer 111795 blt 0,0,640,480,0, 7214,640,480:waittimer 111810 blt 0,0,640,480,0, 7215,640,480:waittimer 111826 blt 0,0,640,480,0, 7216,640,480:waittimer 111841 blt 0,0,640,480,0, 7217,640,480:waittimer 111857 blt 0,0,640,480,0, 7218,640,480:waittimer 111872 blt 0,0,640,480,0, 7219,640,480:waittimer 111888 blt 0,0,640,480,0, 7220,640,480:waittimer 111903 blt 0,0,640,480,0, 7221,640,480:waittimer 111919 blt 0,0,640,480,0, 7222,640,480:waittimer 111934 blt 0,0,640,480,0, 7223,640,480:waittimer 111950 blt 0,0,640,480,0, 7224,640,480:waittimer 111965 blt 0,0,640,480,0, 7225,640,480:waittimer 111981 blt 0,0,640,480,0, 7226,640,480:waittimer 111996 blt 0,0,640,480,0, 7227,640,480:waittimer 112012 blt 0,0,640,480,0, 7228,640,480:waittimer 112027 blt 0,0,640,480,0, 7229,640,480:waittimer 112043 blt 0,0,640,480,0, 7230,640,480:waittimer 112058 blt 0,0,640,480,0, 7231,640,480:waittimer 112074 blt 0,0,640,480,0, 7232,640,480:waittimer 112089 blt 0,0,640,480,0, 7233,640,480:waittimer 112105 blt 0,0,640,480,0, 7234,640,480:waittimer 112120 blt 0,0,640,480,0, 7235,640,480:waittimer 112136 blt 0,0,640,480,0, 7236,640,480:waittimer 112151 blt 0,0,640,480,0, 7237,640,480:waittimer 112167 blt 0,0,640,480,0, 7238,640,480:waittimer 112182 blt 0,0,640,480,0, 7239,640,480:waittimer 112198 blt 0,0,640,480,0, 7240,640,480:waittimer 112213 blt 0,0,640,480,0, 7241,640,480:waittimer 112229 blt 0,0,640,480,0, 7242,640,480:waittimer 112244 blt 0,0,640,480,0, 7243,640,480:waittimer 112260 blt 0,0,640,480,0, 7244,640,480:waittimer 112275 blt 0,0,640,480,0, 7245,640,480:waittimer 112291 blt 0,0,640,480,0, 7246,640,480:waittimer 112306 blt 0,0,640,480,0, 7247,640,480:waittimer 112322 blt 0,0,640,480,0, 7248,640,480:waittimer 112337 blt 0,0,640,480,0, 7249,640,480:waittimer 112353 blt 0,0,640,480,0, 7250,640,480:waittimer 112368 blt 0,0,640,480,0, 7251,640,480:waittimer 112384 blt 0,0,640,480,0, 7252,640,480:waittimer 112399 blt 0,0,640,480,0, 7253,640,480:waittimer 112415 blt 0,0,640,480,0, 7254,640,480:waittimer 112430 blt 0,0,640,480,0, 7255,640,480:waittimer 112446 blt 0,0,640,480,0, 7256,640,480:waittimer 112461 blt 0,0,640,480,0, 7257,640,480:waittimer 112477 blt 0,0,640,480,0, 7258,640,480:waittimer 112492 blt 0,0,640,480,0, 7259,640,480:waittimer 112508 blt 0,0,640,480,0, 7260,640,480:waittimer 112523 blt 0,0,640,480,0, 7261,640,480:waittimer 112539 blt 0,0,640,480,0, 7262,640,480:waittimer 112554 blt 0,0,640,480,0, 7263,640,480:waittimer 112570 blt 0,0,640,480,0, 7264,640,480:waittimer 112585 blt 0,0,640,480,0, 7265,640,480:waittimer 112601 blt 0,0,640,480,0, 7266,640,480:waittimer 112616 blt 0,0,640,480,0, 7267,640,480:waittimer 112632 blt 0,0,640,480,0, 7268,640,480:waittimer 112647 blt 0,0,640,480,0, 7269,640,480:waittimer 112663 blt 0,0,640,480,0, 7270,640,480:waittimer 112678 blt 0,0,640,480,0, 7271,640,480:waittimer 112694 blt 0,0,640,480,0, 7272,640,480:waittimer 112709 blt 0,0,640,480,0, 7273,640,480:waittimer 112725 blt 0,0,640,480,0, 7274,640,480:waittimer 112740 blt 0,0,640,480,0, 7275,640,480:waittimer 112756 blt 0,0,640,480,0, 7276,640,480:waittimer 112771 blt 0,0,640,480,0, 7277,640,480:waittimer 112787 blt 0,0,640,480,0, 7278,640,480:waittimer 112802 blt 0,0,640,480,0, 7279,640,480:waittimer 112818 blt 0,0,640,480,0, 7280,640,480:waittimer 112833 blt 0,0,640,480,0, 7281,640,480:waittimer 112849 blt 0,0,640,480,0, 7282,640,480:waittimer 112864 blt 0,0,640,480,0, 7283,640,480:waittimer 112880 blt 0,0,640,480,0, 7284,640,480:waittimer 112895 blt 0,0,640,480,0, 7285,640,480:waittimer 112911 blt 0,0,640,480,0, 7286,640,480:waittimer 112926 blt 0,0,640,480,0, 7287,640,480:waittimer 112942 blt 0,0,640,480,0, 7288,640,480:waittimer 112957 blt 0,0,640,480,0, 7289,640,480:waittimer 112973 blt 0,0,640,480,0, 7290,640,480:waittimer 112988 blt 0,0,640,480,0, 7291,640,480:waittimer 113004 blt 0,0,640,480,0, 7292,640,480:waittimer 113019 blt 0,0,640,480,0, 7293,640,480:waittimer 113035 blt 0,0,640,480,0, 7294,640,480:waittimer 113050 blt 0,0,640,480,0, 7295,640,480:waittimer 113066 blt 0,0,640,480,0, 7296,640,480:waittimer 113081 blt 0,0,640,480,0, 7297,640,480:waittimer 113097 blt 0,0,640,480,0, 7298,640,480:waittimer 113112 blt 0,0,640,480,0, 7299,640,480:waittimer 113128 blt 0,0,640,480,0, 7300,640,480:waittimer 113143 blt 0,0,640,480,0, 7301,640,480:waittimer 113159 blt 0,0,640,480,0, 7302,640,480:waittimer 113174 blt 0,0,640,480,0, 7303,640,480:waittimer 113190 blt 0,0,640,480,0, 7304,640,480:waittimer 113205 blt 0,0,640,480,0, 7305,640,480:waittimer 113221 blt 0,0,640,480,0, 7306,640,480:waittimer 113236 blt 0,0,640,480,0, 7307,640,480:waittimer 113252 blt 0,0,640,480,0, 7308,640,480:waittimer 113267 blt 0,0,640,480,0, 7309,640,480:waittimer 113283 blt 0,0,640,480,0, 7310,640,480:waittimer 113298 blt 0,0,640,480,0, 7311,640,480:waittimer 113314 blt 0,0,640,480,0, 7312,640,480:waittimer 113329 blt 0,0,640,480,0, 7313,640,480:waittimer 113345 blt 0,0,640,480,0, 7314,640,480:waittimer 113360 blt 0,0,640,480,0, 7315,640,480:waittimer 113376 blt 0,0,640,480,0, 7316,640,480:waittimer 113391 blt 0,0,640,480,0, 7317,640,480:waittimer 113407 blt 0,0,640,480,0, 7318,640,480:waittimer 113422 blt 0,0,640,480,0, 7319,640,480:waittimer 113438 blt 0,0,640,480,0, 7320,640,480:waittimer 113453 blt 0,0,640,480,0, 7321,640,480:waittimer 113469 blt 0,0,640,480,0, 7322,640,480:waittimer 113484 blt 0,0,640,480,0, 7323,640,480:waittimer 113500 blt 0,0,640,480,0, 7324,640,480:waittimer 113515 blt 0,0,640,480,0, 7325,640,480:waittimer 113531 blt 0,0,640,480,0, 7326,640,480:waittimer 113546 blt 0,0,640,480,0, 7327,640,480:waittimer 113562 blt 0,0,640,480,0, 7328,640,480:waittimer 113577 blt 0,0,640,480,0, 7329,640,480:waittimer 113593 blt 0,0,640,480,0, 7330,640,480:waittimer 113608 blt 0,0,640,480,0, 7331,640,480:waittimer 113624 blt 0,0,640,480,0, 7332,640,480:waittimer 113639 blt 0,0,640,480,0, 7333,640,480:waittimer 113655 blt 0,0,640,480,0, 7334,640,480:waittimer 113670 blt 0,0,640,480,0, 7335,640,480:waittimer 113686 blt 0,0,640,480,0, 7336,640,480:waittimer 113701 blt 0,0,640,480,0, 7337,640,480:waittimer 113717 blt 0,0,640,480,0, 7338,640,480:waittimer 113732 blt 0,0,640,480,0, 7339,640,480:waittimer 113748 blt 0,0,640,480,0, 7340,640,480:waittimer 113763 blt 0,0,640,480,0, 7341,640,480:waittimer 113779 blt 0,0,640,480,0, 7342,640,480:waittimer 113794 blt 0,0,640,480,0, 7343,640,480:waittimer 113810 blt 0,0,640,480,0, 7344,640,480:waittimer 113825 blt 0,0,640,480,0, 7345,640,480:waittimer 113841 blt 0,0,640,480,0, 7346,640,480:waittimer 113856 blt 0,0,640,480,0, 7347,640,480:waittimer 113872 blt 0,0,640,480,0, 7348,640,480:waittimer 113887 blt 0,0,640,480,0, 7349,640,480:waittimer 113903 blt 0,0,640,480,0, 7350,640,480:waittimer 113918 blt 0,0,640,480,0, 7351,640,480:waittimer 113934 blt 0,0,640,480,0, 7352,640,480:waittimer 113949 blt 0,0,640,480,0, 7353,640,480:waittimer 113965 blt 0,0,640,480,0, 7354,640,480:waittimer 113980 blt 0,0,640,480,0, 7355,640,480:waittimer 113996 blt 0,0,640,480,0, 7356,640,480:waittimer 114011 blt 0,0,640,480,0, 7357,640,480:waittimer 114027 blt 0,0,640,480,0, 7358,640,480:waittimer 114042 blt 0,0,640,480,0, 7359,640,480:waittimer 114058 blt 0,0,640,480,0, 7360,640,480:waittimer 114073 blt 0,0,640,480,0, 7361,640,480:waittimer 114089 blt 0,0,640,480,0, 7362,640,480:waittimer 114104 blt 0,0,640,480,0, 7363,640,480:waittimer 114120 blt 0,0,640,480,0, 7364,640,480:waittimer 114135 blt 0,0,640,480,0, 7365,640,480:waittimer 114151 blt 0,0,640,480,0, 7366,640,480:waittimer 114166 blt 0,0,640,480,0, 7367,640,480:waittimer 114182 blt 0,0,640,480,0, 7368,640,480:waittimer 114197 blt 0,0,640,480,0, 7369,640,480:waittimer 114213 blt 0,0,640,480,0, 7370,640,480:waittimer 114228 blt 0,0,640,480,0, 7371,640,480:waittimer 114244 blt 0,0,640,480,0, 7372,640,480:waittimer 114259 blt 0,0,640,480,0, 7373,640,480:waittimer 114275 blt 0,0,640,480,0, 7374,640,480:waittimer 114290 blt 0,0,640,480,0, 7375,640,480:waittimer 114306 blt 0,0,640,480,0, 7376,640,480:waittimer 114321 blt 0,0,640,480,0, 7377,640,480:waittimer 114337 blt 0,0,640,480,0, 7378,640,480:waittimer 114352 blt 0,0,640,480,0, 7379,640,480:waittimer 114368 blt 0,0,640,480,0, 7380,640,480:waittimer 114383 blt 0,0,640,480,0, 7381,640,480:waittimer 114399 blt 0,0,640,480,0, 7382,640,480:waittimer 114414 blt 0,0,640,480,0, 7383,640,480:waittimer 114430 blt 0,0,640,480,0, 7384,640,480:waittimer 114445 blt 0,0,640,480,0, 7385,640,480:waittimer 114461 blt 0,0,640,480,0, 7386,640,480:waittimer 114476 blt 0,0,640,480,0, 7387,640,480:waittimer 114492 blt 0,0,640,480,0, 7388,640,480:waittimer 114507 blt 0,0,640,480,0, 7389,640,480:waittimer 114523 blt 0,0,640,480,0, 7390,640,480:waittimer 114538 blt 0,0,640,480,0, 7391,640,480:waittimer 114554 blt 0,0,640,480,0, 7392,640,480:waittimer 114569 blt 0,0,640,480,0, 7393,640,480:waittimer 114585 blt 0,0,640,480,0, 7394,640,480:waittimer 114600 blt 0,0,640,480,0, 7395,640,480:waittimer 114616 blt 0,0,640,480,0, 7396,640,480:waittimer 114631 blt 0,0,640,480,0, 7397,640,480:waittimer 114647 blt 0,0,640,480,0, 7398,640,480:waittimer 114662 blt 0,0,640,480,0, 7399,640,480:waittimer 114678 blt 0,0,640,480,0, 7400,640,480:waittimer 114693 blt 0,0,640,480,0, 7401,640,480:waittimer 114709 blt 0,0,640,480,0, 7402,640,480:waittimer 114724 blt 0,0,640,480,0, 7403,640,480:waittimer 114740 blt 0,0,640,480,0, 7404,640,480:waittimer 114755 blt 0,0,640,480,0, 7405,640,480:waittimer 114771 blt 0,0,640,480,0, 7406,640,480:waittimer 114786 blt 0,0,640,480,0, 7407,640,480:waittimer 114802 blt 0,0,640,480,0, 7408,640,480:waittimer 114817 blt 0,0,640,480,0, 7409,640,480:waittimer 114833 blt 0,0,640,480,0, 7410,640,480:waittimer 114848 blt 0,0,640,480,0, 7411,640,480:waittimer 114864 blt 0,0,640,480,0, 7412,640,480:waittimer 114879 blt 0,0,640,480,0, 7413,640,480:waittimer 114895 blt 0,0,640,480,0, 7414,640,480:waittimer 114910 blt 0,0,640,480,0, 7415,640,480:waittimer 114926 blt 0,0,640,480,0, 7416,640,480:waittimer 114941 blt 0,0,640,480,0, 7417,640,480:waittimer 114957 blt 0,0,640,480,0, 7418,640,480:waittimer 114972 blt 0,0,640,480,0, 7419,640,480:waittimer 114988 blt 0,0,640,480,0, 7420,640,480:waittimer 115003 blt 0,0,640,480,0, 7421,640,480:waittimer 115019 blt 0,0,640,480,0, 7422,640,480:waittimer 115034 blt 0,0,640,480,0, 7423,640,480:waittimer 115050 blt 0,0,640,480,0, 7424,640,480:waittimer 115065 blt 0,0,640,480,0, 7425,640,480:waittimer 115080 blt 0,0,640,480,0, 7426,640,480:waittimer 115096 blt 0,0,640,480,0, 7427,640,480:waittimer 115111 blt 0,0,640,480,0, 7428,640,480:waittimer 115127 blt 0,0,640,480,0, 7429,640,480:waittimer 115142 blt 0,0,640,480,0, 7430,640,480:waittimer 115158 blt 0,0,640,480,0, 7431,640,480:waittimer 115173 blt 0,0,640,480,0, 7432,640,480:waittimer 115189 blt 0,0,640,480,0, 7433,640,480:waittimer 115204 blt 0,0,640,480,0, 7434,640,480:waittimer 115220 blt 0,0,640,480,0, 7435,640,480:waittimer 115235 blt 0,0,640,480,0, 7436,640,480:waittimer 115251 blt 0,0,640,480,0, 7437,640,480:waittimer 115266 blt 0,0,640,480,0, 7438,640,480:waittimer 115282 blt 0,0,640,480,0, 7439,640,480:waittimer 115297 blt 0,0,640,480,0, 7440,640,480:waittimer 115313 blt 0,0,640,480,0, 7441,640,480:waittimer 115328 blt 0,0,640,480,0, 7442,640,480:waittimer 115344 blt 0,0,640,480,0, 7443,640,480:waittimer 115359 blt 0,0,640,480,0, 7444,640,480:waittimer 115375 blt 0,0,640,480,0, 7445,640,480:waittimer 115390 blt 0,0,640,480,0, 7446,640,480:waittimer 115406 blt 0,0,640,480,0, 7447,640,480:waittimer 115421 blt 0,0,640,480,0, 7448,640,480:waittimer 115437 blt 0,0,640,480,0, 7449,640,480:waittimer 115452 blt 0,0,640,480,0, 7450,640,480:waittimer 115468 blt 0,0,640,480,0, 7451,640,480:waittimer 115483 blt 0,0,640,480,0, 7452,640,480:waittimer 115499 blt 0,0,640,480,0, 7453,640,480:waittimer 115514 blt 0,0,640,480,0, 7454,640,480:waittimer 115530 blt 0,0,640,480,0, 7455,640,480:waittimer 115545 blt 0,0,640,480,0, 7456,640,480:waittimer 115561 blt 0,0,640,480,0, 7457,640,480:waittimer 115576 blt 0,0,640,480,0, 7458,640,480:waittimer 115592 blt 0,0,640,480,0, 7459,640,480:waittimer 115607 blt 0,0,640,480,0, 7460,640,480:waittimer 115623 blt 0,0,640,480,0, 7461,640,480:waittimer 115638 blt 0,0,640,480,0, 7462,640,480:waittimer 115654 blt 0,0,640,480,0, 7463,640,480:waittimer 115669 blt 0,0,640,480,0, 7464,640,480:waittimer 115685 blt 0,0,640,480,0, 7465,640,480:waittimer 115700 blt 0,0,640,480,0, 7466,640,480:waittimer 115716 blt 0,0,640,480,0, 7467,640,480:waittimer 115731 blt 0,0,640,480,0, 7468,640,480:waittimer 115747 blt 0,0,640,480,0, 7469,640,480:waittimer 115762 blt 0,0,640,480,0, 7470,640,480:waittimer 115778 blt 0,0,640,480,0, 7471,640,480:waittimer 115793 blt 0,0,640,480,0, 7472,640,480:waittimer 115809 blt 0,0,640,480,0, 7473,640,480:waittimer 115824 blt 0,0,640,480,0, 7474,640,480:waittimer 115840 blt 0,0,640,480,0, 7475,640,480:waittimer 115855 blt 0,0,640,480,0, 7476,640,480:waittimer 115871 blt 0,0,640,480,0, 7477,640,480:waittimer 115886 blt 0,0,640,480,0, 7478,640,480:waittimer 115902 blt 0,0,640,480,0, 7479,640,480:waittimer 115917 blt 0,0,640,480,0, 7480,640,480:waittimer 115933 blt 0,0,640,480,0, 7481,640,480:waittimer 115948 blt 0,0,640,480,0, 7482,640,480:waittimer 115964 blt 0,0,640,480,0, 7483,640,480:waittimer 115979 blt 0,0,640,480,0, 7484,640,480:waittimer 115995 blt 0,0,640,480,0, 7485,640,480:waittimer 116010 blt 0,0,640,480,0, 7486,640,480:waittimer 116026 blt 0,0,640,480,0, 7487,640,480:waittimer 116041 blt 0,0,640,480,0, 7488,640,480:waittimer 116057 blt 0,0,640,480,0, 7489,640,480:waittimer 116072 blt 0,0,640,480,0, 7490,640,480:waittimer 116088 blt 0,0,640,480,0, 7491,640,480:waittimer 116103 blt 0,0,640,480,0, 7492,640,480:waittimer 116119 blt 0,0,640,480,0, 7493,640,480:waittimer 116134 blt 0,0,640,480,0, 7494,640,480:waittimer 116150 blt 0,0,640,480,0, 7495,640,480:waittimer 116165 blt 0,0,640,480,0, 7496,640,480:waittimer 116181 blt 0,0,640,480,0, 7497,640,480:waittimer 116196 blt 0,0,640,480,0, 7498,640,480:waittimer 116212 blt 0,0,640,480,0, 7499,640,480:waittimer 116227 blt 0,0,640,480,0, 7500,640,480:waittimer 116243 blt 0,0,640,480,0, 7501,640,480:waittimer 116258 blt 0,0,640,480,0, 7502,640,480:waittimer 116274 blt 0,0,640,480,0, 7503,640,480:waittimer 116289 blt 0,0,640,480,0, 7504,640,480:waittimer 116305 blt 0,0,640,480,0, 7505,640,480:waittimer 116320 blt 0,0,640,480,0, 7506,640,480:waittimer 116336 blt 0,0,640,480,0, 7507,640,480:waittimer 116351 blt 0,0,640,480,0, 7508,640,480:waittimer 116367 blt 0,0,640,480,0, 7509,640,480:waittimer 116382 blt 0,0,640,480,0, 7510,640,480:waittimer 116398 blt 0,0,640,480,0, 7511,640,480:waittimer 116413 blt 0,0,640,480,0, 7512,640,480:waittimer 116429 blt 0,0,640,480,0, 7513,640,480:waittimer 116444 blt 0,0,640,480,0, 7514,640,480:waittimer 116460 blt 0,0,640,480,0, 7515,640,480:waittimer 116475 blt 0,0,640,480,0, 7516,640,480:waittimer 116491 blt 0,0,640,480,0, 7517,640,480:waittimer 116506 blt 0,0,640,480,0, 7518,640,480:waittimer 116522 blt 0,0,640,480,0, 7519,640,480:waittimer 116537 blt 0,0,640,480,0, 7520,640,480:waittimer 116553 blt 0,0,640,480,0, 7521,640,480:waittimer 116568 blt 0,0,640,480,0, 7522,640,480:waittimer 116584 blt 0,0,640,480,0, 7523,640,480:waittimer 116599 blt 0,0,640,480,0, 7524,640,480:waittimer 116615 blt 0,0,640,480,0, 7525,640,480:waittimer 116630 blt 0,0,640,480,0, 7526,640,480:waittimer 116646 blt 0,0,640,480,0, 7527,640,480:waittimer 116661 blt 0,0,640,480,0, 7528,640,480:waittimer 116677 blt 0,0,640,480,0, 7529,640,480:waittimer 116692 blt 0,0,640,480,0, 7530,640,480:waittimer 116708 blt 0,0,640,480,0, 7531,640,480:waittimer 116723 blt 0,0,640,480,0, 7532,640,480:waittimer 116739 blt 0,0,640,480,0, 7533,640,480:waittimer 116754 blt 0,0,640,480,0, 7534,640,480:waittimer 116770 blt 0,0,640,480,0, 7535,640,480:waittimer 116785 blt 0,0,640,480,0, 7536,640,480:waittimer 116801 blt 0,0,640,480,0, 7537,640,480:waittimer 116816 blt 0,0,640,480,0, 7538,640,480:waittimer 116832 blt 0,0,640,480,0, 7539,640,480:waittimer 116847 blt 0,0,640,480,0, 7540,640,480:waittimer 116863 blt 0,0,640,480,0, 7541,640,480:waittimer 116878 blt 0,0,640,480,0, 7542,640,480:waittimer 116894 blt 0,0,640,480,0, 7543,640,480:waittimer 116909 blt 0,0,640,480,0, 7544,640,480:waittimer 116925 blt 0,0,640,480,0, 7545,640,480:waittimer 116940 blt 0,0,640,480,0, 7546,640,480:waittimer 116956 blt 0,0,640,480,0, 7547,640,480:waittimer 116971 blt 0,0,640,480,0, 7548,640,480:waittimer 116987 blt 0,0,640,480,0, 7549,640,480:waittimer 117002 blt 0,0,640,480,0, 7550,640,480:waittimer 117018 blt 0,0,640,480,0, 7551,640,480:waittimer 117033 blt 0,0,640,480,0, 7552,640,480:waittimer 117049 blt 0,0,640,480,0, 7553,640,480:waittimer 117064 blt 0,0,640,480,0, 7554,640,480:waittimer 117080 blt 0,0,640,480,0, 7555,640,480:waittimer 117095 blt 0,0,640,480,0, 7556,640,480:waittimer 117111 blt 0,0,640,480,0, 7557,640,480:waittimer 117126 blt 0,0,640,480,0, 7558,640,480:waittimer 117142 blt 0,0,640,480,0, 7559,640,480:waittimer 117157 blt 0,0,640,480,0, 7560,640,480:waittimer 117173 blt 0,0,640,480,0, 7561,640,480:waittimer 117188 blt 0,0,640,480,0, 7562,640,480:waittimer 117204 blt 0,0,640,480,0, 7563,640,480:waittimer 117219 blt 0,0,640,480,0, 7564,640,480:waittimer 117235 blt 0,0,640,480,0, 7565,640,480:waittimer 117250 blt 0,0,640,480,0, 7566,640,480:waittimer 117266 blt 0,0,640,480,0, 7567,640,480:waittimer 117281 blt 0,0,640,480,0, 7568,640,480:waittimer 117297 blt 0,0,640,480,0, 7569,640,480:waittimer 117312 blt 0,0,640,480,0, 7570,640,480:waittimer 117328 blt 0,0,640,480,0, 7571,640,480:waittimer 117343 blt 0,0,640,480,0, 7572,640,480:waittimer 117359 blt 0,0,640,480,0, 7573,640,480:waittimer 117374 blt 0,0,640,480,0, 7574,640,480:waittimer 117390 blt 0,0,640,480,0, 7575,640,480:waittimer 117405 blt 0,0,640,480,0, 7576,640,480:waittimer 117421 blt 0,0,640,480,0, 7577,640,480:waittimer 117436 blt 0,0,640,480,0, 7578,640,480:waittimer 117452 blt 0,0,640,480,0, 7579,640,480:waittimer 117467 blt 0,0,640,480,0, 7580,640,480:waittimer 117483 blt 0,0,640,480,0, 7581,640,480:waittimer 117498 blt 0,0,640,480,0, 7582,640,480:waittimer 117514 blt 0,0,640,480,0, 7583,640,480:waittimer 117529 blt 0,0,640,480,0, 7584,640,480:waittimer 117545 blt 0,0,640,480,0, 7585,640,480:waittimer 117560 blt 0,0,640,480,0, 7586,640,480:waittimer 117576 blt 0,0,640,480,0, 7587,640,480:waittimer 117591 blt 0,0,640,480,0, 7588,640,480:waittimer 117607 blt 0,0,640,480,0, 7589,640,480:waittimer 117622 blt 0,0,640,480,0, 7590,640,480:waittimer 117638 blt 0,0,640,480,0, 7591,640,480:waittimer 117653 blt 0,0,640,480,0, 7592,640,480:waittimer 117669 blt 0,0,640,480,0, 7593,640,480:waittimer 117684 blt 0,0,640,480,0, 7594,640,480:waittimer 117700 blt 0,0,640,480,0, 7595,640,480:waittimer 117715 blt 0,0,640,480,0, 7596,640,480:waittimer 117731 blt 0,0,640,480,0, 7597,640,480:waittimer 117746 blt 0,0,640,480,0, 7598,640,480:waittimer 117762 blt 0,0,640,480,0, 7599,640,480:waittimer 117777 blt 0,0,640,480,0, 7600,640,480:waittimer 117793 blt 0,0,640,480,0, 7601,640,480:waittimer 117808 blt 0,0,640,480,0, 7602,640,480:waittimer 117824 blt 0,0,640,480,0, 7603,640,480:waittimer 117839 blt 0,0,640,480,0, 7604,640,480:waittimer 117855 blt 0,0,640,480,0, 7605,640,480:waittimer 117870 blt 0,0,640,480,0, 7606,640,480:waittimer 117886 blt 0,0,640,480,0, 7607,640,480:waittimer 117901 blt 0,0,640,480,0, 7608,640,480:waittimer 117917 blt 0,0,640,480,0, 7609,640,480:waittimer 117932 blt 0,0,640,480,0, 7610,640,480:waittimer 117948 blt 0,0,640,480,0, 7611,640,480:waittimer 117963 blt 0,0,640,480,0, 7612,640,480:waittimer 117979 blt 0,0,640,480,0, 7613,640,480:waittimer 117994 blt 0,0,640,480,0, 7614,640,480:waittimer 118010 blt 0,0,640,480,0, 7615,640,480:waittimer 118025 blt 0,0,640,480,0, 7616,640,480:waittimer 118041 blt 0,0,640,480,0, 7617,640,480:waittimer 118056 blt 0,0,640,480,0, 7618,640,480:waittimer 118072 blt 0,0,640,480,0, 7619,640,480:waittimer 118087 blt 0,0,640,480,0, 7620,640,480:waittimer 118103 blt 0,0,640,480,0, 7621,640,480:waittimer 118118 blt 0,0,640,480,0, 7622,640,480:waittimer 118134 blt 0,0,640,480,0, 7623,640,480:waittimer 118149 blt 0,0,640,480,0, 7624,640,480:waittimer 118165 blt 0,0,640,480,0, 7625,640,480:waittimer 118180 blt 0,0,640,480,0, 7626,640,480:waittimer 118196 blt 0,0,640,480,0, 7627,640,480:waittimer 118211 blt 0,0,640,480,0, 7628,640,480:waittimer 118227 blt 0,0,640,480,0, 7629,640,480:waittimer 118242 blt 0,0,640,480,0, 7630,640,480:waittimer 118258 blt 0,0,640,480,0, 7631,640,480:waittimer 118273 blt 0,0,640,480,0, 7632,640,480:waittimer 118289 blt 0,0,640,480,0, 7633,640,480:waittimer 118304 blt 0,0,640,480,0, 7634,640,480:waittimer 118320 blt 0,0,640,480,0, 7635,640,480:waittimer 118335 blt 0,0,640,480,0, 7636,640,480:waittimer 118351 blt 0,0,640,480,0, 7637,640,480:waittimer 118366 blt 0,0,640,480,0, 7638,640,480:waittimer 118382 blt 0,0,640,480,0, 7639,640,480:waittimer 118397 blt 0,0,640,480,0, 7640,640,480:waittimer 118413 blt 0,0,640,480,0, 7641,640,480:waittimer 118428 blt 0,0,640,480,0, 7642,640,480:waittimer 118444 blt 0,0,640,480,0, 7643,640,480:waittimer 118459 blt 0,0,640,480,0, 7644,640,480:waittimer 118475 blt 0,0,640,480,0, 7645,640,480:waittimer 118490 blt 0,0,640,480,0, 7646,640,480:waittimer 118506 blt 0,0,640,480,0, 7647,640,480:waittimer 118521 blt 0,0,640,480,0, 7648,640,480:waittimer 118537 blt 0,0,640,480,0, 7649,640,480:waittimer 118552 blt 0,0,640,480,0, 7650,640,480:waittimer 118568 blt 0,0,640,480,0, 7651,640,480:waittimer 118583 blt 0,0,640,480,0, 7652,640,480:waittimer 118599 blt 0,0,640,480,0, 7653,640,480:waittimer 118614 blt 0,0,640,480,0, 7654,640,480:waittimer 118630 blt 0,0,640,480,0, 7655,640,480:waittimer 118645 blt 0,0,640,480,0, 7656,640,480:waittimer 118661 blt 0,0,640,480,0, 7657,640,480:waittimer 118676 blt 0,0,640,480,0, 7658,640,480:waittimer 118692 blt 0,0,640,480,0, 7659,640,480:waittimer 118707 blt 0,0,640,480,0, 7660,640,480:waittimer 118723 blt 0,0,640,480,0, 7661,640,480:waittimer 118738 blt 0,0,640,480,0, 7662,640,480:waittimer 118754 blt 0,0,640,480,0, 7663,640,480:waittimer 118769 blt 0,0,640,480,0, 7664,640,480:waittimer 118785 blt 0,0,640,480,0, 7665,640,480:waittimer 118800 blt 0,0,640,480,0, 7666,640,480:waittimer 118816 blt 0,0,640,480,0, 7667,640,480:waittimer 118831 blt 0,0,640,480,0, 7668,640,480:waittimer 118847 blt 0,0,640,480,0, 7669,640,480:waittimer 118862 blt 0,0,640,480,0, 7670,640,480:waittimer 118878 blt 0,0,640,480,0, 7671,640,480:waittimer 118893 blt 0,0,640,480,0, 7672,640,480:waittimer 118909 blt 0,0,640,480,0, 7673,640,480:waittimer 118924 blt 0,0,640,480,0, 7674,640,480:waittimer 118940 blt 0,0,640,480,0, 7675,640,480:waittimer 118955 blt 0,0,640,480,0, 7676,640,480:waittimer 118971 blt 0,0,640,480,0, 7677,640,480:waittimer 118986 blt 0,0,640,480,0, 7678,640,480:waittimer 119002 blt 0,0,640,480,0, 7679,640,480:waittimer 119017 blt 0,0,640,480,0, 7680,640,480:waittimer 119033 blt 0,0,640,480,0, 7681,640,480:waittimer 119048 blt 0,0,640,480,0, 7682,640,480:waittimer 119064 blt 0,0,640,480,0, 7683,640,480:waittimer 119079 blt 0,0,640,480,0, 7684,640,480:waittimer 119095 blt 0,0,640,480,0, 7685,640,480:waittimer 119110 blt 0,0,640,480,0, 7686,640,480:waittimer 119126 blt 0,0,640,480,0, 7687,640,480:waittimer 119141 blt 0,0,640,480,0, 7688,640,480:waittimer 119157 blt 0,0,640,480,0, 7689,640,480:waittimer 119172 blt 0,0,640,480,0, 7690,640,480:waittimer 119188 blt 0,0,640,480,0, 7691,640,480:waittimer 119203 blt 0,0,640,480,0, 7692,640,480:waittimer 119219 blt 0,0,640,480,0, 7693,640,480:waittimer 119234 blt 0,0,640,480,0, 7694,640,480:waittimer 119250 blt 0,0,640,480,0, 7695,640,480:waittimer 119265 blt 0,0,640,480,0, 7696,640,480:waittimer 119281 blt 0,0,640,480,0, 7697,640,480:waittimer 119296 blt 0,0,640,480,0, 7698,640,480:waittimer 119312 blt 0,0,640,480,0, 7699,640,480:waittimer 119327 blt 0,0,640,480,0, 7700,640,480:waittimer 119343 blt 0,0,640,480,0, 7701,640,480:waittimer 119358 blt 0,0,640,480,0, 7702,640,480:waittimer 119374 blt 0,0,640,480,0, 7703,640,480:waittimer 119389 blt 0,0,640,480,0, 7704,640,480:waittimer 119405 blt 0,0,640,480,0, 7705,640,480:waittimer 119420 blt 0,0,640,480,0, 7706,640,480:waittimer 119436 blt 0,0,640,480,0, 7707,640,480:waittimer 119451 blt 0,0,640,480,0, 7708,640,480:waittimer 119467 blt 0,0,640,480,0, 7709,640,480:waittimer 119482 blt 0,0,640,480,0, 7710,640,480:waittimer 119498 blt 0,0,640,480,0, 7711,640,480:waittimer 119513 blt 0,0,640,480,0, 7712,640,480:waittimer 119529 blt 0,0,640,480,0, 7713,640,480:waittimer 119544 blt 0,0,640,480,0, 7714,640,480:waittimer 119560 blt 0,0,640,480,0, 7715,640,480:waittimer 119575 blt 0,0,640,480,0, 7716,640,480:waittimer 119591 blt 0,0,640,480,0, 7717,640,480:waittimer 119606 blt 0,0,640,480,0, 7718,640,480:waittimer 119622 blt 0,0,640,480,0, 7719,640,480:waittimer 119637 blt 0,0,640,480,0, 7720,640,480:waittimer 119653 blt 0,0,640,480,0, 7721,640,480:waittimer 119668 blt 0,0,640,480,0, 7722,640,480:waittimer 119684 blt 0,0,640,480,0, 7723,640,480:waittimer 119699 blt 0,0,640,480,0, 7724,640,480:waittimer 119715 blt 0,0,640,480,0, 7725,640,480:waittimer 119730 blt 0,0,640,480,0, 7726,640,480:waittimer 119746 blt 0,0,640,480,0, 7727,640,480:waittimer 119761 blt 0,0,640,480,0, 7728,640,480:waittimer 119777 blt 0,0,640,480,0, 7729,640,480:waittimer 119792 blt 0,0,640,480,0, 7730,640,480:waittimer 119808 blt 0,0,640,480,0, 7731,640,480:waittimer 119823 blt 0,0,640,480,0, 7732,640,480:waittimer 119839 blt 0,0,640,480,0, 7733,640,480:waittimer 119854 blt 0,0,640,480,0, 7734,640,480:waittimer 119870 blt 0,0,640,480,0, 7735,640,480:waittimer 119885 blt 0,0,640,480,0, 7736,640,480:waittimer 119901 blt 0,0,640,480,0, 7737,640,480:waittimer 119916 blt 0,0,640,480,0, 7738,640,480:waittimer 119932 blt 0,0,640,480,0, 7739,640,480:waittimer 119947 blt 0,0,640,480,0, 7740,640,480:waittimer 119963 blt 0,0,640,480,0, 7741,640,480:waittimer 119978 blt 0,0,640,480,0, 7742,640,480:waittimer 119994 blt 0,0,640,480,0, 7743,640,480:waittimer 120009 blt 0,0,640,480,0, 7744,640,480:waittimer 120025 blt 0,0,640,480,0, 7745,640,480:waittimer 120040 blt 0,0,640,480,0, 7746,640,480:waittimer 120056 blt 0,0,640,480,0, 7747,640,480:waittimer 120071 blt 0,0,640,480,0, 7748,640,480:waittimer 120087 blt 0,0,640,480,0, 7749,640,480:waittimer 120102 blt 0,0,640,480,0, 7750,640,480:waittimer 120118 blt 0,0,640,480,0, 7751,640,480:waittimer 120133 blt 0,0,640,480,0, 7752,640,480:waittimer 120149 blt 0,0,640,480,0, 7753,640,480:waittimer 120164 blt 0,0,640,480,0, 7754,640,480:waittimer 120180 blt 0,0,640,480,0, 7755,640,480:waittimer 120195 blt 0,0,640,480,0, 7756,640,480:waittimer 120211 blt 0,0,640,480,0, 7757,640,480:waittimer 120226 blt 0,0,640,480,0, 7758,640,480:waittimer 120242 blt 0,0,640,480,0, 7759,640,480:waittimer 120257 blt 0,0,640,480,0, 7760,640,480:waittimer 120273 blt 0,0,640,480,0, 7761,640,480:waittimer 120288 blt 0,0,640,480,0, 7762,640,480:waittimer 120304 blt 0,0,640,480,0, 7763,640,480:waittimer 120319 blt 0,0,640,480,0, 7764,640,480:waittimer 120335 blt 0,0,640,480,0, 7765,640,480:waittimer 120350 blt 0,0,640,480,0, 7766,640,480:waittimer 120366 blt 0,0,640,480,0, 7767,640,480:waittimer 120381 blt 0,0,640,480,0, 7768,640,480:waittimer 120397 blt 0,0,640,480,0, 7769,640,480:waittimer 120412 blt 0,0,640,480,0, 7770,640,480:waittimer 120428 blt 0,0,640,480,0, 7771,640,480:waittimer 120443 blt 0,0,640,480,0, 7772,640,480:waittimer 120459 blt 0,0,640,480,0, 7773,640,480:waittimer 120474 blt 0,0,640,480,0, 7774,640,480:waittimer 120490 blt 0,0,640,480,0, 7775,640,480:waittimer 120505 blt 0,0,640,480,0, 7776,640,480:waittimer 120521 blt 0,0,640,480,0, 7777,640,480:waittimer 120536 blt 0,0,640,480,0, 7778,640,480:waittimer 120552 blt 0,0,640,480,0, 7779,640,480:waittimer 120567 blt 0,0,640,480,0, 7780,640,480:waittimer 120583 blt 0,0,640,480,0, 7781,640,480:waittimer 120598 blt 0,0,640,480,0, 7782,640,480:waittimer 120614 blt 0,0,640,480,0, 7783,640,480:waittimer 120629 blt 0,0,640,480,0, 7784,640,480:waittimer 120645 blt 0,0,640,480,0, 7785,640,480:waittimer 120660 blt 0,0,640,480,0, 7786,640,480:waittimer 120676 blt 0,0,640,480,0, 7787,640,480:waittimer 120691 blt 0,0,640,480,0, 7788,640,480:waittimer 120707 blt 0,0,640,480,0, 7789,640,480:waittimer 120722 blt 0,0,640,480,0, 7790,640,480:waittimer 120738 blt 0,0,640,480,0, 7791,640,480:waittimer 120753 blt 0,0,640,480,0, 7792,640,480:waittimer 120769 blt 0,0,640,480,0, 7793,640,480:waittimer 120784 blt 0,0,640,480,0, 7794,640,480:waittimer 120800 blt 0,0,640,480,0, 7795,640,480:waittimer 120815 blt 0,0,640,480,0, 7796,640,480:waittimer 120831 blt 0,0,640,480,0, 7797,640,480:waittimer 120846 blt 0,0,640,480,0, 7798,640,480:waittimer 120862 blt 0,0,640,480,0, 7799,640,480:waittimer 120877 blt 0,0,640,480,0, 7800,640,480:waittimer 120893 blt 0,0,640,480,0, 7801,640,480:waittimer 120908 blt 0,0,640,480,0, 7802,640,480:waittimer 120924 blt 0,0,640,480,0, 7803,640,480:waittimer 120939 blt 0,0,640,480,0, 7804,640,480:waittimer 120955 blt 0,0,640,480,0, 7805,640,480:waittimer 120970 blt 0,0,640,480,0, 7806,640,480:waittimer 120986 blt 0,0,640,480,0, 7807,640,480:waittimer 121001 blt 0,0,640,480,0, 7808,640,480:waittimer 121017 blt 0,0,640,480,0, 7809,640,480:waittimer 121032 blt 0,0,640,480,0, 7810,640,480:waittimer 121048 blt 0,0,640,480,0, 7811,640,480:waittimer 121063 blt 0,0,640,480,0, 7812,640,480:waittimer 121079 blt 0,0,640,480,0, 7813,640,480:waittimer 121094 blt 0,0,640,480,0, 7814,640,480:waittimer 121110 blt 0,0,640,480,0, 7815,640,480:waittimer 121125 blt 0,0,640,480,0, 7816,640,480:waittimer 121141 blt 0,0,640,480,0, 7817,640,480:waittimer 121156 blt 0,0,640,480,0, 7818,640,480:waittimer 121172 blt 0,0,640,480,0, 7819,640,480:waittimer 121187 blt 0,0,640,480,0, 7820,640,480:waittimer 121203 blt 0,0,640,480,0, 7821,640,480:waittimer 121218 blt 0,0,640,480,0, 7822,640,480:waittimer 121234 blt 0,0,640,480,0, 7823,640,480:waittimer 121249 blt 0,0,640,480,0, 7824,640,480:waittimer 121265 blt 0,0,640,480,0, 7825,640,480:waittimer 121280 blt 0,0,640,480,0, 7826,640,480:waittimer 121296 blt 0,0,640,480,0, 7827,640,480:waittimer 121311 blt 0,0,640,480,0, 7828,640,480:waittimer 121327 blt 0,0,640,480,0, 7829,640,480:waittimer 121342 blt 0,0,640,480,0, 7830,640,480:waittimer 121358 blt 0,0,640,480,0, 7831,640,480:waittimer 121373 blt 0,0,640,480,0, 7832,640,480:waittimer 121389 blt 0,0,640,480,0, 7833,640,480:waittimer 121404 blt 0,0,640,480,0, 7834,640,480:waittimer 121420 blt 0,0,640,480,0, 7835,640,480:waittimer 121435 blt 0,0,640,480,0, 7836,640,480:waittimer 121451 blt 0,0,640,480,0, 7837,640,480:waittimer 121466 blt 0,0,640,480,0, 7838,640,480:waittimer 121482 blt 0,0,640,480,0, 7839,640,480:waittimer 121497 blt 0,0,640,480,0, 7840,640,480:waittimer 121513 blt 0,0,640,480,0, 7841,640,480:waittimer 121528 blt 0,0,640,480,0, 7842,640,480:waittimer 121544 blt 0,0,640,480,0, 7843,640,480:waittimer 121559 blt 0,0,640,480,0, 7844,640,480:waittimer 121575 blt 0,0,640,480,0, 7845,640,480:waittimer 121590 blt 0,0,640,480,0, 7846,640,480:waittimer 121606 blt 0,0,640,480,0, 7847,640,480:waittimer 121621 blt 0,0,640,480,0, 7848,640,480:waittimer 121637 blt 0,0,640,480,0, 7849,640,480:waittimer 121652 blt 0,0,640,480,0, 7850,640,480:waittimer 121668 blt 0,0,640,480,0, 7851,640,480:waittimer 121683 blt 0,0,640,480,0, 7852,640,480:waittimer 121699 blt 0,0,640,480,0, 7853,640,480:waittimer 121714 blt 0,0,640,480,0, 7854,640,480:waittimer 121730 blt 0,0,640,480,0, 7855,640,480:waittimer 121745 blt 0,0,640,480,0, 7856,640,480:waittimer 121761 blt 0,0,640,480,0, 7857,640,480:waittimer 121776 blt 0,0,640,480,0, 7858,640,480:waittimer 121792 blt 0,0,640,480,0, 7859,640,480:waittimer 121807 blt 0,0,640,480,0, 7860,640,480:waittimer 121823 blt 0,0,640,480,0, 7861,640,480:waittimer 121838 blt 0,0,640,480,0, 7862,640,480:waittimer 121854 blt 0,0,640,480,0, 7863,640,480:waittimer 121869 blt 0,0,640,480,0, 7864,640,480:waittimer 121885 blt 0,0,640,480,0, 7865,640,480:waittimer 121900 blt 0,0,640,480,0, 7866,640,480:waittimer 121916 blt 0,0,640,480,0, 7867,640,480:waittimer 121931 blt 0,0,640,480,0, 7868,640,480:waittimer 121947 blt 0,0,640,480,0, 7869,640,480:waittimer 121962 blt 0,0,640,480,0, 7870,640,480:waittimer 121978 blt 0,0,640,480,0, 7871,640,480:waittimer 121993 blt 0,0,640,480,0, 7872,640,480:waittimer 122009 blt 0,0,640,480,0, 7873,640,480:waittimer 122024 blt 0,0,640,480,0, 7874,640,480:waittimer 122040 blt 0,0,640,480,0, 7875,640,480:waittimer 122055 blt 0,0,640,480,0, 7876,640,480:waittimer 122071 blt 0,0,640,480,0, 7877,640,480:waittimer 122086 blt 0,0,640,480,0, 7878,640,480:waittimer 122102 blt 0,0,640,480,0, 7879,640,480:waittimer 122117 blt 0,0,640,480,0, 7880,640,480:waittimer 122133 blt 0,0,640,480,0, 7881,640,480:waittimer 122148 blt 0,0,640,480,0, 7882,640,480:waittimer 122164 blt 0,0,640,480,0, 7883,640,480:waittimer 122179 blt 0,0,640,480,0, 7884,640,480:waittimer 122195 blt 0,0,640,480,0, 7885,640,480:waittimer 122210 blt 0,0,640,480,0, 7886,640,480:waittimer 122226 blt 0,0,640,480,0, 7887,640,480:waittimer 122241 blt 0,0,640,480,0, 7888,640,480:waittimer 122257 blt 0,0,640,480,0, 7889,640,480:waittimer 122272 blt 0,0,640,480,0, 7890,640,480:waittimer 122288 blt 0,0,640,480,0, 7891,640,480:waittimer 122303 blt 0,0,640,480,0, 7892,640,480:waittimer 122319 blt 0,0,640,480,0, 7893,640,480:waittimer 122334 blt 0,0,640,480,0, 7894,640,480:waittimer 122350 blt 0,0,640,480,0, 7895,640,480:waittimer 122365 blt 0,0,640,480,0, 7896,640,480:waittimer 122381 blt 0,0,640,480,0, 7897,640,480:waittimer 122396 blt 0,0,640,480,0, 7898,640,480:waittimer 122412 blt 0,0,640,480,0, 7899,640,480:waittimer 122427 blt 0,0,640,480,0, 7900,640,480:waittimer 122443 blt 0,0,640,480,0, 7901,640,480:waittimer 122458 blt 0,0,640,480,0, 7902,640,480:waittimer 122474 blt 0,0,640,480,0, 7903,640,480:waittimer 122489 blt 0,0,640,480,0, 7904,640,480:waittimer 122505 blt 0,0,640,480,0, 7905,640,480:waittimer 122520 blt 0,0,640,480,0, 7906,640,480:waittimer 122536 blt 0,0,640,480,0, 7907,640,480:waittimer 122551 blt 0,0,640,480,0, 7908,640,480:waittimer 122567 blt 0,0,640,480,0, 7909,640,480:waittimer 122582 blt 0,0,640,480,0, 7910,640,480:waittimer 122598 blt 0,0,640,480,0, 7911,640,480:waittimer 122613 blt 0,0,640,480,0, 7912,640,480:waittimer 122629 blt 0,0,640,480,0, 7913,640,480:waittimer 122644 blt 0,0,640,480,0, 7914,640,480:waittimer 122660 blt 0,0,640,480,0, 7915,640,480:waittimer 122675 blt 0,0,640,480,0, 7916,640,480:waittimer 122691 blt 0,0,640,480,0, 7917,640,480:waittimer 122706 blt 0,0,640,480,0, 7918,640,480:waittimer 122722 blt 0,0,640,480,0, 7919,640,480:waittimer 122737 blt 0,0,640,480,0, 7920,640,480:waittimer 122753 blt 0,0,640,480,0, 7921,640,480:waittimer 122768 blt 0,0,640,480,0, 7922,640,480:waittimer 122784 blt 0,0,640,480,0, 7923,640,480:waittimer 122799 blt 0,0,640,480,0, 7924,640,480:waittimer 122815 blt 0,0,640,480,0, 7925,640,480:waittimer 122830 blt 0,0,640,480,0, 7926,640,480:waittimer 122846 blt 0,0,640,480,0, 7927,640,480:waittimer 122861 blt 0,0,640,480,0, 7928,640,480:waittimer 122877 blt 0,0,640,480,0, 7929,640,480:waittimer 122892 blt 0,0,640,480,0, 7930,640,480:waittimer 122908 blt 0,0,640,480,0, 7931,640,480:waittimer 122923 blt 0,0,640,480,0, 7932,640,480:waittimer 122939 blt 0,0,640,480,0, 7933,640,480:waittimer 122954 blt 0,0,640,480,0, 7934,640,480:waittimer 122970 blt 0,0,640,480,0, 7935,640,480:waittimer 122985 blt 0,0,640,480,0, 7936,640,480:waittimer 123001 blt 0,0,640,480,0, 7937,640,480:waittimer 123016 blt 0,0,640,480,0, 7938,640,480:waittimer 123032 blt 0,0,640,480,0, 7939,640,480:waittimer 123047 blt 0,0,640,480,0, 7940,640,480:waittimer 123063 blt 0,0,640,480,0, 7941,640,480:waittimer 123078 blt 0,0,640,480,0, 7942,640,480:waittimer 123094 blt 0,0,640,480,0, 7943,640,480:waittimer 123109 blt 0,0,640,480,0, 7944,640,480:waittimer 123125 blt 0,0,640,480,0, 7945,640,480:waittimer 123140 blt 0,0,640,480,0, 7946,640,480:waittimer 123156 blt 0,0,640,480,0, 7947,640,480:waittimer 123171 blt 0,0,640,480,0, 7948,640,480:waittimer 123187 blt 0,0,640,480,0, 7949,640,480:waittimer 123202 blt 0,0,640,480,0, 7950,640,480:waittimer 123218 blt 0,0,640,480,0, 7951,640,480:waittimer 123233 blt 0,0,640,480,0, 7952,640,480:waittimer 123249 blt 0,0,640,480,0, 7953,640,480:waittimer 123264 blt 0,0,640,480,0, 7954,640,480:waittimer 123280 blt 0,0,640,480,0, 7955,640,480:waittimer 123295 blt 0,0,640,480,0, 7956,640,480:waittimer 123311 blt 0,0,640,480,0, 7957,640,480:waittimer 123326 blt 0,0,640,480,0, 7958,640,480:waittimer 123342 blt 0,0,640,480,0, 7959,640,480:waittimer 123357 blt 0,0,640,480,0, 7960,640,480:waittimer 123373 blt 0,0,640,480,0, 7961,640,480:waittimer 123388 blt 0,0,640,480,0, 7962,640,480:waittimer 123404 blt 0,0,640,480,0, 7963,640,480:waittimer 123419 blt 0,0,640,480,0, 7964,640,480:waittimer 123435 blt 0,0,640,480,0, 7965,640,480:waittimer 123450 blt 0,0,640,480,0, 7966,640,480:waittimer 123466 blt 0,0,640,480,0, 7967,640,480:waittimer 123481 blt 0,0,640,480,0, 7968,640,480:waittimer 123497 blt 0,0,640,480,0, 7969,640,480:waittimer 123512 blt 0,0,640,480,0, 7970,640,480:waittimer 123528 blt 0,0,640,480,0, 7971,640,480:waittimer 123543 blt 0,0,640,480,0, 7972,640,480:waittimer 123559 blt 0,0,640,480,0, 7973,640,480:waittimer 123574 blt 0,0,640,480,0, 7974,640,480:waittimer 123590 blt 0,0,640,480,0, 7975,640,480:waittimer 123605 blt 0,0,640,480,0, 7976,640,480:waittimer 123621 blt 0,0,640,480,0, 7977,640,480:waittimer 123636 blt 0,0,640,480,0, 7978,640,480:waittimer 123652 blt 0,0,640,480,0, 7979,640,480:waittimer 123667 blt 0,0,640,480,0, 7980,640,480:waittimer 123683 blt 0,0,640,480,0, 7981,640,480:waittimer 123698 blt 0,0,640,480,0, 7982,640,480:waittimer 123714 blt 0,0,640,480,0, 7983,640,480:waittimer 123729 blt 0,0,640,480,0, 7984,640,480:waittimer 123745 blt 0,0,640,480,0, 7985,640,480:waittimer 123760 blt 0,0,640,480,0, 7986,640,480:waittimer 123776 blt 0,0,640,480,0, 7987,640,480:waittimer 123791 blt 0,0,640,480,0, 7988,640,480:waittimer 123807 blt 0,0,640,480,0, 7989,640,480:waittimer 123822 blt 0,0,640,480,0, 7990,640,480:waittimer 123838 blt 0,0,640,480,0, 7991,640,480:waittimer 123853 blt 0,0,640,480,0, 7992,640,480:waittimer 123869 blt 0,0,640,480,0, 7993,640,480:waittimer 123884 blt 0,0,640,480,0, 7994,640,480:waittimer 123900 blt 0,0,640,480,0, 7995,640,480:waittimer 123915 blt 0,0,640,480,0, 7996,640,480:waittimer 123930 blt 0,0,640,480,0, 7997,640,480:waittimer 123946 blt 0,0,640,480,0, 7998,640,480:waittimer 123961 blt 0,0,640,480,0, 7999,640,480:waittimer 123977 blt 0,0,640,480,0, 8000,640,480:waittimer 123992 blt 0,0,640,480,0, 8001,640,480:waittimer 124008 blt 0,0,640,480,0, 8002,640,480:waittimer 124023 blt 0,0,640,480,0, 8003,640,480:waittimer 124039 blt 0,0,640,480,0, 8004,640,480:waittimer 124054 blt 0,0,640,480,0, 8005,640,480:waittimer 124070 blt 0,0,640,480,0, 8006,640,480:waittimer 124085 blt 0,0,640,480,0, 8007,640,480:waittimer 124101 blt 0,0,640,480,0, 8008,640,480:waittimer 124116 blt 0,0,640,480,0, 8009,640,480:waittimer 124132 blt 0,0,640,480,0, 8010,640,480:waittimer 124147 blt 0,0,640,480,0, 8011,640,480:waittimer 124163 blt 0,0,640,480,0, 8012,640,480:waittimer 124178 blt 0,0,640,480,0, 8013,640,480:waittimer 124194 blt 0,0,640,480,0, 8014,640,480:waittimer 124209 blt 0,0,640,480,0, 8015,640,480:waittimer 124225 blt 0,0,640,480,0, 8016,640,480:waittimer 124240 blt 0,0,640,480,0, 8017,640,480:waittimer 124256 blt 0,0,640,480,0, 8018,640,480:waittimer 124271 blt 0,0,640,480,0, 8019,640,480:waittimer 124287 blt 0,0,640,480,0, 8020,640,480:waittimer 124302 blt 0,0,640,480,0, 8021,640,480:waittimer 124318 blt 0,0,640,480,0, 8022,640,480:waittimer 124333 blt 0,0,640,480,0, 8023,640,480:waittimer 124349 blt 0,0,640,480,0, 8024,640,480:waittimer 124364 blt 0,0,640,480,0, 8025,640,480:waittimer 124380 blt 0,0,640,480,0, 8026,640,480:waittimer 124395 blt 0,0,640,480,0, 8027,640,480:waittimer 124411 blt 0,0,640,480,0, 8028,640,480:waittimer 124426 blt 0,0,640,480,0, 8029,640,480:waittimer 124442 blt 0,0,640,480,0, 8030,640,480:waittimer 124457 blt 0,0,640,480,0, 8031,640,480:waittimer 124473 blt 0,0,640,480,0, 8032,640,480:waittimer 124488 blt 0,0,640,480,0, 8033,640,480:waittimer 124504 blt 0,0,640,480,0, 8034,640,480:waittimer 124519 blt 0,0,640,480,0, 8035,640,480:waittimer 124535 blt 0,0,640,480,0, 8036,640,480:waittimer 124550 blt 0,0,640,480,0, 8037,640,480:waittimer 124566 blt 0,0,640,480,0, 8038,640,480:waittimer 124581 blt 0,0,640,480,0, 8039,640,480:waittimer 124597 blt 0,0,640,480,0, 8040,640,480:waittimer 124612 blt 0,0,640,480,0, 8041,640,480:waittimer 124628 blt 0,0,640,480,0, 8042,640,480:waittimer 124643 blt 0,0,640,480,0, 8043,640,480:waittimer 124659 blt 0,0,640,480,0, 8044,640,480:waittimer 124674 blt 0,0,640,480,0, 8045,640,480:waittimer 124690 blt 0,0,640,480,0, 8046,640,480:waittimer 124705 blt 0,0,640,480,0, 8047,640,480:waittimer 124721 blt 0,0,640,480,0, 8048,640,480:waittimer 124736 blt 0,0,640,480,0, 8049,640,480:waittimer 124752 blt 0,0,640,480,0, 8050,640,480:waittimer 124767 blt 0,0,640,480,0, 8051,640,480:waittimer 124783 blt 0,0,640,480,0, 8052,640,480:waittimer 124798 blt 0,0,640,480,0, 8053,640,480:waittimer 124814 blt 0,0,640,480,0, 8054,640,480:waittimer 124829 blt 0,0,640,480,0, 8055,640,480:waittimer 124845 blt 0,0,640,480,0, 8056,640,480:waittimer 124860 blt 0,0,640,480,0, 8057,640,480:waittimer 124876 blt 0,0,640,480,0, 8058,640,480:waittimer 124891 blt 0,0,640,480,0, 8059,640,480:waittimer 124907 blt 0,0,640,480,0, 8060,640,480:waittimer 124922 blt 0,0,640,480,0, 8061,640,480:waittimer 124938 blt 0,0,640,480,0, 8062,640,480:waittimer 124953 blt 0,0,640,480,0, 8063,640,480:waittimer 124969 blt 0,0,640,480,0, 8064,640,480:waittimer 124984 blt 0,0,640,480,0, 8065,640,480:waittimer 125000 blt 0,0,640,480,0, 8066,640,480:waittimer 125015 blt 0,0,640,480,0, 8067,640,480:waittimer 125031 blt 0,0,640,480,0, 8068,640,480:waittimer 125046 blt 0,0,640,480,0, 8069,640,480:waittimer 125062 blt 0,0,640,480,0, 8070,640,480:waittimer 125077 blt 0,0,640,480,0, 8071,640,480:waittimer 125093 blt 0,0,640,480,0, 8072,640,480:waittimer 125108 blt 0,0,640,480,0, 8073,640,480:waittimer 125124 blt 0,0,640,480,0, 8074,640,480:waittimer 125139 blt 0,0,640,480,0, 8075,640,480:waittimer 125155 blt 0,0,640,480,0, 8076,640,480:waittimer 125170 blt 0,0,640,480,0, 8077,640,480:waittimer 125186 blt 0,0,640,480,0, 8078,640,480:waittimer 125201 blt 0,0,640,480,0, 8079,640,480:waittimer 125217 blt 0,0,640,480,0, 8080,640,480:waittimer 125232 blt 0,0,640,480,0, 8081,640,480:waittimer 125248 blt 0,0,640,480,0, 8082,640,480:waittimer 125263 blt 0,0,640,480,0, 8083,640,480:waittimer 125279 blt 0,0,640,480,0, 8084,640,480:waittimer 125294 blt 0,0,640,480,0, 8085,640,480:waittimer 125310 blt 0,0,640,480,0, 8086,640,480:waittimer 125325 blt 0,0,640,480,0, 8087,640,480:waittimer 125341 blt 0,0,640,480,0, 8088,640,480:waittimer 125356 blt 0,0,640,480,0, 8089,640,480:waittimer 125372 blt 0,0,640,480,0, 8090,640,480:waittimer 125387 blt 0,0,640,480,0, 8091,640,480:waittimer 125403 blt 0,0,640,480,0, 8092,640,480:waittimer 125418 blt 0,0,640,480,0, 8093,640,480:waittimer 125434 blt 0,0,640,480,0, 8094,640,480:waittimer 125449 blt 0,0,640,480,0, 8095,640,480:waittimer 125465 blt 0,0,640,480,0, 8096,640,480:waittimer 125480 blt 0,0,640,480,0, 8097,640,480:waittimer 125496 blt 0,0,640,480,0, 8098,640,480:waittimer 125511 blt 0,0,640,480,0, 8099,640,480:waittimer 125527 blt 0,0,640,480,0, 8100,640,480:waittimer 125542 blt 0,0,640,480,0, 8101,640,480:waittimer 125558 blt 0,0,640,480,0, 8102,640,480:waittimer 125573 blt 0,0,640,480,0, 8103,640,480:waittimer 125589 blt 0,0,640,480,0, 8104,640,480:waittimer 125604 blt 0,0,640,480,0, 8105,640,480:waittimer 125620 blt 0,0,640,480,0, 8106,640,480:waittimer 125635 blt 0,0,640,480,0, 8107,640,480:waittimer 125651 blt 0,0,640,480,0, 8108,640,480:waittimer 125666 blt 0,0,640,480,0, 8109,640,480:waittimer 125682 blt 0,0,640,480,0, 8110,640,480:waittimer 125697 blt 0,0,640,480,0, 8111,640,480:waittimer 125713 blt 0,0,640,480,0, 8112,640,480:waittimer 125728 blt 0,0,640,480,0, 8113,640,480:waittimer 125744 blt 0,0,640,480,0, 8114,640,480:waittimer 125759 blt 0,0,640,480,0, 8115,640,480:waittimer 125775 blt 0,0,640,480,0, 8116,640,480:waittimer 125790 blt 0,0,640,480,0, 8117,640,480:waittimer 125806 blt 0,0,640,480,0, 8118,640,480:waittimer 125821 blt 0,0,640,480,0, 8119,640,480:waittimer 125837 blt 0,0,640,480,0, 8120,640,480:waittimer 125852 blt 0,0,640,480,0, 8121,640,480:waittimer 125868 blt 0,0,640,480,0, 8122,640,480:waittimer 125883 blt 0,0,640,480,0, 8123,640,480:waittimer 125899 blt 0,0,640,480,0, 8124,640,480:waittimer 125914 blt 0,0,640,480,0, 8125,640,480:waittimer 125930 blt 0,0,640,480,0, 8126,640,480:waittimer 125945 blt 0,0,640,480,0, 8127,640,480:waittimer 125961 blt 0,0,640,480,0, 8128,640,480:waittimer 125976 blt 0,0,640,480,0, 8129,640,480:waittimer 125992 blt 0,0,640,480,0, 8130,640,480:waittimer 126007 blt 0,0,640,480,0, 8131,640,480:waittimer 126023 blt 0,0,640,480,0, 8132,640,480:waittimer 126038 blt 0,0,640,480,0, 8133,640,480:waittimer 126054 blt 0,0,640,480,0, 8134,640,480:waittimer 126069 blt 0,0,640,480,0, 8135,640,480:waittimer 126085 blt 0,0,640,480,0, 8136,640,480:waittimer 126100 blt 0,0,640,480,0, 8137,640,480:waittimer 126116 blt 0,0,640,480,0, 8138,640,480:waittimer 126131 blt 0,0,640,480,0, 8139,640,480:waittimer 126147 blt 0,0,640,480,0, 8140,640,480:waittimer 126162 blt 0,0,640,480,0, 8141,640,480:waittimer 126178 blt 0,0,640,480,0, 8142,640,480:waittimer 126193 blt 0,0,640,480,0, 8143,640,480:waittimer 126209 blt 0,0,640,480,0, 8144,640,480:waittimer 126224 blt 0,0,640,480,0, 8145,640,480:waittimer 126240 blt 0,0,640,480,0, 8146,640,480:waittimer 126255 blt 0,0,640,480,0, 8147,640,480:waittimer 126271 blt 0,0,640,480,0, 8148,640,480:waittimer 126286 blt 0,0,640,480,0, 8149,640,480:waittimer 126302 blt 0,0,640,480,0, 8150,640,480:waittimer 126317 blt 0,0,640,480,0, 8151,640,480:waittimer 126333 blt 0,0,640,480,0, 8152,640,480:waittimer 126348 blt 0,0,640,480,0, 8153,640,480:waittimer 126364 blt 0,0,640,480,0, 8154,640,480:waittimer 126379 blt 0,0,640,480,0, 8155,640,480:waittimer 126395 blt 0,0,640,480,0, 8156,640,480:waittimer 126410 blt 0,0,640,480,0, 8157,640,480:waittimer 126426 blt 0,0,640,480,0, 8158,640,480:waittimer 126441 blt 0,0,640,480,0, 8159,640,480:waittimer 126457 blt 0,0,640,480,0, 8160,640,480:waittimer 126472 blt 0,0,640,480,0, 8161,640,480:waittimer 126488 blt 0,0,640,480,0, 8162,640,480:waittimer 126503 blt 0,0,640,480,0, 8163,640,480:waittimer 126519 blt 0,0,640,480,0, 8164,640,480:waittimer 126534 blt 0,0,640,480,0, 8165,640,480:waittimer 126550 blt 0,0,640,480,0, 8166,640,480:waittimer 126565 blt 0,0,640,480,0, 8167,640,480:waittimer 126581 blt 0,0,640,480,0, 8168,640,480:waittimer 126596 blt 0,0,640,480,0, 8169,640,480:waittimer 126612 blt 0,0,640,480,0, 8170,640,480:waittimer 126627 blt 0,0,640,480,0, 8171,640,480:waittimer 126643 blt 0,0,640,480,0, 8172,640,480:waittimer 126658 blt 0,0,640,480,0, 8173,640,480:waittimer 126674 blt 0,0,640,480,0, 8174,640,480:waittimer 126689 blt 0,0,640,480,0, 8175,640,480:waittimer 126705 blt 0,0,640,480,0, 8176,640,480:waittimer 126720 blt 0,0,640,480,0, 8177,640,480:waittimer 126736 blt 0,0,640,480,0, 8178,640,480:waittimer 126751 blt 0,0,640,480,0, 8179,640,480:waittimer 126767 blt 0,0,640,480,0, 8180,640,480:waittimer 126782 blt 0,0,640,480,0, 8181,640,480:waittimer 126798 blt 0,0,640,480,0, 8182,640,480:waittimer 126813 blt 0,0,640,480,0, 8183,640,480:waittimer 126829 blt 0,0,640,480,0, 8184,640,480:waittimer 126844 blt 0,0,640,480,0, 8185,640,480:waittimer 126860 blt 0,0,640,480,0, 8186,640,480:waittimer 126875 blt 0,0,640,480,0, 8187,640,480:waittimer 126891 blt 0,0,640,480,0, 8188,640,480:waittimer 126906 blt 0,0,640,480,0, 8189,640,480:waittimer 126922 blt 0,0,640,480,0, 8190,640,480:waittimer 126937 blt 0,0,640,480,0, 8191,640,480:waittimer 126953 blt 0,0,640,480,0, 8192,640,480:waittimer 126968 blt 0,0,640,480,0, 8193,640,480:waittimer 126984 blt 0,0,640,480,0, 8194,640,480:waittimer 126999 blt 0,0,640,480,0, 8195,640,480:waittimer 127015 blt 0,0,640,480,0, 8196,640,480:waittimer 127030 blt 0,0,640,480,0, 8197,640,480:waittimer 127046 blt 0,0,640,480,0, 8198,640,480:waittimer 127061 blt 0,0,640,480,0, 8199,640,480:waittimer 127077 blt 0,0,640,480,0, 8200,640,480:waittimer 127092 blt 0,0,640,480,0, 8201,640,480:waittimer 127108 blt 0,0,640,480,0, 8202,640,480:waittimer 127123 blt 0,0,640,480,0, 8203,640,480:waittimer 127139 blt 0,0,640,480,0, 8204,640,480:waittimer 127154 blt 0,0,640,480,0, 8205,640,480:waittimer 127170 blt 0,0,640,480,0, 8206,640,480:waittimer 127185 blt 0,0,640,480,0, 8207,640,480:waittimer 127201 blt 0,0,640,480,0, 8208,640,480:waittimer 127216 blt 0,0,640,480,0, 8209,640,480:waittimer 127232 blt 0,0,640,480,0, 8210,640,480:waittimer 127247 blt 0,0,640,480,0, 8211,640,480:waittimer 127263 blt 0,0,640,480,0, 8212,640,480:waittimer 127278 blt 0,0,640,480,0, 8213,640,480:waittimer 127294 blt 0,0,640,480,0, 8214,640,480:waittimer 127309 blt 0,0,640,480,0, 8215,640,480:waittimer 127325 blt 0,0,640,480,0, 8216,640,480:waittimer 127340 blt 0,0,640,480,0, 8217,640,480:waittimer 127356 blt 0,0,640,480,0, 8218,640,480:waittimer 127371 blt 0,0,640,480,0, 8219,640,480:waittimer 127387 blt 0,0,640,480,0, 8220,640,480:waittimer 127402 blt 0,0,640,480,0, 8221,640,480:waittimer 127418 blt 0,0,640,480,0, 8222,640,480:waittimer 127433 blt 0,0,640,480,0, 8223,640,480:waittimer 127449 blt 0,0,640,480,0, 8224,640,480:waittimer 127464 blt 0,0,640,480,0, 8225,640,480:waittimer 127480 blt 0,0,640,480,0, 8226,640,480:waittimer 127495 blt 0,0,640,480,0, 8227,640,480:waittimer 127511 blt 0,0,640,480,0, 8228,640,480:waittimer 127526 blt 0,0,640,480,0, 8229,640,480:waittimer 127542 blt 0,0,640,480,0, 8230,640,480:waittimer 127557 blt 0,0,640,480,0, 8231,640,480:waittimer 127573 blt 0,0,640,480,0, 8232,640,480:waittimer 127588 blt 0,0,640,480,0, 8233,640,480:waittimer 127604 blt 0,0,640,480,0, 8234,640,480:waittimer 127619 blt 0,0,640,480,0, 8235,640,480:waittimer 127635 blt 0,0,640,480,0, 8236,640,480:waittimer 127650 blt 0,0,640,480,0, 8237,640,480:waittimer 127666 blt 0,0,640,480,0, 8238,640,480:waittimer 127681 blt 0,0,640,480,0, 8239,640,480:waittimer 127697 blt 0,0,640,480,0, 8240,640,480:waittimer 127712 blt 0,0,640,480,0, 8241,640,480:waittimer 127728 blt 0,0,640,480,0, 8242,640,480:waittimer 127743 blt 0,0,640,480,0, 8243,640,480:waittimer 127759 blt 0,0,640,480,0, 8244,640,480:waittimer 127774 blt 0,0,640,480,0, 8245,640,480:waittimer 127790 blt 0,0,640,480,0, 8246,640,480:waittimer 127805 blt 0,0,640,480,0, 8247,640,480:waittimer 127821 blt 0,0,640,480,0, 8248,640,480:waittimer 127836 blt 0,0,640,480,0, 8249,640,480:waittimer 127852 blt 0,0,640,480,0, 8250,640,480:waittimer 127867 blt 0,0,640,480,0, 8251,640,480:waittimer 127883 blt 0,0,640,480,0, 8252,640,480:waittimer 127898 blt 0,0,640,480,0, 8253,640,480:waittimer 127914 blt 0,0,640,480,0, 8254,640,480:waittimer 127929 blt 0,0,640,480,0, 8255,640,480:waittimer 127945 blt 0,0,640,480,0, 8256,640,480:waittimer 127960 blt 0,0,640,480,0, 8257,640,480:waittimer 127976 blt 0,0,640,480,0, 8258,640,480:waittimer 127991 blt 0,0,640,480,0, 8259,640,480:waittimer 128007 blt 0,0,640,480,0, 8260,640,480:waittimer 128022 blt 0,0,640,480,0, 8261,640,480:waittimer 128038 blt 0,0,640,480,0, 8262,640,480:waittimer 128053 blt 0,0,640,480,0, 8263,640,480:waittimer 128069 blt 0,0,640,480,0, 8264,640,480:waittimer 128084 blt 0,0,640,480,0, 8265,640,480:waittimer 128100 blt 0,0,640,480,0, 8266,640,480:waittimer 128115 blt 0,0,640,480,0, 8267,640,480:waittimer 128131 blt 0,0,640,480,0, 8268,640,480:waittimer 128146 blt 0,0,640,480,0, 8269,640,480:waittimer 128162 blt 0,0,640,480,0, 8270,640,480:waittimer 128177 blt 0,0,640,480,0, 8271,640,480:waittimer 128193 blt 0,0,640,480,0, 8272,640,480:waittimer 128208 blt 0,0,640,480,0, 8273,640,480:waittimer 128224 blt 0,0,640,480,0, 8274,640,480:waittimer 128239 blt 0,0,640,480,0, 8275,640,480:waittimer 128255 blt 0,0,640,480,0, 8276,640,480:waittimer 128270 blt 0,0,640,480,0, 8277,640,480:waittimer 128286 blt 0,0,640,480,0, 8278,640,480:waittimer 128301 blt 0,0,640,480,0, 8279,640,480:waittimer 128317 blt 0,0,640,480,0, 8280,640,480:waittimer 128332 blt 0,0,640,480,0, 8281,640,480:waittimer 128348 blt 0,0,640,480,0, 8282,640,480:waittimer 128363 blt 0,0,640,480,0, 8283,640,480:waittimer 128379 blt 0,0,640,480,0, 8284,640,480:waittimer 128394 blt 0,0,640,480,0, 8285,640,480:waittimer 128410 blt 0,0,640,480,0, 8286,640,480:waittimer 128425 blt 0,0,640,480,0, 8287,640,480:waittimer 128441 blt 0,0,640,480,0, 8288,640,480:waittimer 128456 blt 0,0,640,480,0, 8289,640,480:waittimer 128472 blt 0,0,640,480,0, 8290,640,480:waittimer 128487 blt 0,0,640,480,0, 8291,640,480:waittimer 128503 blt 0,0,640,480,0, 8292,640,480:waittimer 128518 blt 0,0,640,480,0, 8293,640,480:waittimer 128534 blt 0,0,640,480,0, 8294,640,480:waittimer 128549 blt 0,0,640,480,0, 8295,640,480:waittimer 128565 blt 0,0,640,480,0, 8296,640,480:waittimer 128580 blt 0,0,640,480,0, 8297,640,480:waittimer 128596 blt 0,0,640,480,0, 8298,640,480:waittimer 128611 blt 0,0,640,480,0, 8299,640,480:waittimer 128627 blt 0,0,640,480,0, 8300,640,480:waittimer 128642 blt 0,0,640,480,0, 8301,640,480:waittimer 128658 blt 0,0,640,480,0, 8302,640,480:waittimer 128673 blt 0,0,640,480,0, 8303,640,480:waittimer 128689 blt 0,0,640,480,0, 8304,640,480:waittimer 128704 blt 0,0,640,480,0, 8305,640,480:waittimer 128720 blt 0,0,640,480,0, 8306,640,480:waittimer 128735 blt 0,0,640,480,0, 8307,640,480:waittimer 128751 blt 0,0,640,480,0, 8308,640,480:waittimer 128766 blt 0,0,640,480,0, 8309,640,480:waittimer 128782 blt 0,0,640,480,0, 8310,640,480:waittimer 128797 blt 0,0,640,480,0, 8311,640,480:waittimer 128813 blt 0,0,640,480,0, 8312,640,480:waittimer 128828 blt 0,0,640,480,0, 8313,640,480:waittimer 128844 blt 0,0,640,480,0, 8314,640,480:waittimer 128859 blt 0,0,640,480,0, 8315,640,480:waittimer 128875 blt 0,0,640,480,0, 8316,640,480:waittimer 128890 blt 0,0,640,480,0, 8317,640,480:waittimer 128906 blt 0,0,640,480,0, 8318,640,480:waittimer 128921 blt 0,0,640,480,0, 8319,640,480:waittimer 128937 blt 0,0,640,480,0, 8320,640,480:waittimer 128952 blt 0,0,640,480,0, 8321,640,480:waittimer 128968 blt 0,0,640,480,0, 8322,640,480:waittimer 128983 blt 0,0,640,480,0, 8323,640,480:waittimer 128999 blt 0,0,640,480,0, 8324,640,480:waittimer 129014 blt 0,0,640,480,0, 8325,640,480:waittimer 129030 blt 0,0,640,480,0, 8326,640,480:waittimer 129045 blt 0,0,640,480,0, 8327,640,480:waittimer 129061 blt 0,0,640,480,0, 8328,640,480:waittimer 129076 blt 0,0,640,480,0, 8329,640,480:waittimer 129092 blt 0,0,640,480,0, 8330,640,480:waittimer 129107 blt 0,0,640,480,0, 8331,640,480:waittimer 129123 blt 0,0,640,480,0, 8332,640,480:waittimer 129138 blt 0,0,640,480,0, 8333,640,480:waittimer 129154 blt 0,0,640,480,0, 8334,640,480:waittimer 129169 blt 0,0,640,480,0, 8335,640,480:waittimer 129185 blt 0,0,640,480,0, 8336,640,480:waittimer 129200 blt 0,0,640,480,0, 8337,640,480:waittimer 129216 blt 0,0,640,480,0, 8338,640,480:waittimer 129231 blt 0,0,640,480,0, 8339,640,480:waittimer 129247 blt 0,0,640,480,0, 8340,640,480:waittimer 129262 blt 0,0,640,480,0, 8341,640,480:waittimer 129278 blt 0,0,640,480,0, 8342,640,480:waittimer 129293 blt 0,0,640,480,0, 8343,640,480:waittimer 129309 blt 0,0,640,480,0, 8344,640,480:waittimer 129324 blt 0,0,640,480,0, 8345,640,480:waittimer 129340 blt 0,0,640,480,0, 8346,640,480:waittimer 129355 blt 0,0,640,480,0, 8347,640,480:waittimer 129371 blt 0,0,640,480,0, 8348,640,480:waittimer 129386 blt 0,0,640,480,0, 8349,640,480:waittimer 129402 blt 0,0,640,480,0, 8350,640,480:waittimer 129417 blt 0,0,640,480,0, 8351,640,480:waittimer 129433 blt 0,0,640,480,0, 8352,640,480:waittimer 129448 blt 0,0,640,480,0, 8353,640,480:waittimer 129464 blt 0,0,640,480,0, 8354,640,480:waittimer 129479 blt 0,0,640,480,0, 8355,640,480:waittimer 129495 blt 0,0,640,480,0, 8356,640,480:waittimer 129510 blt 0,0,640,480,0, 8357,640,480:waittimer 129526 blt 0,0,640,480,0, 8358,640,480:waittimer 129541 blt 0,0,640,480,0, 8359,640,480:waittimer 129557 blt 0,0,640,480,0, 8360,640,480:waittimer 129572 blt 0,0,640,480,0, 8361,640,480:waittimer 129588 blt 0,0,640,480,0, 8362,640,480:waittimer 129603 blt 0,0,640,480,0, 8363,640,480:waittimer 129619 blt 0,0,640,480,0, 8364,640,480:waittimer 129634 blt 0,0,640,480,0, 8365,640,480:waittimer 129650 blt 0,0,640,480,0, 8366,640,480:waittimer 129665 blt 0,0,640,480,0, 8367,640,480:waittimer 129681 blt 0,0,640,480,0, 8368,640,480:waittimer 129696 blt 0,0,640,480,0, 8369,640,480:waittimer 129712 blt 0,0,640,480,0, 8370,640,480:waittimer 129727 blt 0,0,640,480,0, 8371,640,480:waittimer 129743 blt 0,0,640,480,0, 8372,640,480:waittimer 129758 blt 0,0,640,480,0, 8373,640,480:waittimer 129774 blt 0,0,640,480,0, 8374,640,480:waittimer 129789 blt 0,0,640,480,0, 8375,640,480:waittimer 129805 blt 0,0,640,480,0, 8376,640,480:waittimer 129820 blt 0,0,640,480,0, 8377,640,480:waittimer 129836 blt 0,0,640,480,0, 8378,640,480:waittimer 129851 blt 0,0,640,480,0, 8379,640,480:waittimer 129867 blt 0,0,640,480,0, 8380,640,480:waittimer 129882 blt 0,0,640,480,0, 8381,640,480:waittimer 129898 blt 0,0,640,480,0, 8382,640,480:waittimer 129913 blt 0,0,640,480,0, 8383,640,480:waittimer 129929 blt 0,0,640,480,0, 8384,640,480:waittimer 129944 blt 0,0,640,480,0, 8385,640,480:waittimer 129960 blt 0,0,640,480,0, 8386,640,480:waittimer 129975 blt 0,0,640,480,0, 8387,640,480:waittimer 129991 blt 0,0,640,480,0, 8388,640,480:waittimer 130006 blt 0,0,640,480,0, 8389,640,480:waittimer 130022 blt 0,0,640,480,0, 8390,640,480:waittimer 130037 blt 0,0,640,480,0, 8391,640,480:waittimer 130053 blt 0,0,640,480,0, 8392,640,480:waittimer 130068 blt 0,0,640,480,0, 8393,640,480:waittimer 130084 blt 0,0,640,480,0, 8394,640,480:waittimer 130099 blt 0,0,640,480,0, 8395,640,480:waittimer 130115 blt 0,0,640,480,0, 8396,640,480:waittimer 130130 blt 0,0,640,480,0, 8397,640,480:waittimer 130146 blt 0,0,640,480,0, 8398,640,480:waittimer 130161 blt 0,0,640,480,0, 8399,640,480:waittimer 130177 blt 0,0,640,480,0, 8400,640,480:waittimer 130192 blt 0,0,640,480,0, 8401,640,480:waittimer 130208 blt 0,0,640,480,0, 8402,640,480:waittimer 130223 blt 0,0,640,480,0, 8403,640,480:waittimer 130239 blt 0,0,640,480,0, 8404,640,480:waittimer 130254 blt 0,0,640,480,0, 8405,640,480:waittimer 130270 blt 0,0,640,480,0, 8406,640,480:waittimer 130285 blt 0,0,640,480,0, 8407,640,480:waittimer 130301 blt 0,0,640,480,0, 8408,640,480:waittimer 130316 blt 0,0,640,480,0, 8409,640,480:waittimer 130332 blt 0,0,640,480,0, 8410,640,480:waittimer 130347 blt 0,0,640,480,0, 8411,640,480:waittimer 130363 blt 0,0,640,480,0, 8412,640,480:waittimer 130378 blt 0,0,640,480,0, 8413,640,480:waittimer 130394 blt 0,0,640,480,0, 8414,640,480:waittimer 130409 blt 0,0,640,480,0, 8415,640,480:waittimer 130425 blt 0,0,640,480,0, 8416,640,480:waittimer 130440 blt 0,0,640,480,0, 8417,640,480:waittimer 130456 blt 0,0,640,480,0, 8418,640,480:waittimer 130471 blt 0,0,640,480,0, 8419,640,480:waittimer 130487 blt 0,0,640,480,0, 8420,640,480:waittimer 130502 blt 0,0,640,480,0, 8421,640,480:waittimer 130518 blt 0,0,640,480,0, 8422,640,480:waittimer 130533 blt 0,0,640,480,0, 8423,640,480:waittimer 130549 blt 0,0,640,480,0, 8424,640,480:waittimer 130564 blt 0,0,640,480,0, 8425,640,480:waittimer 130580 blt 0,0,640,480,0, 8426,640,480:waittimer 130595 blt 0,0,640,480,0, 8427,640,480:waittimer 130611 blt 0,0,640,480,0, 8428,640,480:waittimer 130626 blt 0,0,640,480,0, 8429,640,480:waittimer 130642 blt 0,0,640,480,0, 8430,640,480:waittimer 130657 blt 0,0,640,480,0, 8431,640,480:waittimer 130673 blt 0,0,640,480,0, 8432,640,480:waittimer 130688 blt 0,0,640,480,0, 8433,640,480:waittimer 130704 blt 0,0,640,480,0, 8434,640,480:waittimer 130719 blt 0,0,640,480,0, 8435,640,480:waittimer 130735 blt 0,0,640,480,0, 8436,640,480:waittimer 130750 blt 0,0,640,480,0, 8437,640,480:waittimer 130766 blt 0,0,640,480,0, 8438,640,480:waittimer 130781 blt 0,0,640,480,0, 8439,640,480:waittimer 130797 blt 0,0,640,480,0, 8440,640,480:waittimer 130812 blt 0,0,640,480,0, 8441,640,480:waittimer 130828 blt 0,0,640,480,0, 8442,640,480:waittimer 130843 blt 0,0,640,480,0, 8443,640,480:waittimer 130859 blt 0,0,640,480,0, 8444,640,480:waittimer 130874 blt 0,0,640,480,0, 8445,640,480:waittimer 130890 blt 0,0,640,480,0, 8446,640,480:waittimer 130905 blt 0,0,640,480,0, 8447,640,480:waittimer 130921 blt 0,0,640,480,0, 8448,640,480:waittimer 130936 blt 0,0,640,480,0, 8449,640,480:waittimer 130952 blt 0,0,640,480,0, 8450,640,480:waittimer 130967 blt 0,0,640,480,0, 8451,640,480:waittimer 130983 blt 0,0,640,480,0, 8452,640,480:waittimer 130998 blt 0,0,640,480,0, 8453,640,480:waittimer 131014 blt 0,0,640,480,0, 8454,640,480:waittimer 131029 blt 0,0,640,480,0, 8455,640,480:waittimer 131045 blt 0,0,640,480,0, 8456,640,480:waittimer 131060 blt 0,0,640,480,0, 8457,640,480:waittimer 131076 blt 0,0,640,480,0, 8458,640,480:waittimer 131091 blt 0,0,640,480,0, 8459,640,480:waittimer 131107 blt 0,0,640,480,0, 8460,640,480:waittimer 131122 blt 0,0,640,480,0, 8461,640,480:waittimer 131138 blt 0,0,640,480,0, 8462,640,480:waittimer 131153 blt 0,0,640,480,0, 8463,640,480:waittimer 131169 blt 0,0,640,480,0, 8464,640,480:waittimer 131184 blt 0,0,640,480,0, 8465,640,480:waittimer 131200 blt 0,0,640,480,0, 8466,640,480:waittimer 131215 blt 0,0,640,480,0, 8467,640,480:waittimer 131231 blt 0,0,640,480,0, 8468,640,480:waittimer 131246 blt 0,0,640,480,0, 8469,640,480:waittimer 131262 blt 0,0,640,480,0, 8470,640,480:waittimer 131277 blt 0,0,640,480,0, 8471,640,480:waittimer 131293 blt 0,0,640,480,0, 8472,640,480:waittimer 131308 blt 0,0,640,480,0, 8473,640,480:waittimer 131324 blt 0,0,640,480,0, 8474,640,480:waittimer 131339 blt 0,0,640,480,0, 8475,640,480:waittimer 131355 blt 0,0,640,480,0, 8476,640,480:waittimer 131370 blt 0,0,640,480,0, 8477,640,480:waittimer 131386 blt 0,0,640,480,0, 8478,640,480:waittimer 131401 blt 0,0,640,480,0, 8479,640,480:waittimer 131417 blt 0,0,640,480,0, 8480,640,480:waittimer 131432 blt 0,0,640,480,0, 8481,640,480:waittimer 131448 blt 0,0,640,480,0, 8482,640,480:waittimer 131463 blt 0,0,640,480,0, 8483,640,480:waittimer 131479 blt 0,0,640,480,0, 8484,640,480:waittimer 131494 blt 0,0,640,480,0, 8485,640,480:waittimer 131510 blt 0,0,640,480,0, 8486,640,480:waittimer 131525 blt 0,0,640,480,0, 8487,640,480:waittimer 131541 blt 0,0,640,480,0, 8488,640,480:waittimer 131556 blt 0,0,640,480,0, 8489,640,480:waittimer 131572 blt 0,0,640,480,0, 8490,640,480:waittimer 131587 blt 0,0,640,480,0, 8491,640,480:waittimer 131603 blt 0,0,640,480,0, 8492,640,480:waittimer 131618 blt 0,0,640,480,0, 8493,640,480:waittimer 131634 blt 0,0,640,480,0, 8494,640,480:waittimer 131649 blt 0,0,640,480,0, 8495,640,480:waittimer 131665 blt 0,0,640,480,0, 8496,640,480:waittimer 131680 blt 0,0,640,480,0, 8497,640,480:waittimer 131696 blt 0,0,640,480,0, 8498,640,480:waittimer 131711 blt 0,0,640,480,0, 8499,640,480:waittimer 131727 blt 0,0,640,480,0, 8500,640,480:waittimer 131742 blt 0,0,640,480,0, 8501,640,480:waittimer 131758 blt 0,0,640,480,0, 8502,640,480:waittimer 131773 blt 0,0,640,480,0, 8503,640,480:waittimer 131789 blt 0,0,640,480,0, 8504,640,480:waittimer 131804 blt 0,0,640,480,0, 8505,640,480:waittimer 131820 blt 0,0,640,480,0, 8506,640,480:waittimer 131835 blt 0,0,640,480,0, 8507,640,480:waittimer 131851 blt 0,0,640,480,0, 8508,640,480:waittimer 131866 blt 0,0,640,480,0, 8509,640,480:waittimer 131882 blt 0,0,640,480,0, 8510,640,480:waittimer 131897 blt 0,0,640,480,0, 8511,640,480:waittimer 131913 blt 0,0,640,480,0, 8512,640,480:waittimer 131928 blt 0,0,640,480,0, 8513,640,480:waittimer 131944 blt 0,0,640,480,0, 8514,640,480:waittimer 131959 blt 0,0,640,480,0, 8515,640,480:waittimer 131975 blt 0,0,640,480,0, 8516,640,480:waittimer 131990 blt 0,0,640,480,0, 8517,640,480:waittimer 132006 blt 0,0,640,480,0, 8518,640,480:waittimer 132021 blt 0,0,640,480,0, 8519,640,480:waittimer 132037 blt 0,0,640,480,0, 8520,640,480:waittimer 132052 blt 0,0,640,480,0, 8521,640,480:waittimer 132068 blt 0,0,640,480,0, 8522,640,480:waittimer 132083 blt 0,0,640,480,0, 8523,640,480:waittimer 132099 blt 0,0,640,480,0, 8524,640,480:waittimer 132114 blt 0,0,640,480,0, 8525,640,480:waittimer 132130 blt 0,0,640,480,0, 8526,640,480:waittimer 132145 blt 0,0,640,480,0, 8527,640,480:waittimer 132161 blt 0,0,640,480,0, 8528,640,480:waittimer 132176 blt 0,0,640,480,0, 8529,640,480:waittimer 132192 blt 0,0,640,480,0, 8530,640,480:waittimer 132207 blt 0,0,640,480,0, 8531,640,480:waittimer 132223 blt 0,0,640,480,0, 8532,640,480:waittimer 132238 blt 0,0,640,480,0, 8533,640,480:waittimer 132254 blt 0,0,640,480,0, 8534,640,480:waittimer 132269 blt 0,0,640,480,0, 8535,640,480:waittimer 132285 blt 0,0,640,480,0, 8536,640,480:waittimer 132300 blt 0,0,640,480,0, 8537,640,480:waittimer 132316 blt 0,0,640,480,0, 8538,640,480:waittimer 132331 blt 0,0,640,480,0, 8539,640,480:waittimer 132347 blt 0,0,640,480,0, 8540,640,480:waittimer 132362 blt 0,0,640,480,0, 8541,640,480:waittimer 132378 blt 0,0,640,480,0, 8542,640,480:waittimer 132393 blt 0,0,640,480,0, 8543,640,480:waittimer 132409 blt 0,0,640,480,0, 8544,640,480:waittimer 132424 blt 0,0,640,480,0, 8545,640,480:waittimer 132440 blt 0,0,640,480,0, 8546,640,480:waittimer 132455 blt 0,0,640,480,0, 8547,640,480:waittimer 132471 blt 0,0,640,480,0, 8548,640,480:waittimer 132486 blt 0,0,640,480,0, 8549,640,480:waittimer 132502 blt 0,0,640,480,0, 8550,640,480:waittimer 132517 blt 0,0,640,480,0, 8551,640,480:waittimer 132533 blt 0,0,640,480,0, 8552,640,480:waittimer 132548 blt 0,0,640,480,0, 8553,640,480:waittimer 132564 blt 0,0,640,480,0, 8554,640,480:waittimer 132579 blt 0,0,640,480,0, 8555,640,480:waittimer 132595 blt 0,0,640,480,0, 8556,640,480:waittimer 132610 blt 0,0,640,480,0, 8557,640,480:waittimer 132626 blt 0,0,640,480,0, 8558,640,480:waittimer 132641 blt 0,0,640,480,0, 8559,640,480:waittimer 132657 blt 0,0,640,480,0, 8560,640,480:waittimer 132672 blt 0,0,640,480,0, 8561,640,480:waittimer 132688 blt 0,0,640,480,0, 8562,640,480:waittimer 132703 blt 0,0,640,480,0, 8563,640,480:waittimer 132719 blt 0,0,640,480,0, 8564,640,480:waittimer 132734 blt 0,0,640,480,0, 8565,640,480:waittimer 132750 blt 0,0,640,480,0, 8566,640,480:waittimer 132765 blt 0,0,640,480,0, 8567,640,480:waittimer 132780 blt 0,0,640,480,0, 8568,640,480:waittimer 132796 blt 0,0,640,480,0, 8569,640,480:waittimer 132811 blt 0,0,640,480,0, 8570,640,480:waittimer 132827 blt 0,0,640,480,0, 8571,640,480:waittimer 132842 blt 0,0,640,480,0, 8572,640,480:waittimer 132858 blt 0,0,640,480,0, 8573,640,480:waittimer 132873 blt 0,0,640,480,0, 8574,640,480:waittimer 132889 blt 0,0,640,480,0, 8575,640,480:waittimer 132904 blt 0,0,640,480,0, 8576,640,480:waittimer 132920 blt 0,0,640,480,0, 8577,640,480:waittimer 132935 blt 0,0,640,480,0, 8578,640,480:waittimer 132951 blt 0,0,640,480,0, 8579,640,480:waittimer 132966 blt 0,0,640,480,0, 8580,640,480:waittimer 132982 blt 0,0,640,480,0, 8581,640,480:waittimer 132997 blt 0,0,640,480,0, 8582,640,480:waittimer 133013 blt 0,0,640,480,0, 8583,640,480:waittimer 133028 blt 0,0,640,480,0, 8584,640,480:waittimer 133044 blt 0,0,640,480,0, 8585,640,480:waittimer 133059 blt 0,0,640,480,0, 8586,640,480:waittimer 133075 blt 0,0,640,480,0, 8587,640,480:waittimer 133090 blt 0,0,640,480,0, 8588,640,480:waittimer 133106 blt 0,0,640,480,0, 8589,640,480:waittimer 133121 blt 0,0,640,480,0, 8590,640,480:waittimer 133137 blt 0,0,640,480,0, 8591,640,480:waittimer 133152 blt 0,0,640,480,0, 8592,640,480:waittimer 133168 blt 0,0,640,480,0, 8593,640,480:waittimer 133183 blt 0,0,640,480,0, 8594,640,480:waittimer 133199 blt 0,0,640,480,0, 8595,640,480:waittimer 133214 blt 0,0,640,480,0, 8596,640,480:waittimer 133230 blt 0,0,640,480,0, 8597,640,480:waittimer 133245 blt 0,0,640,480,0, 8598,640,480:waittimer 133261 blt 0,0,640,480,0, 8599,640,480:waittimer 133276 blt 0,0,640,480,0, 8600,640,480:waittimer 133292 blt 0,0,640,480,0, 8601,640,480:waittimer 133307 blt 0,0,640,480,0, 8602,640,480:waittimer 133323 blt 0,0,640,480,0, 8603,640,480:waittimer 133338 blt 0,0,640,480,0, 8604,640,480:waittimer 133354 blt 0,0,640,480,0, 8605,640,480:waittimer 133369 blt 0,0,640,480,0, 8606,640,480:waittimer 133385 blt 0,0,640,480,0, 8607,640,480:waittimer 133400 blt 0,0,640,480,0, 8608,640,480:waittimer 133416 blt 0,0,640,480,0, 8609,640,480:waittimer 133431 blt 0,0,640,480,0, 8610,640,480:waittimer 133447 blt 0,0,640,480,0, 8611,640,480:waittimer 133462 blt 0,0,640,480,0, 8612,640,480:waittimer 133478 blt 0,0,640,480,0, 8613,640,480:waittimer 133493 blt 0,0,640,480,0, 8614,640,480:waittimer 133509 blt 0,0,640,480,0, 8615,640,480:waittimer 133524 blt 0,0,640,480,0, 8616,640,480:waittimer 133540 blt 0,0,640,480,0, 8617,640,480:waittimer 133555 blt 0,0,640,480,0, 8618,640,480:waittimer 133571 blt 0,0,640,480,0, 8619,640,480:waittimer 133586 blt 0,0,640,480,0, 8620,640,480:waittimer 133602 blt 0,0,640,480,0, 8621,640,480:waittimer 133617 blt 0,0,640,480,0, 8622,640,480:waittimer 133633 blt 0,0,640,480,0, 8623,640,480:waittimer 133648 blt 0,0,640,480,0, 8624,640,480:waittimer 133664 blt 0,0,640,480,0, 8625,640,480:waittimer 133679 blt 0,0,640,480,0, 8626,640,480:waittimer 133695 blt 0,0,640,480,0, 8627,640,480:waittimer 133710 blt 0,0,640,480,0, 8628,640,480:waittimer 133726 blt 0,0,640,480,0, 8629,640,480:waittimer 133741 blt 0,0,640,480,0, 8630,640,480:waittimer 133757 blt 0,0,640,480,0, 8631,640,480:waittimer 133772 blt 0,0,640,480,0, 8632,640,480:waittimer 133788 blt 0,0,640,480,0, 8633,640,480:waittimer 133803 blt 0,0,640,480,0, 8634,640,480:waittimer 133819 blt 0,0,640,480,0, 8635,640,480:waittimer 133834 blt 0,0,640,480,0, 8636,640,480:waittimer 133850 blt 0,0,640,480,0, 8637,640,480:waittimer 133865 blt 0,0,640,480,0, 8638,640,480:waittimer 133881 blt 0,0,640,480,0, 8639,640,480:waittimer 133896 blt 0,0,640,480,0, 8640,640,480:waittimer 133912 blt 0,0,640,480,0, 8641,640,480:waittimer 133927 blt 0,0,640,480,0, 8642,640,480:waittimer 133943 blt 0,0,640,480,0, 8643,640,480:waittimer 133958 blt 0,0,640,480,0, 8644,640,480:waittimer 133974 blt 0,0,640,480,0, 8645,640,480:waittimer 133989 blt 0,0,640,480,0, 8646,640,480:waittimer 134005 blt 0,0,640,480,0, 8647,640,480:waittimer 134020 blt 0,0,640,480,0, 8648,640,480:waittimer 134036 blt 0,0,640,480,0, 8649,640,480:waittimer 134051 blt 0,0,640,480,0, 8650,640,480:waittimer 134067 blt 0,0,640,480,0, 8651,640,480:waittimer 134082 blt 0,0,640,480,0, 8652,640,480:waittimer 134098 blt 0,0,640,480,0, 8653,640,480:waittimer 134113 blt 0,0,640,480,0, 8654,640,480:waittimer 134129 blt 0,0,640,480,0, 8655,640,480:waittimer 134144 blt 0,0,640,480,0, 8656,640,480:waittimer 134160 blt 0,0,640,480,0, 8657,640,480:waittimer 134175 blt 0,0,640,480,0, 8658,640,480:waittimer 134191 blt 0,0,640,480,0, 8659,640,480:waittimer 134206 blt 0,0,640,480,0, 8660,640,480:waittimer 134222 blt 0,0,640,480,0, 8661,640,480:waittimer 134237 blt 0,0,640,480,0, 8662,640,480:waittimer 134253 blt 0,0,640,480,0, 8663,640,480:waittimer 134268 blt 0,0,640,480,0, 8664,640,480:waittimer 134284 blt 0,0,640,480,0, 8665,640,480:waittimer 134299 blt 0,0,640,480,0, 8666,640,480:waittimer 134315 blt 0,0,640,480,0, 8667,640,480:waittimer 134330 blt 0,0,640,480,0, 8668,640,480:waittimer 134346 blt 0,0,640,480,0, 8669,640,480:waittimer 134361 blt 0,0,640,480,0, 8670,640,480:waittimer 134377 blt 0,0,640,480,0, 8671,640,480:waittimer 134392 blt 0,0,640,480,0, 8672,640,480:waittimer 134408 blt 0,0,640,480,0, 8673,640,480:waittimer 134423 blt 0,0,640,480,0, 8674,640,480:waittimer 134439 blt 0,0,640,480,0, 8675,640,480:waittimer 134454 blt 0,0,640,480,0, 8676,640,480:waittimer 134470 blt 0,0,640,480,0, 8677,640,480:waittimer 134485 blt 0,0,640,480,0, 8678,640,480:waittimer 134501 blt 0,0,640,480,0, 8679,640,480:waittimer 134516 blt 0,0,640,480,0, 8680,640,480:waittimer 134532 blt 0,0,640,480,0, 8681,640,480:waittimer 134547 blt 0,0,640,480,0, 8682,640,480:waittimer 134563 blt 0,0,640,480,0, 8683,640,480:waittimer 134578 blt 0,0,640,480,0, 8684,640,480:waittimer 134594 blt 0,0,640,480,0, 8685,640,480:waittimer 134609 blt 0,0,640,480,0, 8686,640,480:waittimer 134625 blt 0,0,640,480,0, 8687,640,480:waittimer 134640 blt 0,0,640,480,0, 8688,640,480:waittimer 134656 blt 0,0,640,480,0, 8689,640,480:waittimer 134671 blt 0,0,640,480,0, 8690,640,480:waittimer 134687 blt 0,0,640,480,0, 8691,640,480:waittimer 134702 blt 0,0,640,480,0, 8692,640,480:waittimer 134718 blt 0,0,640,480,0, 8693,640,480:waittimer 134733 blt 0,0,640,480,0, 8694,640,480:waittimer 134749 blt 0,0,640,480,0, 8695,640,480:waittimer 134764 blt 0,0,640,480,0, 8696,640,480:waittimer 134780 blt 0,0,640,480,0, 8697,640,480:waittimer 134795 blt 0,0,640,480,0, 8698,640,480:waittimer 134811 blt 0,0,640,480,0, 8699,640,480:waittimer 134826 blt 0,0,640,480,0, 8700,640,480:waittimer 134842 blt 0,0,640,480,0, 8701,640,480:waittimer 134857 blt 0,0,640,480,0, 8702,640,480:waittimer 134873 blt 0,0,640,480,0, 8703,640,480:waittimer 134888 blt 0,0,640,480,0, 8704,640,480:waittimer 134904 blt 0,0,640,480,0, 8705,640,480:waittimer 134919 blt 0,0,640,480,0, 8706,640,480:waittimer 134935 blt 0,0,640,480,0, 8707,640,480:waittimer 134950 blt 0,0,640,480,0, 8708,640,480:waittimer 134966 blt 0,0,640,480,0, 8709,640,480:waittimer 134981 blt 0,0,640,480,0, 8710,640,480:waittimer 134997 blt 0,0,640,480,0, 8711,640,480:waittimer 135012 blt 0,0,640,480,0, 8712,640,480:waittimer 135028 blt 0,0,640,480,0, 8713,640,480:waittimer 135043 blt 0,0,640,480,0, 8714,640,480:waittimer 135059 blt 0,0,640,480,0, 8715,640,480:waittimer 135074 blt 0,0,640,480,0, 8716,640,480:waittimer 135090 blt 0,0,640,480,0, 8717,640,480:waittimer 135105 blt 0,0,640,480,0, 8718,640,480:waittimer 135121 blt 0,0,640,480,0, 8719,640,480:waittimer 135136 blt 0,0,640,480,0, 8720,640,480:waittimer 135152 blt 0,0,640,480,0, 8721,640,480:waittimer 135167 blt 0,0,640,480,0, 8722,640,480:waittimer 135183 blt 0,0,640,480,0, 8723,640,480:waittimer 135198 blt 0,0,640,480,0, 8724,640,480:waittimer 135214 blt 0,0,640,480,0, 8725,640,480:waittimer 135229 blt 0,0,640,480,0, 8726,640,480:waittimer 135245 blt 0,0,640,480,0, 8727,640,480:waittimer 135260 blt 0,0,640,480,0, 8728,640,480:waittimer 135276 blt 0,0,640,480,0, 8729,640,480:waittimer 135291 blt 0,0,640,480,0, 8730,640,480:waittimer 135307 blt 0,0,640,480,0, 8731,640,480:waittimer 135322 blt 0,0,640,480,0, 8732,640,480:waittimer 135338 blt 0,0,640,480,0, 8733,640,480:waittimer 135353 blt 0,0,640,480,0, 8734,640,480:waittimer 135369 blt 0,0,640,480,0, 8735,640,480:waittimer 135384 blt 0,0,640,480,0, 8736,640,480:waittimer 135400 blt 0,0,640,480,0, 8737,640,480:waittimer 135415 blt 0,0,640,480,0, 8738,640,480:waittimer 135431 blt 0,0,640,480,0, 8739,640,480:waittimer 135446 blt 0,0,640,480,0, 8740,640,480:waittimer 135462 blt 0,0,640,480,0, 8741,640,480:waittimer 135477 blt 0,0,640,480,0, 8742,640,480:waittimer 135493 blt 0,0,640,480,0, 8743,640,480:waittimer 135508 blt 0,0,640,480,0, 8744,640,480:waittimer 135524 blt 0,0,640,480,0, 8745,640,480:waittimer 135539 blt 0,0,640,480,0, 8746,640,480:waittimer 135555 blt 0,0,640,480,0, 8747,640,480:waittimer 135570 blt 0,0,640,480,0, 8748,640,480:waittimer 135586 blt 0,0,640,480,0, 8749,640,480:waittimer 135601 blt 0,0,640,480,0, 8750,640,480:waittimer 135617 blt 0,0,640,480,0, 8751,640,480:waittimer 135632 blt 0,0,640,480,0, 8752,640,480:waittimer 135648 blt 0,0,640,480,0, 8753,640,480:waittimer 135663 blt 0,0,640,480,0, 8754,640,480:waittimer 135679 blt 0,0,640,480,0, 8755,640,480:waittimer 135694 blt 0,0,640,480,0, 8756,640,480:waittimer 135710 blt 0,0,640,480,0, 8757,640,480:waittimer 135725 blt 0,0,640,480,0, 8758,640,480:waittimer 135741 blt 0,0,640,480,0, 8759,640,480:waittimer 135756 blt 0,0,640,480,0, 8760,640,480:waittimer 135772 blt 0,0,640,480,0, 8761,640,480:waittimer 135787 blt 0,0,640,480,0, 8762,640,480:waittimer 135803 blt 0,0,640,480,0, 8763,640,480:waittimer 135818 blt 0,0,640,480,0, 8764,640,480:waittimer 135834 blt 0,0,640,480,0, 8765,640,480:waittimer 135849 blt 0,0,640,480,0, 8766,640,480:waittimer 135865 blt 0,0,640,480,0, 8767,640,480:waittimer 135880 blt 0,0,640,480,0, 8768,640,480:waittimer 135896 blt 0,0,640,480,0, 8769,640,480:waittimer 135911 blt 0,0,640,480,0, 8770,640,480:waittimer 135927 blt 0,0,640,480,0, 8771,640,480:waittimer 135942 blt 0,0,640,480,0, 8772,640,480:waittimer 135958 blt 0,0,640,480,0, 8773,640,480:waittimer 135973 blt 0,0,640,480,0, 8774,640,480:waittimer 135989 blt 0,0,640,480,0, 8775,640,480:waittimer 136004 blt 0,0,640,480,0, 8776,640,480:waittimer 136020 blt 0,0,640,480,0, 8777,640,480:waittimer 136035 blt 0,0,640,480,0, 8778,640,480:waittimer 136051 blt 0,0,640,480,0, 8779,640,480:waittimer 136066 blt 0,0,640,480,0, 8780,640,480:waittimer 136082 blt 0,0,640,480,0, 8781,640,480:waittimer 136097 blt 0,0,640,480,0, 8782,640,480:waittimer 136113 blt 0,0,640,480,0, 8783,640,480:waittimer 136128 blt 0,0,640,480,0, 8784,640,480:waittimer 136144 blt 0,0,640,480,0, 8785,640,480:waittimer 136159 blt 0,0,640,480,0, 8786,640,480:waittimer 136175 blt 0,0,640,480,0, 8787,640,480:waittimer 136190 blt 0,0,640,480,0, 8788,640,480:waittimer 136206 blt 0,0,640,480,0, 8789,640,480:waittimer 136221 blt 0,0,640,480,0, 8790,640,480:waittimer 136237 blt 0,0,640,480,0, 8791,640,480:waittimer 136252 blt 0,0,640,480,0, 8792,640,480:waittimer 136268 blt 0,0,640,480,0, 8793,640,480:waittimer 136283 blt 0,0,640,480,0, 8794,640,480:waittimer 136299 blt 0,0,640,480,0, 8795,640,480:waittimer 136314 blt 0,0,640,480,0, 8796,640,480:waittimer 136330 blt 0,0,640,480,0, 8797,640,480:waittimer 136345 blt 0,0,640,480,0, 8798,640,480:waittimer 136361 blt 0,0,640,480,0, 8799,640,480:waittimer 136376 blt 0,0,640,480,0, 8800,640,480:waittimer 136392 blt 0,0,640,480,0, 8801,640,480:waittimer 136407 blt 0,0,640,480,0, 8802,640,480:waittimer 136423 blt 0,0,640,480,0, 8803,640,480:waittimer 136438 blt 0,0,640,480,0, 8804,640,480:waittimer 136454 blt 0,0,640,480,0, 8805,640,480:waittimer 136469 blt 0,0,640,480,0, 8806,640,480:waittimer 136485 blt 0,0,640,480,0, 8807,640,480:waittimer 136500 blt 0,0,640,480,0, 8808,640,480:waittimer 136516 blt 0,0,640,480,0, 8809,640,480:waittimer 136531 blt 0,0,640,480,0, 8810,640,480:waittimer 136547 blt 0,0,640,480,0, 8811,640,480:waittimer 136562 blt 0,0,640,480,0, 8812,640,480:waittimer 136578 blt 0,0,640,480,0, 8813,640,480:waittimer 136593 blt 0,0,640,480,0, 8814,640,480:waittimer 136609 blt 0,0,640,480,0, 8815,640,480:waittimer 136624 blt 0,0,640,480,0, 8816,640,480:waittimer 136640 blt 0,0,640,480,0, 8817,640,480:waittimer 136655 blt 0,0,640,480,0, 8818,640,480:waittimer 136671 blt 0,0,640,480,0, 8819,640,480:waittimer 136686 blt 0,0,640,480,0, 8820,640,480:waittimer 136702 blt 0,0,640,480,0, 8821,640,480:waittimer 136717 blt 0,0,640,480,0, 8822,640,480:waittimer 136733 blt 0,0,640,480,0, 8823,640,480:waittimer 136748 blt 0,0,640,480,0, 8824,640,480:waittimer 136764 blt 0,0,640,480,0, 8825,640,480:waittimer 136779 blt 0,0,640,480,0, 8826,640,480:waittimer 136795 blt 0,0,640,480,0, 8827,640,480:waittimer 136810 blt 0,0,640,480,0, 8828,640,480:waittimer 136826 blt 0,0,640,480,0, 8829,640,480:waittimer 136841 blt 0,0,640,480,0, 8830,640,480:waittimer 136857 blt 0,0,640,480,0, 8831,640,480:waittimer 136872 blt 0,0,640,480,0, 8832,640,480:waittimer 136888 blt 0,0,640,480,0, 8833,640,480:waittimer 136903 blt 0,0,640,480,0, 8834,640,480:waittimer 136919 blt 0,0,640,480,0, 8835,640,480:waittimer 136934 blt 0,0,640,480,0, 8836,640,480:waittimer 136950 blt 0,0,640,480,0, 8837,640,480:waittimer 136965 blt 0,0,640,480,0, 8838,640,480:waittimer 136981 blt 0,0,640,480,0, 8839,640,480:waittimer 136996 blt 0,0,640,480,0, 8840,640,480:waittimer 137012 blt 0,0,640,480,0, 8841,640,480:waittimer 137027 blt 0,0,640,480,0, 8842,640,480:waittimer 137043 blt 0,0,640,480,0, 8843,640,480:waittimer 137058 blt 0,0,640,480,0, 8844,640,480:waittimer 137074 blt 0,0,640,480,0, 8845,640,480:waittimer 137089 blt 0,0,640,480,0, 8846,640,480:waittimer 137105 blt 0,0,640,480,0, 8847,640,480:waittimer 137120 blt 0,0,640,480,0, 8848,640,480:waittimer 137136 blt 0,0,640,480,0, 8849,640,480:waittimer 137151 blt 0,0,640,480,0, 8850,640,480:waittimer 137167 blt 0,0,640,480,0, 8851,640,480:waittimer 137182 blt 0,0,640,480,0, 8852,640,480:waittimer 137198 blt 0,0,640,480,0, 8853,640,480:waittimer 137213 blt 0,0,640,480,0, 8854,640,480:waittimer 137229 blt 0,0,640,480,0, 8855,640,480:waittimer 137244 blt 0,0,640,480,0, 8856,640,480:waittimer 137260 blt 0,0,640,480,0, 8857,640,480:waittimer 137275 blt 0,0,640,480,0, 8858,640,480:waittimer 137291 blt 0,0,640,480,0, 8859,640,480:waittimer 137306 blt 0,0,640,480,0, 8860,640,480:waittimer 137322 blt 0,0,640,480,0, 8861,640,480:waittimer 137337 blt 0,0,640,480,0, 8862,640,480:waittimer 137353 blt 0,0,640,480,0, 8863,640,480:waittimer 137368 blt 0,0,640,480,0, 8864,640,480:waittimer 137384 blt 0,0,640,480,0, 8865,640,480:waittimer 137399 blt 0,0,640,480,0, 8866,640,480:waittimer 137415 blt 0,0,640,480,0, 8867,640,480:waittimer 137430 blt 0,0,640,480,0, 8868,640,480:waittimer 137446 blt 0,0,640,480,0, 8869,640,480:waittimer 137461 blt 0,0,640,480,0, 8870,640,480:waittimer 137477 blt 0,0,640,480,0, 8871,640,480:waittimer 137492 blt 0,0,640,480,0, 8872,640,480:waittimer 137508 blt 0,0,640,480,0, 8873,640,480:waittimer 137523 blt 0,0,640,480,0, 8874,640,480:waittimer 137539 blt 0,0,640,480,0, 8875,640,480:waittimer 137554 blt 0,0,640,480,0, 8876,640,480:waittimer 137570 blt 0,0,640,480,0, 8877,640,480:waittimer 137585 blt 0,0,640,480,0, 8878,640,480:waittimer 137601 blt 0,0,640,480,0, 8879,640,480:waittimer 137616 blt 0,0,640,480,0, 8880,640,480:waittimer 137632 blt 0,0,640,480,0, 8881,640,480:waittimer 137647 blt 0,0,640,480,0, 8882,640,480:waittimer 137663 blt 0,0,640,480,0, 8883,640,480:waittimer 137678 blt 0,0,640,480,0, 8884,640,480:waittimer 137694 blt 0,0,640,480,0, 8885,640,480:waittimer 137709 blt 0,0,640,480,0, 8886,640,480:waittimer 137725 blt 0,0,640,480,0, 8887,640,480:waittimer 137740 blt 0,0,640,480,0, 8888,640,480:waittimer 137756 blt 0,0,640,480,0, 8889,640,480:waittimer 137771 blt 0,0,640,480,0, 8890,640,480:waittimer 137787 blt 0,0,640,480,0, 8891,640,480:waittimer 137802 blt 0,0,640,480,0, 8892,640,480:waittimer 137818 blt 0,0,640,480,0, 8893,640,480:waittimer 137833 blt 0,0,640,480,0, 8894,640,480:waittimer 137849 blt 0,0,640,480,0, 8895,640,480:waittimer 137864 blt 0,0,640,480,0, 8896,640,480:waittimer 137880 blt 0,0,640,480,0, 8897,640,480:waittimer 137895 blt 0,0,640,480,0, 8898,640,480:waittimer 137911 blt 0,0,640,480,0, 8899,640,480:waittimer 137926 blt 0,0,640,480,0, 8900,640,480:waittimer 137942 blt 0,0,640,480,0, 8901,640,480:waittimer 137957 blt 0,0,640,480,0, 8902,640,480:waittimer 137973 blt 0,0,640,480,0, 8903,640,480:waittimer 137988 blt 0,0,640,480,0, 8904,640,480:waittimer 138004 blt 0,0,640,480,0, 8905,640,480:waittimer 138019 blt 0,0,640,480,0, 8906,640,480:waittimer 138035 blt 0,0,640,480,0, 8907,640,480:waittimer 138050 blt 0,0,640,480,0, 8908,640,480:waittimer 138066 blt 0,0,640,480,0, 8909,640,480:waittimer 138081 blt 0,0,640,480,0, 8910,640,480:waittimer 138097 blt 0,0,640,480,0, 8911,640,480:waittimer 138112 blt 0,0,640,480,0, 8912,640,480:waittimer 138128 blt 0,0,640,480,0, 8913,640,480:waittimer 138143 blt 0,0,640,480,0, 8914,640,480:waittimer 138159 blt 0,0,640,480,0, 8915,640,480:waittimer 138174 blt 0,0,640,480,0, 8916,640,480:waittimer 138190 blt 0,0,640,480,0, 8917,640,480:waittimer 138205 blt 0,0,640,480,0, 8918,640,480:waittimer 138221 blt 0,0,640,480,0, 8919,640,480:waittimer 138236 blt 0,0,640,480,0, 8920,640,480:waittimer 138252 blt 0,0,640,480,0, 8921,640,480:waittimer 138267 blt 0,0,640,480,0, 8922,640,480:waittimer 138283 blt 0,0,640,480,0, 8923,640,480:waittimer 138298 blt 0,0,640,480,0, 8924,640,480:waittimer 138314 blt 0,0,640,480,0, 8925,640,480:waittimer 138329 blt 0,0,640,480,0, 8926,640,480:waittimer 138345 blt 0,0,640,480,0, 8927,640,480:waittimer 138360 blt 0,0,640,480,0, 8928,640,480:waittimer 138376 blt 0,0,640,480,0, 8929,640,480:waittimer 138391 blt 0,0,640,480,0, 8930,640,480:waittimer 138407 blt 0,0,640,480,0, 8931,640,480:waittimer 138422 blt 0,0,640,480,0, 8932,640,480:waittimer 138438 blt 0,0,640,480,0, 8933,640,480:waittimer 138453 blt 0,0,640,480,0, 8934,640,480:waittimer 138469 blt 0,0,640,480,0, 8935,640,480:waittimer 138484 blt 0,0,640,480,0, 8936,640,480:waittimer 138500 blt 0,0,640,480,0, 8937,640,480:waittimer 138515 blt 0,0,640,480,0, 8938,640,480:waittimer 138531 blt 0,0,640,480,0, 8939,640,480:waittimer 138546 blt 0,0,640,480,0, 8940,640,480:waittimer 138562 blt 0,0,640,480,0, 8941,640,480:waittimer 138577 blt 0,0,640,480,0, 8942,640,480:waittimer 138593 blt 0,0,640,480,0, 8943,640,480:waittimer 138608 blt 0,0,640,480,0, 8944,640,480:waittimer 138624 blt 0,0,640,480,0, 8945,640,480:waittimer 138639 blt 0,0,640,480,0, 8946,640,480:waittimer 138655 blt 0,0,640,480,0, 8947,640,480:waittimer 138670 blt 0,0,640,480,0, 8948,640,480:waittimer 138686 blt 0,0,640,480,0, 8949,640,480:waittimer 138701 blt 0,0,640,480,0, 8950,640,480:waittimer 138717 blt 0,0,640,480,0, 8951,640,480:waittimer 138732 blt 0,0,640,480,0, 8952,640,480:waittimer 138748 blt 0,0,640,480,0, 8953,640,480:waittimer 138763 blt 0,0,640,480,0, 8954,640,480:waittimer 138779 blt 0,0,640,480,0, 8955,640,480:waittimer 138794 blt 0,0,640,480,0, 8956,640,480:waittimer 138810 blt 0,0,640,480,0, 8957,640,480:waittimer 138825 blt 0,0,640,480,0, 8958,640,480:waittimer 138841 blt 0,0,640,480,0, 8959,640,480:waittimer 138856 blt 0,0,640,480,0, 8960,640,480:waittimer 138872 blt 0,0,640,480,0, 8961,640,480:waittimer 138887 blt 0,0,640,480,0, 8962,640,480:waittimer 138903 blt 0,0,640,480,0, 8963,640,480:waittimer 138918 blt 0,0,640,480,0, 8964,640,480:waittimer 138934 blt 0,0,640,480,0, 8965,640,480:waittimer 138949 blt 0,0,640,480,0, 8966,640,480:waittimer 138965 blt 0,0,640,480,0, 8967,640,480:waittimer 138980 blt 0,0,640,480,0, 8968,640,480:waittimer 138996 blt 0,0,640,480,0, 8969,640,480:waittimer 139011 blt 0,0,640,480,0, 8970,640,480:waittimer 139027 blt 0,0,640,480,0, 8971,640,480:waittimer 139042 blt 0,0,640,480,0, 8972,640,480:waittimer 139058 blt 0,0,640,480,0, 8973,640,480:waittimer 139073 blt 0,0,640,480,0, 8974,640,480:waittimer 139089 blt 0,0,640,480,0, 8975,640,480:waittimer 139104 blt 0,0,640,480,0, 8976,640,480:waittimer 139120 blt 0,0,640,480,0, 8977,640,480:waittimer 139135 blt 0,0,640,480,0, 8978,640,480:waittimer 139151 blt 0,0,640,480,0, 8979,640,480:waittimer 139166 blt 0,0,640,480,0, 8980,640,480:waittimer 139182 blt 0,0,640,480,0, 8981,640,480:waittimer 139197 blt 0,0,640,480,0, 8982,640,480:waittimer 139213 blt 0,0,640,480,0, 8983,640,480:waittimer 139228 blt 0,0,640,480,0, 8984,640,480:waittimer 139244 blt 0,0,640,480,0, 8985,640,480:waittimer 139259 blt 0,0,640,480,0, 8986,640,480:waittimer 139275 blt 0,0,640,480,0, 8987,640,480:waittimer 139290 blt 0,0,640,480,0, 8988,640,480:waittimer 139306 blt 0,0,640,480,0, 8989,640,480:waittimer 139321 blt 0,0,640,480,0, 8990,640,480:waittimer 139337 blt 0,0,640,480,0, 8991,640,480:waittimer 139352 blt 0,0,640,480,0, 8992,640,480:waittimer 139368 blt 0,0,640,480,0, 8993,640,480:waittimer 139383 blt 0,0,640,480,0, 8994,640,480:waittimer 139399 blt 0,0,640,480,0, 8995,640,480:waittimer 139414 blt 0,0,640,480,0, 8996,640,480:waittimer 139430 blt 0,0,640,480,0, 8997,640,480:waittimer 139445 blt 0,0,640,480,0, 8998,640,480:waittimer 139461 blt 0,0,640,480,0, 8999,640,480:waittimer 139476 blt 0,0,640,480,0, 9000,640,480:waittimer 139492 blt 0,0,640,480,0, 9001,640,480:waittimer 139507 blt 0,0,640,480,0, 9002,640,480:waittimer 139523 blt 0,0,640,480,0, 9003,640,480:waittimer 139538 blt 0,0,640,480,0, 9004,640,480:waittimer 139554 blt 0,0,640,480,0, 9005,640,480:waittimer 139569 blt 0,0,640,480,0, 9006,640,480:waittimer 139585 blt 0,0,640,480,0, 9007,640,480:waittimer 139600 blt 0,0,640,480,0, 9008,640,480:waittimer 139616 blt 0,0,640,480,0, 9009,640,480:waittimer 139631 blt 0,0,640,480,0, 9010,640,480:waittimer 139647 blt 0,0,640,480,0, 9011,640,480:waittimer 139662 blt 0,0,640,480,0, 9012,640,480:waittimer 139678 blt 0,0,640,480,0, 9013,640,480:waittimer 139693 blt 0,0,640,480,0, 9014,640,480:waittimer 139709 blt 0,0,640,480,0, 9015,640,480:waittimer 139724 blt 0,0,640,480,0, 9016,640,480:waittimer 139740 blt 0,0,640,480,0, 9017,640,480:waittimer 139755 blt 0,0,640,480,0, 9018,640,480:waittimer 139771 blt 0,0,640,480,0, 9019,640,480:waittimer 139786 blt 0,0,640,480,0, 9020,640,480:waittimer 139802 blt 0,0,640,480,0, 9021,640,480:waittimer 139817 blt 0,0,640,480,0, 9022,640,480:waittimer 139833 blt 0,0,640,480,0, 9023,640,480:waittimer 139848 blt 0,0,640,480,0, 9024,640,480:waittimer 139864 blt 0,0,640,480,0, 9025,640,480:waittimer 139879 blt 0,0,640,480,0, 9026,640,480:waittimer 139895 blt 0,0,640,480,0, 9027,640,480:waittimer 139910 blt 0,0,640,480,0, 9028,640,480:waittimer 139926 blt 0,0,640,480,0, 9029,640,480:waittimer 139941 blt 0,0,640,480,0, 9030,640,480:waittimer 139957 blt 0,0,640,480,0, 9031,640,480:waittimer 139972 blt 0,0,640,480,0, 9032,640,480:waittimer 139988 blt 0,0,640,480,0, 9033,640,480:waittimer 140003 blt 0,0,640,480,0, 9034,640,480:waittimer 140019 blt 0,0,640,480,0, 9035,640,480:waittimer 140034 blt 0,0,640,480,0, 9036,640,480:waittimer 140050 blt 0,0,640,480,0, 9037,640,480:waittimer 140065 blt 0,0,640,480,0, 9038,640,480:waittimer 140081 blt 0,0,640,480,0, 9039,640,480:waittimer 140096 blt 0,0,640,480,0, 9040,640,480:waittimer 140112 blt 0,0,640,480,0, 9041,640,480:waittimer 140127 blt 0,0,640,480,0, 9042,640,480:waittimer 140143 blt 0,0,640,480,0, 9043,640,480:waittimer 140158 blt 0,0,640,480,0, 9044,640,480:waittimer 140174 blt 0,0,640,480,0, 9045,640,480:waittimer 140189 blt 0,0,640,480,0, 9046,640,480:waittimer 140205 blt 0,0,640,480,0, 9047,640,480:waittimer 140220 blt 0,0,640,480,0, 9048,640,480:waittimer 140236 blt 0,0,640,480,0, 9049,640,480:waittimer 140251 blt 0,0,640,480,0, 9050,640,480:waittimer 140267 blt 0,0,640,480,0, 9051,640,480:waittimer 140282 blt 0,0,640,480,0, 9052,640,480:waittimer 140298 blt 0,0,640,480,0, 9053,640,480:waittimer 140313 blt 0,0,640,480,0, 9054,640,480:waittimer 140329 blt 0,0,640,480,0, 9055,640,480:waittimer 140344 blt 0,0,640,480,0, 9056,640,480:waittimer 140360 blt 0,0,640,480,0, 9057,640,480:waittimer 140375 blt 0,0,640,480,0, 9058,640,480:waittimer 140391 blt 0,0,640,480,0, 9059,640,480:waittimer 140406 blt 0,0,640,480,0, 9060,640,480:waittimer 140422 blt 0,0,640,480,0, 9061,640,480:waittimer 140437 blt 0,0,640,480,0, 9062,640,480:waittimer 140453 blt 0,0,640,480,0, 9063,640,480:waittimer 140468 blt 0,0,640,480,0, 9064,640,480:waittimer 140484 blt 0,0,640,480,0, 9065,640,480:waittimer 140499 blt 0,0,640,480,0, 9066,640,480:waittimer 140515 blt 0,0,640,480,0, 9067,640,480:waittimer 140530 blt 0,0,640,480,0, 9068,640,480:waittimer 140546 blt 0,0,640,480,0, 9069,640,480:waittimer 140561 blt 0,0,640,480,0, 9070,640,480:waittimer 140577 blt 0,0,640,480,0, 9071,640,480:waittimer 140592 blt 0,0,640,480,0, 9072,640,480:waittimer 140608 blt 0,0,640,480,0, 9073,640,480:waittimer 140623 blt 0,0,640,480,0, 9074,640,480:waittimer 140639 blt 0,0,640,480,0, 9075,640,480:waittimer 140654 blt 0,0,640,480,0, 9076,640,480:waittimer 140670 blt 0,0,640,480,0, 9077,640,480:waittimer 140685 blt 0,0,640,480,0, 9078,640,480:waittimer 140701 blt 0,0,640,480,0, 9079,640,480:waittimer 140716 blt 0,0,640,480,0, 9080,640,480:waittimer 140732 blt 0,0,640,480,0, 9081,640,480:waittimer 140747 blt 0,0,640,480,0, 9082,640,480:waittimer 140763 blt 0,0,640,480,0, 9083,640,480:waittimer 140778 blt 0,0,640,480,0, 9084,640,480:waittimer 140794 blt 0,0,640,480,0, 9085,640,480:waittimer 140809 blt 0,0,640,480,0, 9086,640,480:waittimer 140825 blt 0,0,640,480,0, 9087,640,480:waittimer 140840 blt 0,0,640,480,0, 9088,640,480:waittimer 140856 blt 0,0,640,480,0, 9089,640,480:waittimer 140871 blt 0,0,640,480,0, 9090,640,480:waittimer 140887 blt 0,0,640,480,0, 9091,640,480:waittimer 140902 blt 0,0,640,480,0, 9092,640,480:waittimer 140918 blt 0,0,640,480,0, 9093,640,480:waittimer 140933 blt 0,0,640,480,0, 9094,640,480:waittimer 140949 blt 0,0,640,480,0, 9095,640,480:waittimer 140964 blt 0,0,640,480,0, 9096,640,480:waittimer 140980 blt 0,0,640,480,0, 9097,640,480:waittimer 140995 blt 0,0,640,480,0, 9098,640,480:waittimer 141011 blt 0,0,640,480,0, 9099,640,480:waittimer 141026 blt 0,0,640,480,0, 9100,640,480:waittimer 141042 blt 0,0,640,480,0, 9101,640,480:waittimer 141057 blt 0,0,640,480,0, 9102,640,480:waittimer 141073 blt 0,0,640,480,0, 9103,640,480:waittimer 141088 blt 0,0,640,480,0, 9104,640,480:waittimer 141104 blt 0,0,640,480,0, 9105,640,480:waittimer 141119 blt 0,0,640,480,0, 9106,640,480:waittimer 141135 blt 0,0,640,480,0, 9107,640,480:waittimer 141150 blt 0,0,640,480,0, 9108,640,480:waittimer 141166 blt 0,0,640,480,0, 9109,640,480:waittimer 141181 blt 0,0,640,480,0, 9110,640,480:waittimer 141197 blt 0,0,640,480,0, 9111,640,480:waittimer 141212 blt 0,0,640,480,0, 9112,640,480:waittimer 141228 blt 0,0,640,480,0, 9113,640,480:waittimer 141243 blt 0,0,640,480,0, 9114,640,480:waittimer 141259 blt 0,0,640,480,0, 9115,640,480:waittimer 141274 blt 0,0,640,480,0, 9116,640,480:waittimer 141290 blt 0,0,640,480,0, 9117,640,480:waittimer 141305 blt 0,0,640,480,0, 9118,640,480:waittimer 141321 blt 0,0,640,480,0, 9119,640,480:waittimer 141336 blt 0,0,640,480,0, 9120,640,480:waittimer 141352 blt 0,0,640,480,0, 9121,640,480:waittimer 141367 blt 0,0,640,480,0, 9122,640,480:waittimer 141383 blt 0,0,640,480,0, 9123,640,480:waittimer 141398 blt 0,0,640,480,0, 9124,640,480:waittimer 141414 blt 0,0,640,480,0, 9125,640,480:waittimer 141429 blt 0,0,640,480,0, 9126,640,480:waittimer 141445 blt 0,0,640,480,0, 9127,640,480:waittimer 141460 blt 0,0,640,480,0, 9128,640,480:waittimer 141476 blt 0,0,640,480,0, 9129,640,480:waittimer 141491 blt 0,0,640,480,0, 9130,640,480:waittimer 141507 blt 0,0,640,480,0, 9131,640,480:waittimer 141522 blt 0,0,640,480,0, 9132,640,480:waittimer 141538 blt 0,0,640,480,0, 9133,640,480:waittimer 141553 blt 0,0,640,480,0, 9134,640,480:waittimer 141569 blt 0,0,640,480,0, 9135,640,480:waittimer 141584 blt 0,0,640,480,0, 9136,640,480:waittimer 141600 blt 0,0,640,480,0, 9137,640,480:waittimer 141615 blt 0,0,640,480,0, 9138,640,480:waittimer 141630 blt 0,0,640,480,0, 9139,640,480:waittimer 141646 blt 0,0,640,480,0, 9140,640,480:waittimer 141661 blt 0,0,640,480,0, 9141,640,480:waittimer 141677 blt 0,0,640,480,0, 9142,640,480:waittimer 141692 blt 0,0,640,480,0, 9143,640,480:waittimer 141708 blt 0,0,640,480,0, 9144,640,480:waittimer 141723 blt 0,0,640,480,0, 9145,640,480:waittimer 141739 blt 0,0,640,480,0, 9146,640,480:waittimer 141754 blt 0,0,640,480,0, 9147,640,480:waittimer 141770 blt 0,0,640,480,0, 9148,640,480:waittimer 141785 blt 0,0,640,480,0, 9149,640,480:waittimer 141801 blt 0,0,640,480,0, 9150,640,480:waittimer 141816 blt 0,0,640,480,0, 9151,640,480:waittimer 141832 blt 0,0,640,480,0, 9152,640,480:waittimer 141847 blt 0,0,640,480,0, 9153,640,480:waittimer 141863 blt 0,0,640,480,0, 9154,640,480:waittimer 141878 blt 0,0,640,480,0, 9155,640,480:waittimer 141894 blt 0,0,640,480,0, 9156,640,480:waittimer 141909 blt 0,0,640,480,0, 9157,640,480:waittimer 141925 blt 0,0,640,480,0, 9158,640,480:waittimer 141940 blt 0,0,640,480,0, 9159,640,480:waittimer 141956 blt 0,0,640,480,0, 9160,640,480:waittimer 141971 blt 0,0,640,480,0, 9161,640,480:waittimer 141987 blt 0,0,640,480,0, 9162,640,480:waittimer 142002 blt 0,0,640,480,0, 9163,640,480:waittimer 142018 blt 0,0,640,480,0, 9164,640,480:waittimer 142033 blt 0,0,640,480,0, 9165,640,480:waittimer 142049 blt 0,0,640,480,0, 9166,640,480:waittimer 142064 blt 0,0,640,480,0, 9167,640,480:waittimer 142080 blt 0,0,640,480,0, 9168,640,480:waittimer 142095 blt 0,0,640,480,0, 9169,640,480:waittimer 142111 blt 0,0,640,480,0, 9170,640,480:waittimer 142126 blt 0,0,640,480,0, 9171,640,480:waittimer 142142 blt 0,0,640,480,0, 9172,640,480:waittimer 142157 blt 0,0,640,480,0, 9173,640,480:waittimer 142173 blt 0,0,640,480,0, 9174,640,480:waittimer 142188 blt 0,0,640,480,0, 9175,640,480:waittimer 142204 blt 0,0,640,480,0, 9176,640,480:waittimer 142219 blt 0,0,640,480,0, 9177,640,480:waittimer 142235 blt 0,0,640,480,0, 9178,640,480:waittimer 142250 blt 0,0,640,480,0, 9179,640,480:waittimer 142266 blt 0,0,640,480,0, 9180,640,480:waittimer 142281 blt 0,0,640,480,0, 9181,640,480:waittimer 142297 blt 0,0,640,480,0, 9182,640,480:waittimer 142312 blt 0,0,640,480,0, 9183,640,480:waittimer 142328 blt 0,0,640,480,0, 9184,640,480:waittimer 142343 blt 0,0,640,480,0, 9185,640,480:waittimer 142359 blt 0,0,640,480,0, 9186,640,480:waittimer 142374 blt 0,0,640,480,0, 9187,640,480:waittimer 142390 blt 0,0,640,480,0, 9188,640,480:waittimer 142405 blt 0,0,640,480,0, 9189,640,480:waittimer 142421 blt 0,0,640,480,0, 9190,640,480:waittimer 142436 blt 0,0,640,480,0, 9191,640,480:waittimer 142452 blt 0,0,640,480,0, 9192,640,480:waittimer 142467 blt 0,0,640,480,0, 9193,640,480:waittimer 142483 blt 0,0,640,480,0, 9194,640,480:waittimer 142498 blt 0,0,640,480,0, 9195,640,480:waittimer 142514 blt 0,0,640,480,0, 9196,640,480:waittimer 142529 blt 0,0,640,480,0, 9197,640,480:waittimer 142545 blt 0,0,640,480,0, 9198,640,480:waittimer 142560 blt 0,0,640,480,0, 9199,640,480:waittimer 142576 blt 0,0,640,480,0, 9200,640,480:waittimer 142591 blt 0,0,640,480,0, 9201,640,480:waittimer 142607 blt 0,0,640,480,0, 9202,640,480:waittimer 142622 blt 0,0,640,480,0, 9203,640,480:waittimer 142638 blt 0,0,640,480,0, 9204,640,480:waittimer 142653 blt 0,0,640,480,0, 9205,640,480:waittimer 142669 blt 0,0,640,480,0, 9206,640,480:waittimer 142684 blt 0,0,640,480,0, 9207,640,480:waittimer 142700 blt 0,0,640,480,0, 9208,640,480:waittimer 142715 blt 0,0,640,480,0, 9209,640,480:waittimer 142731 blt 0,0,640,480,0, 9210,640,480:waittimer 142746 blt 0,0,640,480,0, 9211,640,480:waittimer 142762 blt 0,0,640,480,0, 9212,640,480:waittimer 142777 blt 0,0,640,480,0, 9213,640,480:waittimer 142793 blt 0,0,640,480,0, 9214,640,480:waittimer 142808 blt 0,0,640,480,0, 9215,640,480:waittimer 142824 blt 0,0,640,480,0, 9216,640,480:waittimer 142839 blt 0,0,640,480,0, 9217,640,480:waittimer 142855 blt 0,0,640,480,0, 9218,640,480:waittimer 142870 blt 0,0,640,480,0, 9219,640,480:waittimer 142886 blt 0,0,640,480,0, 9220,640,480:waittimer 142901 blt 0,0,640,480,0, 9221,640,480:waittimer 142917 blt 0,0,640,480,0, 9222,640,480:waittimer 142932 blt 0,0,640,480,0, 9223,640,480:waittimer 142948 blt 0,0,640,480,0, 9224,640,480:waittimer 142963 blt 0,0,640,480,0, 9225,640,480:waittimer 142979 blt 0,0,640,480,0, 9226,640,480:waittimer 142994 blt 0,0,640,480,0, 9227,640,480:waittimer 143010 blt 0,0,640,480,0, 9228,640,480:waittimer 143025 blt 0,0,640,480,0, 9229,640,480:waittimer 143041 blt 0,0,640,480,0, 9230,640,480:waittimer 143056 blt 0,0,640,480,0, 9231,640,480:waittimer 143072 blt 0,0,640,480,0, 9232,640,480:waittimer 143087 blt 0,0,640,480,0, 9233,640,480:waittimer 143103 blt 0,0,640,480,0, 9234,640,480:waittimer 143118 blt 0,0,640,480,0, 9235,640,480:waittimer 143134 blt 0,0,640,480,0, 9236,640,480:waittimer 143149 blt 0,0,640,480,0, 9237,640,480:waittimer 143165 blt 0,0,640,480,0, 9238,640,480:waittimer 143180 blt 0,0,640,480,0, 9239,640,480:waittimer 143196 blt 0,0,640,480,0, 9240,640,480:waittimer 143211 blt 0,0,640,480,0, 9241,640,480:waittimer 143227 blt 0,0,640,480,0, 9242,640,480:waittimer 143242 blt 0,0,640,480,0, 9243,640,480:waittimer 143258 blt 0,0,640,480,0, 9244,640,480:waittimer 143273 blt 0,0,640,480,0, 9245,640,480:waittimer 143289 blt 0,0,640,480,0, 9246,640,480:waittimer 143304 blt 0,0,640,480,0, 9247,640,480:waittimer 143320 blt 0,0,640,480,0, 9248,640,480:waittimer 143335 blt 0,0,640,480,0, 9249,640,480:waittimer 143351 blt 0,0,640,480,0, 9250,640,480:waittimer 143366 blt 0,0,640,480,0, 9251,640,480:waittimer 143382 blt 0,0,640,480,0, 9252,640,480:waittimer 143397 blt 0,0,640,480,0, 9253,640,480:waittimer 143413 blt 0,0,640,480,0, 9254,640,480:waittimer 143428 blt 0,0,640,480,0, 9255,640,480:waittimer 143444 blt 0,0,640,480,0, 9256,640,480:waittimer 143459 blt 0,0,640,480,0, 9257,640,480:waittimer 143475 blt 0,0,640,480,0, 9258,640,480:waittimer 143490 blt 0,0,640,480,0, 9259,640,480:waittimer 143506 blt 0,0,640,480,0, 9260,640,480:waittimer 143521 blt 0,0,640,480,0, 9261,640,480:waittimer 143537 blt 0,0,640,480,0, 9262,640,480:waittimer 143552 blt 0,0,640,480,0, 9263,640,480:waittimer 143568 blt 0,0,640,480,0, 9264,640,480:waittimer 143583 blt 0,0,640,480,0, 9265,640,480:waittimer 143599 blt 0,0,640,480,0, 9266,640,480:waittimer 143614 blt 0,0,640,480,0, 9267,640,480:waittimer 143630 blt 0,0,640,480,0, 9268,640,480:waittimer 143645 blt 0,0,640,480,0, 9269,640,480:waittimer 143661 blt 0,0,640,480,0, 9270,640,480:waittimer 143676 blt 0,0,640,480,0, 9271,640,480:waittimer 143692 blt 0,0,640,480,0, 9272,640,480:waittimer 143707 blt 0,0,640,480,0, 9273,640,480:waittimer 143723 blt 0,0,640,480,0, 9274,640,480:waittimer 143738 blt 0,0,640,480,0, 9275,640,480:waittimer 143754 blt 0,0,640,480,0, 9276,640,480:waittimer 143769 blt 0,0,640,480,0, 9277,640,480:waittimer 143785 blt 0,0,640,480,0, 9278,640,480:waittimer 143800 blt 0,0,640,480,0, 9279,640,480:waittimer 143816 blt 0,0,640,480,0, 9280,640,480:waittimer 143831 blt 0,0,640,480,0, 9281,640,480:waittimer 143847 blt 0,0,640,480,0, 9282,640,480:waittimer 143862 blt 0,0,640,480,0, 9283,640,480:waittimer 143878 blt 0,0,640,480,0, 9284,640,480:waittimer 143893 blt 0,0,640,480,0, 9285,640,480:waittimer 143909 blt 0,0,640,480,0, 9286,640,480:waittimer 143924 blt 0,0,640,480,0, 9287,640,480:waittimer 143940 blt 0,0,640,480,0, 9288,640,480:waittimer 143955 blt 0,0,640,480,0, 9289,640,480:waittimer 143971 blt 0,0,640,480,0, 9290,640,480:waittimer 143986 blt 0,0,640,480,0, 9291,640,480:waittimer 144002 blt 0,0,640,480,0, 9292,640,480:waittimer 144017 blt 0,0,640,480,0, 9293,640,480:waittimer 144033 blt 0,0,640,480,0, 9294,640,480:waittimer 144048 blt 0,0,640,480,0, 9295,640,480:waittimer 144064 blt 0,0,640,480,0, 9296,640,480:waittimer 144079 blt 0,0,640,480,0, 9297,640,480:waittimer 144095 blt 0,0,640,480,0, 9298,640,480:waittimer 144110 blt 0,0,640,480,0, 9299,640,480:waittimer 144126 blt 0,0,640,480,0, 9300,640,480:waittimer 144141 blt 0,0,640,480,0, 9301,640,480:waittimer 144157 blt 0,0,640,480,0, 9302,640,480:waittimer 144172 blt 0,0,640,480,0, 9303,640,480:waittimer 144188 blt 0,0,640,480,0, 9304,640,480:waittimer 144203 blt 0,0,640,480,0, 9305,640,480:waittimer 144219 blt 0,0,640,480,0, 9306,640,480:waittimer 144234 blt 0,0,640,480,0, 9307,640,480:waittimer 144250 blt 0,0,640,480,0, 9308,640,480:waittimer 144265 blt 0,0,640,480,0, 9309,640,480:waittimer 144281 blt 0,0,640,480,0, 9310,640,480:waittimer 144296 blt 0,0,640,480,0, 9311,640,480:waittimer 144312 blt 0,0,640,480,0, 9312,640,480:waittimer 144327 blt 0,0,640,480,0, 9313,640,480:waittimer 144343 blt 0,0,640,480,0, 9314,640,480:waittimer 144358 blt 0,0,640,480,0, 9315,640,480:waittimer 144374 blt 0,0,640,480,0, 9316,640,480:waittimer 144389 blt 0,0,640,480,0, 9317,640,480:waittimer 144405 blt 0,0,640,480,0, 9318,640,480:waittimer 144420 blt 0,0,640,480,0, 9319,640,480:waittimer 144436 blt 0,0,640,480,0, 9320,640,480:waittimer 144451 blt 0,0,640,480,0, 9321,640,480:waittimer 144467 blt 0,0,640,480,0, 9322,640,480:waittimer 144482 blt 0,0,640,480,0, 9323,640,480:waittimer 144498 blt 0,0,640,480,0, 9324,640,480:waittimer 144513 blt 0,0,640,480,0, 9325,640,480:waittimer 144529 blt 0,0,640,480,0, 9326,640,480:waittimer 144544 blt 0,0,640,480,0, 9327,640,480:waittimer 144560 blt 0,0,640,480,0, 9328,640,480:waittimer 144575 blt 0,0,640,480,0, 9329,640,480:waittimer 144591 blt 0,0,640,480,0, 9330,640,480:waittimer 144606 blt 0,0,640,480,0, 9331,640,480:waittimer 144622 blt 0,0,640,480,0, 9332,640,480:waittimer 144637 blt 0,0,640,480,0, 9333,640,480:waittimer 144653 blt 0,0,640,480,0, 9334,640,480:waittimer 144668 blt 0,0,640,480,0, 9335,640,480:waittimer 144684 blt 0,0,640,480,0, 9336,640,480:waittimer 144699 blt 0,0,640,480,0, 9337,640,480:waittimer 144715 blt 0,0,640,480,0, 9338,640,480:waittimer 144730 blt 0,0,640,480,0, 9339,640,480:waittimer 144746 blt 0,0,640,480,0, 9340,640,480:waittimer 144761 blt 0,0,640,480,0, 9341,640,480:waittimer 144777 blt 0,0,640,480,0, 9342,640,480:waittimer 144792 blt 0,0,640,480,0, 9343,640,480:waittimer 144808 blt 0,0,640,480,0, 9344,640,480:waittimer 144823 blt 0,0,640,480,0, 9345,640,480:waittimer 144839 blt 0,0,640,480,0, 9346,640,480:waittimer 144854 blt 0,0,640,480,0, 9347,640,480:waittimer 144870 blt 0,0,640,480,0, 9348,640,480:waittimer 144885 blt 0,0,640,480,0, 9349,640,480:waittimer 144901 blt 0,0,640,480,0, 9350,640,480:waittimer 144916 blt 0,0,640,480,0, 9351,640,480:waittimer 144932 blt 0,0,640,480,0, 9352,640,480:waittimer 144947 blt 0,0,640,480,0, 9353,640,480:waittimer 144963 blt 0,0,640,480,0, 9354,640,480:waittimer 144978 blt 0,0,640,480,0, 9355,640,480:waittimer 144994 blt 0,0,640,480,0, 9356,640,480:waittimer 145009 blt 0,0,640,480,0, 9357,640,480:waittimer 145025 blt 0,0,640,480,0, 9358,640,480:waittimer 145040 blt 0,0,640,480,0, 9359,640,480:waittimer 145056 blt 0,0,640,480,0, 9360,640,480:waittimer 145071 blt 0,0,640,480,0, 9361,640,480:waittimer 145087 blt 0,0,640,480,0, 9362,640,480:waittimer 145102 blt 0,0,640,480,0, 9363,640,480:waittimer 145118 blt 0,0,640,480,0, 9364,640,480:waittimer 145133 blt 0,0,640,480,0, 9365,640,480:waittimer 145149 blt 0,0,640,480,0, 9366,640,480:waittimer 145164 blt 0,0,640,480,0, 9367,640,480:waittimer 145180 blt 0,0,640,480,0, 9368,640,480:waittimer 145195 blt 0,0,640,480,0, 9369,640,480:waittimer 145211 blt 0,0,640,480,0, 9370,640,480:waittimer 145226 blt 0,0,640,480,0, 9371,640,480:waittimer 145242 blt 0,0,640,480,0, 9372,640,480:waittimer 145257 blt 0,0,640,480,0, 9373,640,480:waittimer 145273 blt 0,0,640,480,0, 9374,640,480:waittimer 145288 blt 0,0,640,480,0, 9375,640,480:waittimer 145304 blt 0,0,640,480,0, 9376,640,480:waittimer 145319 blt 0,0,640,480,0, 9377,640,480:waittimer 145335 blt 0,0,640,480,0, 9378,640,480:waittimer 145350 blt 0,0,640,480,0, 9379,640,480:waittimer 145366 blt 0,0,640,480,0, 9380,640,480:waittimer 145381 blt 0,0,640,480,0, 9381,640,480:waittimer 145397 blt 0,0,640,480,0, 9382,640,480:waittimer 145412 blt 0,0,640,480,0, 9383,640,480:waittimer 145428 blt 0,0,640,480,0, 9384,640,480:waittimer 145443 blt 0,0,640,480,0, 9385,640,480:waittimer 145459 blt 0,0,640,480,0, 9386,640,480:waittimer 145474 blt 0,0,640,480,0, 9387,640,480:waittimer 145490 blt 0,0,640,480,0, 9388,640,480:waittimer 145505 blt 0,0,640,480,0, 9389,640,480:waittimer 145521 blt 0,0,640,480,0, 9390,640,480:waittimer 145536 blt 0,0,640,480,0, 9391,640,480:waittimer 145552 blt 0,0,640,480,0, 9392,640,480:waittimer 145567 blt 0,0,640,480,0, 9393,640,480:waittimer 145583 blt 0,0,640,480,0, 9394,640,480:waittimer 145598 blt 0,0,640,480,0, 9395,640,480:waittimer 145614 blt 0,0,640,480,0, 9396,640,480:waittimer 145629 blt 0,0,640,480,0, 9397,640,480:waittimer 145645 blt 0,0,640,480,0, 9398,640,480:waittimer 145660 blt 0,0,640,480,0, 9399,640,480:waittimer 145676 blt 0,0,640,480,0, 9400,640,480:waittimer 145691 blt 0,0,640,480,0, 9401,640,480:waittimer 145707 blt 0,0,640,480,0, 9402,640,480:waittimer 145722 blt 0,0,640,480,0, 9403,640,480:waittimer 145738 blt 0,0,640,480,0, 9404,640,480:waittimer 145753 blt 0,0,640,480,0, 9405,640,480:waittimer 145769 blt 0,0,640,480,0, 9406,640,480:waittimer 145784 blt 0,0,640,480,0, 9407,640,480:waittimer 145800 blt 0,0,640,480,0, 9408,640,480:waittimer 145815 blt 0,0,640,480,0, 9409,640,480:waittimer 145831 blt 0,0,640,480,0, 9410,640,480:waittimer 145846 blt 0,0,640,480,0, 9411,640,480:waittimer 145862 blt 0,0,640,480,0, 9412,640,480:waittimer 145877 blt 0,0,640,480,0, 9413,640,480:waittimer 145893 blt 0,0,640,480,0, 9414,640,480:waittimer 145908 blt 0,0,640,480,0, 9415,640,480:waittimer 145924 blt 0,0,640,480,0, 9416,640,480:waittimer 145939 blt 0,0,640,480,0, 9417,640,480:waittimer 145955 blt 0,0,640,480,0, 9418,640,480:waittimer 145970 blt 0,0,640,480,0, 9419,640,480:waittimer 145986 blt 0,0,640,480,0, 9420,640,480:waittimer 146001 blt 0,0,640,480,0, 9421,640,480:waittimer 146017 blt 0,0,640,480,0, 9422,640,480:waittimer 146032 blt 0,0,640,480,0, 9423,640,480:waittimer 146048 blt 0,0,640,480,0, 9424,640,480:waittimer 146063 blt 0,0,640,480,0, 9425,640,480:waittimer 146079 blt 0,0,640,480,0, 9426,640,480:waittimer 146094 blt 0,0,640,480,0, 9427,640,480:waittimer 146110 blt 0,0,640,480,0, 9428,640,480:waittimer 146125 blt 0,0,640,480,0, 9429,640,480:waittimer 146141 blt 0,0,640,480,0, 9430,640,480:waittimer 146156 blt 0,0,640,480,0, 9431,640,480:waittimer 146172 blt 0,0,640,480,0, 9432,640,480:waittimer 146187 blt 0,0,640,480,0, 9433,640,480:waittimer 146203 blt 0,0,640,480,0, 9434,640,480:waittimer 146218 blt 0,0,640,480,0, 9435,640,480:waittimer 146234 blt 0,0,640,480,0, 9436,640,480:waittimer 146249 blt 0,0,640,480,0, 9437,640,480:waittimer 146265 blt 0,0,640,480,0, 9438,640,480:waittimer 146280 blt 0,0,640,480,0, 9439,640,480:waittimer 146296 blt 0,0,640,480,0, 9440,640,480:waittimer 146311 blt 0,0,640,480,0, 9441,640,480:waittimer 146327 blt 0,0,640,480,0, 9442,640,480:waittimer 146342 blt 0,0,640,480,0, 9443,640,480:waittimer 146358 blt 0,0,640,480,0, 9444,640,480:waittimer 146373 blt 0,0,640,480,0, 9445,640,480:waittimer 146389 blt 0,0,640,480,0, 9446,640,480:waittimer 146404 blt 0,0,640,480,0, 9447,640,480:waittimer 146420 blt 0,0,640,480,0, 9448,640,480:waittimer 146435 blt 0,0,640,480,0, 9449,640,480:waittimer 146451 blt 0,0,640,480,0, 9450,640,480:waittimer 146466 blt 0,0,640,480,0, 9451,640,480:waittimer 146482 blt 0,0,640,480,0, 9452,640,480:waittimer 146497 blt 0,0,640,480,0, 9453,640,480:waittimer 146513 blt 0,0,640,480,0, 9454,640,480:waittimer 146528 blt 0,0,640,480,0, 9455,640,480:waittimer 146544 blt 0,0,640,480,0, 9456,640,480:waittimer 146559 blt 0,0,640,480,0, 9457,640,480:waittimer 146575 blt 0,0,640,480,0, 9458,640,480:waittimer 146590 blt 0,0,640,480,0, 9459,640,480:waittimer 146606 blt 0,0,640,480,0, 9460,640,480:waittimer 146621 blt 0,0,640,480,0, 9461,640,480:waittimer 146637 blt 0,0,640,480,0, 9462,640,480:waittimer 146652 blt 0,0,640,480,0, 9463,640,480:waittimer 146668 blt 0,0,640,480,0, 9464,640,480:waittimer 146683 blt 0,0,640,480,0, 9465,640,480:waittimer 146699 blt 0,0,640,480,0, 9466,640,480:waittimer 146714 blt 0,0,640,480,0, 9467,640,480:waittimer 146730 blt 0,0,640,480,0, 9468,640,480:waittimer 146745 blt 0,0,640,480,0, 9469,640,480:waittimer 146761 blt 0,0,640,480,0, 9470,640,480:waittimer 146776 blt 0,0,640,480,0, 9471,640,480:waittimer 146792 blt 0,0,640,480,0, 9472,640,480:waittimer 146807 blt 0,0,640,480,0, 9473,640,480:waittimer 146823 blt 0,0,640,480,0, 9474,640,480:waittimer 146838 blt 0,0,640,480,0, 9475,640,480:waittimer 146854 blt 0,0,640,480,0, 9476,640,480:waittimer 146869 blt 0,0,640,480,0, 9477,640,480:waittimer 146885 blt 0,0,640,480,0, 9478,640,480:waittimer 146900 blt 0,0,640,480,0, 9479,640,480:waittimer 146916 blt 0,0,640,480,0, 9480,640,480:waittimer 146931 blt 0,0,640,480,0, 9481,640,480:waittimer 146947 blt 0,0,640,480,0, 9482,640,480:waittimer 146962 blt 0,0,640,480,0, 9483,640,480:waittimer 146978 blt 0,0,640,480,0, 9484,640,480:waittimer 146993 blt 0,0,640,480,0, 9485,640,480:waittimer 147009 blt 0,0,640,480,0, 9486,640,480:waittimer 147024 blt 0,0,640,480,0, 9487,640,480:waittimer 147040 blt 0,0,640,480,0, 9488,640,480:waittimer 147055 blt 0,0,640,480,0, 9489,640,480:waittimer 147071 blt 0,0,640,480,0, 9490,640,480:waittimer 147086 blt 0,0,640,480,0, 9491,640,480:waittimer 147102 blt 0,0,640,480,0, 9492,640,480:waittimer 147117 blt 0,0,640,480,0, 9493,640,480:waittimer 147133 blt 0,0,640,480,0, 9494,640,480:waittimer 147148 blt 0,0,640,480,0, 9495,640,480:waittimer 147164 blt 0,0,640,480,0, 9496,640,480:waittimer 147179 blt 0,0,640,480,0, 9497,640,480:waittimer 147195 blt 0,0,640,480,0, 9498,640,480:waittimer 147210 blt 0,0,640,480,0, 9499,640,480:waittimer 147226 blt 0,0,640,480,0, 9500,640,480:waittimer 147241 blt 0,0,640,480,0, 9501,640,480:waittimer 147257 blt 0,0,640,480,0, 9502,640,480:waittimer 147272 blt 0,0,640,480,0, 9503,640,480:waittimer 147288 blt 0,0,640,480,0, 9504,640,480:waittimer 147303 blt 0,0,640,480,0, 9505,640,480:waittimer 147319 blt 0,0,640,480,0, 9506,640,480:waittimer 147334 blt 0,0,640,480,0, 9507,640,480:waittimer 147350 blt 0,0,640,480,0, 9508,640,480:waittimer 147365 blt 0,0,640,480,0, 9509,640,480:waittimer 147381 blt 0,0,640,480,0, 9510,640,480:waittimer 147396 blt 0,0,640,480,0, 9511,640,480:waittimer 147412 blt 0,0,640,480,0, 9512,640,480:waittimer 147427 blt 0,0,640,480,0, 9513,640,480:waittimer 147443 blt 0,0,640,480,0, 9514,640,480:waittimer 147458 blt 0,0,640,480,0, 9515,640,480:waittimer 147474 blt 0,0,640,480,0, 9516,640,480:waittimer 147489 blt 0,0,640,480,0, 9517,640,480:waittimer 147505 blt 0,0,640,480,0, 9518,640,480:waittimer 147520 blt 0,0,640,480,0, 9519,640,480:waittimer 147536 blt 0,0,640,480,0, 9520,640,480:waittimer 147551 blt 0,0,640,480,0, 9521,640,480:waittimer 147567 blt 0,0,640,480,0, 9522,640,480:waittimer 147582 blt 0,0,640,480,0, 9523,640,480:waittimer 147598 blt 0,0,640,480,0, 9524,640,480:waittimer 147613 blt 0,0,640,480,0, 9525,640,480:waittimer 147629 blt 0,0,640,480,0, 9526,640,480:waittimer 147644 blt 0,0,640,480,0, 9527,640,480:waittimer 147660 blt 0,0,640,480,0, 9528,640,480:waittimer 147675 blt 0,0,640,480,0, 9529,640,480:waittimer 147691 blt 0,0,640,480,0, 9530,640,480:waittimer 147706 blt 0,0,640,480,0, 9531,640,480:waittimer 147722 blt 0,0,640,480,0, 9532,640,480:waittimer 147737 blt 0,0,640,480,0, 9533,640,480:waittimer 147753 blt 0,0,640,480,0, 9534,640,480:waittimer 147768 blt 0,0,640,480,0, 9535,640,480:waittimer 147784 blt 0,0,640,480,0, 9536,640,480:waittimer 147799 blt 0,0,640,480,0, 9537,640,480:waittimer 147815 blt 0,0,640,480,0, 9538,640,480:waittimer 147830 blt 0,0,640,480,0, 9539,640,480:waittimer 147846 blt 0,0,640,480,0, 9540,640,480:waittimer 147861 blt 0,0,640,480,0, 9541,640,480:waittimer 147877 blt 0,0,640,480,0, 9542,640,480:waittimer 147892 blt 0,0,640,480,0, 9543,640,480:waittimer 147908 blt 0,0,640,480,0, 9544,640,480:waittimer 147923 blt 0,0,640,480,0, 9545,640,480:waittimer 147939 blt 0,0,640,480,0, 9546,640,480:waittimer 147954 blt 0,0,640,480,0, 9547,640,480:waittimer 147970 blt 0,0,640,480,0, 9548,640,480:waittimer 147985 blt 0,0,640,480,0, 9549,640,480:waittimer 148001 blt 0,0,640,480,0, 9550,640,480:waittimer 148016 blt 0,0,640,480,0, 9551,640,480:waittimer 148032 blt 0,0,640,480,0, 9552,640,480:waittimer 148047 blt 0,0,640,480,0, 9553,640,480:waittimer 148063 blt 0,0,640,480,0, 9554,640,480:waittimer 148078 blt 0,0,640,480,0, 9555,640,480:waittimer 148094 blt 0,0,640,480,0, 9556,640,480:waittimer 148109 blt 0,0,640,480,0, 9557,640,480:waittimer 148125 blt 0,0,640,480,0, 9558,640,480:waittimer 148140 blt 0,0,640,480,0, 9559,640,480:waittimer 148156 blt 0,0,640,480,0, 9560,640,480:waittimer 148171 blt 0,0,640,480,0, 9561,640,480:waittimer 148187 blt 0,0,640,480,0, 9562,640,480:waittimer 148202 blt 0,0,640,480,0, 9563,640,480:waittimer 148218 blt 0,0,640,480,0, 9564,640,480:waittimer 148233 blt 0,0,640,480,0, 9565,640,480:waittimer 148249 blt 0,0,640,480,0, 9566,640,480:waittimer 148264 blt 0,0,640,480,0, 9567,640,480:waittimer 148280 blt 0,0,640,480,0, 9568,640,480:waittimer 148295 blt 0,0,640,480,0, 9569,640,480:waittimer 148311 blt 0,0,640,480,0, 9570,640,480:waittimer 148326 blt 0,0,640,480,0, 9571,640,480:waittimer 148342 blt 0,0,640,480,0, 9572,640,480:waittimer 148357 blt 0,0,640,480,0, 9573,640,480:waittimer 148373 blt 0,0,640,480,0, 9574,640,480:waittimer 148388 blt 0,0,640,480,0, 9575,640,480:waittimer 148404 blt 0,0,640,480,0, 9576,640,480:waittimer 148419 blt 0,0,640,480,0, 9577,640,480:waittimer 148435 blt 0,0,640,480,0, 9578,640,480:waittimer 148450 blt 0,0,640,480,0, 9579,640,480:waittimer 148466 blt 0,0,640,480,0, 9580,640,480:waittimer 148481 blt 0,0,640,480,0, 9581,640,480:waittimer 148497 blt 0,0,640,480,0, 9582,640,480:waittimer 148512 blt 0,0,640,480,0, 9583,640,480:waittimer 148528 blt 0,0,640,480,0, 9584,640,480:waittimer 148543 blt 0,0,640,480,0, 9585,640,480:waittimer 148559 blt 0,0,640,480,0, 9586,640,480:waittimer 148574 blt 0,0,640,480,0, 9587,640,480:waittimer 148590 blt 0,0,640,480,0, 9588,640,480:waittimer 148605 blt 0,0,640,480,0, 9589,640,480:waittimer 148621 blt 0,0,640,480,0, 9590,640,480:waittimer 148636 blt 0,0,640,480,0, 9591,640,480:waittimer 148652 blt 0,0,640,480,0, 9592,640,480:waittimer 148667 blt 0,0,640,480,0, 9593,640,480:waittimer 148683 blt 0,0,640,480,0, 9594,640,480:waittimer 148698 blt 0,0,640,480,0, 9595,640,480:waittimer 148714 blt 0,0,640,480,0, 9596,640,480:waittimer 148729 blt 0,0,640,480,0, 9597,640,480:waittimer 148745 blt 0,0,640,480,0, 9598,640,480:waittimer 148760 blt 0,0,640,480,0, 9599,640,480:waittimer 148776 blt 0,0,640,480,0, 9600,640,480:waittimer 148791 blt 0,0,640,480,0, 9601,640,480:waittimer 148807 blt 0,0,640,480,0, 9602,640,480:waittimer 148822 blt 0,0,640,480,0, 9603,640,480:waittimer 148838 blt 0,0,640,480,0, 9604,640,480:waittimer 148853 blt 0,0,640,480,0, 9605,640,480:waittimer 148869 blt 0,0,640,480,0, 9606,640,480:waittimer 148884 blt 0,0,640,480,0, 9607,640,480:waittimer 148900 blt 0,0,640,480,0, 9608,640,480:waittimer 148915 blt 0,0,640,480,0, 9609,640,480:waittimer 148931 blt 0,0,640,480,0, 9610,640,480:waittimer 148946 blt 0,0,640,480,0, 9611,640,480:waittimer 148962 blt 0,0,640,480,0, 9612,640,480:waittimer 148977 blt 0,0,640,480,0, 9613,640,480:waittimer 148993 blt 0,0,640,480,0, 9614,640,480:waittimer 149008 blt 0,0,640,480,0, 9615,640,480:waittimer 149024 blt 0,0,640,480,0, 9616,640,480:waittimer 149039 blt 0,0,640,480,0, 9617,640,480:waittimer 149055 blt 0,0,640,480,0, 9618,640,480:waittimer 149070 blt 0,0,640,480,0, 9619,640,480:waittimer 149086 blt 0,0,640,480,0, 9620,640,480:waittimer 149101 blt 0,0,640,480,0, 9621,640,480:waittimer 149117 blt 0,0,640,480,0, 9622,640,480:waittimer 149132 blt 0,0,640,480,0, 9623,640,480:waittimer 149148 blt 0,0,640,480,0, 9624,640,480:waittimer 149163 blt 0,0,640,480,0, 9625,640,480:waittimer 149179 blt 0,0,640,480,0, 9626,640,480:waittimer 149194 blt 0,0,640,480,0, 9627,640,480:waittimer 149210 blt 0,0,640,480,0, 9628,640,480:waittimer 149225 blt 0,0,640,480,0, 9629,640,480:waittimer 149241 blt 0,0,640,480,0, 9630,640,480:waittimer 149256 blt 0,0,640,480,0, 9631,640,480:waittimer 149272 blt 0,0,640,480,0, 9632,640,480:waittimer 149287 blt 0,0,640,480,0, 9633,640,480:waittimer 149303 blt 0,0,640,480,0, 9634,640,480:waittimer 149318 blt 0,0,640,480,0, 9635,640,480:waittimer 149334 blt 0,0,640,480,0, 9636,640,480:waittimer 149349 blt 0,0,640,480,0, 9637,640,480:waittimer 149365 blt 0,0,640,480,0, 9638,640,480:waittimer 149380 blt 0,0,640,480,0, 9639,640,480:waittimer 149396 blt 0,0,640,480,0, 9640,640,480:waittimer 149411 blt 0,0,640,480,0, 9641,640,480:waittimer 149427 blt 0,0,640,480,0, 9642,640,480:waittimer 149442 blt 0,0,640,480,0, 9643,640,480:waittimer 149458 blt 0,0,640,480,0, 9644,640,480:waittimer 149473 blt 0,0,640,480,0, 9645,640,480:waittimer 149489 blt 0,0,640,480,0, 9646,640,480:waittimer 149504 blt 0,0,640,480,0, 9647,640,480:waittimer 149520 blt 0,0,640,480,0, 9648,640,480:waittimer 149535 blt 0,0,640,480,0, 9649,640,480:waittimer 149551 blt 0,0,640,480,0, 9650,640,480:waittimer 149566 blt 0,0,640,480,0, 9651,640,480:waittimer 149582 blt 0,0,640,480,0, 9652,640,480:waittimer 149597 blt 0,0,640,480,0, 9653,640,480:waittimer 149613 blt 0,0,640,480,0, 9654,640,480:waittimer 149628 blt 0,0,640,480,0, 9655,640,480:waittimer 149644 blt 0,0,640,480,0, 9656,640,480:waittimer 149659 blt 0,0,640,480,0, 9657,640,480:waittimer 149675 blt 0,0,640,480,0, 9658,640,480:waittimer 149690 blt 0,0,640,480,0, 9659,640,480:waittimer 149706 blt 0,0,640,480,0, 9660,640,480:waittimer 149721 blt 0,0,640,480,0, 9661,640,480:waittimer 149737 blt 0,0,640,480,0, 9662,640,480:waittimer 149752 blt 0,0,640,480,0, 9663,640,480:waittimer 149768 blt 0,0,640,480,0, 9664,640,480:waittimer 149783 blt 0,0,640,480,0, 9665,640,480:waittimer 149799 blt 0,0,640,480,0, 9666,640,480:waittimer 149814 blt 0,0,640,480,0, 9667,640,480:waittimer 149830 blt 0,0,640,480,0, 9668,640,480:waittimer 149845 blt 0,0,640,480,0, 9669,640,480:waittimer 149861 blt 0,0,640,480,0, 9670,640,480:waittimer 149876 blt 0,0,640,480,0, 9671,640,480:waittimer 149892 blt 0,0,640,480,0, 9672,640,480:waittimer 149907 blt 0,0,640,480,0, 9673,640,480:waittimer 149923 blt 0,0,640,480,0, 9674,640,480:waittimer 149938 blt 0,0,640,480,0, 9675,640,480:waittimer 149954 blt 0,0,640,480,0, 9676,640,480:waittimer 149969 blt 0,0,640,480,0, 9677,640,480:waittimer 149985 blt 0,0,640,480,0, 9678,640,480:waittimer 150000 blt 0,0,640,480,0, 9679,640,480:waittimer 150016 blt 0,0,640,480,0, 9680,640,480:waittimer 150031 blt 0,0,640,480,0, 9681,640,480:waittimer 150047 blt 0,0,640,480,0, 9682,640,480:waittimer 150062 blt 0,0,640,480,0, 9683,640,480:waittimer 150078 blt 0,0,640,480,0, 9684,640,480:waittimer 150093 blt 0,0,640,480,0, 9685,640,480:waittimer 150109 blt 0,0,640,480,0, 9686,640,480:waittimer 150124 blt 0,0,640,480,0, 9687,640,480:waittimer 150140 blt 0,0,640,480,0, 9688,640,480:waittimer 150155 blt 0,0,640,480,0, 9689,640,480:waittimer 150171 blt 0,0,640,480,0, 9690,640,480:waittimer 150186 blt 0,0,640,480,0, 9691,640,480:waittimer 150202 blt 0,0,640,480,0, 9692,640,480:waittimer 150217 blt 0,0,640,480,0, 9693,640,480:waittimer 150233 blt 0,0,640,480,0, 9694,640,480:waittimer 150248 blt 0,0,640,480,0, 9695,640,480:waittimer 150264 blt 0,0,640,480,0, 9696,640,480:waittimer 150279 blt 0,0,640,480,0, 9697,640,480:waittimer 150295 blt 0,0,640,480,0, 9698,640,480:waittimer 150310 blt 0,0,640,480,0, 9699,640,480:waittimer 150326 blt 0,0,640,480,0, 9700,640,480:waittimer 150341 blt 0,0,640,480,0, 9701,640,480:waittimer 150357 blt 0,0,640,480,0, 9702,640,480:waittimer 150372 blt 0,0,640,480,0, 9703,640,480:waittimer 150388 blt 0,0,640,480,0, 9704,640,480:waittimer 150403 blt 0,0,640,480,0, 9705,640,480:waittimer 150419 blt 0,0,640,480,0, 9706,640,480:waittimer 150434 blt 0,0,640,480,0, 9707,640,480:waittimer 150450 blt 0,0,640,480,0, 9708,640,480:waittimer 150465 blt 0,0,640,480,0, 9709,640,480:waittimer 150481 blt 0,0,640,480,0, 9710,640,480:waittimer 150496 blt 0,0,640,480,0, 9711,640,480:waittimer 150511 blt 0,0,640,480,0, 9712,640,480:waittimer 150527 blt 0,0,640,480,0, 9713,640,480:waittimer 150542 blt 0,0,640,480,0, 9714,640,480:waittimer 150558 blt 0,0,640,480,0, 9715,640,480:waittimer 150573 blt 0,0,640,480,0, 9716,640,480:waittimer 150589 blt 0,0,640,480,0, 9717,640,480:waittimer 150604 blt 0,0,640,480,0, 9718,640,480:waittimer 150620 blt 0,0,640,480,0, 9719,640,480:waittimer 150635 blt 0,0,640,480,0, 9720,640,480:waittimer 150651 blt 0,0,640,480,0, 9721,640,480:waittimer 150666 blt 0,0,640,480,0, 9722,640,480:waittimer 150682 blt 0,0,640,480,0, 9723,640,480:waittimer 150697 blt 0,0,640,480,0, 9724,640,480:waittimer 150713 blt 0,0,640,480,0, 9725,640,480:waittimer 150728 blt 0,0,640,480,0, 9726,640,480:waittimer 150744 blt 0,0,640,480,0, 9727,640,480:waittimer 150759 blt 0,0,640,480,0, 9728,640,480:waittimer 150775 blt 0,0,640,480,0, 9729,640,480:waittimer 150790 blt 0,0,640,480,0, 9730,640,480:waittimer 150806 blt 0,0,640,480,0, 9731,640,480:waittimer 150821 blt 0,0,640,480,0, 9732,640,480:waittimer 150837 blt 0,0,640,480,0, 9733,640,480:waittimer 150852 blt 0,0,640,480,0, 9734,640,480:waittimer 150868 blt 0,0,640,480,0, 9735,640,480:waittimer 150883 blt 0,0,640,480,0, 9736,640,480:waittimer 150899 blt 0,0,640,480,0, 9737,640,480:waittimer 150914 blt 0,0,640,480,0, 9738,640,480:waittimer 150930 blt 0,0,640,480,0, 9739,640,480:waittimer 150945 blt 0,0,640,480,0, 9740,640,480:waittimer 150961 blt 0,0,640,480,0, 9741,640,480:waittimer 150976 blt 0,0,640,480,0, 9742,640,480:waittimer 150992 blt 0,0,640,480,0, 9743,640,480:waittimer 151007 blt 0,0,640,480,0, 9744,640,480:waittimer 151023 blt 0,0,640,480,0, 9745,640,480:waittimer 151038 blt 0,0,640,480,0, 9746,640,480:waittimer 151054 blt 0,0,640,480,0, 9747,640,480:waittimer 151069 blt 0,0,640,480,0, 9748,640,480:waittimer 151085 blt 0,0,640,480,0, 9749,640,480:waittimer 151100 blt 0,0,640,480,0, 9750,640,480:waittimer 151116 blt 0,0,640,480,0, 9751,640,480:waittimer 151131 blt 0,0,640,480,0, 9752,640,480:waittimer 151147 blt 0,0,640,480,0, 9753,640,480:waittimer 151162 blt 0,0,640,480,0, 9754,640,480:waittimer 151178 blt 0,0,640,480,0, 9755,640,480:waittimer 151193 blt 0,0,640,480,0, 9756,640,480:waittimer 151209 blt 0,0,640,480,0, 9757,640,480:waittimer 151224 blt 0,0,640,480,0, 9758,640,480:waittimer 151240 blt 0,0,640,480,0, 9759,640,480:waittimer 151255 blt 0,0,640,480,0, 9760,640,480:waittimer 151271 blt 0,0,640,480,0, 9761,640,480:waittimer 151286 blt 0,0,640,480,0, 9762,640,480:waittimer 151302 blt 0,0,640,480,0, 9763,640,480:waittimer 151317 blt 0,0,640,480,0, 9764,640,480:waittimer 151333 blt 0,0,640,480,0, 9765,640,480:waittimer 151348 blt 0,0,640,480,0, 9766,640,480:waittimer 151364 blt 0,0,640,480,0, 9767,640,480:waittimer 151379 blt 0,0,640,480,0, 9768,640,480:waittimer 151395 blt 0,0,640,480,0, 9769,640,480:waittimer 151410 blt 0,0,640,480,0, 9770,640,480:waittimer 151426 blt 0,0,640,480,0, 9771,640,480:waittimer 151441 blt 0,0,640,480,0, 9772,640,480:waittimer 151457 blt 0,0,640,480,0, 9773,640,480:waittimer 151472 blt 0,0,640,480,0, 9774,640,480:waittimer 151488 blt 0,0,640,480,0, 9775,640,480:waittimer 151503 blt 0,0,640,480,0, 9776,640,480:waittimer 151519 blt 0,0,640,480,0, 9777,640,480:waittimer 151534 blt 0,0,640,480,0, 9778,640,480:waittimer 151550 blt 0,0,640,480,0, 9779,640,480:waittimer 151565 blt 0,0,640,480,0, 9780,640,480:waittimer 151581 blt 0,0,640,480,0, 9781,640,480:waittimer 151596 blt 0,0,640,480,0, 9782,640,480:waittimer 151612 blt 0,0,640,480,0, 9783,640,480:waittimer 151627 blt 0,0,640,480,0, 9784,640,480:waittimer 151643 blt 0,0,640,480,0, 9785,640,480:waittimer 151658 blt 0,0,640,480,0, 9786,640,480:waittimer 151674 blt 0,0,640,480,0, 9787,640,480:waittimer 151689 blt 0,0,640,480,0, 9788,640,480:waittimer 151705 blt 0,0,640,480,0, 9789,640,480:waittimer 151720 blt 0,0,640,480,0, 9790,640,480:waittimer 151736 blt 0,0,640,480,0, 9791,640,480:waittimer 151751 blt 0,0,640,480,0, 9792,640,480:waittimer 151767 blt 0,0,640,480,0, 9793,640,480:waittimer 151782 blt 0,0,640,480,0, 9794,640,480:waittimer 151798 blt 0,0,640,480,0, 9795,640,480:waittimer 151813 blt 0,0,640,480,0, 9796,640,480:waittimer 151829 blt 0,0,640,480,0, 9797,640,480:waittimer 151844 blt 0,0,640,480,0, 9798,640,480:waittimer 151860 blt 0,0,640,480,0, 9799,640,480:waittimer 151875 blt 0,0,640,480,0, 9800,640,480:waittimer 151891 blt 0,0,640,480,0, 9801,640,480:waittimer 151906 blt 0,0,640,480,0, 9802,640,480:waittimer 151922 blt 0,0,640,480,0, 9803,640,480:waittimer 151937 blt 0,0,640,480,0, 9804,640,480:waittimer 151953 blt 0,0,640,480,0, 9805,640,480:waittimer 151968 blt 0,0,640,480,0, 9806,640,480:waittimer 151984 blt 0,0,640,480,0, 9807,640,480:waittimer 151999 blt 0,0,640,480,0, 9808,640,480:waittimer 152015 blt 0,0,640,480,0, 9809,640,480:waittimer 152030 blt 0,0,640,480,0, 9810,640,480:waittimer 152046 blt 0,0,640,480,0, 9811,640,480:waittimer 152061 blt 0,0,640,480,0, 9812,640,480:waittimer 152077 blt 0,0,640,480,0, 9813,640,480:waittimer 152092 blt 0,0,640,480,0, 9814,640,480:waittimer 152108 blt 0,0,640,480,0, 9815,640,480:waittimer 152123 blt 0,0,640,480,0, 9816,640,480:waittimer 152139 blt 0,0,640,480,0, 9817,640,480:waittimer 152154 blt 0,0,640,480,0, 9818,640,480:waittimer 152170 blt 0,0,640,480,0, 9819,640,480:waittimer 152185 blt 0,0,640,480,0, 9820,640,480:waittimer 152201 blt 0,0,640,480,0, 9821,640,480:waittimer 152216 blt 0,0,640,480,0, 9822,640,480:waittimer 152232 blt 0,0,640,480,0, 9823,640,480:waittimer 152247 blt 0,0,640,480,0, 9824,640,480:waittimer 152263 blt 0,0,640,480,0, 9825,640,480:waittimer 152278 blt 0,0,640,480,0, 9826,640,480:waittimer 152294 blt 0,0,640,480,0, 9827,640,480:waittimer 152309 blt 0,0,640,480,0, 9828,640,480:waittimer 152325 blt 0,0,640,480,0, 9829,640,480:waittimer 152340 blt 0,0,640,480,0, 9830,640,480:waittimer 152356 blt 0,0,640,480,0, 9831,640,480:waittimer 152371 blt 0,0,640,480,0, 9832,640,480:waittimer 152387 blt 0,0,640,480,0, 9833,640,480:waittimer 152402 blt 0,0,640,480,0, 9834,640,480:waittimer 152418 blt 0,0,640,480,0, 9835,640,480:waittimer 152433 blt 0,0,640,480,0, 9836,640,480:waittimer 152449 blt 0,0,640,480,0, 9837,640,480:waittimer 152464 blt 0,0,640,480,0, 9838,640,480:waittimer 152480 blt 0,0,640,480,0, 9839,640,480:waittimer 152495 blt 0,0,640,480,0, 9840,640,480:waittimer 152511 blt 0,0,640,480,0, 9841,640,480:waittimer 152526 blt 0,0,640,480,0, 9842,640,480:waittimer 152542 blt 0,0,640,480,0, 9843,640,480:waittimer 152557 blt 0,0,640,480,0, 9844,640,480:waittimer 152573 blt 0,0,640,480,0, 9845,640,480:waittimer 152588 blt 0,0,640,480,0, 9846,640,480:waittimer 152604 blt 0,0,640,480,0, 9847,640,480:waittimer 152619 blt 0,0,640,480,0, 9848,640,480:waittimer 152635 blt 0,0,640,480,0, 9849,640,480:waittimer 152650 blt 0,0,640,480,0, 9850,640,480:waittimer 152666 blt 0,0,640,480,0, 9851,640,480:waittimer 152681 blt 0,0,640,480,0, 9852,640,480:waittimer 152697 blt 0,0,640,480,0, 9853,640,480:waittimer 152712 blt 0,0,640,480,0, 9854,640,480:waittimer 152728 blt 0,0,640,480,0, 9855,640,480:waittimer 152743 blt 0,0,640,480,0, 9856,640,480:waittimer 152759 blt 0,0,640,480,0, 9857,640,480:waittimer 152774 blt 0,0,640,480,0, 9858,640,480:waittimer 152790 blt 0,0,640,480,0, 9859,640,480:waittimer 152805 blt 0,0,640,480,0, 9860,640,480:waittimer 152821 blt 0,0,640,480,0, 9861,640,480:waittimer 152836 blt 0,0,640,480,0, 9862,640,480:waittimer 152852 blt 0,0,640,480,0, 9863,640,480:waittimer 152867 blt 0,0,640,480,0, 9864,640,480:waittimer 152883 blt 0,0,640,480,0, 9865,640,480:waittimer 152898 blt 0,0,640,480,0, 9866,640,480:waittimer 152914 blt 0,0,640,480,0, 9867,640,480:waittimer 152929 blt 0,0,640,480,0, 9868,640,480:waittimer 152945 blt 0,0,640,480,0, 9869,640,480:waittimer 152960 blt 0,0,640,480,0, 9870,640,480:waittimer 152976 blt 0,0,640,480,0, 9871,640,480:waittimer 152991 blt 0,0,640,480,0, 9872,640,480:waittimer 153007 blt 0,0,640,480,0, 9873,640,480:waittimer 153022 blt 0,0,640,480,0, 9874,640,480:waittimer 153038 blt 0,0,640,480,0, 9875,640,480:waittimer 153053 blt 0,0,640,480,0, 9876,640,480:waittimer 153069 blt 0,0,640,480,0, 9877,640,480:waittimer 153084 blt 0,0,640,480,0, 9878,640,480:waittimer 153100 blt 0,0,640,480,0, 9879,640,480:waittimer 153115 blt 0,0,640,480,0, 9880,640,480:waittimer 153131 blt 0,0,640,480,0, 9881,640,480:waittimer 153146 blt 0,0,640,480,0, 9882,640,480:waittimer 153162 blt 0,0,640,480,0, 9883,640,480:waittimer 153177 blt 0,0,640,480,0, 9884,640,480:waittimer 153193 blt 0,0,640,480,0, 9885,640,480:waittimer 153208 blt 0,0,640,480,0, 9886,640,480:waittimer 153224 blt 0,0,640,480,0, 9887,640,480:waittimer 153239 blt 0,0,640,480,0, 9888,640,480:waittimer 153255 blt 0,0,640,480,0, 9889,640,480:waittimer 153270 blt 0,0,640,480,0, 9890,640,480:waittimer 153286 blt 0,0,640,480,0, 9891,640,480:waittimer 153301 blt 0,0,640,480,0, 9892,640,480:waittimer 153317 blt 0,0,640,480,0, 9893,640,480:waittimer 153332 blt 0,0,640,480,0, 9894,640,480:waittimer 153348 blt 0,0,640,480,0, 9895,640,480:waittimer 153363 blt 0,0,640,480,0, 9896,640,480:waittimer 153379 blt 0,0,640,480,0, 9897,640,480:waittimer 153394 blt 0,0,640,480,0, 9898,640,480:waittimer 153410 blt 0,0,640,480,0, 9899,640,480:waittimer 153425 blt 0,0,640,480,0, 9900,640,480:waittimer 153441 blt 0,0,640,480,0, 9901,640,480:waittimer 153456 blt 0,0,640,480,0, 9902,640,480:waittimer 153472 blt 0,0,640,480,0, 9903,640,480:waittimer 153487 blt 0,0,640,480,0, 9904,640,480:waittimer 153503 blt 0,0,640,480,0, 9905,640,480:waittimer 153518 blt 0,0,640,480,0, 9906,640,480:waittimer 153534 blt 0,0,640,480,0, 9907,640,480:waittimer 153549 blt 0,0,640,480,0, 9908,640,480:waittimer 153565 blt 0,0,640,480,0, 9909,640,480:waittimer 153580 blt 0,0,640,480,0, 9910,640,480:waittimer 153596 blt 0,0,640,480,0, 9911,640,480:waittimer 153611 blt 0,0,640,480,0, 9912,640,480:waittimer 153627 blt 0,0,640,480,0, 9913,640,480:waittimer 153642 blt 0,0,640,480,0, 9914,640,480:waittimer 153658 blt 0,0,640,480,0, 9915,640,480:waittimer 153673 blt 0,0,640,480,0, 9916,640,480:waittimer 153689 blt 0,0,640,480,0, 9917,640,480:waittimer 153704 blt 0,0,640,480,0, 9918,640,480:waittimer 153720 blt 0,0,640,480,0, 9919,640,480:waittimer 153735 blt 0,0,640,480,0, 9920,640,480:waittimer 153751 blt 0,0,640,480,0, 9921,640,480:waittimer 153766 blt 0,0,640,480,0, 9922,640,480:waittimer 153782 blt 0,0,640,480,0, 9923,640,480:waittimer 153797 blt 0,0,640,480,0, 9924,640,480:waittimer 153813 blt 0,0,640,480,0, 9925,640,480:waittimer 153828 blt 0,0,640,480,0, 9926,640,480:waittimer 153844 blt 0,0,640,480,0, 9927,640,480:waittimer 153859 blt 0,0,640,480,0, 9928,640,480:waittimer 153875 blt 0,0,640,480,0, 9929,640,480:waittimer 153890 blt 0,0,640,480,0, 9930,640,480:waittimer 153906 blt 0,0,640,480,0, 9931,640,480:waittimer 153921 blt 0,0,640,480,0, 9932,640,480:waittimer 153937 blt 0,0,640,480,0, 9933,640,480:waittimer 153952 blt 0,0,640,480,0, 9934,640,480:waittimer 153968 blt 0,0,640,480,0, 9935,640,480:waittimer 153983 blt 0,0,640,480,0, 9936,640,480:waittimer 153999 blt 0,0,640,480,0, 9937,640,480:waittimer 154014 blt 0,0,640,480,0, 9938,640,480:waittimer 154030 blt 0,0,640,480,0, 9939,640,480:waittimer 154045 blt 0,0,640,480,0, 9940,640,480:waittimer 154061 blt 0,0,640,480,0, 9941,640,480:waittimer 154076 blt 0,0,640,480,0, 9942,640,480:waittimer 154092 blt 0,0,640,480,0, 9943,640,480:waittimer 154107 blt 0,0,640,480,0, 9944,640,480:waittimer 154123 blt 0,0,640,480,0, 9945,640,480:waittimer 154138 blt 0,0,640,480,0, 9946,640,480:waittimer 154154 blt 0,0,640,480,0, 9947,640,480:waittimer 154169 blt 0,0,640,480,0, 9948,640,480:waittimer 154185 blt 0,0,640,480,0, 9949,640,480:waittimer 154200 blt 0,0,640,480,0, 9950,640,480:waittimer 154216 blt 0,0,640,480,0, 9951,640,480:waittimer 154231 blt 0,0,640,480,0, 9952,640,480:waittimer 154247 blt 0,0,640,480,0, 9953,640,480:waittimer 154262 blt 0,0,640,480,0, 9954,640,480:waittimer 154278 blt 0,0,640,480,0, 9955,640,480:waittimer 154293 blt 0,0,640,480,0, 9956,640,480:waittimer 154309 blt 0,0,640,480,0, 9957,640,480:waittimer 154324 blt 0,0,640,480,0, 9958,640,480:waittimer 154340 blt 0,0,640,480,0, 9959,640,480:waittimer 154355 blt 0,0,640,480,0, 9960,640,480:waittimer 154371 blt 0,0,640,480,0, 9961,640,480:waittimer 154386 blt 0,0,640,480,0, 9962,640,480:waittimer 154402 blt 0,0,640,480,0, 9963,640,480:waittimer 154417 blt 0,0,640,480,0, 9964,640,480:waittimer 154433 blt 0,0,640,480,0, 9965,640,480:waittimer 154448 blt 0,0,640,480,0, 9966,640,480:waittimer 154464 blt 0,0,640,480,0, 9967,640,480:waittimer 154479 blt 0,0,640,480,0, 9968,640,480:waittimer 154495 blt 0,0,640,480,0, 9969,640,480:waittimer 154510 blt 0,0,640,480,0, 9970,640,480:waittimer 154526 blt 0,0,640,480,0, 9971,640,480:waittimer 154541 blt 0,0,640,480,0, 9972,640,480:waittimer 154557 blt 0,0,640,480,0, 9973,640,480:waittimer 154572 blt 0,0,640,480,0, 9974,640,480:waittimer 154588 blt 0,0,640,480,0, 9975,640,480:waittimer 154603 blt 0,0,640,480,0, 9976,640,480:waittimer 154619 blt 0,0,640,480,0, 9977,640,480:waittimer 154634 blt 0,0,640,480,0, 9978,640,480:waittimer 154650 blt 0,0,640,480,0, 9979,640,480:waittimer 154665 blt 0,0,640,480,0, 9980,640,480:waittimer 154681 blt 0,0,640,480,0, 9981,640,480:waittimer 154696 blt 0,0,640,480,0, 9982,640,480:waittimer 154712 blt 0,0,640,480,0, 9983,640,480:waittimer 154727 blt 0,0,640,480,0, 9984,640,480:waittimer 154743 blt 0,0,640,480,0, 9985,640,480:waittimer 154758 blt 0,0,640,480,0, 9986,640,480:waittimer 154774 blt 0,0,640,480,0, 9987,640,480:waittimer 154789 blt 0,0,640,480,0, 9988,640,480:waittimer 154805 blt 0,0,640,480,0, 9989,640,480:waittimer 154820 blt 0,0,640,480,0, 9990,640,480:waittimer 154836 blt 0,0,640,480,0, 9991,640,480:waittimer 154851 blt 0,0,640,480,0, 9992,640,480:waittimer 154867 blt 0,0,640,480,0, 9993,640,480:waittimer 154882 blt 0,0,640,480,0, 9994,640,480:waittimer 154898 blt 0,0,640,480,0, 9995,640,480:waittimer 154913 blt 0,0,640,480,0, 9996,640,480:waittimer 154929 blt 0,0,640,480,0, 9997,640,480:waittimer 154944 blt 0,0,640,480,0, 9998,640,480:waittimer 154960 blt 0,0,640,480,0, 9999,640,480:waittimer 154975 blt 0,0,640,480,0, 10000,640,480:waittimer 154991 blt 0,0,640,480,0, 10001,640,480:waittimer 155006 blt 0,0,640,480,0, 10002,640,480:waittimer 155022 blt 0,0,640,480,0, 10003,640,480:waittimer 155037 blt 0,0,640,480,0, 10004,640,480:waittimer 155053 blt 0,0,640,480,0, 10005,640,480:waittimer 155068 blt 0,0,640,480,0, 10006,640,480:waittimer 155084 blt 0,0,640,480,0, 10007,640,480:waittimer 155099 blt 0,0,640,480,0, 10008,640,480:waittimer 155115 blt 0,0,640,480,0, 10009,640,480:waittimer 155130 blt 0,0,640,480,0, 10010,640,480:waittimer 155146 blt 0,0,640,480,0, 10011,640,480:waittimer 155161 blt 0,0,640,480,0, 10012,640,480:waittimer 155177 blt 0,0,640,480,0, 10013,640,480:waittimer 155192 blt 0,0,640,480,0, 10014,640,480:waittimer 155208 blt 0,0,640,480,0, 10015,640,480:waittimer 155223 blt 0,0,640,480,0, 10016,640,480:waittimer 155239 blt 0,0,640,480,0, 10017,640,480:waittimer 155254 blt 0,0,640,480,0, 10018,640,480:waittimer 155270 blt 0,0,640,480,0, 10019,640,480:waittimer 155285 blt 0,0,640,480,0, 10020,640,480:waittimer 155301 blt 0,0,640,480,0, 10021,640,480:waittimer 155316 blt 0,0,640,480,0, 10022,640,480:waittimer 155332 blt 0,0,640,480,0, 10023,640,480:waittimer 155347 blt 0,0,640,480,0, 10024,640,480:waittimer 155363 blt 0,0,640,480,0, 10025,640,480:waittimer 155378 blt 0,0,640,480,0, 10026,640,480:waittimer 155394 blt 0,0,640,480,0, 10027,640,480:waittimer 155409 blt 0,0,640,480,0, 10028,640,480:waittimer 155425 blt 0,0,640,480,0, 10029,640,480:waittimer 155440 blt 0,0,640,480,0, 10030,640,480:waittimer 155456 blt 0,0,640,480,0, 10031,640,480:waittimer 155471 blt 0,0,640,480,0, 10032,640,480:waittimer 155487 blt 0,0,640,480,0, 10033,640,480:waittimer 155502 blt 0,0,640,480,0, 10034,640,480:waittimer 155518 blt 0,0,640,480,0, 10035,640,480:waittimer 155533 blt 0,0,640,480,0, 10036,640,480:waittimer 155549 blt 0,0,640,480,0, 10037,640,480:waittimer 155564 blt 0,0,640,480,0, 10038,640,480:waittimer 155580 blt 0,0,640,480,0, 10039,640,480:waittimer 155595 blt 0,0,640,480,0, 10040,640,480:waittimer 155611 blt 0,0,640,480,0, 10041,640,480:waittimer 155626 blt 0,0,640,480,0, 10042,640,480:waittimer 155642 blt 0,0,640,480,0, 10043,640,480:waittimer 155657 blt 0,0,640,480,0, 10044,640,480:waittimer 155673 blt 0,0,640,480,0, 10045,640,480:waittimer 155688 blt 0,0,640,480,0, 10046,640,480:waittimer 155704 blt 0,0,640,480,0, 10047,640,480:waittimer 155719 blt 0,0,640,480,0, 10048,640,480:waittimer 155735 blt 0,0,640,480,0, 10049,640,480:waittimer 155750 blt 0,0,640,480,0, 10050,640,480:waittimer 155766 blt 0,0,640,480,0, 10051,640,480:waittimer 155781 blt 0,0,640,480,0, 10052,640,480:waittimer 155797 blt 0,0,640,480,0, 10053,640,480:waittimer 155812 blt 0,0,640,480,0, 10054,640,480:waittimer 155828 blt 0,0,640,480,0, 10055,640,480:waittimer 155843 blt 0,0,640,480,0, 10056,640,480:waittimer 155859 blt 0,0,640,480,0, 10057,640,480:waittimer 155874 blt 0,0,640,480,0, 10058,640,480:waittimer 155890 blt 0,0,640,480,0, 10059,640,480:waittimer 155905 blt 0,0,640,480,0, 10060,640,480:waittimer 155921 blt 0,0,640,480,0, 10061,640,480:waittimer 155936 blt 0,0,640,480,0, 10062,640,480:waittimer 155952 blt 0,0,640,480,0, 10063,640,480:waittimer 155967 blt 0,0,640,480,0, 10064,640,480:waittimer 155983 blt 0,0,640,480,0, 10065,640,480:waittimer 155998 blt 0,0,640,480,0, 10066,640,480:waittimer 156014 blt 0,0,640,480,0, 10067,640,480:waittimer 156029 blt 0,0,640,480,0, 10068,640,480:waittimer 156045 blt 0,0,640,480,0, 10069,640,480:waittimer 156060 blt 0,0,640,480,0, 10070,640,480:waittimer 156076 blt 0,0,640,480,0, 10071,640,480:waittimer 156091 blt 0,0,640,480,0, 10072,640,480:waittimer 156107 blt 0,0,640,480,0, 10073,640,480:waittimer 156122 blt 0,0,640,480,0, 10074,640,480:waittimer 156138 blt 0,0,640,480,0, 10075,640,480:waittimer 156153 blt 0,0,640,480,0, 10076,640,480:waittimer 156169 blt 0,0,640,480,0, 10077,640,480:waittimer 156184 blt 0,0,640,480,0, 10078,640,480:waittimer 156200 blt 0,0,640,480,0, 10079,640,480:waittimer 156215 blt 0,0,640,480,0, 10080,640,480:waittimer 156231 blt 0,0,640,480,0, 10081,640,480:waittimer 156246 blt 0,0,640,480,0, 10082,640,480:waittimer 156262 blt 0,0,640,480,0, 10083,640,480:waittimer 156277 blt 0,0,640,480,0, 10084,640,480:waittimer 156293 blt 0,0,640,480,0, 10085,640,480:waittimer 156308 blt 0,0,640,480,0, 10086,640,480:waittimer 156324 blt 0,0,640,480,0, 10087,640,480:waittimer 156339 blt 0,0,640,480,0, 10088,640,480:waittimer 156355 blt 0,0,640,480,0, 10089,640,480:waittimer 156370 blt 0,0,640,480,0, 10090,640,480:waittimer 156386 blt 0,0,640,480,0, 10091,640,480:waittimer 156401 blt 0,0,640,480,0, 10092,640,480:waittimer 156417 blt 0,0,640,480,0, 10093,640,480:waittimer 156432 blt 0,0,640,480,0, 10094,640,480:waittimer 156448 blt 0,0,640,480,0, 10095,640,480:waittimer 156463 blt 0,0,640,480,0, 10096,640,480:waittimer 156479 blt 0,0,640,480,0, 10097,640,480:waittimer 156494 blt 0,0,640,480,0, 10098,640,480:waittimer 156510 blt 0,0,640,480,0, 10099,640,480:waittimer 156525 blt 0,0,640,480,0, 10100,640,480:waittimer 156541 blt 0,0,640,480,0, 10101,640,480:waittimer 156556 blt 0,0,640,480,0, 10102,640,480:waittimer 156572 blt 0,0,640,480,0, 10103,640,480:waittimer 156587 blt 0,0,640,480,0, 10104,640,480:waittimer 156603 blt 0,0,640,480,0, 10105,640,480:waittimer 156618 blt 0,0,640,480,0, 10106,640,480:waittimer 156634 blt 0,0,640,480,0, 10107,640,480:waittimer 156649 blt 0,0,640,480,0, 10108,640,480:waittimer 156665 blt 0,0,640,480,0, 10109,640,480:waittimer 156680 blt 0,0,640,480,0, 10110,640,480:waittimer 156696 blt 0,0,640,480,0, 10111,640,480:waittimer 156711 blt 0,0,640,480,0, 10112,640,480:waittimer 156727 blt 0,0,640,480,0, 10113,640,480:waittimer 156742 blt 0,0,640,480,0, 10114,640,480:waittimer 156758 blt 0,0,640,480,0, 10115,640,480:waittimer 156773 blt 0,0,640,480,0, 10116,640,480:waittimer 156789 blt 0,0,640,480,0, 10117,640,480:waittimer 156804 blt 0,0,640,480,0, 10118,640,480:waittimer 156820 blt 0,0,640,480,0, 10119,640,480:waittimer 156835 blt 0,0,640,480,0, 10120,640,480:waittimer 156851 blt 0,0,640,480,0, 10121,640,480:waittimer 156866 blt 0,0,640,480,0, 10122,640,480:waittimer 156882 blt 0,0,640,480,0, 10123,640,480:waittimer 156897 blt 0,0,640,480,0, 10124,640,480:waittimer 156913 blt 0,0,640,480,0, 10125,640,480:waittimer 156928 blt 0,0,640,480,0, 10126,640,480:waittimer 156944 blt 0,0,640,480,0, 10127,640,480:waittimer 156959 blt 0,0,640,480,0, 10128,640,480:waittimer 156975 blt 0,0,640,480,0, 10129,640,480:waittimer 156990 blt 0,0,640,480,0, 10130,640,480:waittimer 157006 blt 0,0,640,480,0, 10131,640,480:waittimer 157021 blt 0,0,640,480,0, 10132,640,480:waittimer 157037 blt 0,0,640,480,0, 10133,640,480:waittimer 157052 blt 0,0,640,480,0, 10134,640,480:waittimer 157068 blt 0,0,640,480,0, 10135,640,480:waittimer 157083 blt 0,0,640,480,0, 10136,640,480:waittimer 157099 blt 0,0,640,480,0, 10137,640,480:waittimer 157114 blt 0,0,640,480,0, 10138,640,480:waittimer 157130 blt 0,0,640,480,0, 10139,640,480:waittimer 157145 blt 0,0,640,480,0, 10140,640,480:waittimer 157161 blt 0,0,640,480,0, 10141,640,480:waittimer 157176 blt 0,0,640,480,0, 10142,640,480:waittimer 157192 blt 0,0,640,480,0, 10143,640,480:waittimer 157207 blt 0,0,640,480,0, 10144,640,480:waittimer 157223 blt 0,0,640,480,0, 10145,640,480:waittimer 157238 blt 0,0,640,480,0, 10146,640,480:waittimer 157254 blt 0,0,640,480,0, 10147,640,480:waittimer 157269 blt 0,0,640,480,0, 10148,640,480:waittimer 157285 blt 0,0,640,480,0, 10149,640,480:waittimer 157300 blt 0,0,640,480,0, 10150,640,480:waittimer 157316 blt 0,0,640,480,0, 10151,640,480:waittimer 157331 blt 0,0,640,480,0, 10152,640,480:waittimer 157347 blt 0,0,640,480,0, 10153,640,480:waittimer 157362 blt 0,0,640,480,0, 10154,640,480:waittimer 157378 blt 0,0,640,480,0, 10155,640,480:waittimer 157393 blt 0,0,640,480,0, 10156,640,480:waittimer 157409 blt 0,0,640,480,0, 10157,640,480:waittimer 157424 blt 0,0,640,480,0, 10158,640,480:waittimer 157440 blt 0,0,640,480,0, 10159,640,480:waittimer 157455 blt 0,0,640,480,0, 10160,640,480:waittimer 157471 blt 0,0,640,480,0, 10161,640,480:waittimer 157486 blt 0,0,640,480,0, 10162,640,480:waittimer 157502 blt 0,0,640,480,0, 10163,640,480:waittimer 157517 blt 0,0,640,480,0, 10164,640,480:waittimer 157533 blt 0,0,640,480,0, 10165,640,480:waittimer 157548 blt 0,0,640,480,0, 10166,640,480:waittimer 157564 blt 0,0,640,480,0, 10167,640,480:waittimer 157579 blt 0,0,640,480,0, 10168,640,480:waittimer 157595 blt 0,0,640,480,0, 10169,640,480:waittimer 157610 blt 0,0,640,480,0, 10170,640,480:waittimer 157626 blt 0,0,640,480,0, 10171,640,480:waittimer 157641 blt 0,0,640,480,0, 10172,640,480:waittimer 157657 blt 0,0,640,480,0, 10173,640,480:waittimer 157672 blt 0,0,640,480,0, 10174,640,480:waittimer 157688 blt 0,0,640,480,0, 10175,640,480:waittimer 157703 blt 0,0,640,480,0, 10176,640,480:waittimer 157719 blt 0,0,640,480,0, 10177,640,480:waittimer 157734 blt 0,0,640,480,0, 10178,640,480:waittimer 157750 blt 0,0,640,480,0, 10179,640,480:waittimer 157765 blt 0,0,640,480,0, 10180,640,480:waittimer 157781 blt 0,0,640,480,0, 10181,640,480:waittimer 157796 blt 0,0,640,480,0, 10182,640,480:waittimer 157812 blt 0,0,640,480,0, 10183,640,480:waittimer 157827 blt 0,0,640,480,0, 10184,640,480:waittimer 157843 blt 0,0,640,480,0, 10185,640,480:waittimer 157858 blt 0,0,640,480,0, 10186,640,480:waittimer 157874 blt 0,0,640,480,0, 10187,640,480:waittimer 157889 blt 0,0,640,480,0, 10188,640,480:waittimer 157905 blt 0,0,640,480,0, 10189,640,480:waittimer 157920 blt 0,0,640,480,0, 10190,640,480:waittimer 157936 blt 0,0,640,480,0, 10191,640,480:waittimer 157951 blt 0,0,640,480,0, 10192,640,480:waittimer 157967 blt 0,0,640,480,0, 10193,640,480:waittimer 157982 blt 0,0,640,480,0, 10194,640,480:waittimer 157998 blt 0,0,640,480,0, 10195,640,480:waittimer 158013 blt 0,0,640,480,0, 10196,640,480:waittimer 158029 blt 0,0,640,480,0, 10197,640,480:waittimer 158044 blt 0,0,640,480,0, 10198,640,480:waittimer 158060 blt 0,0,640,480,0, 10199,640,480:waittimer 158075 blt 0,0,640,480,0, 10200,640,480:waittimer 158091 blt 0,0,640,480,0, 10201,640,480:waittimer 158106 blt 0,0,640,480,0, 10202,640,480:waittimer 158122 blt 0,0,640,480,0, 10203,640,480:waittimer 158137 blt 0,0,640,480,0, 10204,640,480:waittimer 158153 blt 0,0,640,480,0, 10205,640,480:waittimer 158168 blt 0,0,640,480,0, 10206,640,480:waittimer 158184 blt 0,0,640,480,0, 10207,640,480:waittimer 158199 blt 0,0,640,480,0, 10208,640,480:waittimer 158215 blt 0,0,640,480,0, 10209,640,480:waittimer 158230 blt 0,0,640,480,0, 10210,640,480:waittimer 158246 blt 0,0,640,480,0, 10211,640,480:waittimer 158261 blt 0,0,640,480,0, 10212,640,480:waittimer 158277 blt 0,0,640,480,0, 10213,640,480:waittimer 158292 blt 0,0,640,480,0, 10214,640,480:waittimer 158308 blt 0,0,640,480,0, 10215,640,480:waittimer 158323 blt 0,0,640,480,0, 10216,640,480:waittimer 158339 blt 0,0,640,480,0, 10217,640,480:waittimer 158354 blt 0,0,640,480,0, 10218,640,480:waittimer 158370 blt 0,0,640,480,0, 10219,640,480:waittimer 158385 blt 0,0,640,480,0, 10220,640,480:waittimer 158401 blt 0,0,640,480,0, 10221,640,480:waittimer 158416 blt 0,0,640,480,0, 10222,640,480:waittimer 158432 blt 0,0,640,480,0, 10223,640,480:waittimer 158447 blt 0,0,640,480,0, 10224,640,480:waittimer 158463 blt 0,0,640,480,0, 10225,640,480:waittimer 158478 blt 0,0,640,480,0, 10226,640,480:waittimer 158494 blt 0,0,640,480,0, 10227,640,480:waittimer 158509 blt 0,0,640,480,0, 10228,640,480:waittimer 158525 blt 0,0,640,480,0, 10229,640,480:waittimer 158540 blt 0,0,640,480,0, 10230,640,480:waittimer 158556 blt 0,0,640,480,0, 10231,640,480:waittimer 158571 blt 0,0,640,480,0, 10232,640,480:waittimer 158587 blt 0,0,640,480,0, 10233,640,480:waittimer 158602 blt 0,0,640,480,0, 10234,640,480:waittimer 158618 blt 0,0,640,480,0, 10235,640,480:waittimer 158633 blt 0,0,640,480,0, 10236,640,480:waittimer 158649 blt 0,0,640,480,0, 10237,640,480:waittimer 158664 blt 0,0,640,480,0, 10238,640,480:waittimer 158680 blt 0,0,640,480,0, 10239,640,480:waittimer 158695 blt 0,0,640,480,0, 10240,640,480:waittimer 158711 blt 0,0,640,480,0, 10241,640,480:waittimer 158726 blt 0,0,640,480,0, 10242,640,480:waittimer 158742 blt 0,0,640,480,0, 10243,640,480:waittimer 158757 blt 0,0,640,480,0, 10244,640,480:waittimer 158773 blt 0,0,640,480,0, 10245,640,480:waittimer 158788 blt 0,0,640,480,0, 10246,640,480:waittimer 158804 blt 0,0,640,480,0, 10247,640,480:waittimer 158819 blt 0,0,640,480,0, 10248,640,480:waittimer 158835 blt 0,0,640,480,0, 10249,640,480:waittimer 158850 blt 0,0,640,480,0, 10250,640,480:waittimer 158866 blt 0,0,640,480,0, 10251,640,480:waittimer 158881 blt 0,0,640,480,0, 10252,640,480:waittimer 158897 blt 0,0,640,480,0, 10253,640,480:waittimer 158912 blt 0,0,640,480,0, 10254,640,480:waittimer 158928 blt 0,0,640,480,0, 10255,640,480:waittimer 158943 blt 0,0,640,480,0, 10256,640,480:waittimer 158959 blt 0,0,640,480,0, 10257,640,480:waittimer 158974 blt 0,0,640,480,0, 10258,640,480:waittimer 158990 blt 0,0,640,480,0, 10259,640,480:waittimer 159005 blt 0,0,640,480,0, 10260,640,480:waittimer 159021 blt 0,0,640,480,0, 10261,640,480:waittimer 159036 blt 0,0,640,480,0, 10262,640,480:waittimer 159052 blt 0,0,640,480,0, 10263,640,480:waittimer 159067 blt 0,0,640,480,0, 10264,640,480:waittimer 159083 blt 0,0,640,480,0, 10265,640,480:waittimer 159098 blt 0,0,640,480,0, 10266,640,480:waittimer 159114 blt 0,0,640,480,0, 10267,640,480:waittimer 159129 blt 0,0,640,480,0, 10268,640,480:waittimer 159145 blt 0,0,640,480,0, 10269,640,480:waittimer 159160 blt 0,0,640,480,0, 10270,640,480:waittimer 159176 blt 0,0,640,480,0, 10271,640,480:waittimer 159191 blt 0,0,640,480,0, 10272,640,480:waittimer 159207 blt 0,0,640,480,0, 10273,640,480:waittimer 159222 blt 0,0,640,480,0, 10274,640,480:waittimer 159238 blt 0,0,640,480,0, 10275,640,480:waittimer 159253 blt 0,0,640,480,0, 10276,640,480:waittimer 159269 blt 0,0,640,480,0, 10277,640,480:waittimer 159284 blt 0,0,640,480,0, 10278,640,480:waittimer 159300 blt 0,0,640,480,0, 10279,640,480:waittimer 159315 blt 0,0,640,480,0, 10280,640,480:waittimer 159331 blt 0,0,640,480,0, 10281,640,480:waittimer 159346 blt 0,0,640,480,0, 10282,640,480:waittimer 159361 blt 0,0,640,480,0, 10283,640,480:waittimer 159377 blt 0,0,640,480,0, 10284,640,480:waittimer 159392 blt 0,0,640,480,0, 10285,640,480:waittimer 159408 blt 0,0,640,480,0, 10286,640,480:waittimer 159423 blt 0,0,640,480,0, 10287,640,480:waittimer 159439 blt 0,0,640,480,0, 10288,640,480:waittimer 159454 blt 0,0,640,480,0, 10289,640,480:waittimer 159470 blt 0,0,640,480,0, 10290,640,480:waittimer 159485 blt 0,0,640,480,0, 10291,640,480:waittimer 159501 blt 0,0,640,480,0, 10292,640,480:waittimer 159516 blt 0,0,640,480,0, 10293,640,480:waittimer 159532 blt 0,0,640,480,0, 10294,640,480:waittimer 159547 blt 0,0,640,480,0, 10295,640,480:waittimer 159563 blt 0,0,640,480,0, 10296,640,480:waittimer 159578 blt 0,0,640,480,0, 10297,640,480:waittimer 159594 blt 0,0,640,480,0, 10298,640,480:waittimer 159609 blt 0,0,640,480,0, 10299,640,480:waittimer 159625 blt 0,0,640,480,0, 10300,640,480:waittimer 159640 blt 0,0,640,480,0, 10301,640,480:waittimer 159656 blt 0,0,640,480,0, 10302,640,480:waittimer 159671 blt 0,0,640,480,0, 10303,640,480:waittimer 159687 blt 0,0,640,480,0, 10304,640,480:waittimer 159702 blt 0,0,640,480,0, 10305,640,480:waittimer 159718 blt 0,0,640,480,0, 10306,640,480:waittimer 159733 blt 0,0,640,480,0, 10307,640,480:waittimer 159749 blt 0,0,640,480,0, 10308,640,480:waittimer 159764 blt 0,0,640,480,0, 10309,640,480:waittimer 159780 blt 0,0,640,480,0, 10310,640,480:waittimer 159795 blt 0,0,640,480,0, 10311,640,480:waittimer 159811 blt 0,0,640,480,0, 10312,640,480:waittimer 159826 blt 0,0,640,480,0, 10313,640,480:waittimer 159842 blt 0,0,640,480,0, 10314,640,480:waittimer 159857 blt 0,0,640,480,0, 10315,640,480:waittimer 159873 blt 0,0,640,480,0, 10316,640,480:waittimer 159888 blt 0,0,640,480,0, 10317,640,480:waittimer 159904 blt 0,0,640,480,0, 10318,640,480:waittimer 159919 blt 0,0,640,480,0, 10319,640,480:waittimer 159935 blt 0,0,640,480,0, 10320,640,480:waittimer 159950 blt 0,0,640,480,0, 10321,640,480:waittimer 159966 blt 0,0,640,480,0, 10322,640,480:waittimer 159981 blt 0,0,640,480,0, 10323,640,480:waittimer 159997 blt 0,0,640,480,0, 10324,640,480:waittimer 160012 blt 0,0,640,480,0, 10325,640,480:waittimer 160028 blt 0,0,640,480,0, 10326,640,480:waittimer 160043 blt 0,0,640,480,0, 10327,640,480:waittimer 160059 blt 0,0,640,480,0, 10328,640,480:waittimer 160074 blt 0,0,640,480,0, 10329,640,480:waittimer 160090 blt 0,0,640,480,0, 10330,640,480:waittimer 160105 blt 0,0,640,480,0, 10331,640,480:waittimer 160121 blt 0,0,640,480,0, 10332,640,480:waittimer 160136 blt 0,0,640,480,0, 10333,640,480:waittimer 160152 blt 0,0,640,480,0, 10334,640,480:waittimer 160167 blt 0,0,640,480,0, 10335,640,480:waittimer 160183 blt 0,0,640,480,0, 10336,640,480:waittimer 160198 blt 0,0,640,480,0, 10337,640,480:waittimer 160214 blt 0,0,640,480,0, 10338,640,480:waittimer 160229 blt 0,0,640,480,0, 10339,640,480:waittimer 160245 blt 0,0,640,480,0, 10340,640,480:waittimer 160260 blt 0,0,640,480,0, 10341,640,480:waittimer 160276 blt 0,0,640,480,0, 10342,640,480:waittimer 160291 blt 0,0,640,480,0, 10343,640,480:waittimer 160307 blt 0,0,640,480,0, 10344,640,480:waittimer 160322 blt 0,0,640,480,0, 10345,640,480:waittimer 160338 blt 0,0,640,480,0, 10346,640,480:waittimer 160353 blt 0,0,640,480,0, 10347,640,480:waittimer 160369 blt 0,0,640,480,0, 10348,640,480:waittimer 160384 blt 0,0,640,480,0, 10349,640,480:waittimer 160400 blt 0,0,640,480,0, 10350,640,480:waittimer 160415 blt 0,0,640,480,0, 10351,640,480:waittimer 160431 blt 0,0,640,480,0, 10352,640,480:waittimer 160446 blt 0,0,640,480,0, 10353,640,480:waittimer 160462 blt 0,0,640,480,0, 10354,640,480:waittimer 160477 blt 0,0,640,480,0, 10355,640,480:waittimer 160493 blt 0,0,640,480,0, 10356,640,480:waittimer 160508 blt 0,0,640,480,0, 10357,640,480:waittimer 160524 blt 0,0,640,480,0, 10358,640,480:waittimer 160539 blt 0,0,640,480,0, 10359,640,480:waittimer 160555 blt 0,0,640,480,0, 10360,640,480:waittimer 160570 blt 0,0,640,480,0, 10361,640,480:waittimer 160586 blt 0,0,640,480,0, 10362,640,480:waittimer 160601 blt 0,0,640,480,0, 10363,640,480:waittimer 160617 blt 0,0,640,480,0, 10364,640,480:waittimer 160632 blt 0,0,640,480,0, 10365,640,480:waittimer 160648 blt 0,0,640,480,0, 10366,640,480:waittimer 160663 blt 0,0,640,480,0, 10367,640,480:waittimer 160679 blt 0,0,640,480,0, 10368,640,480:waittimer 160694 blt 0,0,640,480,0, 10369,640,480:waittimer 160710 blt 0,0,640,480,0, 10370,640,480:waittimer 160725 blt 0,0,640,480,0, 10371,640,480:waittimer 160741 blt 0,0,640,480,0, 10372,640,480:waittimer 160756 blt 0,0,640,480,0, 10373,640,480:waittimer 160772 blt 0,0,640,480,0, 10374,640,480:waittimer 160787 blt 0,0,640,480,0, 10375,640,480:waittimer 160803 blt 0,0,640,480,0, 10376,640,480:waittimer 160818 blt 0,0,640,480,0, 10377,640,480:waittimer 160834 blt 0,0,640,480,0, 10378,640,480:waittimer 160849 blt 0,0,640,480,0, 10379,640,480:waittimer 160865 blt 0,0,640,480,0, 10380,640,480:waittimer 160880 blt 0,0,640,480,0, 10381,640,480:waittimer 160896 blt 0,0,640,480,0, 10382,640,480:waittimer 160911 blt 0,0,640,480,0, 10383,640,480:waittimer 160927 blt 0,0,640,480,0, 10384,640,480:waittimer 160942 blt 0,0,640,480,0, 10385,640,480:waittimer 160958 blt 0,0,640,480,0, 10386,640,480:waittimer 160973 blt 0,0,640,480,0, 10387,640,480:waittimer 160989 blt 0,0,640,480,0, 10388,640,480:waittimer 161004 blt 0,0,640,480,0, 10389,640,480:waittimer 161020 blt 0,0,640,480,0, 10390,640,480:waittimer 161035 blt 0,0,640,480,0, 10391,640,480:waittimer 161051 blt 0,0,640,480,0, 10392,640,480:waittimer 161066 blt 0,0,640,480,0, 10393,640,480:waittimer 161082 blt 0,0,640,480,0, 10394,640,480:waittimer 161097 blt 0,0,640,480,0, 10395,640,480:waittimer 161113 blt 0,0,640,480,0, 10396,640,480:waittimer 161128 blt 0,0,640,480,0, 10397,640,480:waittimer 161144 blt 0,0,640,480,0, 10398,640,480:waittimer 161159 blt 0,0,640,480,0, 10399,640,480:waittimer 161175 blt 0,0,640,480,0, 10400,640,480:waittimer 161190 blt 0,0,640,480,0, 10401,640,480:waittimer 161206 blt 0,0,640,480,0, 10402,640,480:waittimer 161221 blt 0,0,640,480,0, 10403,640,480:waittimer 161237 blt 0,0,640,480,0, 10404,640,480:waittimer 161252 blt 0,0,640,480,0, 10405,640,480:waittimer 161268 blt 0,0,640,480,0, 10406,640,480:waittimer 161283 blt 0,0,640,480,0, 10407,640,480:waittimer 161299 blt 0,0,640,480,0, 10408,640,480:waittimer 161314 blt 0,0,640,480,0, 10409,640,480:waittimer 161330 blt 0,0,640,480,0, 10410,640,480:waittimer 161345 blt 0,0,640,480,0, 10411,640,480:waittimer 161361 blt 0,0,640,480,0, 10412,640,480:waittimer 161376 blt 0,0,640,480,0, 10413,640,480:waittimer 161392 blt 0,0,640,480,0, 10414,640,480:waittimer 161407 blt 0,0,640,480,0, 10415,640,480:waittimer 161423 blt 0,0,640,480,0, 10416,640,480:waittimer 161438 blt 0,0,640,480,0, 10417,640,480:waittimer 161454 blt 0,0,640,480,0, 10418,640,480:waittimer 161469 blt 0,0,640,480,0, 10419,640,480:waittimer 161485 blt 0,0,640,480,0, 10420,640,480:waittimer 161500 blt 0,0,640,480,0, 10421,640,480:waittimer 161516 blt 0,0,640,480,0, 10422,640,480:waittimer 161531 blt 0,0,640,480,0, 10423,640,480:waittimer 161547 blt 0,0,640,480,0, 10424,640,480:waittimer 161562 blt 0,0,640,480,0, 10425,640,480:waittimer 161578 blt 0,0,640,480,0, 10426,640,480:waittimer 161593 blt 0,0,640,480,0, 10427,640,480:waittimer 161609 blt 0,0,640,480,0, 10428,640,480:waittimer 161624 blt 0,0,640,480,0, 10429,640,480:waittimer 161640 blt 0,0,640,480,0, 10430,640,480:waittimer 161655 blt 0,0,640,480,0, 10431,640,480:waittimer 161671 blt 0,0,640,480,0, 10432,640,480:waittimer 161686 blt 0,0,640,480,0, 10433,640,480:waittimer 161702 blt 0,0,640,480,0, 10434,640,480:waittimer 161717 blt 0,0,640,480,0, 10435,640,480:waittimer 161733 blt 0,0,640,480,0, 10436,640,480:waittimer 161748 blt 0,0,640,480,0, 10437,640,480:waittimer 161764 blt 0,0,640,480,0, 10438,640,480:waittimer 161779 blt 0,0,640,480,0, 10439,640,480:waittimer 161795 blt 0,0,640,480,0, 10440,640,480:waittimer 161810 blt 0,0,640,480,0, 10441,640,480:waittimer 161826 blt 0,0,640,480,0, 10442,640,480:waittimer 161841 blt 0,0,640,480,0, 10443,640,480:waittimer 161857 blt 0,0,640,480,0, 10444,640,480:waittimer 161872 blt 0,0,640,480,0, 10445,640,480:waittimer 161888 blt 0,0,640,480,0, 10446,640,480:waittimer 161903 blt 0,0,640,480,0, 10447,640,480:waittimer 161919 blt 0,0,640,480,0, 10448,640,480:waittimer 161934 blt 0,0,640,480,0, 10449,640,480:waittimer 161950 blt 0,0,640,480,0, 10450,640,480:waittimer 161965 blt 0,0,640,480,0, 10451,640,480:waittimer 161981 blt 0,0,640,480,0, 10452,640,480:waittimer 161996 blt 0,0,640,480,0, 10453,640,480:waittimer 162012 blt 0,0,640,480,0, 10454,640,480:waittimer 162027 blt 0,0,640,480,0, 10455,640,480:waittimer 162043 blt 0,0,640,480,0, 10456,640,480:waittimer 162058 blt 0,0,640,480,0, 10457,640,480:waittimer 162074 blt 0,0,640,480,0, 10458,640,480:waittimer 162089 blt 0,0,640,480,0, 10459,640,480:waittimer 162105 blt 0,0,640,480,0, 10460,640,480:waittimer 162120 blt 0,0,640,480,0, 10461,640,480:waittimer 162136 blt 0,0,640,480,0, 10462,640,480:waittimer 162151 blt 0,0,640,480,0, 10463,640,480:waittimer 162167 blt 0,0,640,480,0, 10464,640,480:waittimer 162182 blt 0,0,640,480,0, 10465,640,480:waittimer 162198 blt 0,0,640,480,0, 10466,640,480:waittimer 162213 blt 0,0,640,480,0, 10467,640,480:waittimer 162229 blt 0,0,640,480,0, 10468,640,480:waittimer 162244 blt 0,0,640,480,0, 10469,640,480:waittimer 162260 blt 0,0,640,480,0, 10470,640,480:waittimer 162275 blt 0,0,640,480,0, 10471,640,480:waittimer 162291 blt 0,0,640,480,0, 10472,640,480:waittimer 162306 blt 0,0,640,480,0, 10473,640,480:waittimer 162322 blt 0,0,640,480,0, 10474,640,480:waittimer 162337 blt 0,0,640,480,0, 10475,640,480:waittimer 162353 blt 0,0,640,480,0, 10476,640,480:waittimer 162368 blt 0,0,640,480,0, 10477,640,480:waittimer 162384 blt 0,0,640,480,0, 10478,640,480:waittimer 162399 blt 0,0,640,480,0, 10479,640,480:waittimer 162415 blt 0,0,640,480,0, 10480,640,480:waittimer 162430 blt 0,0,640,480,0, 10481,640,480:waittimer 162446 blt 0,0,640,480,0, 10482,640,480:waittimer 162461 blt 0,0,640,480,0, 10483,640,480:waittimer 162477 blt 0,0,640,480,0, 10484,640,480:waittimer 162492 blt 0,0,640,480,0, 10485,640,480:waittimer 162508 blt 0,0,640,480,0, 10486,640,480:waittimer 162523 blt 0,0,640,480,0, 10487,640,480:waittimer 162539 blt 0,0,640,480,0, 10488,640,480:waittimer 162554 blt 0,0,640,480,0, 10489,640,480:waittimer 162570 blt 0,0,640,480,0, 10490,640,480:waittimer 162585 blt 0,0,640,480,0, 10491,640,480:waittimer 162601 blt 0,0,640,480,0, 10492,640,480:waittimer 162616 blt 0,0,640,480,0, 10493,640,480:waittimer 162632 blt 0,0,640,480,0, 10494,640,480:waittimer 162647 blt 0,0,640,480,0, 10495,640,480:waittimer 162663 blt 0,0,640,480,0, 10496,640,480:waittimer 162678 blt 0,0,640,480,0, 10497,640,480:waittimer 162694 blt 0,0,640,480,0, 10498,640,480:waittimer 162709 blt 0,0,640,480,0, 10499,640,480:waittimer 162725 blt 0,0,640,480,0, 10500,640,480:waittimer 162740 blt 0,0,640,480,0, 10501,640,480:waittimer 162756 blt 0,0,640,480,0, 10502,640,480:waittimer 162771 blt 0,0,640,480,0, 10503,640,480:waittimer 162787 blt 0,0,640,480,0, 10504,640,480:waittimer 162802 blt 0,0,640,480,0, 10505,640,480:waittimer 162818 blt 0,0,640,480,0, 10506,640,480:waittimer 162833 blt 0,0,640,480,0, 10507,640,480:waittimer 162849 blt 0,0,640,480,0, 10508,640,480:waittimer 162864 blt 0,0,640,480,0, 10509,640,480:waittimer 162880 blt 0,0,640,480,0, 10510,640,480:waittimer 162895 blt 0,0,640,480,0, 10511,640,480:waittimer 162911 blt 0,0,640,480,0, 10512,640,480:waittimer 162926 blt 0,0,640,480,0, 10513,640,480:waittimer 162942 blt 0,0,640,480,0, 10514,640,480:waittimer 162957 blt 0,0,640,480,0, 10515,640,480:waittimer 162973 blt 0,0,640,480,0, 10516,640,480:waittimer 162988 blt 0,0,640,480,0, 10517,640,480:waittimer 163004 blt 0,0,640,480,0, 10518,640,480:waittimer 163019 blt 0,0,640,480,0, 10519,640,480:waittimer 163035 blt 0,0,640,480,0, 10520,640,480:waittimer 163050 blt 0,0,640,480,0, 10521,640,480:waittimer 163066 blt 0,0,640,480,0, 10522,640,480:waittimer 163081 blt 0,0,640,480,0, 10523,640,480:waittimer 163097 blt 0,0,640,480,0, 10524,640,480:waittimer 163112 blt 0,0,640,480,0, 10525,640,480:waittimer 163128 blt 0,0,640,480,0, 10526,640,480:waittimer 163143 blt 0,0,640,480,0, 10527,640,480:waittimer 163159 blt 0,0,640,480,0, 10528,640,480:waittimer 163174 blt 0,0,640,480,0, 10529,640,480:waittimer 163190 blt 0,0,640,480,0, 10530,640,480:waittimer 163205 blt 0,0,640,480,0, 10531,640,480:waittimer 163221 blt 0,0,640,480,0, 10532,640,480:waittimer 163236 blt 0,0,640,480,0, 10533,640,480:waittimer 163252 blt 0,0,640,480,0, 10534,640,480:waittimer 163267 blt 0,0,640,480,0, 10535,640,480:waittimer 163283 blt 0,0,640,480,0, 10536,640,480:waittimer 163298 blt 0,0,640,480,0, 10537,640,480:waittimer 163314 blt 0,0,640,480,0, 10538,640,480:waittimer 163329 blt 0,0,640,480,0, 10539,640,480:waittimer 163345 blt 0,0,640,480,0, 10540,640,480:waittimer 163360 blt 0,0,640,480,0, 10541,640,480:waittimer 163376 blt 0,0,640,480,0, 10542,640,480:waittimer 163391 blt 0,0,640,480,0, 10543,640,480:waittimer 163407 blt 0,0,640,480,0, 10544,640,480:waittimer 163422 blt 0,0,640,480,0, 10545,640,480:waittimer 163438 blt 0,0,640,480,0, 10546,640,480:waittimer 163453 blt 0,0,640,480,0, 10547,640,480:waittimer 163469 blt 0,0,640,480,0, 10548,640,480:waittimer 163484 blt 0,0,640,480,0, 10549,640,480:waittimer 163500 blt 0,0,640,480,0, 10550,640,480:waittimer 163515 blt 0,0,640,480,0, 10551,640,480:waittimer 163531 blt 0,0,640,480,0, 10552,640,480:waittimer 163546 blt 0,0,640,480,0, 10553,640,480:waittimer 163562 blt 0,0,640,480,0, 10554,640,480:waittimer 163577 blt 0,0,640,480,0, 10555,640,480:waittimer 163593 blt 0,0,640,480,0, 10556,640,480:waittimer 163608 blt 0,0,640,480,0, 10557,640,480:waittimer 163624 blt 0,0,640,480,0, 10558,640,480:waittimer 163639 blt 0,0,640,480,0, 10559,640,480:waittimer 163655 blt 0,0,640,480,0, 10560,640,480:waittimer 163670 blt 0,0,640,480,0, 10561,640,480:waittimer 163686 blt 0,0,640,480,0, 10562,640,480:waittimer 163701 blt 0,0,640,480,0, 10563,640,480:waittimer 163717 blt 0,0,640,480,0, 10564,640,480:waittimer 163732 blt 0,0,640,480,0, 10565,640,480:waittimer 163748 blt 0,0,640,480,0, 10566,640,480:waittimer 163763 blt 0,0,640,480,0, 10567,640,480:waittimer 163779 blt 0,0,640,480,0, 10568,640,480:waittimer 163794 blt 0,0,640,480,0, 10569,640,480:waittimer 163810 blt 0,0,640,480,0, 10570,640,480:waittimer 163825 blt 0,0,640,480,0, 10571,640,480:waittimer 163841 blt 0,0,640,480,0, 10572,640,480:waittimer 163856 blt 0,0,640,480,0, 10573,640,480:waittimer 163872 blt 0,0,640,480,0, 10574,640,480:waittimer 163887 blt 0,0,640,480,0, 10575,640,480:waittimer 163903 blt 0,0,640,480,0, 10576,640,480:waittimer 163918 blt 0,0,640,480,0, 10577,640,480:waittimer 163934 blt 0,0,640,480,0, 10578,640,480:waittimer 163949 blt 0,0,640,480,0, 10579,640,480:waittimer 163965 blt 0,0,640,480,0, 10580,640,480:waittimer 163980 blt 0,0,640,480,0, 10581,640,480:waittimer 163996 blt 0,0,640,480,0, 10582,640,480:waittimer 164011 blt 0,0,640,480,0, 10583,640,480:waittimer 164027 blt 0,0,640,480,0, 10584,640,480:waittimer 164042 blt 0,0,640,480,0, 10585,640,480:waittimer 164058 blt 0,0,640,480,0, 10586,640,480:waittimer 164073 blt 0,0,640,480,0, 10587,640,480:waittimer 164089 blt 0,0,640,480,0, 10588,640,480:waittimer 164104 blt 0,0,640,480,0, 10589,640,480:waittimer 164120 blt 0,0,640,480,0, 10590,640,480:waittimer 164135 blt 0,0,640,480,0, 10591,640,480:waittimer 164151 blt 0,0,640,480,0, 10592,640,480:waittimer 164166 blt 0,0,640,480,0, 10593,640,480:waittimer 164182 blt 0,0,640,480,0, 10594,640,480:waittimer 164197 blt 0,0,640,480,0, 10595,640,480:waittimer 164213 blt 0,0,640,480,0, 10596,640,480:waittimer 164228 blt 0,0,640,480,0, 10597,640,480:waittimer 164244 blt 0,0,640,480,0, 10598,640,480:waittimer 164259 blt 0,0,640,480,0, 10599,640,480:waittimer 164275 blt 0,0,640,480,0, 10600,640,480:waittimer 164290 blt 0,0,640,480,0, 10601,640,480:waittimer 164306 blt 0,0,640,480,0, 10602,640,480:waittimer 164321 blt 0,0,640,480,0, 10603,640,480:waittimer 164337 blt 0,0,640,480,0, 10604,640,480:waittimer 164352 blt 0,0,640,480,0, 10605,640,480:waittimer 164368 blt 0,0,640,480,0, 10606,640,480:waittimer 164383 blt 0,0,640,480,0, 10607,640,480:waittimer 164399 blt 0,0,640,480,0, 10608,640,480:waittimer 164414 blt 0,0,640,480,0, 10609,640,480:waittimer 164430 blt 0,0,640,480,0, 10610,640,480:waittimer 164445 blt 0,0,640,480,0, 10611,640,480:waittimer 164461 blt 0,0,640,480,0, 10612,640,480:waittimer 164476 blt 0,0,640,480,0, 10613,640,480:waittimer 164492 blt 0,0,640,480,0, 10614,640,480:waittimer 164507 blt 0,0,640,480,0, 10615,640,480:waittimer 164523 blt 0,0,640,480,0, 10616,640,480:waittimer 164538 blt 0,0,640,480,0, 10617,640,480:waittimer 164554 blt 0,0,640,480,0, 10618,640,480:waittimer 164569 blt 0,0,640,480,0, 10619,640,480:waittimer 164585 blt 0,0,640,480,0, 10620,640,480:waittimer 164600 blt 0,0,640,480,0, 10621,640,480:waittimer 164616 blt 0,0,640,480,0, 10622,640,480:waittimer 164631 blt 0,0,640,480,0, 10623,640,480:waittimer 164647 blt 0,0,640,480,0, 10624,640,480:waittimer 164662 blt 0,0,640,480,0, 10625,640,480:waittimer 164678 blt 0,0,640,480,0, 10626,640,480:waittimer 164693 blt 0,0,640,480,0, 10627,640,480:waittimer 164709 blt 0,0,640,480,0, 10628,640,480:waittimer 164724 blt 0,0,640,480,0, 10629,640,480:waittimer 164740 blt 0,0,640,480,0, 10630,640,480:waittimer 164755 blt 0,0,640,480,0, 10631,640,480:waittimer 164771 blt 0,0,640,480,0, 10632,640,480:waittimer 164786 blt 0,0,640,480,0, 10633,640,480:waittimer 164802 blt 0,0,640,480,0, 10634,640,480:waittimer 164817 blt 0,0,640,480,0, 10635,640,480:waittimer 164833 blt 0,0,640,480,0, 10636,640,480:waittimer 164848 blt 0,0,640,480,0, 10637,640,480:waittimer 164864 blt 0,0,640,480,0, 10638,640,480:waittimer 164879 blt 0,0,640,480,0, 10639,640,480:waittimer 164895 blt 0,0,640,480,0, 10640,640,480:waittimer 164910 blt 0,0,640,480,0, 10641,640,480:waittimer 164926 blt 0,0,640,480,0, 10642,640,480:waittimer 164941 blt 0,0,640,480,0, 10643,640,480:waittimer 164957 blt 0,0,640,480,0, 10644,640,480:waittimer 164972 blt 0,0,640,480,0, 10645,640,480:waittimer 164988 blt 0,0,640,480,0, 10646,640,480:waittimer 165003 blt 0,0,640,480,0, 10647,640,480:waittimer 165019 blt 0,0,640,480,0, 10648,640,480:waittimer 165034 blt 0,0,640,480,0, 10649,640,480:waittimer 165050 blt 0,0,640,480,0, 10650,640,480:waittimer 165065 blt 0,0,640,480,0, 10651,640,480:waittimer 165081 blt 0,0,640,480,0, 10652,640,480:waittimer 165096 blt 0,0,640,480,0, 10653,640,480:waittimer 165112 blt 0,0,640,480,0, 10654,640,480:waittimer 165127 blt 0,0,640,480,0, 10655,640,480:waittimer 165143 blt 0,0,640,480,0, 10656,640,480:waittimer 165158 blt 0,0,640,480,0, 10657,640,480:waittimer 165174 blt 0,0,640,480,0, 10658,640,480:waittimer 165189 blt 0,0,640,480,0, 10659,640,480:waittimer 165205 blt 0,0,640,480,0, 10660,640,480:waittimer 165220 blt 0,0,640,480,0, 10661,640,480:waittimer 165236 blt 0,0,640,480,0, 10662,640,480:waittimer 165251 blt 0,0,640,480,0, 10663,640,480:waittimer 165267 blt 0,0,640,480,0, 10664,640,480:waittimer 165282 blt 0,0,640,480,0, 10665,640,480:waittimer 165298 blt 0,0,640,480,0, 10666,640,480:waittimer 165313 blt 0,0,640,480,0, 10667,640,480:waittimer 165329 blt 0,0,640,480,0, 10668,640,480:waittimer 165344 blt 0,0,640,480,0, 10669,640,480:waittimer 165360 blt 0,0,640,480,0, 10670,640,480:waittimer 165375 blt 0,0,640,480,0, 10671,640,480:waittimer 165391 blt 0,0,640,480,0, 10672,640,480:waittimer 165406 blt 0,0,640,480,0, 10673,640,480:waittimer 165422 blt 0,0,640,480,0, 10674,640,480:waittimer 165437 blt 0,0,640,480,0, 10675,640,480:waittimer 165453 blt 0,0,640,480,0, 10676,640,480:waittimer 165468 blt 0,0,640,480,0, 10677,640,480:waittimer 165484 blt 0,0,640,480,0, 10678,640,480:waittimer 165499 blt 0,0,640,480,0, 10679,640,480:waittimer 165515 blt 0,0,640,480,0, 10680,640,480:waittimer 165530 blt 0,0,640,480,0, 10681,640,480:waittimer 165546 blt 0,0,640,480,0, 10682,640,480:waittimer 165561 blt 0,0,640,480,0, 10683,640,480:waittimer 165577 blt 0,0,640,480,0, 10684,640,480:waittimer 165592 blt 0,0,640,480,0, 10685,640,480:waittimer 165608 blt 0,0,640,480,0, 10686,640,480:waittimer 165623 blt 0,0,640,480,0, 10687,640,480:waittimer 165639 blt 0,0,640,480,0, 10688,640,480:waittimer 165654 blt 0,0,640,480,0, 10689,640,480:waittimer 165670 blt 0,0,640,480,0, 10690,640,480:waittimer 165685 blt 0,0,640,480,0, 10691,640,480:waittimer 165701 blt 0,0,640,480,0, 10692,640,480:waittimer 165716 blt 0,0,640,480,0, 10693,640,480:waittimer 165732 blt 0,0,640,480,0, 10694,640,480:waittimer 165747 blt 0,0,640,480,0, 10695,640,480:waittimer 165763 blt 0,0,640,480,0, 10696,640,480:waittimer 165778 blt 0,0,640,480,0, 10697,640,480:waittimer 165794 blt 0,0,640,480,0, 10698,640,480:waittimer 165809 blt 0,0,640,480,0, 10699,640,480:waittimer 165825 blt 0,0,640,480,0, 10700,640,480:waittimer 165840 blt 0,0,640,480,0, 10701,640,480:waittimer 165856 blt 0,0,640,480,0, 10702,640,480:waittimer 165871 blt 0,0,640,480,0, 10703,640,480:waittimer 165887 blt 0,0,640,480,0, 10704,640,480:waittimer 165902 blt 0,0,640,480,0, 10705,640,480:waittimer 165918 blt 0,0,640,480,0, 10706,640,480:waittimer 165933 blt 0,0,640,480,0, 10707,640,480:waittimer 165949 blt 0,0,640,480,0, 10708,640,480:waittimer 165964 blt 0,0,640,480,0, 10709,640,480:waittimer 165980 blt 0,0,640,480,0, 10710,640,480:waittimer 165995 blt 0,0,640,480,0, 10711,640,480:waittimer 166011 blt 0,0,640,480,0, 10712,640,480:waittimer 166026 blt 0,0,640,480,0, 10713,640,480:waittimer 166042 blt 0,0,640,480,0, 10714,640,480:waittimer 166057 blt 0,0,640,480,0, 10715,640,480:waittimer 166073 blt 0,0,640,480,0, 10716,640,480:waittimer 166088 blt 0,0,640,480,0, 10717,640,480:waittimer 166104 blt 0,0,640,480,0, 10718,640,480:waittimer 166119 blt 0,0,640,480,0, 10719,640,480:waittimer 166135 blt 0,0,640,480,0, 10720,640,480:waittimer 166150 blt 0,0,640,480,0, 10721,640,480:waittimer 166166 blt 0,0,640,480,0, 10722,640,480:waittimer 166181 blt 0,0,640,480,0, 10723,640,480:waittimer 166197 blt 0,0,640,480,0, 10724,640,480:waittimer 166212 blt 0,0,640,480,0, 10725,640,480:waittimer 166228 blt 0,0,640,480,0, 10726,640,480:waittimer 166243 blt 0,0,640,480,0, 10727,640,480:waittimer 166259 blt 0,0,640,480,0, 10728,640,480:waittimer 166274 blt 0,0,640,480,0, 10729,640,480:waittimer 166290 blt 0,0,640,480,0, 10730,640,480:waittimer 166305 blt 0,0,640,480,0, 10731,640,480:waittimer 166321 blt 0,0,640,480,0, 10732,640,480:waittimer 166336 blt 0,0,640,480,0, 10733,640,480:waittimer 166352 blt 0,0,640,480,0, 10734,640,480:waittimer 166367 blt 0,0,640,480,0, 10735,640,480:waittimer 166383 blt 0,0,640,480,0, 10736,640,480:waittimer 166398 blt 0,0,640,480,0, 10737,640,480:waittimer 166414 blt 0,0,640,480,0, 10738,640,480:waittimer 166429 blt 0,0,640,480,0, 10739,640,480:waittimer 166445 blt 0,0,640,480,0, 10740,640,480:waittimer 166460 blt 0,0,640,480,0, 10741,640,480:waittimer 166476 blt 0,0,640,480,0, 10742,640,480:waittimer 166491 blt 0,0,640,480,0, 10743,640,480:waittimer 166507 blt 0,0,640,480,0, 10744,640,480:waittimer 166522 blt 0,0,640,480,0, 10745,640,480:waittimer 166538 blt 0,0,640,480,0, 10746,640,480:waittimer 166553 blt 0,0,640,480,0, 10747,640,480:waittimer 166569 blt 0,0,640,480,0, 10748,640,480:waittimer 166584 blt 0,0,640,480,0, 10749,640,480:waittimer 166600 blt 0,0,640,480,0, 10750,640,480:waittimer 166615 blt 0,0,640,480,0, 10751,640,480:waittimer 166631 blt 0,0,640,480,0, 10752,640,480:waittimer 166646 blt 0,0,640,480,0, 10753,640,480:waittimer 166662 blt 0,0,640,480,0, 10754,640,480:waittimer 166677 blt 0,0,640,480,0, 10755,640,480:waittimer 166693 blt 0,0,640,480,0, 10756,640,480:waittimer 166708 blt 0,0,640,480,0, 10757,640,480:waittimer 166724 blt 0,0,640,480,0, 10758,640,480:waittimer 166739 blt 0,0,640,480,0, 10759,640,480:waittimer 166755 blt 0,0,640,480,0, 10760,640,480:waittimer 166770 blt 0,0,640,480,0, 10761,640,480:waittimer 166786 blt 0,0,640,480,0, 10762,640,480:waittimer 166801 blt 0,0,640,480,0, 10763,640,480:waittimer 166817 blt 0,0,640,480,0, 10764,640,480:waittimer 166832 blt 0,0,640,480,0, 10765,640,480:waittimer 166848 blt 0,0,640,480,0, 10766,640,480:waittimer 166863 blt 0,0,640,480,0, 10767,640,480:waittimer 166879 blt 0,0,640,480,0, 10768,640,480:waittimer 166894 blt 0,0,640,480,0, 10769,640,480:waittimer 166910 blt 0,0,640,480,0, 10770,640,480:waittimer 166925 blt 0,0,640,480,0, 10771,640,480:waittimer 166941 blt 0,0,640,480,0, 10772,640,480:waittimer 166956 blt 0,0,640,480,0, 10773,640,480:waittimer 166972 blt 0,0,640,480,0, 10774,640,480:waittimer 166987 blt 0,0,640,480,0, 10775,640,480:waittimer 167003 blt 0,0,640,480,0, 10776,640,480:waittimer 167018 blt 0,0,640,480,0, 10777,640,480:waittimer 167034 blt 0,0,640,480,0, 10778,640,480:waittimer 167049 blt 0,0,640,480,0, 10779,640,480:waittimer 167065 blt 0,0,640,480,0, 10780,640,480:waittimer 167080 blt 0,0,640,480,0, 10781,640,480:waittimer 167096 blt 0,0,640,480,0, 10782,640,480:waittimer 167111 blt 0,0,640,480,0, 10783,640,480:waittimer 167127 blt 0,0,640,480,0, 10784,640,480:waittimer 167142 blt 0,0,640,480,0, 10785,640,480:waittimer 167158 blt 0,0,640,480,0, 10786,640,480:waittimer 167173 blt 0,0,640,480,0, 10787,640,480:waittimer 167189 blt 0,0,640,480,0, 10788,640,480:waittimer 167204 blt 0,0,640,480,0, 10789,640,480:waittimer 167220 blt 0,0,640,480,0, 10790,640,480:waittimer 167235 blt 0,0,640,480,0, 10791,640,480:waittimer 167251 blt 0,0,640,480,0, 10792,640,480:waittimer 167266 blt 0,0,640,480,0, 10793,640,480:waittimer 167282 blt 0,0,640,480,0, 10794,640,480:waittimer 167297 blt 0,0,640,480,0, 10795,640,480:waittimer 167313 blt 0,0,640,480,0, 10796,640,480:waittimer 167328 blt 0,0,640,480,0, 10797,640,480:waittimer 167344 blt 0,0,640,480,0, 10798,640,480:waittimer 167359 blt 0,0,640,480,0, 10799,640,480:waittimer 167375 blt 0,0,640,480,0, 10800,640,480:waittimer 167390 blt 0,0,640,480,0, 10801,640,480:waittimer 167406 blt 0,0,640,480,0, 10802,640,480:waittimer 167421 blt 0,0,640,480,0, 10803,640,480:waittimer 167437 blt 0,0,640,480,0, 10804,640,480:waittimer 167452 blt 0,0,640,480,0, 10805,640,480:waittimer 167468 blt 0,0,640,480,0, 10806,640,480:waittimer 167483 blt 0,0,640,480,0, 10807,640,480:waittimer 167499 blt 0,0,640,480,0, 10808,640,480:waittimer 167514 blt 0,0,640,480,0, 10809,640,480:waittimer 167530 blt 0,0,640,480,0, 10810,640,480:waittimer 167545 blt 0,0,640,480,0, 10811,640,480:waittimer 167561 blt 0,0,640,480,0, 10812,640,480:waittimer 167576 blt 0,0,640,480,0, 10813,640,480:waittimer 167592 blt 0,0,640,480,0, 10814,640,480:waittimer 167607 blt 0,0,640,480,0, 10815,640,480:waittimer 167623 blt 0,0,640,480,0, 10816,640,480:waittimer 167638 blt 0,0,640,480,0, 10817,640,480:waittimer 167654 blt 0,0,640,480,0, 10818,640,480:waittimer 167669 blt 0,0,640,480,0, 10819,640,480:waittimer 167685 blt 0,0,640,480,0, 10820,640,480:waittimer 167700 blt 0,0,640,480,0, 10821,640,480:waittimer 167716 blt 0,0,640,480,0, 10822,640,480:waittimer 167731 blt 0,0,640,480,0, 10823,640,480:waittimer 167747 blt 0,0,640,480,0, 10824,640,480:waittimer 167762 blt 0,0,640,480,0, 10825,640,480:waittimer 167778 blt 0,0,640,480,0, 10826,640,480:waittimer 167793 blt 0,0,640,480,0, 10827,640,480:waittimer 167809 blt 0,0,640,480,0, 10828,640,480:waittimer 167824 blt 0,0,640,480,0, 10829,640,480:waittimer 167840 blt 0,0,640,480,0, 10830,640,480:waittimer 167855 blt 0,0,640,480,0, 10831,640,480:waittimer 167871 blt 0,0,640,480,0, 10832,640,480:waittimer 167886 blt 0,0,640,480,0, 10833,640,480:waittimer 167902 blt 0,0,640,480,0, 10834,640,480:waittimer 167917 blt 0,0,640,480,0, 10835,640,480:waittimer 167933 blt 0,0,640,480,0, 10836,640,480:waittimer 167948 blt 0,0,640,480,0, 10837,640,480:waittimer 167964 blt 0,0,640,480,0, 10838,640,480:waittimer 167979 blt 0,0,640,480,0, 10839,640,480:waittimer 167995 blt 0,0,640,480,0, 10840,640,480:waittimer 168010 blt 0,0,640,480,0, 10841,640,480:waittimer 168026 blt 0,0,640,480,0, 10842,640,480:waittimer 168041 blt 0,0,640,480,0, 10843,640,480:waittimer 168057 blt 0,0,640,480,0, 10844,640,480:waittimer 168072 blt 0,0,640,480,0, 10845,640,480:waittimer 168088 blt 0,0,640,480,0, 10846,640,480:waittimer 168103 blt 0,0,640,480,0, 10847,640,480:waittimer 168119 blt 0,0,640,480,0, 10848,640,480:waittimer 168134 blt 0,0,640,480,0, 10849,640,480:waittimer 168150 blt 0,0,640,480,0, 10850,640,480:waittimer 168165 blt 0,0,640,480,0, 10851,640,480:waittimer 168181 blt 0,0,640,480,0, 10852,640,480:waittimer 168196 blt 0,0,640,480,0, 10853,640,480:waittimer 168211 blt 0,0,640,480,0, 10854,640,480:waittimer 168227 blt 0,0,640,480,0, 10855,640,480:waittimer 168242 blt 0,0,640,480,0, 10856,640,480:waittimer 168258 blt 0,0,640,480,0, 10857,640,480:waittimer 168273 blt 0,0,640,480,0, 10858,640,480:waittimer 168289 blt 0,0,640,480,0, 10859,640,480:waittimer 168304 blt 0,0,640,480,0, 10860,640,480:waittimer 168320 blt 0,0,640,480,0, 10861,640,480:waittimer 168335 blt 0,0,640,480,0, 10862,640,480:waittimer 168351 blt 0,0,640,480,0, 10863,640,480:waittimer 168366 blt 0,0,640,480,0, 10864,640,480:waittimer 168382 blt 0,0,640,480,0, 10865,640,480:waittimer 168397 blt 0,0,640,480,0, 10866,640,480:waittimer 168413 blt 0,0,640,480,0, 10867,640,480:waittimer 168428 blt 0,0,640,480,0, 10868,640,480:waittimer 168444 blt 0,0,640,480,0, 10869,640,480:waittimer 168459 blt 0,0,640,480,0, 10870,640,480:waittimer 168475 blt 0,0,640,480,0, 10871,640,480:waittimer 168490 blt 0,0,640,480,0, 10872,640,480:waittimer 168506 blt 0,0,640,480,0, 10873,640,480:waittimer 168521 blt 0,0,640,480,0, 10874,640,480:waittimer 168537 blt 0,0,640,480,0, 10875,640,480:waittimer 168552 blt 0,0,640,480,0, 10876,640,480:waittimer 168568 blt 0,0,640,480,0, 10877,640,480:waittimer 168583 blt 0,0,640,480,0, 10878,640,480:waittimer 168599 blt 0,0,640,480,0, 10879,640,480:waittimer 168614 blt 0,0,640,480,0, 10880,640,480:waittimer 168630 blt 0,0,640,480,0, 10881,640,480:waittimer 168645 blt 0,0,640,480,0, 10882,640,480:waittimer 168661 blt 0,0,640,480,0, 10883,640,480:waittimer 168676 blt 0,0,640,480,0, 10884,640,480:waittimer 168692 blt 0,0,640,480,0, 10885,640,480:waittimer 168707 blt 0,0,640,480,0, 10886,640,480:waittimer 168723 blt 0,0,640,480,0, 10887,640,480:waittimer 168738 blt 0,0,640,480,0, 10888,640,480:waittimer 168754 blt 0,0,640,480,0, 10889,640,480:waittimer 168769 blt 0,0,640,480,0, 10890,640,480:waittimer 168785 blt 0,0,640,480,0, 10891,640,480:waittimer 168800 blt 0,0,640,480,0, 10892,640,480:waittimer 168816 blt 0,0,640,480,0, 10893,640,480:waittimer 168831 blt 0,0,640,480,0, 10894,640,480:waittimer 168847 blt 0,0,640,480,0, 10895,640,480:waittimer 168862 blt 0,0,640,480,0, 10896,640,480:waittimer 168878 blt 0,0,640,480,0, 10897,640,480:waittimer 168893 blt 0,0,640,480,0, 10898,640,480:waittimer 168909 blt 0,0,640,480,0, 10899,640,480:waittimer 168924 blt 0,0,640,480,0, 10900,640,480:waittimer 168940 blt 0,0,640,480,0, 10901,640,480:waittimer 168955 blt 0,0,640,480,0, 10902,640,480:waittimer 168971 blt 0,0,640,480,0, 10903,640,480:waittimer 168986 blt 0,0,640,480,0, 10904,640,480:waittimer 169002 blt 0,0,640,480,0, 10905,640,480:waittimer 169017 blt 0,0,640,480,0, 10906,640,480:waittimer 169033 blt 0,0,640,480,0, 10907,640,480:waittimer 169048 blt 0,0,640,480,0, 10908,640,480:waittimer 169064 blt 0,0,640,480,0, 10909,640,480:waittimer 169079 blt 0,0,640,480,0, 10910,640,480:waittimer 169095 blt 0,0,640,480,0, 10911,640,480:waittimer 169110 blt 0,0,640,480,0, 10912,640,480:waittimer 169126 blt 0,0,640,480,0, 10913,640,480:waittimer 169141 blt 0,0,640,480,0, 10914,640,480:waittimer 169157 blt 0,0,640,480,0, 10915,640,480:waittimer 169172 blt 0,0,640,480,0, 10916,640,480:waittimer 169188 blt 0,0,640,480,0, 10917,640,480:waittimer 169203 blt 0,0,640,480,0, 10918,640,480:waittimer 169219 blt 0,0,640,480,0, 10919,640,480:waittimer 169234 blt 0,0,640,480,0, 10920,640,480:waittimer 169250 blt 0,0,640,480,0, 10921,640,480:waittimer 169265 blt 0,0,640,480,0, 10922,640,480:waittimer 169281 blt 0,0,640,480,0, 10923,640,480:waittimer 169296 blt 0,0,640,480,0, 10924,640,480:waittimer 169312 blt 0,0,640,480,0, 10925,640,480:waittimer 169327 blt 0,0,640,480,0, 10926,640,480:waittimer 169343 blt 0,0,640,480,0, 10927,640,480:waittimer 169358 blt 0,0,640,480,0, 10928,640,480:waittimer 169374 blt 0,0,640,480,0, 10929,640,480:waittimer 169389 blt 0,0,640,480,0, 10930,640,480:waittimer 169405 blt 0,0,640,480,0, 10931,640,480:waittimer 169420 blt 0,0,640,480,0, 10932,640,480:waittimer 169436 blt 0,0,640,480,0, 10933,640,480:waittimer 169451 blt 0,0,640,480,0, 10934,640,480:waittimer 169467 blt 0,0,640,480,0, 10935,640,480:waittimer 169482 blt 0,0,640,480,0, 10936,640,480:waittimer 169498 blt 0,0,640,480,0, 10937,640,480:waittimer 169513 blt 0,0,640,480,0, 10938,640,480:waittimer 169529 blt 0,0,640,480,0, 10939,640,480:waittimer 169544 blt 0,0,640,480,0, 10940,640,480:waittimer 169560 blt 0,0,640,480,0, 10941,640,480:waittimer 169575 blt 0,0,640,480,0, 10942,640,480:waittimer 169591 blt 0,0,640,480,0, 10943,640,480:waittimer 169606 blt 0,0,640,480,0, 10944,640,480:waittimer 169622 blt 0,0,640,480,0, 10945,640,480:waittimer 169637 blt 0,0,640,480,0, 10946,640,480:waittimer 169653 blt 0,0,640,480,0, 10947,640,480:waittimer 169668 blt 0,0,640,480,0, 10948,640,480:waittimer 169684 blt 0,0,640,480,0, 10949,640,480:waittimer 169699 blt 0,0,640,480,0, 10950,640,480:waittimer 169715 blt 0,0,640,480,0, 10951,640,480:waittimer 169730 blt 0,0,640,480,0, 10952,640,480:waittimer 169746 blt 0,0,640,480,0, 10953,640,480:waittimer 169761 blt 0,0,640,480,0, 10954,640,480:waittimer 169777 blt 0,0,640,480,0, 10955,640,480:waittimer 169792 blt 0,0,640,480,0, 10956,640,480:waittimer 169808 blt 0,0,640,480,0, 10957,640,480:waittimer 169823 blt 0,0,640,480,0, 10958,640,480:waittimer 169839 blt 0,0,640,480,0, 10959,640,480:waittimer 169854 blt 0,0,640,480,0, 10960,640,480:waittimer 169870 blt 0,0,640,480,0, 10961,640,480:waittimer 169885 blt 0,0,640,480,0, 10962,640,480:waittimer 169901 blt 0,0,640,480,0, 10963,640,480:waittimer 169916 blt 0,0,640,480,0, 10964,640,480:waittimer 169932 blt 0,0,640,480,0, 10965,640,480:waittimer 169947 blt 0,0,640,480,0, 10966,640,480:waittimer 169963 blt 0,0,640,480,0, 10967,640,480:waittimer 169978 blt 0,0,640,480,0, 10968,640,480:waittimer 169994 blt 0,0,640,480,0, 10969,640,480:waittimer 170009 blt 0,0,640,480,0, 10970,640,480:waittimer 170025 blt 0,0,640,480,0, 10971,640,480:waittimer 170040 blt 0,0,640,480,0, 10972,640,480:waittimer 170056 blt 0,0,640,480,0, 10973,640,480:waittimer 170071 blt 0,0,640,480,0, 10974,640,480:waittimer 170087 blt 0,0,640,480,0, 10975,640,480:waittimer 170102 blt 0,0,640,480,0, 10976,640,480:waittimer 170118 blt 0,0,640,480,0, 10977,640,480:waittimer 170133 blt 0,0,640,480,0, 10978,640,480:waittimer 170149 blt 0,0,640,480,0, 10979,640,480:waittimer 170164 blt 0,0,640,480,0, 10980,640,480:waittimer 170180 blt 0,0,640,480,0, 10981,640,480:waittimer 170195 blt 0,0,640,480,0, 10982,640,480:waittimer 170211 blt 0,0,640,480,0, 10983,640,480:waittimer 170226 blt 0,0,640,480,0, 10984,640,480:waittimer 170242 blt 0,0,640,480,0, 10985,640,480:waittimer 170257 blt 0,0,640,480,0, 10986,640,480:waittimer 170273 blt 0,0,640,480,0, 10987,640,480:waittimer 170288 blt 0,0,640,480,0, 10988,640,480:waittimer 170304 blt 0,0,640,480,0, 10989,640,480:waittimer 170319 blt 0,0,640,480,0, 10990,640,480:waittimer 170335 blt 0,0,640,480,0, 10991,640,480:waittimer 170350 blt 0,0,640,480,0, 10992,640,480:waittimer 170366 blt 0,0,640,480,0, 10993,640,480:waittimer 170381 blt 0,0,640,480,0, 10994,640,480:waittimer 170397 blt 0,0,640,480,0, 10995,640,480:waittimer 170412 blt 0,0,640,480,0, 10996,640,480:waittimer 170428 blt 0,0,640,480,0, 10997,640,480:waittimer 170443 blt 0,0,640,480,0, 10998,640,480:waittimer 170459 blt 0,0,640,480,0, 10999,640,480:waittimer 170474 blt 0,0,640,480,0, 11000,640,480:waittimer 170490 blt 0,0,640,480,0, 11001,640,480:waittimer 170505 blt 0,0,640,480,0, 11002,640,480:waittimer 170521 blt 0,0,640,480,0, 11003,640,480:waittimer 170536 blt 0,0,640,480,0, 11004,640,480:waittimer 170552 blt 0,0,640,480,0, 11005,640,480:waittimer 170567 blt 0,0,640,480,0, 11006,640,480:waittimer 170583 blt 0,0,640,480,0, 11007,640,480:waittimer 170598 blt 0,0,640,480,0, 11008,640,480:waittimer 170614 blt 0,0,640,480,0, 11009,640,480:waittimer 170629 blt 0,0,640,480,0, 11010,640,480:waittimer 170645 blt 0,0,640,480,0, 11011,640,480:waittimer 170660 blt 0,0,640,480,0, 11012,640,480:waittimer 170676 blt 0,0,640,480,0, 11013,640,480:waittimer 170691 blt 0,0,640,480,0, 11014,640,480:waittimer 170707 blt 0,0,640,480,0, 11015,640,480:waittimer 170722 blt 0,0,640,480,0, 11016,640,480:waittimer 170738 blt 0,0,640,480,0, 11017,640,480:waittimer 170753 blt 0,0,640,480,0, 11018,640,480:waittimer 170769 blt 0,0,640,480,0, 11019,640,480:waittimer 170784 blt 0,0,640,480,0, 11020,640,480:waittimer 170800 blt 0,0,640,480,0, 11021,640,480:waittimer 170815 blt 0,0,640,480,0, 11022,640,480:waittimer 170831 blt 0,0,640,480,0, 11023,640,480:waittimer 170846 blt 0,0,640,480,0, 11024,640,480:waittimer 170862 blt 0,0,640,480,0, 11025,640,480:waittimer 170877 blt 0,0,640,480,0, 11026,640,480:waittimer 170893 blt 0,0,640,480,0, 11027,640,480:waittimer 170908 blt 0,0,640,480,0, 11028,640,480:waittimer 170924 blt 0,0,640,480,0, 11029,640,480:waittimer 170939 blt 0,0,640,480,0, 11030,640,480:waittimer 170955 blt 0,0,640,480,0, 11031,640,480:waittimer 170970 blt 0,0,640,480,0, 11032,640,480:waittimer 170986 blt 0,0,640,480,0, 11033,640,480:waittimer 171001 blt 0,0,640,480,0, 11034,640,480:waittimer 171017 blt 0,0,640,480,0, 11035,640,480:waittimer 171032 blt 0,0,640,480,0, 11036,640,480:waittimer 171048 blt 0,0,640,480,0, 11037,640,480:waittimer 171063 blt 0,0,640,480,0, 11038,640,480:waittimer 171079 blt 0,0,640,480,0, 11039,640,480:waittimer 171094 blt 0,0,640,480,0, 11040,640,480:waittimer 171110 blt 0,0,640,480,0, 11041,640,480:waittimer 171125 blt 0,0,640,480,0, 11042,640,480:waittimer 171141 blt 0,0,640,480,0, 11043,640,480:waittimer 171156 blt 0,0,640,480,0, 11044,640,480:waittimer 171172 blt 0,0,640,480,0, 11045,640,480:waittimer 171187 blt 0,0,640,480,0, 11046,640,480:waittimer 171203 blt 0,0,640,480,0, 11047,640,480:waittimer 171218 blt 0,0,640,480,0, 11048,640,480:waittimer 171234 blt 0,0,640,480,0, 11049,640,480:waittimer 171249 blt 0,0,640,480,0, 11050,640,480:waittimer 171265 blt 0,0,640,480,0, 11051,640,480:waittimer 171280 blt 0,0,640,480,0, 11052,640,480:waittimer 171296 blt 0,0,640,480,0, 11053,640,480:waittimer 171311 blt 0,0,640,480,0, 11054,640,480:waittimer 171327 blt 0,0,640,480,0, 11055,640,480:waittimer 171342 blt 0,0,640,480,0, 11056,640,480:waittimer 171358 blt 0,0,640,480,0, 11057,640,480:waittimer 171373 blt 0,0,640,480,0, 11058,640,480:waittimer 171389 blt 0,0,640,480,0, 11059,640,480:waittimer 171404 blt 0,0,640,480,0, 11060,640,480:waittimer 171420 blt 0,0,640,480,0, 11061,640,480:waittimer 171435 blt 0,0,640,480,0, 11062,640,480:waittimer 171451 blt 0,0,640,480,0, 11063,640,480:waittimer 171466 blt 0,0,640,480,0, 11064,640,480:waittimer 171482 blt 0,0,640,480,0, 11065,640,480:waittimer 171497 blt 0,0,640,480,0, 11066,640,480:waittimer 171513 blt 0,0,640,480,0, 11067,640,480:waittimer 171528 blt 0,0,640,480,0, 11068,640,480:waittimer 171544 blt 0,0,640,480,0, 11069,640,480:waittimer 171559 blt 0,0,640,480,0, 11070,640,480:waittimer 171575 blt 0,0,640,480,0, 11071,640,480:waittimer 171590 blt 0,0,640,480,0, 11072,640,480:waittimer 171606 blt 0,0,640,480,0, 11073,640,480:waittimer 171621 blt 0,0,640,480,0, 11074,640,480:waittimer 171637 blt 0,0,640,480,0, 11075,640,480:waittimer 171652 blt 0,0,640,480,0, 11076,640,480:waittimer 171668 blt 0,0,640,480,0, 11077,640,480:waittimer 171683 blt 0,0,640,480,0, 11078,640,480:waittimer 171699 blt 0,0,640,480,0, 11079,640,480:waittimer 171714 blt 0,0,640,480,0, 11080,640,480:waittimer 171730 blt 0,0,640,480,0, 11081,640,480:waittimer 171745 blt 0,0,640,480,0, 11082,640,480:waittimer 171761 blt 0,0,640,480,0, 11083,640,480:waittimer 171776 blt 0,0,640,480,0, 11084,640,480:waittimer 171792 blt 0,0,640,480,0, 11085,640,480:waittimer 171807 blt 0,0,640,480,0, 11086,640,480:waittimer 171823 blt 0,0,640,480,0, 11087,640,480:waittimer 171838 blt 0,0,640,480,0, 11088,640,480:waittimer 171854 blt 0,0,640,480,0, 11089,640,480:waittimer 171869 blt 0,0,640,480,0, 11090,640,480:waittimer 171885 blt 0,0,640,480,0, 11091,640,480:waittimer 171900 blt 0,0,640,480,0, 11092,640,480:waittimer 171916 blt 0,0,640,480,0, 11093,640,480:waittimer 171931 blt 0,0,640,480,0, 11094,640,480:waittimer 171947 blt 0,0,640,480,0, 11095,640,480:waittimer 171962 blt 0,0,640,480,0, 11096,640,480:waittimer 171978 blt 0,0,640,480,0, 11097,640,480:waittimer 171993 blt 0,0,640,480,0, 11098,640,480:waittimer 172009 blt 0,0,640,480,0, 11099,640,480:waittimer 172024 blt 0,0,640,480,0, 11100,640,480:waittimer 172040 blt 0,0,640,480,0, 11101,640,480:waittimer 172055 blt 0,0,640,480,0, 11102,640,480:waittimer 172071 blt 0,0,640,480,0, 11103,640,480:waittimer 172086 blt 0,0,640,480,0, 11104,640,480:waittimer 172102 blt 0,0,640,480,0, 11105,640,480:waittimer 172117 blt 0,0,640,480,0, 11106,640,480:waittimer 172133 blt 0,0,640,480,0, 11107,640,480:waittimer 172148 blt 0,0,640,480,0, 11108,640,480:waittimer 172164 blt 0,0,640,480,0, 11109,640,480:waittimer 172179 blt 0,0,640,480,0, 11110,640,480:waittimer 172195 blt 0,0,640,480,0, 11111,640,480:waittimer 172210 blt 0,0,640,480,0, 11112,640,480:waittimer 172226 blt 0,0,640,480,0, 11113,640,480:waittimer 172241 blt 0,0,640,480,0, 11114,640,480:waittimer 172257 blt 0,0,640,480,0, 11115,640,480:waittimer 172272 blt 0,0,640,480,0, 11116,640,480:waittimer 172288 blt 0,0,640,480,0, 11117,640,480:waittimer 172303 blt 0,0,640,480,0, 11118,640,480:waittimer 172319 blt 0,0,640,480,0, 11119,640,480:waittimer 172334 blt 0,0,640,480,0, 11120,640,480:waittimer 172350 blt 0,0,640,480,0, 11121,640,480:waittimer 172365 blt 0,0,640,480,0, 11122,640,480:waittimer 172381 blt 0,0,640,480,0, 11123,640,480:waittimer 172396 blt 0,0,640,480,0, 11124,640,480:waittimer 172412 blt 0,0,640,480,0, 11125,640,480:waittimer 172427 blt 0,0,640,480,0, 11126,640,480:waittimer 172443 blt 0,0,640,480,0, 11127,640,480:waittimer 172458 blt 0,0,640,480,0, 11128,640,480:waittimer 172474 blt 0,0,640,480,0, 11129,640,480:waittimer 172489 blt 0,0,640,480,0, 11130,640,480:waittimer 172505 blt 0,0,640,480,0, 11131,640,480:waittimer 172520 blt 0,0,640,480,0, 11132,640,480:waittimer 172536 blt 0,0,640,480,0, 11133,640,480:waittimer 172551 blt 0,0,640,480,0, 11134,640,480:waittimer 172567 blt 0,0,640,480,0, 11135,640,480:waittimer 172582 blt 0,0,640,480,0, 11136,640,480:waittimer 172598 blt 0,0,640,480,0, 11137,640,480:waittimer 172613 blt 0,0,640,480,0, 11138,640,480:waittimer 172629 blt 0,0,640,480,0, 11139,640,480:waittimer 172644 blt 0,0,640,480,0, 11140,640,480:waittimer 172660 blt 0,0,640,480,0, 11141,640,480:waittimer 172675 blt 0,0,640,480,0, 11142,640,480:waittimer 172691 blt 0,0,640,480,0, 11143,640,480:waittimer 172706 blt 0,0,640,480,0, 11144,640,480:waittimer 172722 blt 0,0,640,480,0, 11145,640,480:waittimer 172737 blt 0,0,640,480,0, 11146,640,480:waittimer 172753 blt 0,0,640,480,0, 11147,640,480:waittimer 172768 blt 0,0,640,480,0, 11148,640,480:waittimer 172784 blt 0,0,640,480,0, 11149,640,480:waittimer 172799 blt 0,0,640,480,0, 11150,640,480:waittimer 172815 blt 0,0,640,480,0, 11151,640,480:waittimer 172830 blt 0,0,640,480,0, 11152,640,480:waittimer 172846 blt 0,0,640,480,0, 11153,640,480:waittimer 172861 blt 0,0,640,480,0, 11154,640,480:waittimer 172877 blt 0,0,640,480,0, 11155,640,480:waittimer 172892 blt 0,0,640,480,0, 11156,640,480:waittimer 172908 blt 0,0,640,480,0, 11157,640,480:waittimer 172923 blt 0,0,640,480,0, 11158,640,480:waittimer 172939 blt 0,0,640,480,0, 11159,640,480:waittimer 172954 blt 0,0,640,480,0, 11160,640,480:waittimer 172970 blt 0,0,640,480,0, 11161,640,480:waittimer 172985 blt 0,0,640,480,0, 11162,640,480:waittimer 173001 blt 0,0,640,480,0, 11163,640,480:waittimer 173016 blt 0,0,640,480,0, 11164,640,480:waittimer 173032 blt 0,0,640,480,0, 11165,640,480:waittimer 173047 blt 0,0,640,480,0, 11166,640,480:waittimer 173063 blt 0,0,640,480,0, 11167,640,480:waittimer 173078 blt 0,0,640,480,0, 11168,640,480:waittimer 173094 blt 0,0,640,480,0, 11169,640,480:waittimer 173109 blt 0,0,640,480,0, 11170,640,480:waittimer 173125 blt 0,0,640,480,0, 11171,640,480:waittimer 173140 blt 0,0,640,480,0, 11172,640,480:waittimer 173156 blt 0,0,640,480,0, 11173,640,480:waittimer 173171 blt 0,0,640,480,0, 11174,640,480:waittimer 173187 blt 0,0,640,480,0, 11175,640,480:waittimer 173202 blt 0,0,640,480,0, 11176,640,480:waittimer 173218 blt 0,0,640,480,0, 11177,640,480:waittimer 173233 blt 0,0,640,480,0, 11178,640,480:waittimer 173249 blt 0,0,640,480,0, 11179,640,480:waittimer 173264 blt 0,0,640,480,0, 11180,640,480:waittimer 173280 blt 0,0,640,480,0, 11181,640,480:waittimer 173295 blt 0,0,640,480,0, 11182,640,480:waittimer 173311 blt 0,0,640,480,0, 11183,640,480:waittimer 173326 blt 0,0,640,480,0, 11184,640,480:waittimer 173342 blt 0,0,640,480,0, 11185,640,480:waittimer 173357 blt 0,0,640,480,0, 11186,640,480:waittimer 173373 blt 0,0,640,480,0, 11187,640,480:waittimer 173388 blt 0,0,640,480,0, 11188,640,480:waittimer 173404 blt 0,0,640,480,0, 11189,640,480:waittimer 173419 blt 0,0,640,480,0, 11190,640,480:waittimer 173435 blt 0,0,640,480,0, 11191,640,480:waittimer 173450 blt 0,0,640,480,0, 11192,640,480:waittimer 173466 blt 0,0,640,480,0, 11193,640,480:waittimer 173481 blt 0,0,640,480,0, 11194,640,480:waittimer 173497 blt 0,0,640,480,0, 11195,640,480:waittimer 173512 blt 0,0,640,480,0, 11196,640,480:waittimer 173528 blt 0,0,640,480,0, 11197,640,480:waittimer 173543 blt 0,0,640,480,0, 11198,640,480:waittimer 173559 blt 0,0,640,480,0, 11199,640,480:waittimer 173574 blt 0,0,640,480,0, 11200,640,480:waittimer 173590 blt 0,0,640,480,0, 11201,640,480:waittimer 173605 blt 0,0,640,480,0, 11202,640,480:waittimer 173621 blt 0,0,640,480,0, 11203,640,480:waittimer 173636 blt 0,0,640,480,0, 11204,640,480:waittimer 173652 blt 0,0,640,480,0, 11205,640,480:waittimer 173667 blt 0,0,640,480,0, 11206,640,480:waittimer 173683 blt 0,0,640,480,0, 11207,640,480:waittimer 173698 blt 0,0,640,480,0, 11208,640,480:waittimer 173714 blt 0,0,640,480,0, 11209,640,480:waittimer 173729 blt 0,0,640,480,0, 11210,640,480:waittimer 173745 blt 0,0,640,480,0, 11211,640,480:waittimer 173760 blt 0,0,640,480,0, 11212,640,480:waittimer 173776 blt 0,0,640,480,0, 11213,640,480:waittimer 173791 blt 0,0,640,480,0, 11214,640,480:waittimer 173807 blt 0,0,640,480,0, 11215,640,480:waittimer 173822 blt 0,0,640,480,0, 11216,640,480:waittimer 173838 blt 0,0,640,480,0, 11217,640,480:waittimer 173853 blt 0,0,640,480,0, 11218,640,480:waittimer 173869 blt 0,0,640,480,0, 11219,640,480:waittimer 173884 blt 0,0,640,480,0, 11220,640,480:waittimer 173900 blt 0,0,640,480,0, 11221,640,480:waittimer 173915 blt 0,0,640,480,0, 11222,640,480:waittimer 173931 blt 0,0,640,480,0, 11223,640,480:waittimer 173946 blt 0,0,640,480,0, 11224,640,480:waittimer 173962 blt 0,0,640,480,0, 11225,640,480:waittimer 173977 blt 0,0,640,480,0, 11226,640,480:waittimer 173993 blt 0,0,640,480,0, 11227,640,480:waittimer 174008 blt 0,0,640,480,0, 11228,640,480:waittimer 174024 blt 0,0,640,480,0, 11229,640,480:waittimer 174039 blt 0,0,640,480,0, 11230,640,480:waittimer 174055 blt 0,0,640,480,0, 11231,640,480:waittimer 174070 blt 0,0,640,480,0, 11232,640,480:waittimer 174086 blt 0,0,640,480,0, 11233,640,480:waittimer 174101 blt 0,0,640,480,0, 11234,640,480:waittimer 174117 blt 0,0,640,480,0, 11235,640,480:waittimer 174132 blt 0,0,640,480,0, 11236,640,480:waittimer 174148 blt 0,0,640,480,0, 11237,640,480:waittimer 174163 blt 0,0,640,480,0, 11238,640,480:waittimer 174179 blt 0,0,640,480,0, 11239,640,480:waittimer 174194 blt 0,0,640,480,0, 11240,640,480:waittimer 174210 blt 0,0,640,480,0, 11241,640,480:waittimer 174225 blt 0,0,640,480,0, 11242,640,480:waittimer 174241 blt 0,0,640,480,0, 11243,640,480:waittimer 174256 blt 0,0,640,480,0, 11244,640,480:waittimer 174272 blt 0,0,640,480,0, 11245,640,480:waittimer 174287 blt 0,0,640,480,0, 11246,640,480:waittimer 174303 blt 0,0,640,480,0, 11247,640,480:waittimer 174318 blt 0,0,640,480,0, 11248,640,480:waittimer 174334 blt 0,0,640,480,0, 11249,640,480:waittimer 174349 blt 0,0,640,480,0, 11250,640,480:waittimer 174365 blt 0,0,640,480,0, 11251,640,480:waittimer 174380 blt 0,0,640,480,0, 11252,640,480:waittimer 174396 blt 0,0,640,480,0, 11253,640,480:waittimer 174411 blt 0,0,640,480,0, 11254,640,480:waittimer 174427 blt 0,0,640,480,0, 11255,640,480:waittimer 174442 blt 0,0,640,480,0, 11256,640,480:waittimer 174458 blt 0,0,640,480,0, 11257,640,480:waittimer 174473 blt 0,0,640,480,0, 11258,640,480:waittimer 174489 blt 0,0,640,480,0, 11259,640,480:waittimer 174504 blt 0,0,640,480,0, 11260,640,480:waittimer 174520 blt 0,0,640,480,0, 11261,640,480:waittimer 174535 blt 0,0,640,480,0, 11262,640,480:waittimer 174551 blt 0,0,640,480,0, 11263,640,480:waittimer 174566 blt 0,0,640,480,0, 11264,640,480:waittimer 174582 blt 0,0,640,480,0, 11265,640,480:waittimer 174597 blt 0,0,640,480,0, 11266,640,480:waittimer 174613 blt 0,0,640,480,0, 11267,640,480:waittimer 174628 blt 0,0,640,480,0, 11268,640,480:waittimer 174644 blt 0,0,640,480,0, 11269,640,480:waittimer 174659 blt 0,0,640,480,0, 11270,640,480:waittimer 174675 blt 0,0,640,480,0, 11271,640,480:waittimer 174690 blt 0,0,640,480,0, 11272,640,480:waittimer 174706 blt 0,0,640,480,0, 11273,640,480:waittimer 174721 blt 0,0,640,480,0, 11274,640,480:waittimer 174737 blt 0,0,640,480,0, 11275,640,480:waittimer 174752 blt 0,0,640,480,0, 11276,640,480:waittimer 174768 blt 0,0,640,480,0, 11277,640,480:waittimer 174783 blt 0,0,640,480,0, 11278,640,480:waittimer 174799 blt 0,0,640,480,0, 11279,640,480:waittimer 174814 blt 0,0,640,480,0, 11280,640,480:waittimer 174830 blt 0,0,640,480,0, 11281,640,480:waittimer 174845 blt 0,0,640,480,0, 11282,640,480:waittimer 174861 blt 0,0,640,480,0, 11283,640,480:waittimer 174876 blt 0,0,640,480,0, 11284,640,480:waittimer 174892 blt 0,0,640,480,0, 11285,640,480:waittimer 174907 blt 0,0,640,480,0, 11286,640,480:waittimer 174923 blt 0,0,640,480,0, 11287,640,480:waittimer 174938 blt 0,0,640,480,0, 11288,640,480:waittimer 174954 blt 0,0,640,480,0, 11289,640,480:waittimer 174969 blt 0,0,640,480,0, 11290,640,480:waittimer 174985 blt 0,0,640,480,0, 11291,640,480:waittimer 175000 blt 0,0,640,480,0, 11292,640,480:waittimer 175016 blt 0,0,640,480,0, 11293,640,480:waittimer 175031 blt 0,0,640,480,0, 11294,640,480:waittimer 175047 blt 0,0,640,480,0, 11295,640,480:waittimer 175062 blt 0,0,640,480,0, 11296,640,480:waittimer 175078 blt 0,0,640,480,0, 11297,640,480:waittimer 175093 blt 0,0,640,480,0, 11298,640,480:waittimer 175109 blt 0,0,640,480,0, 11299,640,480:waittimer 175124 blt 0,0,640,480,0, 11300,640,480:waittimer 175140 blt 0,0,640,480,0, 11301,640,480:waittimer 175155 blt 0,0,640,480,0, 11302,640,480:waittimer 175171 blt 0,0,640,480,0, 11303,640,480:waittimer 175186 blt 0,0,640,480,0, 11304,640,480:waittimer 175202 blt 0,0,640,480,0, 11305,640,480:waittimer 175217 blt 0,0,640,480,0, 11306,640,480:waittimer 175233 blt 0,0,640,480,0, 11307,640,480:waittimer 175248 blt 0,0,640,480,0, 11308,640,480:waittimer 175264 blt 0,0,640,480,0, 11309,640,480:waittimer 175279 blt 0,0,640,480,0, 11310,640,480:waittimer 175295 blt 0,0,640,480,0, 11311,640,480:waittimer 175310 blt 0,0,640,480,0, 11312,640,480:waittimer 175326 blt 0,0,640,480,0, 11313,640,480:waittimer 175341 blt 0,0,640,480,0, 11314,640,480:waittimer 175357 blt 0,0,640,480,0, 11315,640,480:waittimer 175372 blt 0,0,640,480,0, 11316,640,480:waittimer 175388 blt 0,0,640,480,0, 11317,640,480:waittimer 175403 blt 0,0,640,480,0, 11318,640,480:waittimer 175419 blt 0,0,640,480,0, 11319,640,480:waittimer 175434 blt 0,0,640,480,0, 11320,640,480:waittimer 175450 blt 0,0,640,480,0, 11321,640,480:waittimer 175465 blt 0,0,640,480,0, 11322,640,480:waittimer 175481 blt 0,0,640,480,0, 11323,640,480:waittimer 175496 blt 0,0,640,480,0, 11324,640,480:waittimer 175512 blt 0,0,640,480,0, 11325,640,480:waittimer 175527 blt 0,0,640,480,0, 11326,640,480:waittimer 175543 blt 0,0,640,480,0, 11327,640,480:waittimer 175558 blt 0,0,640,480,0, 11328,640,480:waittimer 175574 blt 0,0,640,480,0, 11329,640,480:waittimer 175589 blt 0,0,640,480,0, 11330,640,480:waittimer 175605 blt 0,0,640,480,0, 11331,640,480:waittimer 175620 blt 0,0,640,480,0, 11332,640,480:waittimer 175636 blt 0,0,640,480,0, 11333,640,480:waittimer 175651 blt 0,0,640,480,0, 11334,640,480:waittimer 175667 blt 0,0,640,480,0, 11335,640,480:waittimer 175682 blt 0,0,640,480,0, 11336,640,480:waittimer 175698 blt 0,0,640,480,0, 11337,640,480:waittimer 175713 blt 0,0,640,480,0, 11338,640,480:waittimer 175729 blt 0,0,640,480,0, 11339,640,480:waittimer 175744 blt 0,0,640,480,0, 11340,640,480:waittimer 175760 blt 0,0,640,480,0, 11341,640,480:waittimer 175775 blt 0,0,640,480,0, 11342,640,480:waittimer 175791 blt 0,0,640,480,0, 11343,640,480:waittimer 175806 blt 0,0,640,480,0, 11344,640,480:waittimer 175822 blt 0,0,640,480,0, 11345,640,480:waittimer 175837 blt 0,0,640,480,0, 11346,640,480:waittimer 175853 blt 0,0,640,480,0, 11347,640,480:waittimer 175868 blt 0,0,640,480,0, 11348,640,480:waittimer 175884 blt 0,0,640,480,0, 11349,640,480:waittimer 175899 blt 0,0,640,480,0, 11350,640,480:waittimer 175915 blt 0,0,640,480,0, 11351,640,480:waittimer 175930 blt 0,0,640,480,0, 11352,640,480:waittimer 175946 blt 0,0,640,480,0, 11353,640,480:waittimer 175961 blt 0,0,640,480,0, 11354,640,480:waittimer 175977 blt 0,0,640,480,0, 11355,640,480:waittimer 175992 blt 0,0,640,480,0, 11356,640,480:waittimer 176008 blt 0,0,640,480,0, 11357,640,480:waittimer 176023 blt 0,0,640,480,0, 11358,640,480:waittimer 176039 blt 0,0,640,480,0, 11359,640,480:waittimer 176054 blt 0,0,640,480,0, 11360,640,480:waittimer 176070 blt 0,0,640,480,0, 11361,640,480:waittimer 176085 blt 0,0,640,480,0, 11362,640,480:waittimer 176101 blt 0,0,640,480,0, 11363,640,480:waittimer 176116 blt 0,0,640,480,0, 11364,640,480:waittimer 176132 blt 0,0,640,480,0, 11365,640,480:waittimer 176147 blt 0,0,640,480,0, 11366,640,480:waittimer 176163 blt 0,0,640,480,0, 11367,640,480:waittimer 176178 blt 0,0,640,480,0, 11368,640,480:waittimer 176194 blt 0,0,640,480,0, 11369,640,480:waittimer 176209 blt 0,0,640,480,0, 11370,640,480:waittimer 176225 blt 0,0,640,480,0, 11371,640,480:waittimer 176240 blt 0,0,640,480,0, 11372,640,480:waittimer 176256 blt 0,0,640,480,0, 11373,640,480:waittimer 176271 blt 0,0,640,480,0, 11374,640,480:waittimer 176287 blt 0,0,640,480,0, 11375,640,480:waittimer 176302 blt 0,0,640,480,0, 11376,640,480:waittimer 176318 blt 0,0,640,480,0, 11377,640,480:waittimer 176333 blt 0,0,640,480,0, 11378,640,480:waittimer 176349 blt 0,0,640,480,0, 11379,640,480:waittimer 176364 blt 0,0,640,480,0, 11380,640,480:waittimer 176380 blt 0,0,640,480,0, 11381,640,480:waittimer 176395 blt 0,0,640,480,0, 11382,640,480:waittimer 176411 blt 0,0,640,480,0, 11383,640,480:waittimer 176426 blt 0,0,640,480,0, 11384,640,480:waittimer 176442 blt 0,0,640,480,0, 11385,640,480:waittimer 176457 blt 0,0,640,480,0, 11386,640,480:waittimer 176473 blt 0,0,640,480,0, 11387,640,480:waittimer 176488 blt 0,0,640,480,0, 11388,640,480:waittimer 176504 blt 0,0,640,480,0, 11389,640,480:waittimer 176519 blt 0,0,640,480,0, 11390,640,480:waittimer 176535 blt 0,0,640,480,0, 11391,640,480:waittimer 176550 blt 0,0,640,480,0, 11392,640,480:waittimer 176566 blt 0,0,640,480,0, 11393,640,480:waittimer 176581 blt 0,0,640,480,0, 11394,640,480:waittimer 176597 blt 0,0,640,480,0, 11395,640,480:waittimer 176612 blt 0,0,640,480,0, 11396,640,480:waittimer 176628 blt 0,0,640,480,0, 11397,640,480:waittimer 176643 blt 0,0,640,480,0, 11398,640,480:waittimer 176659 blt 0,0,640,480,0, 11399,640,480:waittimer 176674 blt 0,0,640,480,0, 11400,640,480:waittimer 176690 blt 0,0,640,480,0, 11401,640,480:waittimer 176705 blt 0,0,640,480,0, 11402,640,480:waittimer 176721 blt 0,0,640,480,0, 11403,640,480:waittimer 176736 blt 0,0,640,480,0, 11404,640,480:waittimer 176752 blt 0,0,640,480,0, 11405,640,480:waittimer 176767 blt 0,0,640,480,0, 11406,640,480:waittimer 176783 blt 0,0,640,480,0, 11407,640,480:waittimer 176798 blt 0,0,640,480,0, 11408,640,480:waittimer 176814 blt 0,0,640,480,0, 11409,640,480:waittimer 176829 blt 0,0,640,480,0, 11410,640,480:waittimer 176845 blt 0,0,640,480,0, 11411,640,480:waittimer 176860 blt 0,0,640,480,0, 11412,640,480:waittimer 176876 blt 0,0,640,480,0, 11413,640,480:waittimer 176891 blt 0,0,640,480,0, 11414,640,480:waittimer 176907 blt 0,0,640,480,0, 11415,640,480:waittimer 176922 blt 0,0,640,480,0, 11416,640,480:waittimer 176938 blt 0,0,640,480,0, 11417,640,480:waittimer 176953 blt 0,0,640,480,0, 11418,640,480:waittimer 176969 blt 0,0,640,480,0, 11419,640,480:waittimer 176984 blt 0,0,640,480,0, 11420,640,480:waittimer 177000 return ;**************************************** *teatime_ep3b_scroll resettimer blt 0,0,640,480,0, 2,640,480:waittimer 15 blt 0,0,640,480,0, 4,640,480:waittimer 30 blt 0,0,640,480,0, 6,640,480:waittimer 45 blt 0,0,640,480,0, 8,640,480:waittimer 60 blt 0,0,640,480,0, 10,640,480:waittimer 75 blt 0,0,640,480,0, 12,640,480:waittimer 91 blt 0,0,640,480,0, 14,640,480:waittimer 106 blt 0,0,640,480,0, 16,640,480:waittimer 121 blt 0,0,640,480,0, 18,640,480:waittimer 136 blt 0,0,640,480,0, 20,640,480:waittimer 151 blt 0,0,640,480,0, 22,640,480:waittimer 166 blt 0,0,640,480,0, 24,640,480:waittimer 182 blt 0,0,640,480,0, 26,640,480:waittimer 197 blt 0,0,640,480,0, 28,640,480:waittimer 212 blt 0,0,640,480,0, 30,640,480:waittimer 227 blt 0,0,640,480,0, 32,640,480:waittimer 242 blt 0,0,640,480,0, 34,640,480:waittimer 257 blt 0,0,640,480,0, 36,640,480:waittimer 273 blt 0,0,640,480,0, 38,640,480:waittimer 288 blt 0,0,640,480,0, 40,640,480:waittimer 303 blt 0,0,640,480,0, 42,640,480:waittimer 318 blt 0,0,640,480,0, 44,640,480:waittimer 333 blt 0,0,640,480,0, 46,640,480:waittimer 348 blt 0,0,640,480,0, 48,640,480:waittimer 364 blt 0,0,640,480,0, 50,640,480:waittimer 379 blt 0,0,640,480,0, 52,640,480:waittimer 394 blt 0,0,640,480,0, 54,640,480:waittimer 409 blt 0,0,640,480,0, 56,640,480:waittimer 424 blt 0,0,640,480,0, 58,640,480:waittimer 439 blt 0,0,640,480,0, 60,640,480:waittimer 455 blt 0,0,640,480,0, 62,640,480:waittimer 470 blt 0,0,640,480,0, 64,640,480:waittimer 485 blt 0,0,640,480,0, 66,640,480:waittimer 500 blt 0,0,640,480,0, 68,640,480:waittimer 515 blt 0,0,640,480,0, 70,640,480:waittimer 530 blt 0,0,640,480,0, 72,640,480:waittimer 546 blt 0,0,640,480,0, 74,640,480:waittimer 561 blt 0,0,640,480,0, 76,640,480:waittimer 576 blt 0,0,640,480,0, 78,640,480:waittimer 591 blt 0,0,640,480,0, 80,640,480:waittimer 606 blt 0,0,640,480,0, 82,640,480:waittimer 621 blt 0,0,640,480,0, 84,640,480:waittimer 637 blt 0,0,640,480,0, 86,640,480:waittimer 652 blt 0,0,640,480,0, 88,640,480:waittimer 667 blt 0,0,640,480,0, 90,640,480:waittimer 682 blt 0,0,640,480,0, 92,640,480:waittimer 697 blt 0,0,640,480,0, 94,640,480:waittimer 712 blt 0,0,640,480,0, 96,640,480:waittimer 728 blt 0,0,640,480,0, 98,640,480:waittimer 743 blt 0,0,640,480,0, 100,640,480:waittimer 758 blt 0,0,640,480,0, 102,640,480:waittimer 773 blt 0,0,640,480,0, 104,640,480:waittimer 788 blt 0,0,640,480,0, 106,640,480:waittimer 803 blt 0,0,640,480,0, 108,640,480:waittimer 819 blt 0,0,640,480,0, 110,640,480:waittimer 834 blt 0,0,640,480,0, 112,640,480:waittimer 849 blt 0,0,640,480,0, 114,640,480:waittimer 864 blt 0,0,640,480,0, 116,640,480:waittimer 879 blt 0,0,640,480,0, 118,640,480:waittimer 894 blt 0,0,640,480,0, 120,640,480:waittimer 910 blt 0,0,640,480,0, 122,640,480:waittimer 925 blt 0,0,640,480,0, 124,640,480:waittimer 940 blt 0,0,640,480,0, 126,640,480:waittimer 955 blt 0,0,640,480,0, 128,640,480:waittimer 970 blt 0,0,640,480,0, 130,640,480:waittimer 985 blt 0,0,640,480,0, 132,640,480:waittimer 1001 blt 0,0,640,480,0, 134,640,480:waittimer 1016 blt 0,0,640,480,0, 136,640,480:waittimer 1031 blt 0,0,640,480,0, 138,640,480:waittimer 1046 blt 0,0,640,480,0, 140,640,480:waittimer 1061 blt 0,0,640,480,0, 142,640,480:waittimer 1076 blt 0,0,640,480,0, 144,640,480:waittimer 1092 blt 0,0,640,480,0, 146,640,480:waittimer 1107 blt 0,0,640,480,0, 148,640,480:waittimer 1122 blt 0,0,640,480,0, 150,640,480:waittimer 1137 blt 0,0,640,480,0, 152,640,480:waittimer 1152 blt 0,0,640,480,0, 154,640,480:waittimer 1167 blt 0,0,640,480,0, 156,640,480:waittimer 1183 blt 0,0,640,480,0, 158,640,480:waittimer 1198 blt 0,0,640,480,0, 160,640,480:waittimer 1213 blt 0,0,640,480,0, 162,640,480:waittimer 1228 blt 0,0,640,480,0, 164,640,480:waittimer 1243 blt 0,0,640,480,0, 166,640,480:waittimer 1258 blt 0,0,640,480,0, 168,640,480:waittimer 1274 blt 0,0,640,480,0, 170,640,480:waittimer 1289 blt 0,0,640,480,0, 172,640,480:waittimer 1304 blt 0,0,640,480,0, 174,640,480:waittimer 1319 blt 0,0,640,480,0, 176,640,480:waittimer 1334 blt 0,0,640,480,0, 178,640,480:waittimer 1349 blt 0,0,640,480,0, 180,640,480:waittimer 1365 blt 0,0,640,480,0, 182,640,480:waittimer 1380 blt 0,0,640,480,0, 184,640,480:waittimer 1395 blt 0,0,640,480,0, 186,640,480:waittimer 1410 blt 0,0,640,480,0, 188,640,480:waittimer 1425 blt 0,0,640,480,0, 190,640,480:waittimer 1440 blt 0,0,640,480,0, 192,640,480:waittimer 1456 blt 0,0,640,480,0, 194,640,480:waittimer 1471 blt 0,0,640,480,0, 196,640,480:waittimer 1486 blt 0,0,640,480,0, 198,640,480:waittimer 1501 blt 0,0,640,480,0, 200,640,480:waittimer 1516 blt 0,0,640,480,0, 202,640,480:waittimer 1531 blt 0,0,640,480,0, 204,640,480:waittimer 1547 blt 0,0,640,480,0, 206,640,480:waittimer 1562 blt 0,0,640,480,0, 208,640,480:waittimer 1577 blt 0,0,640,480,0, 210,640,480:waittimer 1592 blt 0,0,640,480,0, 212,640,480:waittimer 1607 blt 0,0,640,480,0, 214,640,480:waittimer 1622 blt 0,0,640,480,0, 216,640,480:waittimer 1638 blt 0,0,640,480,0, 218,640,480:waittimer 1653 blt 0,0,640,480,0, 220,640,480:waittimer 1668 blt 0,0,640,480,0, 222,640,480:waittimer 1683 blt 0,0,640,480,0, 224,640,480:waittimer 1698 blt 0,0,640,480,0, 226,640,480:waittimer 1713 blt 0,0,640,480,0, 228,640,480:waittimer 1729 blt 0,0,640,480,0, 230,640,480:waittimer 1744 blt 0,0,640,480,0, 232,640,480:waittimer 1759 blt 0,0,640,480,0, 234,640,480:waittimer 1774 blt 0,0,640,480,0, 236,640,480:waittimer 1789 blt 0,0,640,480,0, 238,640,480:waittimer 1804 blt 0,0,640,480,0, 240,640,480:waittimer 1820 blt 0,0,640,480,0, 242,640,480:waittimer 1835 blt 0,0,640,480,0, 244,640,480:waittimer 1850 blt 0,0,640,480,0, 246,640,480:waittimer 1865 blt 0,0,640,480,0, 248,640,480:waittimer 1880 blt 0,0,640,480,0, 250,640,480:waittimer 1895 blt 0,0,640,480,0, 252,640,480:waittimer 1911 blt 0,0,640,480,0, 254,640,480:waittimer 1926 blt 0,0,640,480,0, 256,640,480:waittimer 1941 blt 0,0,640,480,0, 258,640,480:waittimer 1956 blt 0,0,640,480,0, 260,640,480:waittimer 1971 blt 0,0,640,480,0, 262,640,480:waittimer 1986 blt 0,0,640,480,0, 264,640,480:waittimer 2002 blt 0,0,640,480,0, 266,640,480:waittimer 2017 blt 0,0,640,480,0, 268,640,480:waittimer 2032 blt 0,0,640,480,0, 270,640,480:waittimer 2047 blt 0,0,640,480,0, 272,640,480:waittimer 2062 blt 0,0,640,480,0, 274,640,480:waittimer 2077 blt 0,0,640,480,0, 276,640,480:waittimer 2093 blt 0,0,640,480,0, 278,640,480:waittimer 2108 blt 0,0,640,480,0, 280,640,480:waittimer 2123 blt 0,0,640,480,0, 282,640,480:waittimer 2138 blt 0,0,640,480,0, 284,640,480:waittimer 2153 blt 0,0,640,480,0, 286,640,480:waittimer 2168 blt 0,0,640,480,0, 288,640,480:waittimer 2184 blt 0,0,640,480,0, 290,640,480:waittimer 2199 blt 0,0,640,480,0, 292,640,480:waittimer 2214 blt 0,0,640,480,0, 294,640,480:waittimer 2229 blt 0,0,640,480,0, 296,640,480:waittimer 2244 blt 0,0,640,480,0, 298,640,480:waittimer 2259 blt 0,0,640,480,0, 300,640,480:waittimer 2275 blt 0,0,640,480,0, 302,640,480:waittimer 2290 blt 0,0,640,480,0, 304,640,480:waittimer 2305 blt 0,0,640,480,0, 306,640,480:waittimer 2320 blt 0,0,640,480,0, 308,640,480:waittimer 2335 blt 0,0,640,480,0, 310,640,480:waittimer 2350 blt 0,0,640,480,0, 312,640,480:waittimer 2366 blt 0,0,640,480,0, 314,640,480:waittimer 2381 blt 0,0,640,480,0, 316,640,480:waittimer 2396 blt 0,0,640,480,0, 318,640,480:waittimer 2411 blt 0,0,640,480,0, 320,640,480:waittimer 2426 blt 0,0,640,480,0, 322,640,480:waittimer 2441 blt 0,0,640,480,0, 324,640,480:waittimer 2457 blt 0,0,640,480,0, 326,640,480:waittimer 2472 blt 0,0,640,480,0, 328,640,480:waittimer 2487 blt 0,0,640,480,0, 330,640,480:waittimer 2502 blt 0,0,640,480,0, 332,640,480:waittimer 2517 blt 0,0,640,480,0, 334,640,480:waittimer 2532 blt 0,0,640,480,0, 336,640,480:waittimer 2548 blt 0,0,640,480,0, 338,640,480:waittimer 2563 blt 0,0,640,480,0, 340,640,480:waittimer 2578 blt 0,0,640,480,0, 342,640,480:waittimer 2593 blt 0,0,640,480,0, 344,640,480:waittimer 2608 blt 0,0,640,480,0, 346,640,480:waittimer 2623 blt 0,0,640,480,0, 348,640,480:waittimer 2639 blt 0,0,640,480,0, 350,640,480:waittimer 2654 blt 0,0,640,480,0, 352,640,480:waittimer 2669 blt 0,0,640,480,0, 354,640,480:waittimer 2684 blt 0,0,640,480,0, 356,640,480:waittimer 2699 blt 0,0,640,480,0, 358,640,480:waittimer 2714 blt 0,0,640,480,0, 360,640,480:waittimer 2730 blt 0,0,640,480,0, 362,640,480:waittimer 2745 blt 0,0,640,480,0, 364,640,480:waittimer 2760 blt 0,0,640,480,0, 366,640,480:waittimer 2775 blt 0,0,640,480,0, 368,640,480:waittimer 2790 blt 0,0,640,480,0, 370,640,480:waittimer 2805 blt 0,0,640,480,0, 372,640,480:waittimer 2821 blt 0,0,640,480,0, 374,640,480:waittimer 2836 blt 0,0,640,480,0, 376,640,480:waittimer 2851 blt 0,0,640,480,0, 378,640,480:waittimer 2866 blt 0,0,640,480,0, 380,640,480:waittimer 2881 blt 0,0,640,480,0, 382,640,480:waittimer 2896 blt 0,0,640,480,0, 384,640,480:waittimer 2912 blt 0,0,640,480,0, 386,640,480:waittimer 2927 blt 0,0,640,480,0, 388,640,480:waittimer 2942 blt 0,0,640,480,0, 390,640,480:waittimer 2957 blt 0,0,640,480,0, 392,640,480:waittimer 2972 blt 0,0,640,480,0, 394,640,480:waittimer 2987 blt 0,0,640,480,0, 396,640,480:waittimer 3003 blt 0,0,640,480,0, 398,640,480:waittimer 3018 blt 0,0,640,480,0, 400,640,480:waittimer 3033 blt 0,0,640,480,0, 402,640,480:waittimer 3048 blt 0,0,640,480,0, 404,640,480:waittimer 3063 blt 0,0,640,480,0, 406,640,480:waittimer 3078 blt 0,0,640,480,0, 408,640,480:waittimer 3094 blt 0,0,640,480,0, 410,640,480:waittimer 3109 blt 0,0,640,480,0, 412,640,480:waittimer 3124 blt 0,0,640,480,0, 414,640,480:waittimer 3139 blt 0,0,640,480,0, 416,640,480:waittimer 3154 blt 0,0,640,480,0, 418,640,480:waittimer 3169 blt 0,0,640,480,0, 420,640,480:waittimer 3185 blt 0,0,640,480,0, 422,640,480:waittimer 3200 blt 0,0,640,480,0, 424,640,480:waittimer 3215 blt 0,0,640,480,0, 426,640,480:waittimer 3230 blt 0,0,640,480,0, 428,640,480:waittimer 3245 blt 0,0,640,480,0, 430,640,480:waittimer 3260 blt 0,0,640,480,0, 432,640,480:waittimer 3276 blt 0,0,640,480,0, 434,640,480:waittimer 3291 blt 0,0,640,480,0, 436,640,480:waittimer 3306 blt 0,0,640,480,0, 438,640,480:waittimer 3321 blt 0,0,640,480,0, 440,640,480:waittimer 3336 blt 0,0,640,480,0, 442,640,480:waittimer 3351 blt 0,0,640,480,0, 444,640,480:waittimer 3367 blt 0,0,640,480,0, 446,640,480:waittimer 3382 blt 0,0,640,480,0, 448,640,480:waittimer 3397 blt 0,0,640,480,0, 450,640,480:waittimer 3412 blt 0,0,640,480,0, 452,640,480:waittimer 3427 blt 0,0,640,480,0, 454,640,480:waittimer 3442 blt 0,0,640,480,0, 456,640,480:waittimer 3458 blt 0,0,640,480,0, 458,640,480:waittimer 3473 blt 0,0,640,480,0, 460,640,480:waittimer 3488 blt 0,0,640,480,0, 462,640,480:waittimer 3503 blt 0,0,640,480,0, 464,640,480:waittimer 3518 blt 0,0,640,480,0, 466,640,480:waittimer 3533 blt 0,0,640,480,0, 468,640,480:waittimer 3549 blt 0,0,640,480,0, 470,640,480:waittimer 3564 blt 0,0,640,480,0, 472,640,480:waittimer 3579 blt 0,0,640,480,0, 474,640,480:waittimer 3594 blt 0,0,640,480,0, 476,640,480:waittimer 3609 blt 0,0,640,480,0, 478,640,480:waittimer 3624 blt 0,0,640,480,0, 480,640,480:waittimer 3640 blt 0,0,640,480,0, 482,640,480:waittimer 3655 blt 0,0,640,480,0, 484,640,480:waittimer 3670 blt 0,0,640,480,0, 486,640,480:waittimer 3685 blt 0,0,640,480,0, 488,640,480:waittimer 3700 blt 0,0,640,480,0, 490,640,480:waittimer 3715 blt 0,0,640,480,0, 492,640,480:waittimer 3731 blt 0,0,640,480,0, 494,640,480:waittimer 3746 blt 0,0,640,480,0, 496,640,480:waittimer 3761 blt 0,0,640,480,0, 498,640,480:waittimer 3776 blt 0,0,640,480,0, 500,640,480:waittimer 3791 blt 0,0,640,480,0, 502,640,480:waittimer 3806 blt 0,0,640,480,0, 504,640,480:waittimer 3822 blt 0,0,640,480,0, 506,640,480:waittimer 3837 blt 0,0,640,480,0, 508,640,480:waittimer 3852 blt 0,0,640,480,0, 510,640,480:waittimer 3867 blt 0,0,640,480,0, 512,640,480:waittimer 3882 blt 0,0,640,480,0, 514,640,480:waittimer 3897 blt 0,0,640,480,0, 516,640,480:waittimer 3913 blt 0,0,640,480,0, 518,640,480:waittimer 3928 blt 0,0,640,480,0, 520,640,480:waittimer 3943 blt 0,0,640,480,0, 522,640,480:waittimer 3958 blt 0,0,640,480,0, 524,640,480:waittimer 3973 blt 0,0,640,480,0, 526,640,480:waittimer 3988 blt 0,0,640,480,0, 528,640,480:waittimer 4004 blt 0,0,640,480,0, 530,640,480:waittimer 4019 blt 0,0,640,480,0, 532,640,480:waittimer 4034 blt 0,0,640,480,0, 534,640,480:waittimer 4049 blt 0,0,640,480,0, 536,640,480:waittimer 4064 blt 0,0,640,480,0, 538,640,480:waittimer 4079 blt 0,0,640,480,0, 540,640,480:waittimer 4095 blt 0,0,640,480,0, 542,640,480:waittimer 4110 blt 0,0,640,480,0, 544,640,480:waittimer 4125 blt 0,0,640,480,0, 546,640,480:waittimer 4140 blt 0,0,640,480,0, 548,640,480:waittimer 4155 blt 0,0,640,480,0, 550,640,480:waittimer 4170 blt 0,0,640,480,0, 552,640,480:waittimer 4186 blt 0,0,640,480,0, 554,640,480:waittimer 4201 blt 0,0,640,480,0, 556,640,480:waittimer 4216 blt 0,0,640,480,0, 558,640,480:waittimer 4231 blt 0,0,640,480,0, 560,640,480:waittimer 4246 blt 0,0,640,480,0, 562,640,480:waittimer 4261 blt 0,0,640,480,0, 564,640,480:waittimer 4277 blt 0,0,640,480,0, 566,640,480:waittimer 4292 blt 0,0,640,480,0, 568,640,480:waittimer 4307 blt 0,0,640,480,0, 570,640,480:waittimer 4322 blt 0,0,640,480,0, 572,640,480:waittimer 4337 blt 0,0,640,480,0, 574,640,480:waittimer 4352 blt 0,0,640,480,0, 576,640,480:waittimer 4368 blt 0,0,640,480,0, 578,640,480:waittimer 4383 blt 0,0,640,480,0, 580,640,480:waittimer 4398 blt 0,0,640,480,0, 582,640,480:waittimer 4413 blt 0,0,640,480,0, 584,640,480:waittimer 4428 blt 0,0,640,480,0, 586,640,480:waittimer 4443 blt 0,0,640,480,0, 588,640,480:waittimer 4459 blt 0,0,640,480,0, 590,640,480:waittimer 4474 blt 0,0,640,480,0, 592,640,480:waittimer 4489 blt 0,0,640,480,0, 594,640,480:waittimer 4504 blt 0,0,640,480,0, 596,640,480:waittimer 4519 blt 0,0,640,480,0, 598,640,480:waittimer 4534 blt 0,0,640,480,0, 600,640,480:waittimer 4550 blt 0,0,640,480,0, 602,640,480:waittimer 4565 blt 0,0,640,480,0, 604,640,480:waittimer 4580 blt 0,0,640,480,0, 606,640,480:waittimer 4595 blt 0,0,640,480,0, 608,640,480:waittimer 4610 blt 0,0,640,480,0, 610,640,480:waittimer 4625 blt 0,0,640,480,0, 612,640,480:waittimer 4641 blt 0,0,640,480,0, 614,640,480:waittimer 4656 blt 0,0,640,480,0, 616,640,480:waittimer 4671 blt 0,0,640,480,0, 618,640,480:waittimer 4686 blt 0,0,640,480,0, 620,640,480:waittimer 4701 blt 0,0,640,480,0, 622,640,480:waittimer 4716 blt 0,0,640,480,0, 624,640,480:waittimer 4732 blt 0,0,640,480,0, 626,640,480:waittimer 4747 blt 0,0,640,480,0, 628,640,480:waittimer 4762 blt 0,0,640,480,0, 630,640,480:waittimer 4777 blt 0,0,640,480,0, 632,640,480:waittimer 4792 blt 0,0,640,480,0, 634,640,480:waittimer 4807 blt 0,0,640,480,0, 636,640,480:waittimer 4823 blt 0,0,640,480,0, 638,640,480:waittimer 4838 blt 0,0,640,480,0, 640,640,480:waittimer 4853 blt 0,0,640,480,0, 642,640,480:waittimer 4868 blt 0,0,640,480,0, 644,640,480:waittimer 4883 blt 0,0,640,480,0, 646,640,480:waittimer 4898 blt 0,0,640,480,0, 648,640,480:waittimer 4914 blt 0,0,640,480,0, 650,640,480:waittimer 4929 blt 0,0,640,480,0, 652,640,480:waittimer 4944 blt 0,0,640,480,0, 654,640,480:waittimer 4959 blt 0,0,640,480,0, 656,640,480:waittimer 4974 blt 0,0,640,480,0, 658,640,480:waittimer 4989 blt 0,0,640,480,0, 660,640,480:waittimer 5005 blt 0,0,640,480,0, 662,640,480:waittimer 5020 blt 0,0,640,480,0, 664,640,480:waittimer 5035 blt 0,0,640,480,0, 666,640,480:waittimer 5050 blt 0,0,640,480,0, 668,640,480:waittimer 5065 blt 0,0,640,480,0, 670,640,480:waittimer 5080 blt 0,0,640,480,0, 672,640,480:waittimer 5096 blt 0,0,640,480,0, 674,640,480:waittimer 5111 blt 0,0,640,480,0, 676,640,480:waittimer 5126 blt 0,0,640,480,0, 678,640,480:waittimer 5141 blt 0,0,640,480,0, 680,640,480:waittimer 5156 blt 0,0,640,480,0, 682,640,480:waittimer 5171 blt 0,0,640,480,0, 684,640,480:waittimer 5187 blt 0,0,640,480,0, 686,640,480:waittimer 5202 blt 0,0,640,480,0, 688,640,480:waittimer 5217 blt 0,0,640,480,0, 690,640,480:waittimer 5232 blt 0,0,640,480,0, 692,640,480:waittimer 5247 blt 0,0,640,480,0, 694,640,480:waittimer 5262 blt 0,0,640,480,0, 696,640,480:waittimer 5278 blt 0,0,640,480,0, 698,640,480:waittimer 5293 blt 0,0,640,480,0, 700,640,480:waittimer 5308 blt 0,0,640,480,0, 702,640,480:waittimer 5323 blt 0,0,640,480,0, 704,640,480:waittimer 5338 blt 0,0,640,480,0, 706,640,480:waittimer 5353 blt 0,0,640,480,0, 708,640,480:waittimer 5369 blt 0,0,640,480,0, 710,640,480:waittimer 5384 blt 0,0,640,480,0, 712,640,480:waittimer 5399 blt 0,0,640,480,0, 714,640,480:waittimer 5414 blt 0,0,640,480,0, 716,640,480:waittimer 5429 blt 0,0,640,480,0, 718,640,480:waittimer 5444 blt 0,0,640,480,0, 720,640,480:waittimer 5460 blt 0,0,640,480,0, 722,640,480:waittimer 5475 blt 0,0,640,480,0, 724,640,480:waittimer 5490 blt 0,0,640,480,0, 726,640,480:waittimer 5505 blt 0,0,640,480,0, 728,640,480:waittimer 5520 blt 0,0,640,480,0, 730,640,480:waittimer 5535 blt 0,0,640,480,0, 732,640,480:waittimer 5551 blt 0,0,640,480,0, 734,640,480:waittimer 5566 blt 0,0,640,480,0, 736,640,480:waittimer 5581 blt 0,0,640,480,0, 738,640,480:waittimer 5596 blt 0,0,640,480,0, 740,640,480:waittimer 5611 blt 0,0,640,480,0, 742,640,480:waittimer 5626 blt 0,0,640,480,0, 744,640,480:waittimer 5642 blt 0,0,640,480,0, 746,640,480:waittimer 5657 blt 0,0,640,480,0, 748,640,480:waittimer 5672 blt 0,0,640,480,0, 750,640,480:waittimer 5687 blt 0,0,640,480,0, 752,640,480:waittimer 5702 blt 0,0,640,480,0, 754,640,480:waittimer 5717 blt 0,0,640,480,0, 756,640,480:waittimer 5733 blt 0,0,640,480,0, 758,640,480:waittimer 5748 blt 0,0,640,480,0, 760,640,480:waittimer 5763 blt 0,0,640,480,0, 762,640,480:waittimer 5778 blt 0,0,640,480,0, 764,640,480:waittimer 5793 blt 0,0,640,480,0, 766,640,480:waittimer 5808 blt 0,0,640,480,0, 768,640,480:waittimer 5824 blt 0,0,640,480,0, 770,640,480:waittimer 5839 blt 0,0,640,480,0, 772,640,480:waittimer 5854 blt 0,0,640,480,0, 774,640,480:waittimer 5869 blt 0,0,640,480,0, 776,640,480:waittimer 5884 blt 0,0,640,480,0, 778,640,480:waittimer 5899 blt 0,0,640,480,0, 780,640,480:waittimer 5915 blt 0,0,640,480,0, 782,640,480:waittimer 5930 blt 0,0,640,480,0, 784,640,480:waittimer 5945 blt 0,0,640,480,0, 786,640,480:waittimer 5960 blt 0,0,640,480,0, 788,640,480:waittimer 5975 blt 0,0,640,480,0, 790,640,480:waittimer 5990 blt 0,0,640,480,0, 792,640,480:waittimer 6006 blt 0,0,640,480,0, 794,640,480:waittimer 6021 blt 0,0,640,480,0, 796,640,480:waittimer 6036 blt 0,0,640,480,0, 798,640,480:waittimer 6051 blt 0,0,640,480,0, 800,640,480:waittimer 6066 blt 0,0,640,480,0, 802,640,480:waittimer 6081 blt 0,0,640,480,0, 804,640,480:waittimer 6097 blt 0,0,640,480,0, 806,640,480:waittimer 6112 blt 0,0,640,480,0, 808,640,480:waittimer 6127 blt 0,0,640,480,0, 810,640,480:waittimer 6142 blt 0,0,640,480,0, 812,640,480:waittimer 6157 blt 0,0,640,480,0, 814,640,480:waittimer 6172 blt 0,0,640,480,0, 816,640,480:waittimer 6188 blt 0,0,640,480,0, 818,640,480:waittimer 6203 blt 0,0,640,480,0, 820,640,480:waittimer 6218 blt 0,0,640,480,0, 822,640,480:waittimer 6233 blt 0,0,640,480,0, 824,640,480:waittimer 6248 blt 0,0,640,480,0, 826,640,480:waittimer 6263 blt 0,0,640,480,0, 828,640,480:waittimer 6279 blt 0,0,640,480,0, 830,640,480:waittimer 6294 blt 0,0,640,480,0, 832,640,480:waittimer 6309 blt 0,0,640,480,0, 834,640,480:waittimer 6324 blt 0,0,640,480,0, 836,640,480:waittimer 6339 blt 0,0,640,480,0, 838,640,480:waittimer 6354 blt 0,0,640,480,0, 840,640,480:waittimer 6370 blt 0,0,640,480,0, 842,640,480:waittimer 6385 blt 0,0,640,480,0, 844,640,480:waittimer 6400 blt 0,0,640,480,0, 846,640,480:waittimer 6415 blt 0,0,640,480,0, 848,640,480:waittimer 6430 blt 0,0,640,480,0, 850,640,480:waittimer 6445 blt 0,0,640,480,0, 852,640,480:waittimer 6461 blt 0,0,640,480,0, 854,640,480:waittimer 6476 blt 0,0,640,480,0, 856,640,480:waittimer 6491 blt 0,0,640,480,0, 858,640,480:waittimer 6506 blt 0,0,640,480,0, 860,640,480:waittimer 6521 blt 0,0,640,480,0, 862,640,480:waittimer 6536 blt 0,0,640,480,0, 864,640,480:waittimer 6552 blt 0,0,640,480,0, 866,640,480:waittimer 6567 blt 0,0,640,480,0, 868,640,480:waittimer 6582 blt 0,0,640,480,0, 870,640,480:waittimer 6597 blt 0,0,640,480,0, 872,640,480:waittimer 6612 blt 0,0,640,480,0, 874,640,480:waittimer 6627 blt 0,0,640,480,0, 876,640,480:waittimer 6643 blt 0,0,640,480,0, 878,640,480:waittimer 6658 blt 0,0,640,480,0, 880,640,480:waittimer 6673 blt 0,0,640,480,0, 882,640,480:waittimer 6688 blt 0,0,640,480,0, 884,640,480:waittimer 6703 blt 0,0,640,480,0, 886,640,480:waittimer 6718 blt 0,0,640,480,0, 888,640,480:waittimer 6734 blt 0,0,640,480,0, 890,640,480:waittimer 6749 blt 0,0,640,480,0, 892,640,480:waittimer 6764 blt 0,0,640,480,0, 894,640,480:waittimer 6779 blt 0,0,640,480,0, 896,640,480:waittimer 6794 blt 0,0,640,480,0, 898,640,480:waittimer 6809 blt 0,0,640,480,0, 900,640,480:waittimer 6825 blt 0,0,640,480,0, 902,640,480:waittimer 6840 blt 0,0,640,480,0, 904,640,480:waittimer 6855 blt 0,0,640,480,0, 906,640,480:waittimer 6870 blt 0,0,640,480,0, 908,640,480:waittimer 6885 blt 0,0,640,480,0, 910,640,480:waittimer 6900 blt 0,0,640,480,0, 912,640,480:waittimer 6916 blt 0,0,640,480,0, 914,640,480:waittimer 6931 blt 0,0,640,480,0, 916,640,480:waittimer 6946 blt 0,0,640,480,0, 918,640,480:waittimer 6961 blt 0,0,640,480,0, 920,640,480:waittimer 6976 blt 0,0,640,480,0, 922,640,480:waittimer 6991 blt 0,0,640,480,0, 924,640,480:waittimer 7007 blt 0,0,640,480,0, 926,640,480:waittimer 7022 blt 0,0,640,480,0, 928,640,480:waittimer 7037 blt 0,0,640,480,0, 930,640,480:waittimer 7052 blt 0,0,640,480,0, 932,640,480:waittimer 7067 blt 0,0,640,480,0, 934,640,480:waittimer 7082 blt 0,0,640,480,0, 936,640,480:waittimer 7098 blt 0,0,640,480,0, 938,640,480:waittimer 7113 blt 0,0,640,480,0, 940,640,480:waittimer 7128 blt 0,0,640,480,0, 942,640,480:waittimer 7143 blt 0,0,640,480,0, 944,640,480:waittimer 7158 blt 0,0,640,480,0, 946,640,480:waittimer 7173 blt 0,0,640,480,0, 948,640,480:waittimer 7189 blt 0,0,640,480,0, 950,640,480:waittimer 7204 blt 0,0,640,480,0, 952,640,480:waittimer 7219 blt 0,0,640,480,0, 954,640,480:waittimer 7234 blt 0,0,640,480,0, 956,640,480:waittimer 7249 blt 0,0,640,480,0, 958,640,480:waittimer 7264 blt 0,0,640,480,0, 960,640,480:waittimer 7280 blt 0,0,640,480,0, 962,640,480:waittimer 7295 blt 0,0,640,480,0, 964,640,480:waittimer 7310 blt 0,0,640,480,0, 966,640,480:waittimer 7325 blt 0,0,640,480,0, 968,640,480:waittimer 7340 blt 0,0,640,480,0, 970,640,480:waittimer 7355 blt 0,0,640,480,0, 972,640,480:waittimer 7371 blt 0,0,640,480,0, 974,640,480:waittimer 7386 blt 0,0,640,480,0, 976,640,480:waittimer 7401 blt 0,0,640,480,0, 978,640,480:waittimer 7416 blt 0,0,640,480,0, 980,640,480:waittimer 7431 blt 0,0,640,480,0, 982,640,480:waittimer 7446 blt 0,0,640,480,0, 984,640,480:waittimer 7462 blt 0,0,640,480,0, 986,640,480:waittimer 7477 blt 0,0,640,480,0, 988,640,480:waittimer 7492 blt 0,0,640,480,0, 990,640,480:waittimer 7507 blt 0,0,640,480,0, 992,640,480:waittimer 7522 blt 0,0,640,480,0, 994,640,480:waittimer 7537 blt 0,0,640,480,0, 996,640,480:waittimer 7553 blt 0,0,640,480,0, 998,640,480:waittimer 7568 blt 0,0,640,480,0, 1000,640,480:waittimer 7583 blt 0,0,640,480,0, 1002,640,480:waittimer 7598 blt 0,0,640,480,0, 1004,640,480:waittimer 7613 blt 0,0,640,480,0, 1006,640,480:waittimer 7628 blt 0,0,640,480,0, 1008,640,480:waittimer 7644 blt 0,0,640,480,0, 1010,640,480:waittimer 7659 blt 0,0,640,480,0, 1012,640,480:waittimer 7674 blt 0,0,640,480,0, 1014,640,480:waittimer 7689 blt 0,0,640,480,0, 1016,640,480:waittimer 7704 blt 0,0,640,480,0, 1018,640,480:waittimer 7719 blt 0,0,640,480,0, 1020,640,480:waittimer 7735 blt 0,0,640,480,0, 1022,640,480:waittimer 7750 blt 0,0,640,480,0, 1024,640,480:waittimer 7765 blt 0,0,640,480,0, 1026,640,480:waittimer 7780 blt 0,0,640,480,0, 1028,640,480:waittimer 7795 blt 0,0,640,480,0, 1030,640,480:waittimer 7810 blt 0,0,640,480,0, 1032,640,480:waittimer 7826 blt 0,0,640,480,0, 1034,640,480:waittimer 7841 blt 0,0,640,480,0, 1036,640,480:waittimer 7856 blt 0,0,640,480,0, 1038,640,480:waittimer 7871 blt 0,0,640,480,0, 1040,640,480:waittimer 7886 blt 0,0,640,480,0, 1042,640,480:waittimer 7901 blt 0,0,640,480,0, 1044,640,480:waittimer 7917 blt 0,0,640,480,0, 1046,640,480:waittimer 7932 blt 0,0,640,480,0, 1048,640,480:waittimer 7947 blt 0,0,640,480,0, 1050,640,480:waittimer 7962 blt 0,0,640,480,0, 1052,640,480:waittimer 7977 blt 0,0,640,480,0, 1054,640,480:waittimer 7992 blt 0,0,640,480,0, 1056,640,480:waittimer 8008 blt 0,0,640,480,0, 1058,640,480:waittimer 8023 blt 0,0,640,480,0, 1060,640,480:waittimer 8038 blt 0,0,640,480,0, 1062,640,480:waittimer 8053 blt 0,0,640,480,0, 1064,640,480:waittimer 8068 blt 0,0,640,480,0, 1066,640,480:waittimer 8083 blt 0,0,640,480,0, 1068,640,480:waittimer 8099 blt 0,0,640,480,0, 1070,640,480:waittimer 8114 blt 0,0,640,480,0, 1072,640,480:waittimer 8129 blt 0,0,640,480,0, 1074,640,480:waittimer 8144 blt 0,0,640,480,0, 1076,640,480:waittimer 8159 blt 0,0,640,480,0, 1078,640,480:waittimer 8174 blt 0,0,640,480,0, 1080,640,480:waittimer 8190 blt 0,0,640,480,0, 1082,640,480:waittimer 8205 blt 0,0,640,480,0, 1084,640,480:waittimer 8220 blt 0,0,640,480,0, 1086,640,480:waittimer 8235 blt 0,0,640,480,0, 1088,640,480:waittimer 8250 blt 0,0,640,480,0, 1090,640,480:waittimer 8265 blt 0,0,640,480,0, 1092,640,480:waittimer 8281 blt 0,0,640,480,0, 1094,640,480:waittimer 8296 blt 0,0,640,480,0, 1096,640,480:waittimer 8311 blt 0,0,640,480,0, 1098,640,480:waittimer 8326 blt 0,0,640,480,0, 1100,640,480:waittimer 8341 blt 0,0,640,480,0, 1102,640,480:waittimer 8356 blt 0,0,640,480,0, 1104,640,480:waittimer 8372 blt 0,0,640,480,0, 1106,640,480:waittimer 8387 blt 0,0,640,480,0, 1108,640,480:waittimer 8402 blt 0,0,640,480,0, 1110,640,480:waittimer 8417 blt 0,0,640,480,0, 1112,640,480:waittimer 8432 blt 0,0,640,480,0, 1114,640,480:waittimer 8447 blt 0,0,640,480,0, 1116,640,480:waittimer 8463 blt 0,0,640,480,0, 1118,640,480:waittimer 8478 blt 0,0,640,480,0, 1120,640,480:waittimer 8493 blt 0,0,640,480,0, 1122,640,480:waittimer 8508 blt 0,0,640,480,0, 1124,640,480:waittimer 8523 blt 0,0,640,480,0, 1126,640,480:waittimer 8538 blt 0,0,640,480,0, 1128,640,480:waittimer 8554 blt 0,0,640,480,0, 1130,640,480:waittimer 8569 blt 0,0,640,480,0, 1132,640,480:waittimer 8584 blt 0,0,640,480,0, 1134,640,480:waittimer 8599 blt 0,0,640,480,0, 1136,640,480:waittimer 8614 blt 0,0,640,480,0, 1138,640,480:waittimer 8629 blt 0,0,640,480,0, 1140,640,480:waittimer 8645 blt 0,0,640,480,0, 1142,640,480:waittimer 8660 blt 0,0,640,480,0, 1144,640,480:waittimer 8675 blt 0,0,640,480,0, 1146,640,480:waittimer 8690 blt 0,0,640,480,0, 1148,640,480:waittimer 8705 blt 0,0,640,480,0, 1150,640,480:waittimer 8720 blt 0,0,640,480,0, 1152,640,480:waittimer 8736 blt 0,0,640,480,0, 1154,640,480:waittimer 8751 blt 0,0,640,480,0, 1156,640,480:waittimer 8766 blt 0,0,640,480,0, 1158,640,480:waittimer 8781 blt 0,0,640,480,0, 1160,640,480:waittimer 8796 blt 0,0,640,480,0, 1162,640,480:waittimer 8811 blt 0,0,640,480,0, 1164,640,480:waittimer 8827 blt 0,0,640,480,0, 1166,640,480:waittimer 8842 blt 0,0,640,480,0, 1168,640,480:waittimer 8857 blt 0,0,640,480,0, 1170,640,480:waittimer 8872 blt 0,0,640,480,0, 1172,640,480:waittimer 8887 blt 0,0,640,480,0, 1174,640,480:waittimer 8902 blt 0,0,640,480,0, 1176,640,480:waittimer 8918 blt 0,0,640,480,0, 1178,640,480:waittimer 8933 blt 0,0,640,480,0, 1180,640,480:waittimer 8948 blt 0,0,640,480,0, 1182,640,480:waittimer 8963 blt 0,0,640,480,0, 1184,640,480:waittimer 8978 blt 0,0,640,480,0, 1186,640,480:waittimer 8993 blt 0,0,640,480,0, 1188,640,480:waittimer 9009 blt 0,0,640,480,0, 1190,640,480:waittimer 9024 blt 0,0,640,480,0, 1192,640,480:waittimer 9039 blt 0,0,640,480,0, 1194,640,480:waittimer 9054 blt 0,0,640,480,0, 1196,640,480:waittimer 9069 blt 0,0,640,480,0, 1198,640,480:waittimer 9084 blt 0,0,640,480,0, 1200,640,480:waittimer 9100 blt 0,0,640,480,0, 1202,640,480:waittimer 9115 blt 0,0,640,480,0, 1204,640,480:waittimer 9130 blt 0,0,640,480,0, 1206,640,480:waittimer 9145 blt 0,0,640,480,0, 1208,640,480:waittimer 9160 blt 0,0,640,480,0, 1210,640,480:waittimer 9175 blt 0,0,640,480,0, 1212,640,480:waittimer 9191 blt 0,0,640,480,0, 1214,640,480:waittimer 9206 blt 0,0,640,480,0, 1216,640,480:waittimer 9221 blt 0,0,640,480,0, 1218,640,480:waittimer 9236 blt 0,0,640,480,0, 1220,640,480:waittimer 9251 blt 0,0,640,480,0, 1222,640,480:waittimer 9266 blt 0,0,640,480,0, 1224,640,480:waittimer 9282 blt 0,0,640,480,0, 1226,640,480:waittimer 9297 blt 0,0,640,480,0, 1228,640,480:waittimer 9312 blt 0,0,640,480,0, 1230,640,480:waittimer 9327 blt 0,0,640,480,0, 1232,640,480:waittimer 9342 blt 0,0,640,480,0, 1234,640,480:waittimer 9357 blt 0,0,640,480,0, 1236,640,480:waittimer 9373 blt 0,0,640,480,0, 1238,640,480:waittimer 9388 blt 0,0,640,480,0, 1240,640,480:waittimer 9403 blt 0,0,640,480,0, 1242,640,480:waittimer 9418 blt 0,0,640,480,0, 1244,640,480:waittimer 9433 blt 0,0,640,480,0, 1246,640,480:waittimer 9448 blt 0,0,640,480,0, 1248,640,480:waittimer 9464 blt 0,0,640,480,0, 1250,640,480:waittimer 9479 blt 0,0,640,480,0, 1252,640,480:waittimer 9494 blt 0,0,640,480,0, 1254,640,480:waittimer 9509 blt 0,0,640,480,0, 1256,640,480:waittimer 9524 blt 0,0,640,480,0, 1258,640,480:waittimer 9539 blt 0,0,640,480,0, 1260,640,480:waittimer 9555 blt 0,0,640,480,0, 1262,640,480:waittimer 9570 blt 0,0,640,480,0, 1264,640,480:waittimer 9585 blt 0,0,640,480,0, 1266,640,480:waittimer 9600 blt 0,0,640,480,0, 1268,640,480:waittimer 9615 blt 0,0,640,480,0, 1270,640,480:waittimer 9630 blt 0,0,640,480,0, 1272,640,480:waittimer 9646 blt 0,0,640,480,0, 1274,640,480:waittimer 9661 blt 0,0,640,480,0, 1276,640,480:waittimer 9676 blt 0,0,640,480,0, 1278,640,480:waittimer 9691 blt 0,0,640,480,0, 1280,640,480:waittimer 9706 blt 0,0,640,480,0, 1282,640,480:waittimer 9721 blt 0,0,640,480,0, 1284,640,480:waittimer 9737 blt 0,0,640,480,0, 1286,640,480:waittimer 9752 blt 0,0,640,480,0, 1288,640,480:waittimer 9767 blt 0,0,640,480,0, 1290,640,480:waittimer 9782 blt 0,0,640,480,0, 1292,640,480:waittimer 9797 blt 0,0,640,480,0, 1294,640,480:waittimer 9812 blt 0,0,640,480,0, 1296,640,480:waittimer 9828 blt 0,0,640,480,0, 1298,640,480:waittimer 9843 blt 0,0,640,480,0, 1300,640,480:waittimer 9858 blt 0,0,640,480,0, 1302,640,480:waittimer 9873 blt 0,0,640,480,0, 1304,640,480:waittimer 9888 blt 0,0,640,480,0, 1306,640,480:waittimer 9903 blt 0,0,640,480,0, 1308,640,480:waittimer 9919 blt 0,0,640,480,0, 1310,640,480:waittimer 9934 blt 0,0,640,480,0, 1312,640,480:waittimer 9949 blt 0,0,640,480,0, 1314,640,480:waittimer 9964 blt 0,0,640,480,0, 1316,640,480:waittimer 9979 blt 0,0,640,480,0, 1318,640,480:waittimer 9994 blt 0,0,640,480,0, 1320,640,480:waittimer 10010 blt 0,0,640,480,0, 1322,640,480:waittimer 10025 blt 0,0,640,480,0, 1324,640,480:waittimer 10040 blt 0,0,640,480,0, 1326,640,480:waittimer 10055 blt 0,0,640,480,0, 1328,640,480:waittimer 10070 blt 0,0,640,480,0, 1330,640,480:waittimer 10085 blt 0,0,640,480,0, 1332,640,480:waittimer 10101 blt 0,0,640,480,0, 1334,640,480:waittimer 10116 blt 0,0,640,480,0, 1336,640,480:waittimer 10131 blt 0,0,640,480,0, 1338,640,480:waittimer 10146 blt 0,0,640,480,0, 1340,640,480:waittimer 10161 blt 0,0,640,480,0, 1342,640,480:waittimer 10176 blt 0,0,640,480,0, 1344,640,480:waittimer 10192 blt 0,0,640,480,0, 1346,640,480:waittimer 10207 blt 0,0,640,480,0, 1348,640,480:waittimer 10222 blt 0,0,640,480,0, 1350,640,480:waittimer 10237 blt 0,0,640,480,0, 1352,640,480:waittimer 10252 blt 0,0,640,480,0, 1354,640,480:waittimer 10267 blt 0,0,640,480,0, 1356,640,480:waittimer 10283 blt 0,0,640,480,0, 1358,640,480:waittimer 10298 blt 0,0,640,480,0, 1360,640,480:waittimer 10313 blt 0,0,640,480,0, 1362,640,480:waittimer 10328 blt 0,0,640,480,0, 1364,640,480:waittimer 10343 blt 0,0,640,480,0, 1366,640,480:waittimer 10358 blt 0,0,640,480,0, 1368,640,480:waittimer 10374 blt 0,0,640,480,0, 1370,640,480:waittimer 10389 blt 0,0,640,480,0, 1372,640,480:waittimer 10404 blt 0,0,640,480,0, 1374,640,480:waittimer 10419 blt 0,0,640,480,0, 1376,640,480:waittimer 10434 blt 0,0,640,480,0, 1378,640,480:waittimer 10449 blt 0,0,640,480,0, 1380,640,480:waittimer 10465 blt 0,0,640,480,0, 1382,640,480:waittimer 10480 blt 0,0,640,480,0, 1384,640,480:waittimer 10495 blt 0,0,640,480,0, 1386,640,480:waittimer 10510 blt 0,0,640,480,0, 1388,640,480:waittimer 10525 blt 0,0,640,480,0, 1390,640,480:waittimer 10540 blt 0,0,640,480,0, 1392,640,480:waittimer 10556 blt 0,0,640,480,0, 1394,640,480:waittimer 10571 blt 0,0,640,480,0, 1396,640,480:waittimer 10586 blt 0,0,640,480,0, 1398,640,480:waittimer 10601 blt 0,0,640,480,0, 1400,640,480:waittimer 10616 blt 0,0,640,480,0, 1402,640,480:waittimer 10631 blt 0,0,640,480,0, 1404,640,480:waittimer 10647 blt 0,0,640,480,0, 1406,640,480:waittimer 10662 blt 0,0,640,480,0, 1408,640,480:waittimer 10677 blt 0,0,640,480,0, 1410,640,480:waittimer 10692 blt 0,0,640,480,0, 1412,640,480:waittimer 10707 blt 0,0,640,480,0, 1414,640,480:waittimer 10722 blt 0,0,640,480,0, 1416,640,480:waittimer 10738 blt 0,0,640,480,0, 1418,640,480:waittimer 10753 blt 0,0,640,480,0, 1420,640,480:waittimer 10768 blt 0,0,640,480,0, 1422,640,480:waittimer 10783 blt 0,0,640,480,0, 1424,640,480:waittimer 10798 blt 0,0,640,480,0, 1426,640,480:waittimer 10813 blt 0,0,640,480,0, 1428,640,480:waittimer 10829 blt 0,0,640,480,0, 1430,640,480:waittimer 10844 blt 0,0,640,480,0, 1432,640,480:waittimer 10859 blt 0,0,640,480,0, 1434,640,480:waittimer 10874 blt 0,0,640,480,0, 1436,640,480:waittimer 10889 blt 0,0,640,480,0, 1438,640,480:waittimer 10904 blt 0,0,640,480,0, 1440,640,480:waittimer 10920 blt 0,0,640,480,0, 1442,640,480:waittimer 10935 blt 0,0,640,480,0, 1444,640,480:waittimer 10950 blt 0,0,640,480,0, 1446,640,480:waittimer 10965 blt 0,0,640,480,0, 1448,640,480:waittimer 10980 blt 0,0,640,480,0, 1450,640,480:waittimer 10995 blt 0,0,640,480,0, 1452,640,480:waittimer 11011 blt 0,0,640,480,0, 1454,640,480:waittimer 11026 blt 0,0,640,480,0, 1456,640,480:waittimer 11041 blt 0,0,640,480,0, 1458,640,480:waittimer 11056 blt 0,0,640,480,0, 1460,640,480:waittimer 11071 blt 0,0,640,480,0, 1462,640,480:waittimer 11086 blt 0,0,640,480,0, 1464,640,480:waittimer 11102 blt 0,0,640,480,0, 1466,640,480:waittimer 11117 blt 0,0,640,480,0, 1468,640,480:waittimer 11132 blt 0,0,640,480,0, 1470,640,480:waittimer 11147 blt 0,0,640,480,0, 1472,640,480:waittimer 11162 blt 0,0,640,480,0, 1474,640,480:waittimer 11177 blt 0,0,640,480,0, 1476,640,480:waittimer 11193 blt 0,0,640,480,0, 1478,640,480:waittimer 11208 blt 0,0,640,480,0, 1480,640,480:waittimer 11223 blt 0,0,640,480,0, 1482,640,480:waittimer 11238 blt 0,0,640,480,0, 1484,640,480:waittimer 11253 blt 0,0,640,480,0, 1486,640,480:waittimer 11268 blt 0,0,640,480,0, 1488,640,480:waittimer 11284 blt 0,0,640,480,0, 1490,640,480:waittimer 11299 blt 0,0,640,480,0, 1492,640,480:waittimer 11314 blt 0,0,640,480,0, 1494,640,480:waittimer 11329 blt 0,0,640,480,0, 1496,640,480:waittimer 11344 blt 0,0,640,480,0, 1498,640,480:waittimer 11359 blt 0,0,640,480,0, 1500,640,480:waittimer 11375 blt 0,0,640,480,0, 1502,640,480:waittimer 11390 blt 0,0,640,480,0, 1504,640,480:waittimer 11405 blt 0,0,640,480,0, 1506,640,480:waittimer 11420 blt 0,0,640,480,0, 1508,640,480:waittimer 11435 blt 0,0,640,480,0, 1510,640,480:waittimer 11450 blt 0,0,640,480,0, 1512,640,480:waittimer 11466 blt 0,0,640,480,0, 1514,640,480:waittimer 11481 blt 0,0,640,480,0, 1516,640,480:waittimer 11496 blt 0,0,640,480,0, 1518,640,480:waittimer 11511 blt 0,0,640,480,0, 1520,640,480:waittimer 11526 blt 0,0,640,480,0, 1522,640,480:waittimer 11541 blt 0,0,640,480,0, 1524,640,480:waittimer 11557 blt 0,0,640,480,0, 1526,640,480:waittimer 11572 blt 0,0,640,480,0, 1528,640,480:waittimer 11587 blt 0,0,640,480,0, 1530,640,480:waittimer 11602 blt 0,0,640,480,0, 1532,640,480:waittimer 11617 blt 0,0,640,480,0, 1534,640,480:waittimer 11632 blt 0,0,640,480,0, 1536,640,480:waittimer 11648 blt 0,0,640,480,0, 1538,640,480:waittimer 11663 blt 0,0,640,480,0, 1540,640,480:waittimer 11678 blt 0,0,640,480,0, 1542,640,480:waittimer 11693 blt 0,0,640,480,0, 1544,640,480:waittimer 11708 blt 0,0,640,480,0, 1546,640,480:waittimer 11723 blt 0,0,640,480,0, 1548,640,480:waittimer 11739 blt 0,0,640,480,0, 1550,640,480:waittimer 11754 blt 0,0,640,480,0, 1552,640,480:waittimer 11769 blt 0,0,640,480,0, 1554,640,480:waittimer 11784 blt 0,0,640,480,0, 1556,640,480:waittimer 11799 blt 0,0,640,480,0, 1558,640,480:waittimer 11814 blt 0,0,640,480,0, 1560,640,480:waittimer 11830 blt 0,0,640,480,0, 1562,640,480:waittimer 11845 blt 0,0,640,480,0, 1564,640,480:waittimer 11860 blt 0,0,640,480,0, 1566,640,480:waittimer 11875 blt 0,0,640,480,0, 1568,640,480:waittimer 11890 blt 0,0,640,480,0, 1570,640,480:waittimer 11905 blt 0,0,640,480,0, 1572,640,480:waittimer 11921 blt 0,0,640,480,0, 1574,640,480:waittimer 11936 blt 0,0,640,480,0, 1576,640,480:waittimer 11951 blt 0,0,640,480,0, 1578,640,480:waittimer 11966 blt 0,0,640,480,0, 1580,640,480:waittimer 11981 blt 0,0,640,480,0, 1582,640,480:waittimer 11996 blt 0,0,640,480,0, 1584,640,480:waittimer 12012 blt 0,0,640,480,0, 1586,640,480:waittimer 12027 blt 0,0,640,480,0, 1588,640,480:waittimer 12042 blt 0,0,640,480,0, 1590,640,480:waittimer 12057 blt 0,0,640,480,0, 1592,640,480:waittimer 12072 blt 0,0,640,480,0, 1594,640,480:waittimer 12087 blt 0,0,640,480,0, 1596,640,480:waittimer 12103 blt 0,0,640,480,0, 1598,640,480:waittimer 12118 blt 0,0,640,480,0, 1600,640,480:waittimer 12133 blt 0,0,640,480,0, 1602,640,480:waittimer 12148 blt 0,0,640,480,0, 1604,640,480:waittimer 12163 blt 0,0,640,480,0, 1606,640,480:waittimer 12178 blt 0,0,640,480,0, 1608,640,480:waittimer 12194 blt 0,0,640,480,0, 1610,640,480:waittimer 12209 blt 0,0,640,480,0, 1612,640,480:waittimer 12224 blt 0,0,640,480,0, 1614,640,480:waittimer 12239 blt 0,0,640,480,0, 1616,640,480:waittimer 12254 blt 0,0,640,480,0, 1618,640,480:waittimer 12269 blt 0,0,640,480,0, 1620,640,480:waittimer 12285 blt 0,0,640,480,0, 1622,640,480:waittimer 12300 blt 0,0,640,480,0, 1624,640,480:waittimer 12315 blt 0,0,640,480,0, 1626,640,480:waittimer 12330 blt 0,0,640,480,0, 1628,640,480:waittimer 12345 blt 0,0,640,480,0, 1630,640,480:waittimer 12360 blt 0,0,640,480,0, 1632,640,480:waittimer 12376 blt 0,0,640,480,0, 1634,640,480:waittimer 12391 blt 0,0,640,480,0, 1636,640,480:waittimer 12406 blt 0,0,640,480,0, 1638,640,480:waittimer 12421 blt 0,0,640,480,0, 1640,640,480:waittimer 12436 blt 0,0,640,480,0, 1642,640,480:waittimer 12451 blt 0,0,640,480,0, 1644,640,480:waittimer 12467 blt 0,0,640,480,0, 1646,640,480:waittimer 12482 blt 0,0,640,480,0, 1648,640,480:waittimer 12497 blt 0,0,640,480,0, 1650,640,480:waittimer 12512 blt 0,0,640,480,0, 1652,640,480:waittimer 12527 blt 0,0,640,480,0, 1654,640,480:waittimer 12542 blt 0,0,640,480,0, 1656,640,480:waittimer 12558 blt 0,0,640,480,0, 1658,640,480:waittimer 12573 blt 0,0,640,480,0, 1660,640,480:waittimer 12588 blt 0,0,640,480,0, 1662,640,480:waittimer 12603 blt 0,0,640,480,0, 1664,640,480:waittimer 12618 blt 0,0,640,480,0, 1666,640,480:waittimer 12633 blt 0,0,640,480,0, 1668,640,480:waittimer 12649 blt 0,0,640,480,0, 1670,640,480:waittimer 12664 blt 0,0,640,480,0, 1672,640,480:waittimer 12679 blt 0,0,640,480,0, 1674,640,480:waittimer 12694 blt 0,0,640,480,0, 1676,640,480:waittimer 12709 blt 0,0,640,480,0, 1678,640,480:waittimer 12724 blt 0,0,640,480,0, 1680,640,480:waittimer 12740 blt 0,0,640,480,0, 1682,640,480:waittimer 12755 blt 0,0,640,480,0, 1684,640,480:waittimer 12770 blt 0,0,640,480,0, 1686,640,480:waittimer 12785 blt 0,0,640,480,0, 1688,640,480:waittimer 12800 blt 0,0,640,480,0, 1690,640,480:waittimer 12815 blt 0,0,640,480,0, 1692,640,480:waittimer 12831 blt 0,0,640,480,0, 1694,640,480:waittimer 12846 blt 0,0,640,480,0, 1696,640,480:waittimer 12861 blt 0,0,640,480,0, 1698,640,480:waittimer 12876 blt 0,0,640,480,0, 1700,640,480:waittimer 12891 blt 0,0,640,480,0, 1702,640,480:waittimer 12906 blt 0,0,640,480,0, 1704,640,480:waittimer 12922 blt 0,0,640,480,0, 1706,640,480:waittimer 12937 blt 0,0,640,480,0, 1708,640,480:waittimer 12952 blt 0,0,640,480,0, 1710,640,480:waittimer 12967 blt 0,0,640,480,0, 1712,640,480:waittimer 12982 blt 0,0,640,480,0, 1714,640,480:waittimer 12997 blt 0,0,640,480,0, 1716,640,480:waittimer 13013 blt 0,0,640,480,0, 1718,640,480:waittimer 13028 blt 0,0,640,480,0, 1720,640,480:waittimer 13043 blt 0,0,640,480,0, 1722,640,480:waittimer 13058 blt 0,0,640,480,0, 1724,640,480:waittimer 13073 blt 0,0,640,480,0, 1726,640,480:waittimer 13088 blt 0,0,640,480,0, 1728,640,480:waittimer 13104 blt 0,0,640,480,0, 1730,640,480:waittimer 13119 blt 0,0,640,480,0, 1732,640,480:waittimer 13134 blt 0,0,640,480,0, 1734,640,480:waittimer 13149 blt 0,0,640,480,0, 1736,640,480:waittimer 13164 blt 0,0,640,480,0, 1738,640,480:waittimer 13179 blt 0,0,640,480,0, 1740,640,480:waittimer 13195 blt 0,0,640,480,0, 1742,640,480:waittimer 13210 blt 0,0,640,480,0, 1744,640,480:waittimer 13225 blt 0,0,640,480,0, 1746,640,480:waittimer 13240 blt 0,0,640,480,0, 1748,640,480:waittimer 13255 blt 0,0,640,480,0, 1750,640,480:waittimer 13270 blt 0,0,640,480,0, 1752,640,480:waittimer 13286 blt 0,0,640,480,0, 1754,640,480:waittimer 13301 blt 0,0,640,480,0, 1756,640,480:waittimer 13316 blt 0,0,640,480,0, 1758,640,480:waittimer 13331 blt 0,0,640,480,0, 1760,640,480:waittimer 13346 blt 0,0,640,480,0, 1762,640,480:waittimer 13361 blt 0,0,640,480,0, 1764,640,480:waittimer 13377 blt 0,0,640,480,0, 1766,640,480:waittimer 13392 blt 0,0,640,480,0, 1768,640,480:waittimer 13407 blt 0,0,640,480,0, 1770,640,480:waittimer 13422 blt 0,0,640,480,0, 1772,640,480:waittimer 13437 blt 0,0,640,480,0, 1774,640,480:waittimer 13452 blt 0,0,640,480,0, 1776,640,480:waittimer 13468 blt 0,0,640,480,0, 1778,640,480:waittimer 13483 blt 0,0,640,480,0, 1780,640,480:waittimer 13498 blt 0,0,640,480,0, 1782,640,480:waittimer 13513 blt 0,0,640,480,0, 1784,640,480:waittimer 13528 blt 0,0,640,480,0, 1786,640,480:waittimer 13543 blt 0,0,640,480,0, 1788,640,480:waittimer 13559 blt 0,0,640,480,0, 1790,640,480:waittimer 13574 blt 0,0,640,480,0, 1792,640,480:waittimer 13589 blt 0,0,640,480,0, 1794,640,480:waittimer 13604 blt 0,0,640,480,0, 1796,640,480:waittimer 13619 blt 0,0,640,480,0, 1798,640,480:waittimer 13634 blt 0,0,640,480,0, 1800,640,480:waittimer 13650 blt 0,0,640,480,0, 1802,640,480:waittimer 13665 blt 0,0,640,480,0, 1804,640,480:waittimer 13680 blt 0,0,640,480,0, 1806,640,480:waittimer 13695 blt 0,0,640,480,0, 1808,640,480:waittimer 13710 blt 0,0,640,480,0, 1810,640,480:waittimer 13725 blt 0,0,640,480,0, 1812,640,480:waittimer 13741 blt 0,0,640,480,0, 1814,640,480:waittimer 13756 blt 0,0,640,480,0, 1816,640,480:waittimer 13771 blt 0,0,640,480,0, 1818,640,480:waittimer 13786 blt 0,0,640,480,0, 1820,640,480:waittimer 13801 blt 0,0,640,480,0, 1822,640,480:waittimer 13816 blt 0,0,640,480,0, 1824,640,480:waittimer 13832 blt 0,0,640,480,0, 1826,640,480:waittimer 13847 blt 0,0,640,480,0, 1828,640,480:waittimer 13862 blt 0,0,640,480,0, 1830,640,480:waittimer 13877 blt 0,0,640,480,0, 1832,640,480:waittimer 13892 blt 0,0,640,480,0, 1834,640,480:waittimer 13907 blt 0,0,640,480,0, 1836,640,480:waittimer 13923 blt 0,0,640,480,0, 1838,640,480:waittimer 13938 blt 0,0,640,480,0, 1840,640,480:waittimer 13953 blt 0,0,640,480,0, 1842,640,480:waittimer 13968 blt 0,0,640,480,0, 1844,640,480:waittimer 13983 blt 0,0,640,480,0, 1846,640,480:waittimer 13998 blt 0,0,640,480,0, 1848,640,480:waittimer 14014 blt 0,0,640,480,0, 1850,640,480:waittimer 14029 blt 0,0,640,480,0, 1852,640,480:waittimer 14044 blt 0,0,640,480,0, 1854,640,480:waittimer 14059 blt 0,0,640,480,0, 1856,640,480:waittimer 14074 blt 0,0,640,480,0, 1858,640,480:waittimer 14089 blt 0,0,640,480,0, 1860,640,480:waittimer 14105 blt 0,0,640,480,0, 1862,640,480:waittimer 14120 blt 0,0,640,480,0, 1864,640,480:waittimer 14135 blt 0,0,640,480,0, 1866,640,480:waittimer 14150 blt 0,0,640,480,0, 1868,640,480:waittimer 14165 blt 0,0,640,480,0, 1870,640,480:waittimer 14180 blt 0,0,640,480,0, 1872,640,480:waittimer 14196 blt 0,0,640,480,0, 1874,640,480:waittimer 14211 blt 0,0,640,480,0, 1876,640,480:waittimer 14226 blt 0,0,640,480,0, 1878,640,480:waittimer 14241 blt 0,0,640,480,0, 1880,640,480:waittimer 14256 blt 0,0,640,480,0, 1882,640,480:waittimer 14271 blt 0,0,640,480,0, 1884,640,480:waittimer 14287 blt 0,0,640,480,0, 1886,640,480:waittimer 14302 blt 0,0,640,480,0, 1888,640,480:waittimer 14317 blt 0,0,640,480,0, 1890,640,480:waittimer 14332 blt 0,0,640,480,0, 1892,640,480:waittimer 14347 blt 0,0,640,480,0, 1894,640,480:waittimer 14362 blt 0,0,640,480,0, 1896,640,480:waittimer 14378 blt 0,0,640,480,0, 1898,640,480:waittimer 14393 blt 0,0,640,480,0, 1900,640,480:waittimer 14408 blt 0,0,640,480,0, 1902,640,480:waittimer 14423 blt 0,0,640,480,0, 1904,640,480:waittimer 14438 blt 0,0,640,480,0, 1906,640,480:waittimer 14453 blt 0,0,640,480,0, 1908,640,480:waittimer 14469 blt 0,0,640,480,0, 1910,640,480:waittimer 14484 blt 0,0,640,480,0, 1912,640,480:waittimer 14499 blt 0,0,640,480,0, 1914,640,480:waittimer 14514 blt 0,0,640,480,0, 1916,640,480:waittimer 14529 blt 0,0,640,480,0, 1918,640,480:waittimer 14544 blt 0,0,640,480,0, 1920,640,480:waittimer 14560 blt 0,0,640,480,0, 1922,640,480:waittimer 14575 blt 0,0,640,480,0, 1924,640,480:waittimer 14590 blt 0,0,640,480,0, 1926,640,480:waittimer 14605 blt 0,0,640,480,0, 1928,640,480:waittimer 14620 blt 0,0,640,480,0, 1930,640,480:waittimer 14635 blt 0,0,640,480,0, 1932,640,480:waittimer 14651 blt 0,0,640,480,0, 1934,640,480:waittimer 14666 blt 0,0,640,480,0, 1936,640,480:waittimer 14681 blt 0,0,640,480,0, 1938,640,480:waittimer 14696 blt 0,0,640,480,0, 1940,640,480:waittimer 14711 blt 0,0,640,480,0, 1942,640,480:waittimer 14726 blt 0,0,640,480,0, 1944,640,480:waittimer 14742 blt 0,0,640,480,0, 1946,640,480:waittimer 14757 blt 0,0,640,480,0, 1948,640,480:waittimer 14772 blt 0,0,640,480,0, 1950,640,480:waittimer 14787 blt 0,0,640,480,0, 1952,640,480:waittimer 14802 blt 0,0,640,480,0, 1954,640,480:waittimer 14817 blt 0,0,640,480,0, 1956,640,480:waittimer 14833 blt 0,0,640,480,0, 1958,640,480:waittimer 14848 blt 0,0,640,480,0, 1960,640,480:waittimer 14863 blt 0,0,640,480,0, 1962,640,480:waittimer 14878 blt 0,0,640,480,0, 1964,640,480:waittimer 14893 blt 0,0,640,480,0, 1966,640,480:waittimer 14908 blt 0,0,640,480,0, 1968,640,480:waittimer 14924 blt 0,0,640,480,0, 1970,640,480:waittimer 14939 blt 0,0,640,480,0, 1972,640,480:waittimer 14954 blt 0,0,640,480,0, 1974,640,480:waittimer 14969 blt 0,0,640,480,0, 1976,640,480:waittimer 14984 blt 0,0,640,480,0, 1978,640,480:waittimer 14999 blt 0,0,640,480,0, 1980,640,480:waittimer 15015 blt 0,0,640,480,0, 1982,640,480:waittimer 15030 blt 0,0,640,480,0, 1984,640,480:waittimer 15045 blt 0,0,640,480,0, 1986,640,480:waittimer 15060 blt 0,0,640,480,0, 1988,640,480:waittimer 15075 blt 0,0,640,480,0, 1990,640,480:waittimer 15090 blt 0,0,640,480,0, 1992,640,480:waittimer 15106 blt 0,0,640,480,0, 1994,640,480:waittimer 15121 blt 0,0,640,480,0, 1996,640,480:waittimer 15136 blt 0,0,640,480,0, 1998,640,480:waittimer 15151 blt 0,0,640,480,0, 2000,640,480:waittimer 15166 blt 0,0,640,480,0, 2002,640,480:waittimer 15181 blt 0,0,640,480,0, 2004,640,480:waittimer 15197 blt 0,0,640,480,0, 2006,640,480:waittimer 15212 blt 0,0,640,480,0, 2008,640,480:waittimer 15227 blt 0,0,640,480,0, 2010,640,480:waittimer 15242 blt 0,0,640,480,0, 2012,640,480:waittimer 15257 blt 0,0,640,480,0, 2014,640,480:waittimer 15272 blt 0,0,640,480,0, 2016,640,480:waittimer 15288 blt 0,0,640,480,0, 2018,640,480:waittimer 15303 blt 0,0,640,480,0, 2020,640,480:waittimer 15318 blt 0,0,640,480,0, 2022,640,480:waittimer 15333 blt 0,0,640,480,0, 2024,640,480:waittimer 15348 blt 0,0,640,480,0, 2026,640,480:waittimer 15363 blt 0,0,640,480,0, 2028,640,480:waittimer 15379 blt 0,0,640,480,0, 2030,640,480:waittimer 15394 blt 0,0,640,480,0, 2032,640,480:waittimer 15409 blt 0,0,640,480,0, 2034,640,480:waittimer 15424 blt 0,0,640,480,0, 2036,640,480:waittimer 15439 blt 0,0,640,480,0, 2038,640,480:waittimer 15454 blt 0,0,640,480,0, 2040,640,480:waittimer 15470 blt 0,0,640,480,0, 2042,640,480:waittimer 15485 blt 0,0,640,480,0, 2044,640,480:waittimer 15500 blt 0,0,640,480,0, 2046,640,480:waittimer 15515 blt 0,0,640,480,0, 2048,640,480:waittimer 15530 blt 0,0,640,480,0, 2050,640,480:waittimer 15545 blt 0,0,640,480,0, 2052,640,480:waittimer 15561 blt 0,0,640,480,0, 2054,640,480:waittimer 15576 blt 0,0,640,480,0, 2056,640,480:waittimer 15591 blt 0,0,640,480,0, 2058,640,480:waittimer 15606 blt 0,0,640,480,0, 2060,640,480:waittimer 15621 blt 0,0,640,480,0, 2062,640,480:waittimer 15636 blt 0,0,640,480,0, 2064,640,480:waittimer 15652 blt 0,0,640,480,0, 2066,640,480:waittimer 15667 blt 0,0,640,480,0, 2068,640,480:waittimer 15682 blt 0,0,640,480,0, 2070,640,480:waittimer 15697 blt 0,0,640,480,0, 2072,640,480:waittimer 15712 blt 0,0,640,480,0, 2074,640,480:waittimer 15727 blt 0,0,640,480,0, 2076,640,480:waittimer 15743 blt 0,0,640,480,0, 2078,640,480:waittimer 15758 blt 0,0,640,480,0, 2080,640,480:waittimer 15773 blt 0,0,640,480,0, 2082,640,480:waittimer 15788 blt 0,0,640,480,0, 2084,640,480:waittimer 15803 blt 0,0,640,480,0, 2086,640,480:waittimer 15818 blt 0,0,640,480,0, 2088,640,480:waittimer 15834 blt 0,0,640,480,0, 2090,640,480:waittimer 15849 blt 0,0,640,480,0, 2092,640,480:waittimer 15864 blt 0,0,640,480,0, 2094,640,480:waittimer 15879 blt 0,0,640,480,0, 2096,640,480:waittimer 15894 blt 0,0,640,480,0, 2098,640,480:waittimer 15909 blt 0,0,640,480,0, 2100,640,480:waittimer 15925 blt 0,0,640,480,0, 2102,640,480:waittimer 15940 blt 0,0,640,480,0, 2104,640,480:waittimer 15955 blt 0,0,640,480,0, 2106,640,480:waittimer 15970 blt 0,0,640,480,0, 2108,640,480:waittimer 15985 blt 0,0,640,480,0, 2110,640,480:waittimer 16000 blt 0,0,640,480,0, 2112,640,480:waittimer 16016 blt 0,0,640,480,0, 2114,640,480:waittimer 16031 blt 0,0,640,480,0, 2116,640,480:waittimer 16046 blt 0,0,640,480,0, 2118,640,480:waittimer 16061 blt 0,0,640,480,0, 2120,640,480:waittimer 16076 blt 0,0,640,480,0, 2122,640,480:waittimer 16091 blt 0,0,640,480,0, 2124,640,480:waittimer 16107 blt 0,0,640,480,0, 2126,640,480:waittimer 16122 blt 0,0,640,480,0, 2128,640,480:waittimer 16137 blt 0,0,640,480,0, 2130,640,480:waittimer 16152 blt 0,0,640,480,0, 2132,640,480:waittimer 16167 blt 0,0,640,480,0, 2134,640,480:waittimer 16182 blt 0,0,640,480,0, 2136,640,480:waittimer 16198 blt 0,0,640,480,0, 2138,640,480:waittimer 16213 blt 0,0,640,480,0, 2140,640,480:waittimer 16228 blt 0,0,640,480,0, 2142,640,480:waittimer 16243 blt 0,0,640,480,0, 2144,640,480:waittimer 16258 blt 0,0,640,480,0, 2146,640,480:waittimer 16273 blt 0,0,640,480,0, 2148,640,480:waittimer 16289 blt 0,0,640,480,0, 2150,640,480:waittimer 16304 blt 0,0,640,480,0, 2152,640,480:waittimer 16319 blt 0,0,640,480,0, 2154,640,480:waittimer 16334 blt 0,0,640,480,0, 2156,640,480:waittimer 16349 blt 0,0,640,480,0, 2158,640,480:waittimer 16364 blt 0,0,640,480,0, 2160,640,480:waittimer 16380 blt 0,0,640,480,0, 2162,640,480:waittimer 16395 blt 0,0,640,480,0, 2164,640,480:waittimer 16410 blt 0,0,640,480,0, 2166,640,480:waittimer 16425 blt 0,0,640,480,0, 2168,640,480:waittimer 16440 blt 0,0,640,480,0, 2170,640,480:waittimer 16455 blt 0,0,640,480,0, 2172,640,480:waittimer 16471 blt 0,0,640,480,0, 2174,640,480:waittimer 16486 blt 0,0,640,480,0, 2176,640,480:waittimer 16501 blt 0,0,640,480,0, 2178,640,480:waittimer 16516 blt 0,0,640,480,0, 2180,640,480:waittimer 16531 blt 0,0,640,480,0, 2182,640,480:waittimer 16546 blt 0,0,640,480,0, 2184,640,480:waittimer 16562 blt 0,0,640,480,0, 2186,640,480:waittimer 16577 blt 0,0,640,480,0, 2188,640,480:waittimer 16592 blt 0,0,640,480,0, 2190,640,480:waittimer 16607 blt 0,0,640,480,0, 2192,640,480:waittimer 16622 blt 0,0,640,480,0, 2194,640,480:waittimer 16637 blt 0,0,640,480,0, 2196,640,480:waittimer 16653 blt 0,0,640,480,0, 2198,640,480:waittimer 16668 blt 0,0,640,480,0, 2200,640,480:waittimer 16683 blt 0,0,640,480,0, 2202,640,480:waittimer 16698 blt 0,0,640,480,0, 2204,640,480:waittimer 16713 blt 0,0,640,480,0, 2206,640,480:waittimer 16728 blt 0,0,640,480,0, 2208,640,480:waittimer 16744 blt 0,0,640,480,0, 2210,640,480:waittimer 16759 blt 0,0,640,480,0, 2212,640,480:waittimer 16774 blt 0,0,640,480,0, 2214,640,480:waittimer 16789 blt 0,0,640,480,0, 2216,640,480:waittimer 16804 blt 0,0,640,480,0, 2218,640,480:waittimer 16819 blt 0,0,640,480,0, 2220,640,480:waittimer 16835 blt 0,0,640,480,0, 2222,640,480:waittimer 16850 blt 0,0,640,480,0, 2224,640,480:waittimer 16865 blt 0,0,640,480,0, 2226,640,480:waittimer 16880 blt 0,0,640,480,0, 2228,640,480:waittimer 16895 blt 0,0,640,480,0, 2230,640,480:waittimer 16910 blt 0,0,640,480,0, 2232,640,480:waittimer 16926 blt 0,0,640,480,0, 2234,640,480:waittimer 16941 blt 0,0,640,480,0, 2236,640,480:waittimer 16956 blt 0,0,640,480,0, 2238,640,480:waittimer 16971 blt 0,0,640,480,0, 2240,640,480:waittimer 16986 blt 0,0,640,480,0, 2242,640,480:waittimer 17001 blt 0,0,640,480,0, 2244,640,480:waittimer 17017 blt 0,0,640,480,0, 2246,640,480:waittimer 17032 blt 0,0,640,480,0, 2248,640,480:waittimer 17047 blt 0,0,640,480,0, 2250,640,480:waittimer 17062 blt 0,0,640,480,0, 2252,640,480:waittimer 17077 blt 0,0,640,480,0, 2254,640,480:waittimer 17092 blt 0,0,640,480,0, 2256,640,480:waittimer 17108 blt 0,0,640,480,0, 2258,640,480:waittimer 17123 blt 0,0,640,480,0, 2260,640,480:waittimer 17138 blt 0,0,640,480,0, 2262,640,480:waittimer 17153 blt 0,0,640,480,0, 2264,640,480:waittimer 17168 blt 0,0,640,480,0, 2266,640,480:waittimer 17183 blt 0,0,640,480,0, 2268,640,480:waittimer 17199 blt 0,0,640,480,0, 2270,640,480:waittimer 17214 blt 0,0,640,480,0, 2272,640,480:waittimer 17229 blt 0,0,640,480,0, 2274,640,480:waittimer 17244 blt 0,0,640,480,0, 2276,640,480:waittimer 17259 blt 0,0,640,480,0, 2278,640,480:waittimer 17274 blt 0,0,640,480,0, 2280,640,480:waittimer 17290 blt 0,0,640,480,0, 2282,640,480:waittimer 17305 blt 0,0,640,480,0, 2284,640,480:waittimer 17320 blt 0,0,640,480,0, 2286,640,480:waittimer 17335 blt 0,0,640,480,0, 2288,640,480:waittimer 17350 blt 0,0,640,480,0, 2290,640,480:waittimer 17365 blt 0,0,640,480,0, 2292,640,480:waittimer 17381 blt 0,0,640,480,0, 2294,640,480:waittimer 17396 blt 0,0,640,480,0, 2296,640,480:waittimer 17411 blt 0,0,640,480,0, 2298,640,480:waittimer 17426 blt 0,0,640,480,0, 2300,640,480:waittimer 17441 blt 0,0,640,480,0, 2302,640,480:waittimer 17456 blt 0,0,640,480,0, 2304,640,480:waittimer 17472 blt 0,0,640,480,0, 2306,640,480:waittimer 17487 blt 0,0,640,480,0, 2308,640,480:waittimer 17502 blt 0,0,640,480,0, 2310,640,480:waittimer 17517 blt 0,0,640,480,0, 2312,640,480:waittimer 17532 blt 0,0,640,480,0, 2314,640,480:waittimer 17547 blt 0,0,640,480,0, 2316,640,480:waittimer 17563 blt 0,0,640,480,0, 2318,640,480:waittimer 17578 blt 0,0,640,480,0, 2320,640,480:waittimer 17593 blt 0,0,640,480,0, 2322,640,480:waittimer 17608 blt 0,0,640,480,0, 2324,640,480:waittimer 17623 blt 0,0,640,480,0, 2326,640,480:waittimer 17638 blt 0,0,640,480,0, 2328,640,480:waittimer 17654 blt 0,0,640,480,0, 2330,640,480:waittimer 17669 blt 0,0,640,480,0, 2332,640,480:waittimer 17684 blt 0,0,640,480,0, 2334,640,480:waittimer 17699 blt 0,0,640,480,0, 2336,640,480:waittimer 17714 blt 0,0,640,480,0, 2338,640,480:waittimer 17729 blt 0,0,640,480,0, 2340,640,480:waittimer 17745 blt 0,0,640,480,0, 2342,640,480:waittimer 17760 blt 0,0,640,480,0, 2344,640,480:waittimer 17775 blt 0,0,640,480,0, 2346,640,480:waittimer 17790 blt 0,0,640,480,0, 2348,640,480:waittimer 17805 blt 0,0,640,480,0, 2350,640,480:waittimer 17820 blt 0,0,640,480,0, 2352,640,480:waittimer 17836 blt 0,0,640,480,0, 2354,640,480:waittimer 17851 blt 0,0,640,480,0, 2356,640,480:waittimer 17866 blt 0,0,640,480,0, 2358,640,480:waittimer 17881 blt 0,0,640,480,0, 2360,640,480:waittimer 17896 blt 0,0,640,480,0, 2362,640,480:waittimer 17911 blt 0,0,640,480,0, 2364,640,480:waittimer 17927 blt 0,0,640,480,0, 2366,640,480:waittimer 17942 blt 0,0,640,480,0, 2368,640,480:waittimer 17957 blt 0,0,640,480,0, 2370,640,480:waittimer 17972 blt 0,0,640,480,0, 2372,640,480:waittimer 17987 blt 0,0,640,480,0, 2374,640,480:waittimer 18002 blt 0,0,640,480,0, 2376,640,480:waittimer 18018 blt 0,0,640,480,0, 2378,640,480:waittimer 18033 blt 0,0,640,480,0, 2380,640,480:waittimer 18048 blt 0,0,640,480,0, 2382,640,480:waittimer 18063 blt 0,0,640,480,0, 2384,640,480:waittimer 18078 blt 0,0,640,480,0, 2386,640,480:waittimer 18093 blt 0,0,640,480,0, 2388,640,480:waittimer 18109 blt 0,0,640,480,0, 2390,640,480:waittimer 18124 blt 0,0,640,480,0, 2392,640,480:waittimer 18139 blt 0,0,640,480,0, 2394,640,480:waittimer 18154 blt 0,0,640,480,0, 2396,640,480:waittimer 18169 blt 0,0,640,480,0, 2398,640,480:waittimer 18184 blt 0,0,640,480,0, 2400,640,480:waittimer 18200 blt 0,0,640,480,0, 2402,640,480:waittimer 18215 blt 0,0,640,480,0, 2404,640,480:waittimer 18230 blt 0,0,640,480,0, 2406,640,480:waittimer 18245 blt 0,0,640,480,0, 2408,640,480:waittimer 18260 blt 0,0,640,480,0, 2410,640,480:waittimer 18275 blt 0,0,640,480,0, 2412,640,480:waittimer 18291 blt 0,0,640,480,0, 2414,640,480:waittimer 18306 blt 0,0,640,480,0, 2416,640,480:waittimer 18321 blt 0,0,640,480,0, 2418,640,480:waittimer 18336 blt 0,0,640,480,0, 2420,640,480:waittimer 18351 blt 0,0,640,480,0, 2422,640,480:waittimer 18366 blt 0,0,640,480,0, 2424,640,480:waittimer 18382 blt 0,0,640,480,0, 2426,640,480:waittimer 18397 blt 0,0,640,480,0, 2428,640,480:waittimer 18412 blt 0,0,640,480,0, 2430,640,480:waittimer 18427 blt 0,0,640,480,0, 2432,640,480:waittimer 18442 blt 0,0,640,480,0, 2434,640,480:waittimer 18457 blt 0,0,640,480,0, 2436,640,480:waittimer 18473 blt 0,0,640,480,0, 2438,640,480:waittimer 18488 blt 0,0,640,480,0, 2440,640,480:waittimer 18503 blt 0,0,640,480,0, 2442,640,480:waittimer 18518 blt 0,0,640,480,0, 2444,640,480:waittimer 18533 blt 0,0,640,480,0, 2446,640,480:waittimer 18548 blt 0,0,640,480,0, 2448,640,480:waittimer 18564 blt 0,0,640,480,0, 2450,640,480:waittimer 18579 blt 0,0,640,480,0, 2452,640,480:waittimer 18594 blt 0,0,640,480,0, 2454,640,480:waittimer 18609 blt 0,0,640,480,0, 2456,640,480:waittimer 18624 blt 0,0,640,480,0, 2458,640,480:waittimer 18639 blt 0,0,640,480,0, 2460,640,480:waittimer 18655 blt 0,0,640,480,0, 2462,640,480:waittimer 18670 blt 0,0,640,480,0, 2464,640,480:waittimer 18685 blt 0,0,640,480,0, 2466,640,480:waittimer 18700 blt 0,0,640,480,0, 2468,640,480:waittimer 18715 blt 0,0,640,480,0, 2470,640,480:waittimer 18730 blt 0,0,640,480,0, 2472,640,480:waittimer 18746 blt 0,0,640,480,0, 2474,640,480:waittimer 18761 blt 0,0,640,480,0, 2476,640,480:waittimer 18776 blt 0,0,640,480,0, 2478,640,480:waittimer 18791 blt 0,0,640,480,0, 2480,640,480:waittimer 18806 blt 0,0,640,480,0, 2482,640,480:waittimer 18821 blt 0,0,640,480,0, 2484,640,480:waittimer 18837 blt 0,0,640,480,0, 2486,640,480:waittimer 18852 blt 0,0,640,480,0, 2488,640,480:waittimer 18867 blt 0,0,640,480,0, 2490,640,480:waittimer 18882 blt 0,0,640,480,0, 2492,640,480:waittimer 18897 blt 0,0,640,480,0, 2494,640,480:waittimer 18912 blt 0,0,640,480,0, 2496,640,480:waittimer 18928 blt 0,0,640,480,0, 2498,640,480:waittimer 18943 blt 0,0,640,480,0, 2500,640,480:waittimer 18958 blt 0,0,640,480,0, 2502,640,480:waittimer 18973 blt 0,0,640,480,0, 2504,640,480:waittimer 18988 blt 0,0,640,480,0, 2506,640,480:waittimer 19003 blt 0,0,640,480,0, 2508,640,480:waittimer 19019 blt 0,0,640,480,0, 2510,640,480:waittimer 19034 blt 0,0,640,480,0, 2512,640,480:waittimer 19049 blt 0,0,640,480,0, 2514,640,480:waittimer 19064 blt 0,0,640,480,0, 2516,640,480:waittimer 19079 blt 0,0,640,480,0, 2518,640,480:waittimer 19094 blt 0,0,640,480,0, 2520,640,480:waittimer 19110 blt 0,0,640,480,0, 2522,640,480:waittimer 19125 blt 0,0,640,480,0, 2524,640,480:waittimer 19140 blt 0,0,640,480,0, 2526,640,480:waittimer 19155 blt 0,0,640,480,0, 2528,640,480:waittimer 19170 blt 0,0,640,480,0, 2530,640,480:waittimer 19185 blt 0,0,640,480,0, 2532,640,480:waittimer 19201 blt 0,0,640,480,0, 2534,640,480:waittimer 19216 blt 0,0,640,480,0, 2536,640,480:waittimer 19231 blt 0,0,640,480,0, 2538,640,480:waittimer 19246 blt 0,0,640,480,0, 2540,640,480:waittimer 19261 blt 0,0,640,480,0, 2542,640,480:waittimer 19276 blt 0,0,640,480,0, 2544,640,480:waittimer 19292 blt 0,0,640,480,0, 2546,640,480:waittimer 19307 blt 0,0,640,480,0, 2548,640,480:waittimer 19322 blt 0,0,640,480,0, 2550,640,480:waittimer 19337 blt 0,0,640,480,0, 2552,640,480:waittimer 19352 blt 0,0,640,480,0, 2554,640,480:waittimer 19367 blt 0,0,640,480,0, 2556,640,480:waittimer 19383 blt 0,0,640,480,0, 2558,640,480:waittimer 19398 blt 0,0,640,480,0, 2560,640,480:waittimer 19413 blt 0,0,640,480,0, 2562,640,480:waittimer 19428 blt 0,0,640,480,0, 2564,640,480:waittimer 19443 blt 0,0,640,480,0, 2566,640,480:waittimer 19458 blt 0,0,640,480,0, 2568,640,480:waittimer 19474 blt 0,0,640,480,0, 2570,640,480:waittimer 19489 blt 0,0,640,480,0, 2572,640,480:waittimer 19504 blt 0,0,640,480,0, 2574,640,480:waittimer 19519 blt 0,0,640,480,0, 2576,640,480:waittimer 19534 blt 0,0,640,480,0, 2578,640,480:waittimer 19549 blt 0,0,640,480,0, 2580,640,480:waittimer 19565 blt 0,0,640,480,0, 2582,640,480:waittimer 19580 blt 0,0,640,480,0, 2584,640,480:waittimer 19595 blt 0,0,640,480,0, 2586,640,480:waittimer 19610 blt 0,0,640,480,0, 2588,640,480:waittimer 19625 blt 0,0,640,480,0, 2590,640,480:waittimer 19640 blt 0,0,640,480,0, 2592,640,480:waittimer 19656 blt 0,0,640,480,0, 2594,640,480:waittimer 19671 blt 0,0,640,480,0, 2596,640,480:waittimer 19686 blt 0,0,640,480,0, 2598,640,480:waittimer 19701 blt 0,0,640,480,0, 2600,640,480:waittimer 19716 blt 0,0,640,480,0, 2602,640,480:waittimer 19731 blt 0,0,640,480,0, 2604,640,480:waittimer 19747 blt 0,0,640,480,0, 2606,640,480:waittimer 19762 blt 0,0,640,480,0, 2608,640,480:waittimer 19777 blt 0,0,640,480,0, 2610,640,480:waittimer 19792 blt 0,0,640,480,0, 2612,640,480:waittimer 19807 blt 0,0,640,480,0, 2614,640,480:waittimer 19822 blt 0,0,640,480,0, 2616,640,480:waittimer 19838 blt 0,0,640,480,0, 2618,640,480:waittimer 19853 blt 0,0,640,480,0, 2620,640,480:waittimer 19868 blt 0,0,640,480,0, 2622,640,480:waittimer 19883 blt 0,0,640,480,0, 2624,640,480:waittimer 19898 blt 0,0,640,480,0, 2626,640,480:waittimer 19913 blt 0,0,640,480,0, 2628,640,480:waittimer 19929 blt 0,0,640,480,0, 2630,640,480:waittimer 19944 blt 0,0,640,480,0, 2632,640,480:waittimer 19959 blt 0,0,640,480,0, 2634,640,480:waittimer 19974 blt 0,0,640,480,0, 2636,640,480:waittimer 19989 blt 0,0,640,480,0, 2638,640,480:waittimer 20004 blt 0,0,640,480,0, 2640,640,480:waittimer 20020 blt 0,0,640,480,0, 2642,640,480:waittimer 20035 blt 0,0,640,480,0, 2644,640,480:waittimer 20050 blt 0,0,640,480,0, 2646,640,480:waittimer 20065 blt 0,0,640,480,0, 2648,640,480:waittimer 20080 blt 0,0,640,480,0, 2650,640,480:waittimer 20095 blt 0,0,640,480,0, 2652,640,480:waittimer 20111 blt 0,0,640,480,0, 2654,640,480:waittimer 20126 blt 0,0,640,480,0, 2656,640,480:waittimer 20141 blt 0,0,640,480,0, 2658,640,480:waittimer 20156 blt 0,0,640,480,0, 2660,640,480:waittimer 20171 blt 0,0,640,480,0, 2662,640,480:waittimer 20186 blt 0,0,640,480,0, 2664,640,480:waittimer 20202 blt 0,0,640,480,0, 2666,640,480:waittimer 20217 blt 0,0,640,480,0, 2668,640,480:waittimer 20232 blt 0,0,640,480,0, 2670,640,480:waittimer 20247 blt 0,0,640,480,0, 2672,640,480:waittimer 20262 blt 0,0,640,480,0, 2674,640,480:waittimer 20277 blt 0,0,640,480,0, 2676,640,480:waittimer 20293 blt 0,0,640,480,0, 2678,640,480:waittimer 20308 blt 0,0,640,480,0, 2680,640,480:waittimer 20323 blt 0,0,640,480,0, 2682,640,480:waittimer 20338 blt 0,0,640,480,0, 2684,640,480:waittimer 20353 blt 0,0,640,480,0, 2686,640,480:waittimer 20368 blt 0,0,640,480,0, 2688,640,480:waittimer 20384 blt 0,0,640,480,0, 2690,640,480:waittimer 20399 blt 0,0,640,480,0, 2692,640,480:waittimer 20414 blt 0,0,640,480,0, 2694,640,480:waittimer 20429 blt 0,0,640,480,0, 2696,640,480:waittimer 20444 blt 0,0,640,480,0, 2698,640,480:waittimer 20459 blt 0,0,640,480,0, 2700,640,480:waittimer 20475 blt 0,0,640,480,0, 2702,640,480:waittimer 20490 blt 0,0,640,480,0, 2704,640,480:waittimer 20505 blt 0,0,640,480,0, 2706,640,480:waittimer 20520 blt 0,0,640,480,0, 2708,640,480:waittimer 20535 blt 0,0,640,480,0, 2710,640,480:waittimer 20550 blt 0,0,640,480,0, 2712,640,480:waittimer 20566 blt 0,0,640,480,0, 2714,640,480:waittimer 20581 blt 0,0,640,480,0, 2716,640,480:waittimer 20596 blt 0,0,640,480,0, 2718,640,480:waittimer 20611 blt 0,0,640,480,0, 2720,640,480:waittimer 20626 blt 0,0,640,480,0, 2722,640,480:waittimer 20641 blt 0,0,640,480,0, 2724,640,480:waittimer 20657 blt 0,0,640,480,0, 2726,640,480:waittimer 20672 blt 0,0,640,480,0, 2728,640,480:waittimer 20687 blt 0,0,640,480,0, 2730,640,480:waittimer 20702 blt 0,0,640,480,0, 2732,640,480:waittimer 20717 blt 0,0,640,480,0, 2734,640,480:waittimer 20732 blt 0,0,640,480,0, 2736,640,480:waittimer 20748 blt 0,0,640,480,0, 2738,640,480:waittimer 20763 blt 0,0,640,480,0, 2740,640,480:waittimer 20778 blt 0,0,640,480,0, 2742,640,480:waittimer 20793 blt 0,0,640,480,0, 2744,640,480:waittimer 20808 blt 0,0,640,480,0, 2746,640,480:waittimer 20823 blt 0,0,640,480,0, 2748,640,480:waittimer 20839 blt 0,0,640,480,0, 2750,640,480:waittimer 20854 blt 0,0,640,480,0, 2752,640,480:waittimer 20869 blt 0,0,640,480,0, 2754,640,480:waittimer 20884 blt 0,0,640,480,0, 2756,640,480:waittimer 20899 blt 0,0,640,480,0, 2758,640,480:waittimer 20914 blt 0,0,640,480,0, 2760,640,480:waittimer 20930 blt 0,0,640,480,0, 2762,640,480:waittimer 20945 blt 0,0,640,480,0, 2764,640,480:waittimer 20960 blt 0,0,640,480,0, 2766,640,480:waittimer 20975 blt 0,0,640,480,0, 2768,640,480:waittimer 20990 blt 0,0,640,480,0, 2770,640,480:waittimer 21005 blt 0,0,640,480,0, 2772,640,480:waittimer 21021 blt 0,0,640,480,0, 2774,640,480:waittimer 21036 blt 0,0,640,480,0, 2776,640,480:waittimer 21051 blt 0,0,640,480,0, 2778,640,480:waittimer 21066 blt 0,0,640,480,0, 2780,640,480:waittimer 21081 blt 0,0,640,480,0, 2782,640,480:waittimer 21096 blt 0,0,640,480,0, 2784,640,480:waittimer 21112 blt 0,0,640,480,0, 2786,640,480:waittimer 21127 blt 0,0,640,480,0, 2788,640,480:waittimer 21142 blt 0,0,640,480,0, 2790,640,480:waittimer 21157 blt 0,0,640,480,0, 2792,640,480:waittimer 21172 blt 0,0,640,480,0, 2794,640,480:waittimer 21187 blt 0,0,640,480,0, 2796,640,480:waittimer 21203 blt 0,0,640,480,0, 2798,640,480:waittimer 21218 blt 0,0,640,480,0, 2800,640,480:waittimer 21233 blt 0,0,640,480,0, 2802,640,480:waittimer 21248 blt 0,0,640,480,0, 2804,640,480:waittimer 21263 blt 0,0,640,480,0, 2806,640,480:waittimer 21278 blt 0,0,640,480,0, 2808,640,480:waittimer 21294 blt 0,0,640,480,0, 2810,640,480:waittimer 21309 blt 0,0,640,480,0, 2812,640,480:waittimer 21324 blt 0,0,640,480,0, 2814,640,480:waittimer 21339 blt 0,0,640,480,0, 2816,640,480:waittimer 21354 blt 0,0,640,480,0, 2818,640,480:waittimer 21369 blt 0,0,640,480,0, 2820,640,480:waittimer 21385 blt 0,0,640,480,0, 2822,640,480:waittimer 21400 blt 0,0,640,480,0, 2824,640,480:waittimer 21415 blt 0,0,640,480,0, 2826,640,480:waittimer 21430 blt 0,0,640,480,0, 2828,640,480:waittimer 21445 blt 0,0,640,480,0, 2830,640,480:waittimer 21460 blt 0,0,640,480,0, 2832,640,480:waittimer 21476 blt 0,0,640,480,0, 2834,640,480:waittimer 21491 blt 0,0,640,480,0, 2836,640,480:waittimer 21506 blt 0,0,640,480,0, 2838,640,480:waittimer 21521 blt 0,0,640,480,0, 2840,640,480:waittimer 21536 blt 0,0,640,480,0, 2842,640,480:waittimer 21551 blt 0,0,640,480,0, 2844,640,480:waittimer 21567 blt 0,0,640,480,0, 2846,640,480:waittimer 21582 blt 0,0,640,480,0, 2848,640,480:waittimer 21597 blt 0,0,640,480,0, 2850,640,480:waittimer 21612 blt 0,0,640,480,0, 2852,640,480:waittimer 21627 blt 0,0,640,480,0, 2854,640,480:waittimer 21642 blt 0,0,640,480,0, 2856,640,480:waittimer 21658 blt 0,0,640,480,0, 2858,640,480:waittimer 21673 blt 0,0,640,480,0, 2860,640,480:waittimer 21688 blt 0,0,640,480,0, 2862,640,480:waittimer 21703 blt 0,0,640,480,0, 2864,640,480:waittimer 21718 blt 0,0,640,480,0, 2866,640,480:waittimer 21733 blt 0,0,640,480,0, 2868,640,480:waittimer 21749 blt 0,0,640,480,0, 2870,640,480:waittimer 21764 blt 0,0,640,480,0, 2872,640,480:waittimer 21779 blt 0,0,640,480,0, 2874,640,480:waittimer 21794 blt 0,0,640,480,0, 2876,640,480:waittimer 21809 blt 0,0,640,480,0, 2878,640,480:waittimer 21824 blt 0,0,640,480,0, 2880,640,480:waittimer 21840 blt 0,0,640,480,0, 2882,640,480:waittimer 21855 blt 0,0,640,480,0, 2884,640,480:waittimer 21870 blt 0,0,640,480,0, 2886,640,480:waittimer 21885 blt 0,0,640,480,0, 2888,640,480:waittimer 21900 blt 0,0,640,480,0, 2890,640,480:waittimer 21915 blt 0,0,640,480,0, 2892,640,480:waittimer 21931 blt 0,0,640,480,0, 2894,640,480:waittimer 21946 blt 0,0,640,480,0, 2896,640,480:waittimer 21961 blt 0,0,640,480,0, 2898,640,480:waittimer 21976 blt 0,0,640,480,0, 2900,640,480:waittimer 21991 blt 0,0,640,480,0, 2902,640,480:waittimer 22006 blt 0,0,640,480,0, 2904,640,480:waittimer 22022 blt 0,0,640,480,0, 2906,640,480:waittimer 22037 blt 0,0,640,480,0, 2908,640,480:waittimer 22052 blt 0,0,640,480,0, 2910,640,480:waittimer 22067 blt 0,0,640,480,0, 2912,640,480:waittimer 22082 blt 0,0,640,480,0, 2914,640,480:waittimer 22097 blt 0,0,640,480,0, 2916,640,480:waittimer 22113 blt 0,0,640,480,0, 2918,640,480:waittimer 22128 blt 0,0,640,480,0, 2920,640,480:waittimer 22143 blt 0,0,640,480,0, 2922,640,480:waittimer 22158 blt 0,0,640,480,0, 2924,640,480:waittimer 22173 blt 0,0,640,480,0, 2926,640,480:waittimer 22188 blt 0,0,640,480,0, 2928,640,480:waittimer 22204 blt 0,0,640,480,0, 2930,640,480:waittimer 22219 blt 0,0,640,480,0, 2932,640,480:waittimer 22234 blt 0,0,640,480,0, 2934,640,480:waittimer 22249 blt 0,0,640,480,0, 2936,640,480:waittimer 22264 blt 0,0,640,480,0, 2938,640,480:waittimer 22279 blt 0,0,640,480,0, 2940,640,480:waittimer 22295 blt 0,0,640,480,0, 2942,640,480:waittimer 22310 blt 0,0,640,480,0, 2944,640,480:waittimer 22325 blt 0,0,640,480,0, 2946,640,480:waittimer 22340 blt 0,0,640,480,0, 2948,640,480:waittimer 22355 blt 0,0,640,480,0, 2950,640,480:waittimer 22370 blt 0,0,640,480,0, 2952,640,480:waittimer 22386 blt 0,0,640,480,0, 2954,640,480:waittimer 22401 blt 0,0,640,480,0, 2956,640,480:waittimer 22416 blt 0,0,640,480,0, 2958,640,480:waittimer 22431 blt 0,0,640,480,0, 2960,640,480:waittimer 22446 blt 0,0,640,480,0, 2962,640,480:waittimer 22461 blt 0,0,640,480,0, 2964,640,480:waittimer 22477 blt 0,0,640,480,0, 2966,640,480:waittimer 22492 blt 0,0,640,480,0, 2968,640,480:waittimer 22507 blt 0,0,640,480,0, 2970,640,480:waittimer 22522 blt 0,0,640,480,0, 2972,640,480:waittimer 22537 blt 0,0,640,480,0, 2974,640,480:waittimer 22552 blt 0,0,640,480,0, 2976,640,480:waittimer 22568 blt 0,0,640,480,0, 2978,640,480:waittimer 22583 blt 0,0,640,480,0, 2980,640,480:waittimer 22598 blt 0,0,640,480,0, 2982,640,480:waittimer 22613 blt 0,0,640,480,0, 2984,640,480:waittimer 22628 blt 0,0,640,480,0, 2986,640,480:waittimer 22643 blt 0,0,640,480,0, 2988,640,480:waittimer 22659 blt 0,0,640,480,0, 2990,640,480:waittimer 22674 blt 0,0,640,480,0, 2992,640,480:waittimer 22689 blt 0,0,640,480,0, 2994,640,480:waittimer 22704 blt 0,0,640,480,0, 2996,640,480:waittimer 22719 blt 0,0,640,480,0, 2998,640,480:waittimer 22734 blt 0,0,640,480,0, 3000,640,480:waittimer 22750 blt 0,0,640,480,0, 3002,640,480:waittimer 22765 blt 0,0,640,480,0, 3004,640,480:waittimer 22780 blt 0,0,640,480,0, 3006,640,480:waittimer 22795 blt 0,0,640,480,0, 3008,640,480:waittimer 22810 blt 0,0,640,480,0, 3010,640,480:waittimer 22825 blt 0,0,640,480,0, 3012,640,480:waittimer 22841 blt 0,0,640,480,0, 3014,640,480:waittimer 22856 blt 0,0,640,480,0, 3016,640,480:waittimer 22871 blt 0,0,640,480,0, 3018,640,480:waittimer 22886 blt 0,0,640,480,0, 3020,640,480:waittimer 22901 blt 0,0,640,480,0, 3022,640,480:waittimer 22916 blt 0,0,640,480,0, 3024,640,480:waittimer 22932 blt 0,0,640,480,0, 3026,640,480:waittimer 22947 blt 0,0,640,480,0, 3028,640,480:waittimer 22962 blt 0,0,640,480,0, 3030,640,480:waittimer 22977 blt 0,0,640,480,0, 3032,640,480:waittimer 22992 blt 0,0,640,480,0, 3034,640,480:waittimer 23007 blt 0,0,640,480,0, 3036,640,480:waittimer 23023 blt 0,0,640,480,0, 3038,640,480:waittimer 23038 blt 0,0,640,480,0, 3040,640,480:waittimer 23053 blt 0,0,640,480,0, 3042,640,480:waittimer 23068 blt 0,0,640,480,0, 3044,640,480:waittimer 23083 blt 0,0,640,480,0, 3046,640,480:waittimer 23098 blt 0,0,640,480,0, 3048,640,480:waittimer 23114 blt 0,0,640,480,0, 3050,640,480:waittimer 23129 blt 0,0,640,480,0, 3052,640,480:waittimer 23144 blt 0,0,640,480,0, 3054,640,480:waittimer 23159 blt 0,0,640,480,0, 3056,640,480:waittimer 23174 blt 0,0,640,480,0, 3058,640,480:waittimer 23189 blt 0,0,640,480,0, 3060,640,480:waittimer 23205 blt 0,0,640,480,0, 3062,640,480:waittimer 23220 blt 0,0,640,480,0, 3064,640,480:waittimer 23235 blt 0,0,640,480,0, 3066,640,480:waittimer 23250 blt 0,0,640,480,0, 3068,640,480:waittimer 23265 blt 0,0,640,480,0, 3070,640,480:waittimer 23280 blt 0,0,640,480,0, 3072,640,480:waittimer 23296 blt 0,0,640,480,0, 3074,640,480:waittimer 23311 blt 0,0,640,480,0, 3076,640,480:waittimer 23326 blt 0,0,640,480,0, 3078,640,480:waittimer 23341 blt 0,0,640,480,0, 3080,640,480:waittimer 23356 blt 0,0,640,480,0, 3082,640,480:waittimer 23371 blt 0,0,640,480,0, 3084,640,480:waittimer 23387 blt 0,0,640,480,0, 3086,640,480:waittimer 23402 blt 0,0,640,480,0, 3088,640,480:waittimer 23417 blt 0,0,640,480,0, 3090,640,480:waittimer 23432 blt 0,0,640,480,0, 3092,640,480:waittimer 23447 blt 0,0,640,480,0, 3094,640,480:waittimer 23462 blt 0,0,640,480,0, 3096,640,480:waittimer 23478 blt 0,0,640,480,0, 3098,640,480:waittimer 23493 blt 0,0,640,480,0, 3100,640,480:waittimer 23508 blt 0,0,640,480,0, 3102,640,480:waittimer 23523 blt 0,0,640,480,0, 3104,640,480:waittimer 23538 blt 0,0,640,480,0, 3106,640,480:waittimer 23553 blt 0,0,640,480,0, 3108,640,480:waittimer 23569 blt 0,0,640,480,0, 3110,640,480:waittimer 23584 blt 0,0,640,480,0, 3112,640,480:waittimer 23599 blt 0,0,640,480,0, 3114,640,480:waittimer 23614 blt 0,0,640,480,0, 3116,640,480:waittimer 23629 blt 0,0,640,480,0, 3118,640,480:waittimer 23644 blt 0,0,640,480,0, 3120,640,480:waittimer 23660 blt 0,0,640,480,0, 3122,640,480:waittimer 23675 blt 0,0,640,480,0, 3124,640,480:waittimer 23690 blt 0,0,640,480,0, 3126,640,480:waittimer 23705 blt 0,0,640,480,0, 3128,640,480:waittimer 23720 blt 0,0,640,480,0, 3130,640,480:waittimer 23735 blt 0,0,640,480,0, 3132,640,480:waittimer 23751 blt 0,0,640,480,0, 3134,640,480:waittimer 23766 blt 0,0,640,480,0, 3136,640,480:waittimer 23781 blt 0,0,640,480,0, 3138,640,480:waittimer 23796 blt 0,0,640,480,0, 3140,640,480:waittimer 23811 blt 0,0,640,480,0, 3142,640,480:waittimer 23826 blt 0,0,640,480,0, 3144,640,480:waittimer 23842 blt 0,0,640,480,0, 3146,640,480:waittimer 23857 blt 0,0,640,480,0, 3148,640,480:waittimer 23872 blt 0,0,640,480,0, 3150,640,480:waittimer 23887 blt 0,0,640,480,0, 3152,640,480:waittimer 23902 blt 0,0,640,480,0, 3154,640,480:waittimer 23917 blt 0,0,640,480,0, 3156,640,480:waittimer 23933 blt 0,0,640,480,0, 3158,640,480:waittimer 23948 blt 0,0,640,480,0, 3160,640,480:waittimer 23963 blt 0,0,640,480,0, 3162,640,480:waittimer 23978 blt 0,0,640,480,0, 3164,640,480:waittimer 23993 blt 0,0,640,480,0, 3166,640,480:waittimer 24008 blt 0,0,640,480,0, 3168,640,480:waittimer 24024 blt 0,0,640,480,0, 3170,640,480:waittimer 24039 blt 0,0,640,480,0, 3172,640,480:waittimer 24054 blt 0,0,640,480,0, 3174,640,480:waittimer 24069 blt 0,0,640,480,0, 3176,640,480:waittimer 24084 blt 0,0,640,480,0, 3178,640,480:waittimer 24099 blt 0,0,640,480,0, 3180,640,480:waittimer 24115 blt 0,0,640,480,0, 3182,640,480:waittimer 24130 blt 0,0,640,480,0, 3184,640,480:waittimer 24145 blt 0,0,640,480,0, 3186,640,480:waittimer 24160 blt 0,0,640,480,0, 3188,640,480:waittimer 24175 blt 0,0,640,480,0, 3190,640,480:waittimer 24190 blt 0,0,640,480,0, 3192,640,480:waittimer 24206 blt 0,0,640,480,0, 3194,640,480:waittimer 24221 blt 0,0,640,480,0, 3196,640,480:waittimer 24236 blt 0,0,640,480,0, 3198,640,480:waittimer 24251 blt 0,0,640,480,0, 3200,640,480:waittimer 24266 blt 0,0,640,480,0, 3202,640,480:waittimer 24281 blt 0,0,640,480,0, 3204,640,480:waittimer 24297 blt 0,0,640,480,0, 3206,640,480:waittimer 24312 blt 0,0,640,480,0, 3208,640,480:waittimer 24327 blt 0,0,640,480,0, 3210,640,480:waittimer 24342 blt 0,0,640,480,0, 3212,640,480:waittimer 24357 blt 0,0,640,480,0, 3214,640,480:waittimer 24372 blt 0,0,640,480,0, 3216,640,480:waittimer 24388 blt 0,0,640,480,0, 3218,640,480:waittimer 24403 blt 0,0,640,480,0, 3220,640,480:waittimer 24418 blt 0,0,640,480,0, 3222,640,480:waittimer 24433 blt 0,0,640,480,0, 3224,640,480:waittimer 24448 blt 0,0,640,480,0, 3226,640,480:waittimer 24463 blt 0,0,640,480,0, 3228,640,480:waittimer 24479 blt 0,0,640,480,0, 3230,640,480:waittimer 24494 blt 0,0,640,480,0, 3232,640,480:waittimer 24509 blt 0,0,640,480,0, 3234,640,480:waittimer 24524 blt 0,0,640,480,0, 3236,640,480:waittimer 24539 blt 0,0,640,480,0, 3238,640,480:waittimer 24554 blt 0,0,640,480,0, 3240,640,480:waittimer 24570 blt 0,0,640,480,0, 3242,640,480:waittimer 24585 blt 0,0,640,480,0, 3244,640,480:waittimer 24600 blt 0,0,640,480,0, 3246,640,480:waittimer 24615 blt 0,0,640,480,0, 3248,640,480:waittimer 24630 blt 0,0,640,480,0, 3250,640,480:waittimer 24645 blt 0,0,640,480,0, 3252,640,480:waittimer 24661 blt 0,0,640,480,0, 3254,640,480:waittimer 24676 blt 0,0,640,480,0, 3256,640,480:waittimer 24691 blt 0,0,640,480,0, 3258,640,480:waittimer 24706 blt 0,0,640,480,0, 3260,640,480:waittimer 24721 blt 0,0,640,480,0, 3262,640,480:waittimer 24736 blt 0,0,640,480,0, 3264,640,480:waittimer 24752 blt 0,0,640,480,0, 3266,640,480:waittimer 24767 blt 0,0,640,480,0, 3268,640,480:waittimer 24782 blt 0,0,640,480,0, 3270,640,480:waittimer 24797 blt 0,0,640,480,0, 3272,640,480:waittimer 24812 blt 0,0,640,480,0, 3274,640,480:waittimer 24827 blt 0,0,640,480,0, 3276,640,480:waittimer 24843 blt 0,0,640,480,0, 3278,640,480:waittimer 24858 blt 0,0,640,480,0, 3280,640,480:waittimer 24873 blt 0,0,640,480,0, 3282,640,480:waittimer 24888 blt 0,0,640,480,0, 3284,640,480:waittimer 24903 blt 0,0,640,480,0, 3286,640,480:waittimer 24918 blt 0,0,640,480,0, 3288,640,480:waittimer 24934 blt 0,0,640,480,0, 3290,640,480:waittimer 24949 blt 0,0,640,480,0, 3292,640,480:waittimer 24964 blt 0,0,640,480,0, 3294,640,480:waittimer 24979 blt 0,0,640,480,0, 3296,640,480:waittimer 24994 blt 0,0,640,480,0, 3298,640,480:waittimer 25009 blt 0,0,640,480,0, 3300,640,480:waittimer 25025 blt 0,0,640,480,0, 3302,640,480:waittimer 25040 blt 0,0,640,480,0, 3304,640,480:waittimer 25055 blt 0,0,640,480,0, 3306,640,480:waittimer 25070 blt 0,0,640,480,0, 3308,640,480:waittimer 25085 blt 0,0,640,480,0, 3310,640,480:waittimer 25100 blt 0,0,640,480,0, 3312,640,480:waittimer 25116 blt 0,0,640,480,0, 3314,640,480:waittimer 25131 blt 0,0,640,480,0, 3316,640,480:waittimer 25146 blt 0,0,640,480,0, 3318,640,480:waittimer 25161 blt 0,0,640,480,0, 3320,640,480:waittimer 25176 blt 0,0,640,480,0, 3322,640,480:waittimer 25191 blt 0,0,640,480,0, 3324,640,480:waittimer 25207 blt 0,0,640,480,0, 3326,640,480:waittimer 25222 blt 0,0,640,480,0, 3328,640,480:waittimer 25237 blt 0,0,640,480,0, 3330,640,480:waittimer 25252 blt 0,0,640,480,0, 3332,640,480:waittimer 25267 blt 0,0,640,480,0, 3334,640,480:waittimer 25282 blt 0,0,640,480,0, 3336,640,480:waittimer 25298 blt 0,0,640,480,0, 3338,640,480:waittimer 25313 blt 0,0,640,480,0, 3340,640,480:waittimer 25328 blt 0,0,640,480,0, 3342,640,480:waittimer 25343 blt 0,0,640,480,0, 3344,640,480:waittimer 25358 blt 0,0,640,480,0, 3346,640,480:waittimer 25373 blt 0,0,640,480,0, 3348,640,480:waittimer 25389 blt 0,0,640,480,0, 3350,640,480:waittimer 25404 blt 0,0,640,480,0, 3352,640,480:waittimer 25419 blt 0,0,640,480,0, 3354,640,480:waittimer 25434 blt 0,0,640,480,0, 3356,640,480:waittimer 25449 blt 0,0,640,480,0, 3358,640,480:waittimer 25464 blt 0,0,640,480,0, 3360,640,480:waittimer 25480 blt 0,0,640,480,0, 3362,640,480:waittimer 25495 blt 0,0,640,480,0, 3364,640,480:waittimer 25510 blt 0,0,640,480,0, 3366,640,480:waittimer 25525 blt 0,0,640,480,0, 3368,640,480:waittimer 25540 blt 0,0,640,480,0, 3370,640,480:waittimer 25555 blt 0,0,640,480,0, 3372,640,480:waittimer 25571 blt 0,0,640,480,0, 3374,640,480:waittimer 25586 blt 0,0,640,480,0, 3376,640,480:waittimer 25601 blt 0,0,640,480,0, 3378,640,480:waittimer 25616 blt 0,0,640,480,0, 3380,640,480:waittimer 25631 blt 0,0,640,480,0, 3382,640,480:waittimer 25646 blt 0,0,640,480,0, 3384,640,480:waittimer 25662 blt 0,0,640,480,0, 3386,640,480:waittimer 25677 blt 0,0,640,480,0, 3388,640,480:waittimer 25692 blt 0,0,640,480,0, 3390,640,480:waittimer 25707 blt 0,0,640,480,0, 3392,640,480:waittimer 25722 blt 0,0,640,480,0, 3394,640,480:waittimer 25737 blt 0,0,640,480,0, 3396,640,480:waittimer 25753 blt 0,0,640,480,0, 3398,640,480:waittimer 25768 blt 0,0,640,480,0, 3400,640,480:waittimer 25783 blt 0,0,640,480,0, 3402,640,480:waittimer 25798 blt 0,0,640,480,0, 3404,640,480:waittimer 25813 blt 0,0,640,480,0, 3406,640,480:waittimer 25828 blt 0,0,640,480,0, 3408,640,480:waittimer 25844 blt 0,0,640,480,0, 3410,640,480:waittimer 25859 blt 0,0,640,480,0, 3412,640,480:waittimer 25874 blt 0,0,640,480,0, 3414,640,480:waittimer 25889 blt 0,0,640,480,0, 3416,640,480:waittimer 25904 blt 0,0,640,480,0, 3418,640,480:waittimer 25919 blt 0,0,640,480,0, 3420,640,480:waittimer 25935 blt 0,0,640,480,0, 3422,640,480:waittimer 25950 blt 0,0,640,480,0, 3424,640,480:waittimer 25965 blt 0,0,640,480,0, 3426,640,480:waittimer 25980 blt 0,0,640,480,0, 3428,640,480:waittimer 25995 blt 0,0,640,480,0, 3430,640,480:waittimer 26010 blt 0,0,640,480,0, 3432,640,480:waittimer 26026 blt 0,0,640,480,0, 3434,640,480:waittimer 26041 blt 0,0,640,480,0, 3436,640,480:waittimer 26056 blt 0,0,640,480,0, 3438,640,480:waittimer 26071 blt 0,0,640,480,0, 3440,640,480:waittimer 26086 blt 0,0,640,480,0, 3442,640,480:waittimer 26101 blt 0,0,640,480,0, 3444,640,480:waittimer 26117 blt 0,0,640,480,0, 3446,640,480:waittimer 26132 blt 0,0,640,480,0, 3448,640,480:waittimer 26147 blt 0,0,640,480,0, 3450,640,480:waittimer 26162 blt 0,0,640,480,0, 3452,640,480:waittimer 26177 blt 0,0,640,480,0, 3454,640,480:waittimer 26192 blt 0,0,640,480,0, 3456,640,480:waittimer 26208 blt 0,0,640,480,0, 3458,640,480:waittimer 26223 blt 0,0,640,480,0, 3460,640,480:waittimer 26238 blt 0,0,640,480,0, 3462,640,480:waittimer 26253 blt 0,0,640,480,0, 3464,640,480:waittimer 26268 blt 0,0,640,480,0, 3466,640,480:waittimer 26283 blt 0,0,640,480,0, 3468,640,480:waittimer 26299 blt 0,0,640,480,0, 3470,640,480:waittimer 26314 blt 0,0,640,480,0, 3472,640,480:waittimer 26329 blt 0,0,640,480,0, 3474,640,480:waittimer 26344 blt 0,0,640,480,0, 3476,640,480:waittimer 26359 blt 0,0,640,480,0, 3478,640,480:waittimer 26374 blt 0,0,640,480,0, 3480,640,480:waittimer 26390 blt 0,0,640,480,0, 3482,640,480:waittimer 26405 blt 0,0,640,480,0, 3484,640,480:waittimer 26420 blt 0,0,640,480,0, 3486,640,480:waittimer 26435 blt 0,0,640,480,0, 3488,640,480:waittimer 26450 blt 0,0,640,480,0, 3490,640,480:waittimer 26465 blt 0,0,640,480,0, 3492,640,480:waittimer 26481 blt 0,0,640,480,0, 3494,640,480:waittimer 26496 blt 0,0,640,480,0, 3496,640,480:waittimer 26511 blt 0,0,640,480,0, 3498,640,480:waittimer 26526 blt 0,0,640,480,0, 3500,640,480:waittimer 26541 blt 0,0,640,480,0, 3502,640,480:waittimer 26556 blt 0,0,640,480,0, 3504,640,480:waittimer 26572 blt 0,0,640,480,0, 3506,640,480:waittimer 26587 blt 0,0,640,480,0, 3508,640,480:waittimer 26602 blt 0,0,640,480,0, 3510,640,480:waittimer 26617 blt 0,0,640,480,0, 3512,640,480:waittimer 26632 blt 0,0,640,480,0, 3514,640,480:waittimer 26647 blt 0,0,640,480,0, 3516,640,480:waittimer 26663 blt 0,0,640,480,0, 3518,640,480:waittimer 26678 blt 0,0,640,480,0, 3520,640,480:waittimer 26693 blt 0,0,640,480,0, 3522,640,480:waittimer 26708 blt 0,0,640,480,0, 3524,640,480:waittimer 26723 blt 0,0,640,480,0, 3526,640,480:waittimer 26738 blt 0,0,640,480,0, 3528,640,480:waittimer 26754 blt 0,0,640,480,0, 3530,640,480:waittimer 26769 blt 0,0,640,480,0, 3532,640,480:waittimer 26784 blt 0,0,640,480,0, 3534,640,480:waittimer 26799 blt 0,0,640,480,0, 3536,640,480:waittimer 26814 blt 0,0,640,480,0, 3538,640,480:waittimer 26829 blt 0,0,640,480,0, 3540,640,480:waittimer 26845 blt 0,0,640,480,0, 3542,640,480:waittimer 26860 blt 0,0,640,480,0, 3544,640,480:waittimer 26875 blt 0,0,640,480,0, 3546,640,480:waittimer 26890 blt 0,0,640,480,0, 3548,640,480:waittimer 26905 blt 0,0,640,480,0, 3550,640,480:waittimer 26920 blt 0,0,640,480,0, 3552,640,480:waittimer 26936 blt 0,0,640,480,0, 3554,640,480:waittimer 26951 blt 0,0,640,480,0, 3556,640,480:waittimer 26966 blt 0,0,640,480,0, 3558,640,480:waittimer 26981 blt 0,0,640,480,0, 3560,640,480:waittimer 26996 blt 0,0,640,480,0, 3562,640,480:waittimer 27011 blt 0,0,640,480,0, 3564,640,480:waittimer 27027 blt 0,0,640,480,0, 3566,640,480:waittimer 27042 blt 0,0,640,480,0, 3568,640,480:waittimer 27057 blt 0,0,640,480,0, 3570,640,480:waittimer 27072 blt 0,0,640,480,0, 3572,640,480:waittimer 27087 blt 0,0,640,480,0, 3574,640,480:waittimer 27102 blt 0,0,640,480,0, 3576,640,480:waittimer 27118 blt 0,0,640,480,0, 3578,640,480:waittimer 27133 blt 0,0,640,480,0, 3580,640,480:waittimer 27148 blt 0,0,640,480,0, 3582,640,480:waittimer 27163 blt 0,0,640,480,0, 3584,640,480:waittimer 27178 blt 0,0,640,480,0, 3586,640,480:waittimer 27193 blt 0,0,640,480,0, 3588,640,480:waittimer 27209 blt 0,0,640,480,0, 3590,640,480:waittimer 27224 blt 0,0,640,480,0, 3592,640,480:waittimer 27239 blt 0,0,640,480,0, 3594,640,480:waittimer 27254 blt 0,0,640,480,0, 3596,640,480:waittimer 27269 blt 0,0,640,480,0, 3598,640,480:waittimer 27284 blt 0,0,640,480,0, 3600,640,480:waittimer 27300 blt 0,0,640,480,0, 3602,640,480:waittimer 27315 blt 0,0,640,480,0, 3604,640,480:waittimer 27330 blt 0,0,640,480,0, 3606,640,480:waittimer 27345 blt 0,0,640,480,0, 3608,640,480:waittimer 27360 blt 0,0,640,480,0, 3610,640,480:waittimer 27375 blt 0,0,640,480,0, 3612,640,480:waittimer 27391 blt 0,0,640,480,0, 3614,640,480:waittimer 27406 blt 0,0,640,480,0, 3616,640,480:waittimer 27421 blt 0,0,640,480,0, 3618,640,480:waittimer 27436 blt 0,0,640,480,0, 3620,640,480:waittimer 27451 blt 0,0,640,480,0, 3622,640,480:waittimer 27466 blt 0,0,640,480,0, 3624,640,480:waittimer 27482 blt 0,0,640,480,0, 3626,640,480:waittimer 27497 blt 0,0,640,480,0, 3628,640,480:waittimer 27512 blt 0,0,640,480,0, 3630,640,480:waittimer 27527 blt 0,0,640,480,0, 3632,640,480:waittimer 27542 blt 0,0,640,480,0, 3634,640,480:waittimer 27557 blt 0,0,640,480,0, 3636,640,480:waittimer 27573 blt 0,0,640,480,0, 3638,640,480:waittimer 27588 blt 0,0,640,480,0, 3640,640,480:waittimer 27603 blt 0,0,640,480,0, 3642,640,480:waittimer 27618 blt 0,0,640,480,0, 3644,640,480:waittimer 27633 blt 0,0,640,480,0, 3646,640,480:waittimer 27648 blt 0,0,640,480,0, 3648,640,480:waittimer 27664 blt 0,0,640,480,0, 3650,640,480:waittimer 27679 blt 0,0,640,480,0, 3652,640,480:waittimer 27694 blt 0,0,640,480,0, 3654,640,480:waittimer 27709 blt 0,0,640,480,0, 3656,640,480:waittimer 27724 blt 0,0,640,480,0, 3658,640,480:waittimer 27739 blt 0,0,640,480,0, 3660,640,480:waittimer 27755 blt 0,0,640,480,0, 3662,640,480:waittimer 27770 blt 0,0,640,480,0, 3664,640,480:waittimer 27785 blt 0,0,640,480,0, 3666,640,480:waittimer 27800 blt 0,0,640,480,0, 3668,640,480:waittimer 27815 blt 0,0,640,480,0, 3670,640,480:waittimer 27830 blt 0,0,640,480,0, 3672,640,480:waittimer 27846 blt 0,0,640,480,0, 3674,640,480:waittimer 27861 blt 0,0,640,480,0, 3676,640,480:waittimer 27876 blt 0,0,640,480,0, 3678,640,480:waittimer 27891 blt 0,0,640,480,0, 3680,640,480:waittimer 27906 blt 0,0,640,480,0, 3682,640,480:waittimer 27921 blt 0,0,640,480,0, 3684,640,480:waittimer 27937 blt 0,0,640,480,0, 3686,640,480:waittimer 27952 blt 0,0,640,480,0, 3688,640,480:waittimer 27967 blt 0,0,640,480,0, 3690,640,480:waittimer 27982 blt 0,0,640,480,0, 3692,640,480:waittimer 27997 blt 0,0,640,480,0, 3694,640,480:waittimer 28012 blt 0,0,640,480,0, 3696,640,480:waittimer 28028 blt 0,0,640,480,0, 3698,640,480:waittimer 28043 blt 0,0,640,480,0, 3700,640,480:waittimer 28058 blt 0,0,640,480,0, 3702,640,480:waittimer 28073 blt 0,0,640,480,0, 3704,640,480:waittimer 28088 blt 0,0,640,480,0, 3706,640,480:waittimer 28103 blt 0,0,640,480,0, 3708,640,480:waittimer 28119 blt 0,0,640,480,0, 3710,640,480:waittimer 28134 blt 0,0,640,480,0, 3712,640,480:waittimer 28149 blt 0,0,640,480,0, 3714,640,480:waittimer 28164 blt 0,0,640,480,0, 3716,640,480:waittimer 28179 blt 0,0,640,480,0, 3718,640,480:waittimer 28194 blt 0,0,640,480,0, 3720,640,480:waittimer 28210 blt 0,0,640,480,0, 3722,640,480:waittimer 28225 blt 0,0,640,480,0, 3724,640,480:waittimer 28240 blt 0,0,640,480,0, 3726,640,480:waittimer 28255 blt 0,0,640,480,0, 3728,640,480:waittimer 28270 blt 0,0,640,480,0, 3730,640,480:waittimer 28285 blt 0,0,640,480,0, 3732,640,480:waittimer 28301 blt 0,0,640,480,0, 3734,640,480:waittimer 28316 blt 0,0,640,480,0, 3736,640,480:waittimer 28331 blt 0,0,640,480,0, 3738,640,480:waittimer 28346 blt 0,0,640,480,0, 3740,640,480:waittimer 28361 blt 0,0,640,480,0, 3742,640,480:waittimer 28376 blt 0,0,640,480,0, 3744,640,480:waittimer 28392 blt 0,0,640,480,0, 3746,640,480:waittimer 28407 blt 0,0,640,480,0, 3748,640,480:waittimer 28422 blt 0,0,640,480,0, 3750,640,480:waittimer 28437 blt 0,0,640,480,0, 3752,640,480:waittimer 28452 blt 0,0,640,480,0, 3754,640,480:waittimer 28467 blt 0,0,640,480,0, 3756,640,480:waittimer 28483 blt 0,0,640,480,0, 3758,640,480:waittimer 28498 blt 0,0,640,480,0, 3760,640,480:waittimer 28513 blt 0,0,640,480,0, 3762,640,480:waittimer 28528 blt 0,0,640,480,0, 3764,640,480:waittimer 28543 blt 0,0,640,480,0, 3766,640,480:waittimer 28558 blt 0,0,640,480,0, 3768,640,480:waittimer 28574 blt 0,0,640,480,0, 3770,640,480:waittimer 28589 blt 0,0,640,480,0, 3772,640,480:waittimer 28604 blt 0,0,640,480,0, 3774,640,480:waittimer 28619 blt 0,0,640,480,0, 3776,640,480:waittimer 28634 blt 0,0,640,480,0, 3778,640,480:waittimer 28649 blt 0,0,640,480,0, 3780,640,480:waittimer 28665 blt 0,0,640,480,0, 3782,640,480:waittimer 28680 blt 0,0,640,480,0, 3784,640,480:waittimer 28695 blt 0,0,640,480,0, 3786,640,480:waittimer 28710 blt 0,0,640,480,0, 3788,640,480:waittimer 28725 blt 0,0,640,480,0, 3790,640,480:waittimer 28740 blt 0,0,640,480,0, 3792,640,480:waittimer 28756 blt 0,0,640,480,0, 3794,640,480:waittimer 28771 blt 0,0,640,480,0, 3796,640,480:waittimer 28786 blt 0,0,640,480,0, 3798,640,480:waittimer 28801 blt 0,0,640,480,0, 3800,640,480:waittimer 28816 blt 0,0,640,480,0, 3802,640,480:waittimer 28831 blt 0,0,640,480,0, 3804,640,480:waittimer 28847 blt 0,0,640,480,0, 3806,640,480:waittimer 28862 blt 0,0,640,480,0, 3808,640,480:waittimer 28877 blt 0,0,640,480,0, 3810,640,480:waittimer 28892 blt 0,0,640,480,0, 3812,640,480:waittimer 28907 blt 0,0,640,480,0, 3814,640,480:waittimer 28922 blt 0,0,640,480,0, 3816,640,480:waittimer 28938 blt 0,0,640,480,0, 3818,640,480:waittimer 28953 blt 0,0,640,480,0, 3820,640,480:waittimer 28968 blt 0,0,640,480,0, 3822,640,480:waittimer 28983 blt 0,0,640,480,0, 3824,640,480:waittimer 28998 blt 0,0,640,480,0, 3826,640,480:waittimer 29013 blt 0,0,640,480,0, 3828,640,480:waittimer 29029 blt 0,0,640,480,0, 3830,640,480:waittimer 29044 blt 0,0,640,480,0, 3832,640,480:waittimer 29059 blt 0,0,640,480,0, 3834,640,480:waittimer 29074 blt 0,0,640,480,0, 3836,640,480:waittimer 29089 blt 0,0,640,480,0, 3838,640,480:waittimer 29104 blt 0,0,640,480,0, 3840,640,480:waittimer 29120 blt 0,0,640,480,0, 3842,640,480:waittimer 29135 blt 0,0,640,480,0, 3844,640,480:waittimer 29150 blt 0,0,640,480,0, 3846,640,480:waittimer 29165 blt 0,0,640,480,0, 3848,640,480:waittimer 29180 blt 0,0,640,480,0, 3850,640,480:waittimer 29195 blt 0,0,640,480,0, 3852,640,480:waittimer 29211 blt 0,0,640,480,0, 3854,640,480:waittimer 29226 blt 0,0,640,480,0, 3856,640,480:waittimer 29241 blt 0,0,640,480,0, 3858,640,480:waittimer 29256 blt 0,0,640,480,0, 3860,640,480:waittimer 29271 blt 0,0,640,480,0, 3862,640,480:waittimer 29286 blt 0,0,640,480,0, 3864,640,480:waittimer 29302 blt 0,0,640,480,0, 3866,640,480:waittimer 29317 blt 0,0,640,480,0, 3868,640,480:waittimer 29332 blt 0,0,640,480,0, 3870,640,480:waittimer 29347 blt 0,0,640,480,0, 3872,640,480:waittimer 29362 blt 0,0,640,480,0, 3874,640,480:waittimer 29377 blt 0,0,640,480,0, 3876,640,480:waittimer 29393 blt 0,0,640,480,0, 3878,640,480:waittimer 29408 blt 0,0,640,480,0, 3880,640,480:waittimer 29423 blt 0,0,640,480,0, 3882,640,480:waittimer 29438 blt 0,0,640,480,0, 3884,640,480:waittimer 29453 blt 0,0,640,480,0, 3886,640,480:waittimer 29468 blt 0,0,640,480,0, 3888,640,480:waittimer 29484 blt 0,0,640,480,0, 3890,640,480:waittimer 29499 blt 0,0,640,480,0, 3892,640,480:waittimer 29514 blt 0,0,640,480,0, 3894,640,480:waittimer 29529 blt 0,0,640,480,0, 3896,640,480:waittimer 29544 blt 0,0,640,480,0, 3898,640,480:waittimer 29559 blt 0,0,640,480,0, 3900,640,480:waittimer 29575 blt 0,0,640,480,0, 3902,640,480:waittimer 29590 blt 0,0,640,480,0, 3904,640,480:waittimer 29605 blt 0,0,640,480,0, 3906,640,480:waittimer 29620 blt 0,0,640,480,0, 3908,640,480:waittimer 29635 blt 0,0,640,480,0, 3910,640,480:waittimer 29650 blt 0,0,640,480,0, 3912,640,480:waittimer 29666 blt 0,0,640,480,0, 3914,640,480:waittimer 29681 blt 0,0,640,480,0, 3916,640,480:waittimer 29696 blt 0,0,640,480,0, 3918,640,480:waittimer 29711 blt 0,0,640,480,0, 3920,640,480:waittimer 29726 blt 0,0,640,480,0, 3922,640,480:waittimer 29741 blt 0,0,640,480,0, 3924,640,480:waittimer 29757 blt 0,0,640,480,0, 3926,640,480:waittimer 29772 blt 0,0,640,480,0, 3928,640,480:waittimer 29787 blt 0,0,640,480,0, 3930,640,480:waittimer 29802 blt 0,0,640,480,0, 3932,640,480:waittimer 29817 blt 0,0,640,480,0, 3934,640,480:waittimer 29832 blt 0,0,640,480,0, 3936,640,480:waittimer 29848 blt 0,0,640,480,0, 3938,640,480:waittimer 29863 blt 0,0,640,480,0, 3940,640,480:waittimer 29878 blt 0,0,640,480,0, 3942,640,480:waittimer 29893 blt 0,0,640,480,0, 3944,640,480:waittimer 29908 blt 0,0,640,480,0, 3946,640,480:waittimer 29923 blt 0,0,640,480,0, 3948,640,480:waittimer 29939 blt 0,0,640,480,0, 3950,640,480:waittimer 29954 blt 0,0,640,480,0, 3952,640,480:waittimer 29969 blt 0,0,640,480,0, 3954,640,480:waittimer 29984 blt 0,0,640,480,0, 3956,640,480:waittimer 29999 blt 0,0,640,480,0, 3958,640,480:waittimer 30014 blt 0,0,640,480,0, 3960,640,480:waittimer 30030 blt 0,0,640,480,0, 3962,640,480:waittimer 30045 blt 0,0,640,480,0, 3964,640,480:waittimer 30060 blt 0,0,640,480,0, 3966,640,480:waittimer 30075 blt 0,0,640,480,0, 3968,640,480:waittimer 30090 blt 0,0,640,480,0, 3970,640,480:waittimer 30105 blt 0,0,640,480,0, 3972,640,480:waittimer 30121 blt 0,0,640,480,0, 3974,640,480:waittimer 30136 blt 0,0,640,480,0, 3976,640,480:waittimer 30151 blt 0,0,640,480,0, 3978,640,480:waittimer 30166 blt 0,0,640,480,0, 3980,640,480:waittimer 30181 blt 0,0,640,480,0, 3982,640,480:waittimer 30196 blt 0,0,640,480,0, 3984,640,480:waittimer 30212 blt 0,0,640,480,0, 3986,640,480:waittimer 30227 blt 0,0,640,480,0, 3988,640,480:waittimer 30242 blt 0,0,640,480,0, 3990,640,480:waittimer 30257 blt 0,0,640,480,0, 3992,640,480:waittimer 30272 blt 0,0,640,480,0, 3994,640,480:waittimer 30287 blt 0,0,640,480,0, 3996,640,480:waittimer 30303 blt 0,0,640,480,0, 3998,640,480:waittimer 30318 blt 0,0,640,480,0, 4000,640,480:waittimer 30333 blt 0,0,640,480,0, 4002,640,480:waittimer 30348 blt 0,0,640,480,0, 4004,640,480:waittimer 30363 blt 0,0,640,480,0, 4006,640,480:waittimer 30378 blt 0,0,640,480,0, 4008,640,480:waittimer 30394 blt 0,0,640,480,0, 4010,640,480:waittimer 30409 blt 0,0,640,480,0, 4012,640,480:waittimer 30424 blt 0,0,640,480,0, 4014,640,480:waittimer 30439 blt 0,0,640,480,0, 4016,640,480:waittimer 30454 blt 0,0,640,480,0, 4018,640,480:waittimer 30469 blt 0,0,640,480,0, 4020,640,480:waittimer 30485 blt 0,0,640,480,0, 4022,640,480:waittimer 30500 blt 0,0,640,480,0, 4024,640,480:waittimer 30515 blt 0,0,640,480,0, 4026,640,480:waittimer 30530 blt 0,0,640,480,0, 4028,640,480:waittimer 30545 blt 0,0,640,480,0, 4030,640,480:waittimer 30560 blt 0,0,640,480,0, 4032,640,480:waittimer 30576 blt 0,0,640,480,0, 4034,640,480:waittimer 30591 blt 0,0,640,480,0, 4036,640,480:waittimer 30606 blt 0,0,640,480,0, 4038,640,480:waittimer 30621 blt 0,0,640,480,0, 4040,640,480:waittimer 30636 blt 0,0,640,480,0, 4042,640,480:waittimer 30651 blt 0,0,640,480,0, 4044,640,480:waittimer 30667 blt 0,0,640,480,0, 4046,640,480:waittimer 30682 blt 0,0,640,480,0, 4048,640,480:waittimer 30697 blt 0,0,640,480,0, 4050,640,480:waittimer 30712 blt 0,0,640,480,0, 4052,640,480:waittimer 30727 blt 0,0,640,480,0, 4054,640,480:waittimer 30742 blt 0,0,640,480,0, 4056,640,480:waittimer 30758 blt 0,0,640,480,0, 4058,640,480:waittimer 30773 blt 0,0,640,480,0, 4060,640,480:waittimer 30788 blt 0,0,640,480,0, 4062,640,480:waittimer 30803 blt 0,0,640,480,0, 4064,640,480:waittimer 30818 blt 0,0,640,480,0, 4066,640,480:waittimer 30833 blt 0,0,640,480,0, 4068,640,480:waittimer 30849 blt 0,0,640,480,0, 4070,640,480:waittimer 30864 blt 0,0,640,480,0, 4072,640,480:waittimer 30879 blt 0,0,640,480,0, 4074,640,480:waittimer 30894 blt 0,0,640,480,0, 4076,640,480:waittimer 30909 blt 0,0,640,480,0, 4078,640,480:waittimer 30924 blt 0,0,640,480,0, 4080,640,480:waittimer 30940 blt 0,0,640,480,0, 4082,640,480:waittimer 30955 blt 0,0,640,480,0, 4084,640,480:waittimer 30970 blt 0,0,640,480,0, 4086,640,480:waittimer 30985 blt 0,0,640,480,0, 4088,640,480:waittimer 31000 blt 0,0,640,480,0, 4090,640,480:waittimer 31015 blt 0,0,640,480,0, 4092,640,480:waittimer 31031 blt 0,0,640,480,0, 4094,640,480:waittimer 31046 blt 0,0,640,480,0, 4096,640,480:waittimer 31061 blt 0,0,640,480,0, 4098,640,480:waittimer 31076 blt 0,0,640,480,0, 4100,640,480:waittimer 31091 blt 0,0,640,480,0, 4102,640,480:waittimer 31106 blt 0,0,640,480,0, 4104,640,480:waittimer 31122 blt 0,0,640,480,0, 4106,640,480:waittimer 31137 blt 0,0,640,480,0, 4108,640,480:waittimer 31152 blt 0,0,640,480,0, 4110,640,480:waittimer 31167 blt 0,0,640,480,0, 4112,640,480:waittimer 31182 blt 0,0,640,480,0, 4114,640,480:waittimer 31197 blt 0,0,640,480,0, 4116,640,480:waittimer 31213 blt 0,0,640,480,0, 4118,640,480:waittimer 31228 blt 0,0,640,480,0, 4120,640,480:waittimer 31243 blt 0,0,640,480,0, 4122,640,480:waittimer 31258 blt 0,0,640,480,0, 4124,640,480:waittimer 31273 blt 0,0,640,480,0, 4126,640,480:waittimer 31288 blt 0,0,640,480,0, 4128,640,480:waittimer 31304 blt 0,0,640,480,0, 4130,640,480:waittimer 31319 blt 0,0,640,480,0, 4132,640,480:waittimer 31334 blt 0,0,640,480,0, 4134,640,480:waittimer 31349 blt 0,0,640,480,0, 4136,640,480:waittimer 31364 blt 0,0,640,480,0, 4138,640,480:waittimer 31379 blt 0,0,640,480,0, 4140,640,480:waittimer 31395 blt 0,0,640,480,0, 4142,640,480:waittimer 31410 blt 0,0,640,480,0, 4144,640,480:waittimer 31425 blt 0,0,640,480,0, 4146,640,480:waittimer 31440 blt 0,0,640,480,0, 4148,640,480:waittimer 31455 blt 0,0,640,480,0, 4150,640,480:waittimer 31470 blt 0,0,640,480,0, 4152,640,480:waittimer 31486 blt 0,0,640,480,0, 4154,640,480:waittimer 31501 blt 0,0,640,480,0, 4156,640,480:waittimer 31516 blt 0,0,640,480,0, 4158,640,480:waittimer 31531 blt 0,0,640,480,0, 4160,640,480:waittimer 31546 blt 0,0,640,480,0, 4162,640,480:waittimer 31561 blt 0,0,640,480,0, 4164,640,480:waittimer 31577 blt 0,0,640,480,0, 4166,640,480:waittimer 31592 blt 0,0,640,480,0, 4168,640,480:waittimer 31607 blt 0,0,640,480,0, 4170,640,480:waittimer 31622 blt 0,0,640,480,0, 4172,640,480:waittimer 31637 blt 0,0,640,480,0, 4174,640,480:waittimer 31652 blt 0,0,640,480,0, 4176,640,480:waittimer 31668 blt 0,0,640,480,0, 4178,640,480:waittimer 31683 blt 0,0,640,480,0, 4180,640,480:waittimer 31698 blt 0,0,640,480,0, 4182,640,480:waittimer 31713 blt 0,0,640,480,0, 4184,640,480:waittimer 31728 blt 0,0,640,480,0, 4186,640,480:waittimer 31743 blt 0,0,640,480,0, 4188,640,480:waittimer 31759 blt 0,0,640,480,0, 4190,640,480:waittimer 31774 blt 0,0,640,480,0, 4192,640,480:waittimer 31789 blt 0,0,640,480,0, 4194,640,480:waittimer 31804 blt 0,0,640,480,0, 4196,640,480:waittimer 31819 blt 0,0,640,480,0, 4198,640,480:waittimer 31834 blt 0,0,640,480,0, 4200,640,480:waittimer 31850 blt 0,0,640,480,0, 4202,640,480:waittimer 31865 blt 0,0,640,480,0, 4204,640,480:waittimer 31880 blt 0,0,640,480,0, 4206,640,480:waittimer 31895 blt 0,0,640,480,0, 4208,640,480:waittimer 31910 blt 0,0,640,480,0, 4210,640,480:waittimer 31925 blt 0,0,640,480,0, 4212,640,480:waittimer 31941 blt 0,0,640,480,0, 4214,640,480:waittimer 31956 blt 0,0,640,480,0, 4216,640,480:waittimer 31971 blt 0,0,640,480,0, 4218,640,480:waittimer 31986 blt 0,0,640,480,0, 4220,640,480:waittimer 32001 blt 0,0,640,480,0, 4222,640,480:waittimer 32016 blt 0,0,640,480,0, 4224,640,480:waittimer 32032 blt 0,0,640,480,0, 4226,640,480:waittimer 32047 blt 0,0,640,480,0, 4228,640,480:waittimer 32062 blt 0,0,640,480,0, 4230,640,480:waittimer 32077 blt 0,0,640,480,0, 4232,640,480:waittimer 32092 blt 0,0,640,480,0, 4234,640,480:waittimer 32107 blt 0,0,640,480,0, 4236,640,480:waittimer 32123 blt 0,0,640,480,0, 4238,640,480:waittimer 32138 blt 0,0,640,480,0, 4240,640,480:waittimer 32153 blt 0,0,640,480,0, 4242,640,480:waittimer 32168 blt 0,0,640,480,0, 4244,640,480:waittimer 32183 blt 0,0,640,480,0, 4246,640,480:waittimer 32198 blt 0,0,640,480,0, 4248,640,480:waittimer 32214 blt 0,0,640,480,0, 4250,640,480:waittimer 32229 blt 0,0,640,480,0, 4252,640,480:waittimer 32244 blt 0,0,640,480,0, 4254,640,480:waittimer 32259 blt 0,0,640,480,0, 4256,640,480:waittimer 32274 blt 0,0,640,480,0, 4258,640,480:waittimer 32289 blt 0,0,640,480,0, 4260,640,480:waittimer 32305 blt 0,0,640,480,0, 4262,640,480:waittimer 32320 blt 0,0,640,480,0, 4264,640,480:waittimer 32335 blt 0,0,640,480,0, 4266,640,480:waittimer 32350 blt 0,0,640,480,0, 4268,640,480:waittimer 32365 blt 0,0,640,480,0, 4270,640,480:waittimer 32380 blt 0,0,640,480,0, 4272,640,480:waittimer 32396 blt 0,0,640,480,0, 4274,640,480:waittimer 32411 blt 0,0,640,480,0, 4276,640,480:waittimer 32426 blt 0,0,640,480,0, 4278,640,480:waittimer 32441 blt 0,0,640,480,0, 4280,640,480:waittimer 32456 blt 0,0,640,480,0, 4282,640,480:waittimer 32471 blt 0,0,640,480,0, 4284,640,480:waittimer 32487 blt 0,0,640,480,0, 4286,640,480:waittimer 32502 blt 0,0,640,480,0, 4288,640,480:waittimer 32517 blt 0,0,640,480,0, 4290,640,480:waittimer 32532 blt 0,0,640,480,0, 4292,640,480:waittimer 32547 blt 0,0,640,480,0, 4294,640,480:waittimer 32562 blt 0,0,640,480,0, 4296,640,480:waittimer 32578 blt 0,0,640,480,0, 4298,640,480:waittimer 32593 blt 0,0,640,480,0, 4300,640,480:waittimer 32608 blt 0,0,640,480,0, 4302,640,480:waittimer 32623 blt 0,0,640,480,0, 4304,640,480:waittimer 32638 blt 0,0,640,480,0, 4306,640,480:waittimer 32653 blt 0,0,640,480,0, 4308,640,480:waittimer 32669 blt 0,0,640,480,0, 4310,640,480:waittimer 32684 blt 0,0,640,480,0, 4312,640,480:waittimer 32699 blt 0,0,640,480,0, 4314,640,480:waittimer 32714 blt 0,0,640,480,0, 4316,640,480:waittimer 32729 blt 0,0,640,480,0, 4318,640,480:waittimer 32744 blt 0,0,640,480,0, 4320,640,480:waittimer 32760 blt 0,0,640,480,0, 4322,640,480:waittimer 32775 blt 0,0,640,480,0, 4324,640,480:waittimer 32790 blt 0,0,640,480,0, 4326,640,480:waittimer 32805 blt 0,0,640,480,0, 4328,640,480:waittimer 32820 blt 0,0,640,480,0, 4330,640,480:waittimer 32835 blt 0,0,640,480,0, 4332,640,480:waittimer 32851 blt 0,0,640,480,0, 4334,640,480:waittimer 32866 blt 0,0,640,480,0, 4336,640,480:waittimer 32881 blt 0,0,640,480,0, 4338,640,480:waittimer 32896 blt 0,0,640,480,0, 4340,640,480:waittimer 32911 blt 0,0,640,480,0, 4342,640,480:waittimer 32926 blt 0,0,640,480,0, 4344,640,480:waittimer 32942 blt 0,0,640,480,0, 4346,640,480:waittimer 32957 blt 0,0,640,480,0, 4348,640,480:waittimer 32972 blt 0,0,640,480,0, 4350,640,480:waittimer 32987 blt 0,0,640,480,0, 4352,640,480:waittimer 33002 blt 0,0,640,480,0, 4354,640,480:waittimer 33017 blt 0,0,640,480,0, 4356,640,480:waittimer 33033 blt 0,0,640,480,0, 4358,640,480:waittimer 33048 blt 0,0,640,480,0, 4360,640,480:waittimer 33063 blt 0,0,640,480,0, 4362,640,480:waittimer 33078 blt 0,0,640,480,0, 4364,640,480:waittimer 33093 blt 0,0,640,480,0, 4366,640,480:waittimer 33108 blt 0,0,640,480,0, 4368,640,480:waittimer 33124 blt 0,0,640,480,0, 4370,640,480:waittimer 33139 blt 0,0,640,480,0, 4372,640,480:waittimer 33154 blt 0,0,640,480,0, 4374,640,480:waittimer 33169 blt 0,0,640,480,0, 4376,640,480:waittimer 33184 blt 0,0,640,480,0, 4378,640,480:waittimer 33199 blt 0,0,640,480,0, 4380,640,480:waittimer 33215 blt 0,0,640,480,0, 4382,640,480:waittimer 33230 blt 0,0,640,480,0, 4384,640,480:waittimer 33245 blt 0,0,640,480,0, 4386,640,480:waittimer 33260 blt 0,0,640,480,0, 4388,640,480:waittimer 33275 blt 0,0,640,480,0, 4390,640,480:waittimer 33290 blt 0,0,640,480,0, 4392,640,480:waittimer 33306 blt 0,0,640,480,0, 4394,640,480:waittimer 33321 blt 0,0,640,480,0, 4396,640,480:waittimer 33336 blt 0,0,640,480,0, 4398,640,480:waittimer 33351 blt 0,0,640,480,0, 4400,640,480:waittimer 33366 blt 0,0,640,480,0, 4402,640,480:waittimer 33381 blt 0,0,640,480,0, 4404,640,480:waittimer 33397 blt 0,0,640,480,0, 4406,640,480:waittimer 33412 blt 0,0,640,480,0, 4408,640,480:waittimer 33427 blt 0,0,640,480,0, 4410,640,480:waittimer 33442 blt 0,0,640,480,0, 4412,640,480:waittimer 33457 blt 0,0,640,480,0, 4414,640,480:waittimer 33472 blt 0,0,640,480,0, 4416,640,480:waittimer 33488 blt 0,0,640,480,0, 4418,640,480:waittimer 33503 blt 0,0,640,480,0, 4420,640,480:waittimer 33518 blt 0,0,640,480,0, 4422,640,480:waittimer 33533 blt 0,0,640,480,0, 4424,640,480:waittimer 33548 blt 0,0,640,480,0, 4426,640,480:waittimer 33563 blt 0,0,640,480,0, 4428,640,480:waittimer 33579 blt 0,0,640,480,0, 4430,640,480:waittimer 33594 blt 0,0,640,480,0, 4432,640,480:waittimer 33609 blt 0,0,640,480,0, 4434,640,480:waittimer 33624 blt 0,0,640,480,0, 4436,640,480:waittimer 33639 blt 0,0,640,480,0, 4438,640,480:waittimer 33654 blt 0,0,640,480,0, 4440,640,480:waittimer 33670 blt 0,0,640,480,0, 4442,640,480:waittimer 33685 blt 0,0,640,480,0, 4444,640,480:waittimer 33700 blt 0,0,640,480,0, 4446,640,480:waittimer 33715 blt 0,0,640,480,0, 4448,640,480:waittimer 33730 blt 0,0,640,480,0, 4450,640,480:waittimer 33745 blt 0,0,640,480,0, 4452,640,480:waittimer 33761 blt 0,0,640,480,0, 4454,640,480:waittimer 33776 blt 0,0,640,480,0, 4456,640,480:waittimer 33791 blt 0,0,640,480,0, 4458,640,480:waittimer 33806 blt 0,0,640,480,0, 4460,640,480:waittimer 33821 blt 0,0,640,480,0, 4462,640,480:waittimer 33836 blt 0,0,640,480,0, 4464,640,480:waittimer 33852 blt 0,0,640,480,0, 4466,640,480:waittimer 33867 blt 0,0,640,480,0, 4468,640,480:waittimer 33882 blt 0,0,640,480,0, 4470,640,480:waittimer 33897 blt 0,0,640,480,0, 4472,640,480:waittimer 33912 blt 0,0,640,480,0, 4474,640,480:waittimer 33927 blt 0,0,640,480,0, 4476,640,480:waittimer 33943 blt 0,0,640,480,0, 4478,640,480:waittimer 33958 blt 0,0,640,480,0, 4480,640,480:waittimer 33973 blt 0,0,640,480,0, 4482,640,480:waittimer 33988 blt 0,0,640,480,0, 4484,640,480:waittimer 34003 blt 0,0,640,480,0, 4486,640,480:waittimer 34018 blt 0,0,640,480,0, 4488,640,480:waittimer 34034 blt 0,0,640,480,0, 4490,640,480:waittimer 34049 blt 0,0,640,480,0, 4492,640,480:waittimer 34064 blt 0,0,640,480,0, 4494,640,480:waittimer 34079 blt 0,0,640,480,0, 4496,640,480:waittimer 34094 blt 0,0,640,480,0, 4498,640,480:waittimer 34109 blt 0,0,640,480,0, 4500,640,480:waittimer 34125 blt 0,0,640,480,0, 4502,640,480:waittimer 34140 blt 0,0,640,480,0, 4504,640,480:waittimer 34155 blt 0,0,640,480,0, 4506,640,480:waittimer 34170 blt 0,0,640,480,0, 4508,640,480:waittimer 34185 blt 0,0,640,480,0, 4510,640,480:waittimer 34200 blt 0,0,640,480,0, 4512,640,480:waittimer 34216 blt 0,0,640,480,0, 4514,640,480:waittimer 34231 blt 0,0,640,480,0, 4516,640,480:waittimer 34246 blt 0,0,640,480,0, 4518,640,480:waittimer 34261 blt 0,0,640,480,0, 4520,640,480:waittimer 34276 blt 0,0,640,480,0, 4522,640,480:waittimer 34291 blt 0,0,640,480,0, 4524,640,480:waittimer 34307 blt 0,0,640,480,0, 4526,640,480:waittimer 34322 blt 0,0,640,480,0, 4528,640,480:waittimer 34337 blt 0,0,640,480,0, 4530,640,480:waittimer 34352 blt 0,0,640,480,0, 4532,640,480:waittimer 34367 blt 0,0,640,480,0, 4534,640,480:waittimer 34382 blt 0,0,640,480,0, 4536,640,480:waittimer 34398 blt 0,0,640,480,0, 4538,640,480:waittimer 34413 blt 0,0,640,480,0, 4540,640,480:waittimer 34428 blt 0,0,640,480,0, 4542,640,480:waittimer 34443 blt 0,0,640,480,0, 4544,640,480:waittimer 34458 blt 0,0,640,480,0, 4546,640,480:waittimer 34473 blt 0,0,640,480,0, 4548,640,480:waittimer 34489 blt 0,0,640,480,0, 4550,640,480:waittimer 34504 blt 0,0,640,480,0, 4552,640,480:waittimer 34519 blt 0,0,640,480,0, 4554,640,480:waittimer 34534 blt 0,0,640,480,0, 4556,640,480:waittimer 34549 blt 0,0,640,480,0, 4558,640,480:waittimer 34564 blt 0,0,640,480,0, 4560,640,480:waittimer 34580 blt 0,0,640,480,0, 4562,640,480:waittimer 34595 blt 0,0,640,480,0, 4564,640,480:waittimer 34610 blt 0,0,640,480,0, 4566,640,480:waittimer 34625 blt 0,0,640,480,0, 4568,640,480:waittimer 34640 blt 0,0,640,480,0, 4570,640,480:waittimer 34655 blt 0,0,640,480,0, 4572,640,480:waittimer 34671 blt 0,0,640,480,0, 4574,640,480:waittimer 34686 blt 0,0,640,480,0, 4576,640,480:waittimer 34701 blt 0,0,640,480,0, 4578,640,480:waittimer 34716 blt 0,0,640,480,0, 4580,640,480:waittimer 34731 blt 0,0,640,480,0, 4582,640,480:waittimer 34746 blt 0,0,640,480,0, 4584,640,480:waittimer 34762 blt 0,0,640,480,0, 4586,640,480:waittimer 34777 blt 0,0,640,480,0, 4588,640,480:waittimer 34792 blt 0,0,640,480,0, 4590,640,480:waittimer 34807 blt 0,0,640,480,0, 4592,640,480:waittimer 34822 blt 0,0,640,480,0, 4594,640,480:waittimer 34837 blt 0,0,640,480,0, 4596,640,480:waittimer 34853 blt 0,0,640,480,0, 4598,640,480:waittimer 34868 blt 0,0,640,480,0, 4600,640,480:waittimer 34883 blt 0,0,640,480,0, 4602,640,480:waittimer 34898 blt 0,0,640,480,0, 4604,640,480:waittimer 34913 blt 0,0,640,480,0, 4606,640,480:waittimer 34928 blt 0,0,640,480,0, 4608,640,480:waittimer 34944 blt 0,0,640,480,0, 4610,640,480:waittimer 34959 blt 0,0,640,480,0, 4612,640,480:waittimer 34974 blt 0,0,640,480,0, 4614,640,480:waittimer 34989 blt 0,0,640,480,0, 4616,640,480:waittimer 35004 blt 0,0,640,480,0, 4618,640,480:waittimer 35019 blt 0,0,640,480,0, 4620,640,480:waittimer 35035 blt 0,0,640,480,0, 4622,640,480:waittimer 35050 blt 0,0,640,480,0, 4624,640,480:waittimer 35065 blt 0,0,640,480,0, 4626,640,480:waittimer 35080 blt 0,0,640,480,0, 4628,640,480:waittimer 35095 blt 0,0,640,480,0, 4630,640,480:waittimer 35110 blt 0,0,640,480,0, 4632,640,480:waittimer 35126 blt 0,0,640,480,0, 4634,640,480:waittimer 35141 blt 0,0,640,480,0, 4636,640,480:waittimer 35156 blt 0,0,640,480,0, 4638,640,480:waittimer 35171 blt 0,0,640,480,0, 4640,640,480:waittimer 35186 blt 0,0,640,480,0, 4642,640,480:waittimer 35201 blt 0,0,640,480,0, 4644,640,480:waittimer 35217 blt 0,0,640,480,0, 4646,640,480:waittimer 35232 blt 0,0,640,480,0, 4648,640,480:waittimer 35247 blt 0,0,640,480,0, 4650,640,480:waittimer 35262 blt 0,0,640,480,0, 4652,640,480:waittimer 35277 blt 0,0,640,480,0, 4654,640,480:waittimer 35292 blt 0,0,640,480,0, 4656,640,480:waittimer 35308 blt 0,0,640,480,0, 4658,640,480:waittimer 35323 blt 0,0,640,480,0, 4660,640,480:waittimer 35338 blt 0,0,640,480,0, 4662,640,480:waittimer 35353 blt 0,0,640,480,0, 4664,640,480:waittimer 35368 blt 0,0,640,480,0, 4666,640,480:waittimer 35383 blt 0,0,640,480,0, 4668,640,480:waittimer 35399 blt 0,0,640,480,0, 4670,640,480:waittimer 35414 blt 0,0,640,480,0, 4672,640,480:waittimer 35429 blt 0,0,640,480,0, 4674,640,480:waittimer 35444 blt 0,0,640,480,0, 4676,640,480:waittimer 35459 blt 0,0,640,480,0, 4678,640,480:waittimer 35474 blt 0,0,640,480,0, 4680,640,480:waittimer 35490 blt 0,0,640,480,0, 4682,640,480:waittimer 35505 blt 0,0,640,480,0, 4684,640,480:waittimer 35520 blt 0,0,640,480,0, 4686,640,480:waittimer 35535 blt 0,0,640,480,0, 4688,640,480:waittimer 35550 blt 0,0,640,480,0, 4690,640,480:waittimer 35565 blt 0,0,640,480,0, 4692,640,480:waittimer 35581 blt 0,0,640,480,0, 4694,640,480:waittimer 35596 blt 0,0,640,480,0, 4696,640,480:waittimer 35611 blt 0,0,640,480,0, 4698,640,480:waittimer 35626 blt 0,0,640,480,0, 4700,640,480:waittimer 35641 blt 0,0,640,480,0, 4702,640,480:waittimer 35656 blt 0,0,640,480,0, 4704,640,480:waittimer 35672 blt 0,0,640,480,0, 4706,640,480:waittimer 35687 blt 0,0,640,480,0, 4708,640,480:waittimer 35702 blt 0,0,640,480,0, 4710,640,480:waittimer 35717 blt 0,0,640,480,0, 4712,640,480:waittimer 35732 blt 0,0,640,480,0, 4714,640,480:waittimer 35747 blt 0,0,640,480,0, 4716,640,480:waittimer 35763 blt 0,0,640,480,0, 4718,640,480:waittimer 35778 blt 0,0,640,480,0, 4720,640,480:waittimer 35793 blt 0,0,640,480,0, 4722,640,480:waittimer 35808 blt 0,0,640,480,0, 4724,640,480:waittimer 35823 blt 0,0,640,480,0, 4726,640,480:waittimer 35838 blt 0,0,640,480,0, 4728,640,480:waittimer 35854 blt 0,0,640,480,0, 4730,640,480:waittimer 35869 blt 0,0,640,480,0, 4732,640,480:waittimer 35884 blt 0,0,640,480,0, 4734,640,480:waittimer 35899 blt 0,0,640,480,0, 4736,640,480:waittimer 35914 blt 0,0,640,480,0, 4738,640,480:waittimer 35929 blt 0,0,640,480,0, 4740,640,480:waittimer 35945 blt 0,0,640,480,0, 4742,640,480:waittimer 35960 blt 0,0,640,480,0, 4744,640,480:waittimer 35975 blt 0,0,640,480,0, 4746,640,480:waittimer 35990 blt 0,0,640,480,0, 4748,640,480:waittimer 36005 blt 0,0,640,480,0, 4750,640,480:waittimer 36020 blt 0,0,640,480,0, 4752,640,480:waittimer 36036 blt 0,0,640,480,0, 4754,640,480:waittimer 36051 blt 0,0,640,480,0, 4756,640,480:waittimer 36066 blt 0,0,640,480,0, 4758,640,480:waittimer 36081 blt 0,0,640,480,0, 4760,640,480:waittimer 36096 blt 0,0,640,480,0, 4762,640,480:waittimer 36111 blt 0,0,640,480,0, 4764,640,480:waittimer 36127 blt 0,0,640,480,0, 4766,640,480:waittimer 36142 blt 0,0,640,480,0, 4768,640,480:waittimer 36157 blt 0,0,640,480,0, 4770,640,480:waittimer 36172 blt 0,0,640,480,0, 4772,640,480:waittimer 36187 blt 0,0,640,480,0, 4774,640,480:waittimer 36202 blt 0,0,640,480,0, 4776,640,480:waittimer 36218 blt 0,0,640,480,0, 4778,640,480:waittimer 36233 blt 0,0,640,480,0, 4780,640,480:waittimer 36248 blt 0,0,640,480,0, 4782,640,480:waittimer 36263 blt 0,0,640,480,0, 4784,640,480:waittimer 36278 blt 0,0,640,480,0, 4786,640,480:waittimer 36293 blt 0,0,640,480,0, 4788,640,480:waittimer 36309 blt 0,0,640,480,0, 4790,640,480:waittimer 36324 blt 0,0,640,480,0, 4792,640,480:waittimer 36339 blt 0,0,640,480,0, 4794,640,480:waittimer 36354 blt 0,0,640,480,0, 4796,640,480:waittimer 36369 blt 0,0,640,480,0, 4798,640,480:waittimer 36384 blt 0,0,640,480,0, 4800,640,480:waittimer 36400 blt 0,0,640,480,0, 4802,640,480:waittimer 36415 blt 0,0,640,480,0, 4804,640,480:waittimer 36430 blt 0,0,640,480,0, 4806,640,480:waittimer 36445 blt 0,0,640,480,0, 4808,640,480:waittimer 36460 blt 0,0,640,480,0, 4810,640,480:waittimer 36475 blt 0,0,640,480,0, 4812,640,480:waittimer 36491 blt 0,0,640,480,0, 4814,640,480:waittimer 36506 blt 0,0,640,480,0, 4816,640,480:waittimer 36521 blt 0,0,640,480,0, 4818,640,480:waittimer 36536 blt 0,0,640,480,0, 4820,640,480:waittimer 36551 blt 0,0,640,480,0, 4822,640,480:waittimer 36566 blt 0,0,640,480,0, 4824,640,480:waittimer 36582 blt 0,0,640,480,0, 4826,640,480:waittimer 36597 blt 0,0,640,480,0, 4828,640,480:waittimer 36612 blt 0,0,640,480,0, 4830,640,480:waittimer 36627 blt 0,0,640,480,0, 4832,640,480:waittimer 36642 blt 0,0,640,480,0, 4834,640,480:waittimer 36657 blt 0,0,640,480,0, 4836,640,480:waittimer 36673 blt 0,0,640,480,0, 4838,640,480:waittimer 36688 blt 0,0,640,480,0, 4840,640,480:waittimer 36703 blt 0,0,640,480,0, 4842,640,480:waittimer 36718 blt 0,0,640,480,0, 4844,640,480:waittimer 36733 blt 0,0,640,480,0, 4846,640,480:waittimer 36748 blt 0,0,640,480,0, 4848,640,480:waittimer 36764 blt 0,0,640,480,0, 4850,640,480:waittimer 36779 blt 0,0,640,480,0, 4852,640,480:waittimer 36794 blt 0,0,640,480,0, 4854,640,480:waittimer 36809 blt 0,0,640,480,0, 4856,640,480:waittimer 36824 blt 0,0,640,480,0, 4858,640,480:waittimer 36839 blt 0,0,640,480,0, 4860,640,480:waittimer 36855 blt 0,0,640,480,0, 4862,640,480:waittimer 36870 blt 0,0,640,480,0, 4864,640,480:waittimer 36885 blt 0,0,640,480,0, 4866,640,480:waittimer 36900 blt 0,0,640,480,0, 4868,640,480:waittimer 36915 blt 0,0,640,480,0, 4870,640,480:waittimer 36930 blt 0,0,640,480,0, 4872,640,480:waittimer 36946 blt 0,0,640,480,0, 4874,640,480:waittimer 36961 blt 0,0,640,480,0, 4876,640,480:waittimer 36976 blt 0,0,640,480,0, 4878,640,480:waittimer 36991 blt 0,0,640,480,0, 4880,640,480:waittimer 37006 blt 0,0,640,480,0, 4882,640,480:waittimer 37021 blt 0,0,640,480,0, 4884,640,480:waittimer 37037 blt 0,0,640,480,0, 4886,640,480:waittimer 37052 blt 0,0,640,480,0, 4888,640,480:waittimer 37067 blt 0,0,640,480,0, 4890,640,480:waittimer 37082 blt 0,0,640,480,0, 4892,640,480:waittimer 37097 blt 0,0,640,480,0, 4894,640,480:waittimer 37112 blt 0,0,640,480,0, 4896,640,480:waittimer 37128 blt 0,0,640,480,0, 4898,640,480:waittimer 37143 blt 0,0,640,480,0, 4900,640,480:waittimer 37158 blt 0,0,640,480,0, 4902,640,480:waittimer 37173 blt 0,0,640,480,0, 4904,640,480:waittimer 37188 blt 0,0,640,480,0, 4906,640,480:waittimer 37203 blt 0,0,640,480,0, 4908,640,480:waittimer 37219 blt 0,0,640,480,0, 4910,640,480:waittimer 37234 blt 0,0,640,480,0, 4912,640,480:waittimer 37249 blt 0,0,640,480,0, 4914,640,480:waittimer 37264 blt 0,0,640,480,0, 4916,640,480:waittimer 37279 blt 0,0,640,480,0, 4918,640,480:waittimer 37294 blt 0,0,640,480,0, 4920,640,480:waittimer 37310 blt 0,0,640,480,0, 4922,640,480:waittimer 37325 blt 0,0,640,480,0, 4924,640,480:waittimer 37340 blt 0,0,640,480,0, 4926,640,480:waittimer 37355 blt 0,0,640,480,0, 4928,640,480:waittimer 37370 blt 0,0,640,480,0, 4930,640,480:waittimer 37385 blt 0,0,640,480,0, 4932,640,480:waittimer 37401 blt 0,0,640,480,0, 4934,640,480:waittimer 37416 blt 0,0,640,480,0, 4936,640,480:waittimer 37431 blt 0,0,640,480,0, 4938,640,480:waittimer 37446 blt 0,0,640,480,0, 4940,640,480:waittimer 37461 blt 0,0,640,480,0, 4942,640,480:waittimer 37476 blt 0,0,640,480,0, 4944,640,480:waittimer 37492 blt 0,0,640,480,0, 4946,640,480:waittimer 37507 blt 0,0,640,480,0, 4948,640,480:waittimer 37522 blt 0,0,640,480,0, 4950,640,480:waittimer 37537 blt 0,0,640,480,0, 4952,640,480:waittimer 37552 blt 0,0,640,480,0, 4954,640,480:waittimer 37567 blt 0,0,640,480,0, 4956,640,480:waittimer 37583 blt 0,0,640,480,0, 4958,640,480:waittimer 37598 blt 0,0,640,480,0, 4960,640,480:waittimer 37613 blt 0,0,640,480,0, 4962,640,480:waittimer 37628 blt 0,0,640,480,0, 4964,640,480:waittimer 37643 blt 0,0,640,480,0, 4966,640,480:waittimer 37658 blt 0,0,640,480,0, 4968,640,480:waittimer 37674 blt 0,0,640,480,0, 4970,640,480:waittimer 37689 blt 0,0,640,480,0, 4972,640,480:waittimer 37704 blt 0,0,640,480,0, 4974,640,480:waittimer 37719 blt 0,0,640,480,0, 4976,640,480:waittimer 37734 blt 0,0,640,480,0, 4978,640,480:waittimer 37749 blt 0,0,640,480,0, 4980,640,480:waittimer 37765 blt 0,0,640,480,0, 4982,640,480:waittimer 37780 blt 0,0,640,480,0, 4984,640,480:waittimer 37795 blt 0,0,640,480,0, 4986,640,480:waittimer 37810 blt 0,0,640,480,0, 4988,640,480:waittimer 37825 blt 0,0,640,480,0, 4990,640,480:waittimer 37840 blt 0,0,640,480,0, 4992,640,480:waittimer 37856 blt 0,0,640,480,0, 4994,640,480:waittimer 37871 blt 0,0,640,480,0, 4996,640,480:waittimer 37886 blt 0,0,640,480,0, 4998,640,480:waittimer 37901 blt 0,0,640,480,0, 5000,640,480:waittimer 37916 blt 0,0,640,480,0, 5002,640,480:waittimer 37931 blt 0,0,640,480,0, 5004,640,480:waittimer 37947 blt 0,0,640,480,0, 5006,640,480:waittimer 37962 blt 0,0,640,480,0, 5008,640,480:waittimer 37977 blt 0,0,640,480,0, 5010,640,480:waittimer 37992 blt 0,0,640,480,0, 5012,640,480:waittimer 38007 blt 0,0,640,480,0, 5014,640,480:waittimer 38022 blt 0,0,640,480,0, 5016,640,480:waittimer 38038 blt 0,0,640,480,0, 5018,640,480:waittimer 38053 blt 0,0,640,480,0, 5020,640,480:waittimer 38068 blt 0,0,640,480,0, 5022,640,480:waittimer 38083 blt 0,0,640,480,0, 5024,640,480:waittimer 38098 blt 0,0,640,480,0, 5026,640,480:waittimer 38113 blt 0,0,640,480,0, 5028,640,480:waittimer 38129 blt 0,0,640,480,0, 5030,640,480:waittimer 38144 blt 0,0,640,480,0, 5032,640,480:waittimer 38159 blt 0,0,640,480,0, 5034,640,480:waittimer 38174 blt 0,0,640,480,0, 5036,640,480:waittimer 38189 blt 0,0,640,480,0, 5038,640,480:waittimer 38204 blt 0,0,640,480,0, 5040,640,480:waittimer 38220 blt 0,0,640,480,0, 5042,640,480:waittimer 38235 blt 0,0,640,480,0, 5044,640,480:waittimer 38250 blt 0,0,640,480,0, 5046,640,480:waittimer 38265 blt 0,0,640,480,0, 5048,640,480:waittimer 38280 blt 0,0,640,480,0, 5050,640,480:waittimer 38295 blt 0,0,640,480,0, 5052,640,480:waittimer 38311 blt 0,0,640,480,0, 5054,640,480:waittimer 38326 blt 0,0,640,480,0, 5056,640,480:waittimer 38341 blt 0,0,640,480,0, 5058,640,480:waittimer 38356 blt 0,0,640,480,0, 5060,640,480:waittimer 38371 blt 0,0,640,480,0, 5062,640,480:waittimer 38386 blt 0,0,640,480,0, 5064,640,480:waittimer 38402 blt 0,0,640,480,0, 5066,640,480:waittimer 38417 blt 0,0,640,480,0, 5068,640,480:waittimer 38432 blt 0,0,640,480,0, 5070,640,480:waittimer 38447 blt 0,0,640,480,0, 5072,640,480:waittimer 38462 blt 0,0,640,480,0, 5074,640,480:waittimer 38477 blt 0,0,640,480,0, 5076,640,480:waittimer 38493 blt 0,0,640,480,0, 5078,640,480:waittimer 38508 blt 0,0,640,480,0, 5080,640,480:waittimer 38523 blt 0,0,640,480,0, 5082,640,480:waittimer 38538 blt 0,0,640,480,0, 5084,640,480:waittimer 38553 blt 0,0,640,480,0, 5086,640,480:waittimer 38568 blt 0,0,640,480,0, 5088,640,480:waittimer 38584 blt 0,0,640,480,0, 5090,640,480:waittimer 38599 blt 0,0,640,480,0, 5092,640,480:waittimer 38614 blt 0,0,640,480,0, 5094,640,480:waittimer 38629 blt 0,0,640,480,0, 5096,640,480:waittimer 38644 blt 0,0,640,480,0, 5098,640,480:waittimer 38659 blt 0,0,640,480,0, 5100,640,480:waittimer 38675 blt 0,0,640,480,0, 5102,640,480:waittimer 38690 blt 0,0,640,480,0, 5104,640,480:waittimer 38705 blt 0,0,640,480,0, 5106,640,480:waittimer 38720 blt 0,0,640,480,0, 5108,640,480:waittimer 38735 blt 0,0,640,480,0, 5110,640,480:waittimer 38750 blt 0,0,640,480,0, 5112,640,480:waittimer 38766 blt 0,0,640,480,0, 5114,640,480:waittimer 38781 blt 0,0,640,480,0, 5116,640,480:waittimer 38796 blt 0,0,640,480,0, 5118,640,480:waittimer 38811 blt 0,0,640,480,0, 5120,640,480:waittimer 38826 blt 0,0,640,480,0, 5122,640,480:waittimer 38841 blt 0,0,640,480,0, 5124,640,480:waittimer 38857 blt 0,0,640,480,0, 5126,640,480:waittimer 38872 blt 0,0,640,480,0, 5128,640,480:waittimer 38887 blt 0,0,640,480,0, 5130,640,480:waittimer 38902 blt 0,0,640,480,0, 5132,640,480:waittimer 38917 blt 0,0,640,480,0, 5134,640,480:waittimer 38932 blt 0,0,640,480,0, 5136,640,480:waittimer 38948 blt 0,0,640,480,0, 5138,640,480:waittimer 38963 blt 0,0,640,480,0, 5140,640,480:waittimer 38978 blt 0,0,640,480,0, 5142,640,480:waittimer 38993 blt 0,0,640,480,0, 5144,640,480:waittimer 39008 blt 0,0,640,480,0, 5146,640,480:waittimer 39023 blt 0,0,640,480,0, 5148,640,480:waittimer 39039 blt 0,0,640,480,0, 5150,640,480:waittimer 39054 blt 0,0,640,480,0, 5152,640,480:waittimer 39069 blt 0,0,640,480,0, 5154,640,480:waittimer 39084 blt 0,0,640,480,0, 5156,640,480:waittimer 39099 blt 0,0,640,480,0, 5158,640,480:waittimer 39114 blt 0,0,640,480,0, 5160,640,480:waittimer 39130 blt 0,0,640,480,0, 5162,640,480:waittimer 39145 blt 0,0,640,480,0, 5164,640,480:waittimer 39160 blt 0,0,640,480,0, 5166,640,480:waittimer 39175 blt 0,0,640,480,0, 5168,640,480:waittimer 39190 blt 0,0,640,480,0, 5170,640,480:waittimer 39205 blt 0,0,640,480,0, 5172,640,480:waittimer 39221 blt 0,0,640,480,0, 5174,640,480:waittimer 39236 blt 0,0,640,480,0, 5176,640,480:waittimer 39251 blt 0,0,640,480,0, 5178,640,480:waittimer 39266 blt 0,0,640,480,0, 5180,640,480:waittimer 39281 blt 0,0,640,480,0, 5182,640,480:waittimer 39296 blt 0,0,640,480,0, 5184,640,480:waittimer 39312 blt 0,0,640,480,0, 5186,640,480:waittimer 39327 blt 0,0,640,480,0, 5188,640,480:waittimer 39342 blt 0,0,640,480,0, 5190,640,480:waittimer 39357 blt 0,0,640,480,0, 5192,640,480:waittimer 39372 blt 0,0,640,480,0, 5194,640,480:waittimer 39387 blt 0,0,640,480,0, 5196,640,480:waittimer 39403 blt 0,0,640,480,0, 5198,640,480:waittimer 39418 blt 0,0,640,480,0, 5200,640,480:waittimer 39433 blt 0,0,640,480,0, 5202,640,480:waittimer 39448 blt 0,0,640,480,0, 5204,640,480:waittimer 39463 blt 0,0,640,480,0, 5206,640,480:waittimer 39478 blt 0,0,640,480,0, 5208,640,480:waittimer 39494 blt 0,0,640,480,0, 5210,640,480:waittimer 39509 blt 0,0,640,480,0, 5212,640,480:waittimer 39524 blt 0,0,640,480,0, 5214,640,480:waittimer 39539 blt 0,0,640,480,0, 5216,640,480:waittimer 39554 blt 0,0,640,480,0, 5218,640,480:waittimer 39569 blt 0,0,640,480,0, 5220,640,480:waittimer 39585 blt 0,0,640,480,0, 5222,640,480:waittimer 39600 blt 0,0,640,480,0, 5224,640,480:waittimer 39615 blt 0,0,640,480,0, 5226,640,480:waittimer 39630 blt 0,0,640,480,0, 5228,640,480:waittimer 39645 blt 0,0,640,480,0, 5230,640,480:waittimer 39660 blt 0,0,640,480,0, 5232,640,480:waittimer 39676 blt 0,0,640,480,0, 5234,640,480:waittimer 39691 blt 0,0,640,480,0, 5236,640,480:waittimer 39706 blt 0,0,640,480,0, 5238,640,480:waittimer 39721 blt 0,0,640,480,0, 5240,640,480:waittimer 39736 blt 0,0,640,480,0, 5242,640,480:waittimer 39751 blt 0,0,640,480,0, 5244,640,480:waittimer 39767 blt 0,0,640,480,0, 5246,640,480:waittimer 39782 blt 0,0,640,480,0, 5248,640,480:waittimer 39797 blt 0,0,640,480,0, 5250,640,480:waittimer 39812 blt 0,0,640,480,0, 5252,640,480:waittimer 39827 blt 0,0,640,480,0, 5254,640,480:waittimer 39842 blt 0,0,640,480,0, 5256,640,480:waittimer 39858 blt 0,0,640,480,0, 5258,640,480:waittimer 39873 blt 0,0,640,480,0, 5260,640,480:waittimer 39888 blt 0,0,640,480,0, 5262,640,480:waittimer 39903 blt 0,0,640,480,0, 5264,640,480:waittimer 39918 blt 0,0,640,480,0, 5266,640,480:waittimer 39933 blt 0,0,640,480,0, 5268,640,480:waittimer 39949 blt 0,0,640,480,0, 5270,640,480:waittimer 39964 blt 0,0,640,480,0, 5272,640,480:waittimer 39979 blt 0,0,640,480,0, 5274,640,480:waittimer 39994 blt 0,0,640,480,0, 5276,640,480:waittimer 40009 blt 0,0,640,480,0, 5278,640,480:waittimer 40024 blt 0,0,640,480,0, 5280,640,480:waittimer 40040 blt 0,0,640,480,0, 5282,640,480:waittimer 40055 blt 0,0,640,480,0, 5284,640,480:waittimer 40070 blt 0,0,640,480,0, 5286,640,480:waittimer 40085 blt 0,0,640,480,0, 5288,640,480:waittimer 40100 blt 0,0,640,480,0, 5290,640,480:waittimer 40115 blt 0,0,640,480,0, 5292,640,480:waittimer 40131 blt 0,0,640,480,0, 5294,640,480:waittimer 40146 blt 0,0,640,480,0, 5296,640,480:waittimer 40161 blt 0,0,640,480,0, 5298,640,480:waittimer 40176 blt 0,0,640,480,0, 5300,640,480:waittimer 40191 blt 0,0,640,480,0, 5302,640,480:waittimer 40206 blt 0,0,640,480,0, 5304,640,480:waittimer 40222 blt 0,0,640,480,0, 5306,640,480:waittimer 40237 blt 0,0,640,480,0, 5308,640,480:waittimer 40252 blt 0,0,640,480,0, 5310,640,480:waittimer 40267 blt 0,0,640,480,0, 5312,640,480:waittimer 40282 blt 0,0,640,480,0, 5314,640,480:waittimer 40297 blt 0,0,640,480,0, 5316,640,480:waittimer 40313 blt 0,0,640,480,0, 5318,640,480:waittimer 40328 blt 0,0,640,480,0, 5320,640,480:waittimer 40343 blt 0,0,640,480,0, 5322,640,480:waittimer 40358 blt 0,0,640,480,0, 5324,640,480:waittimer 40373 blt 0,0,640,480,0, 5326,640,480:waittimer 40388 blt 0,0,640,480,0, 5328,640,480:waittimer 40404 blt 0,0,640,480,0, 5330,640,480:waittimer 40419 blt 0,0,640,480,0, 5332,640,480:waittimer 40434 blt 0,0,640,480,0, 5334,640,480:waittimer 40449 blt 0,0,640,480,0, 5336,640,480:waittimer 40464 blt 0,0,640,480,0, 5338,640,480:waittimer 40479 blt 0,0,640,480,0, 5340,640,480:waittimer 40495 blt 0,0,640,480,0, 5342,640,480:waittimer 40510 blt 0,0,640,480,0, 5344,640,480:waittimer 40525 blt 0,0,640,480,0, 5346,640,480:waittimer 40540 blt 0,0,640,480,0, 5348,640,480:waittimer 40555 blt 0,0,640,480,0, 5350,640,480:waittimer 40570 blt 0,0,640,480,0, 5352,640,480:waittimer 40586 blt 0,0,640,480,0, 5354,640,480:waittimer 40601 blt 0,0,640,480,0, 5356,640,480:waittimer 40616 blt 0,0,640,480,0, 5358,640,480:waittimer 40631 blt 0,0,640,480,0, 5360,640,480:waittimer 40646 blt 0,0,640,480,0, 5362,640,480:waittimer 40661 blt 0,0,640,480,0, 5364,640,480:waittimer 40677 blt 0,0,640,480,0, 5366,640,480:waittimer 40692 blt 0,0,640,480,0, 5368,640,480:waittimer 40707 blt 0,0,640,480,0, 5370,640,480:waittimer 40722 blt 0,0,640,480,0, 5372,640,480:waittimer 40737 blt 0,0,640,480,0, 5374,640,480:waittimer 40752 blt 0,0,640,480,0, 5376,640,480:waittimer 40768 blt 0,0,640,480,0, 5378,640,480:waittimer 40783 blt 0,0,640,480,0, 5380,640,480:waittimer 40798 blt 0,0,640,480,0, 5382,640,480:waittimer 40813 blt 0,0,640,480,0, 5384,640,480:waittimer 40828 blt 0,0,640,480,0, 5386,640,480:waittimer 40843 blt 0,0,640,480,0, 5388,640,480:waittimer 40859 blt 0,0,640,480,0, 5390,640,480:waittimer 40874 blt 0,0,640,480,0, 5392,640,480:waittimer 40889 blt 0,0,640,480,0, 5394,640,480:waittimer 40904 blt 0,0,640,480,0, 5396,640,480:waittimer 40919 blt 0,0,640,480,0, 5398,640,480:waittimer 40934 blt 0,0,640,480,0, 5400,640,480:waittimer 40950 blt 0,0,640,480,0, 5402,640,480:waittimer 40965 blt 0,0,640,480,0, 5404,640,480:waittimer 40980 blt 0,0,640,480,0, 5406,640,480:waittimer 40995 blt 0,0,640,480,0, 5408,640,480:waittimer 41010 blt 0,0,640,480,0, 5410,640,480:waittimer 41025 blt 0,0,640,480,0, 5412,640,480:waittimer 41041 blt 0,0,640,480,0, 5414,640,480:waittimer 41056 blt 0,0,640,480,0, 5416,640,480:waittimer 41071 blt 0,0,640,480,0, 5418,640,480:waittimer 41086 blt 0,0,640,480,0, 5420,640,480:waittimer 41101 blt 0,0,640,480,0, 5422,640,480:waittimer 41116 blt 0,0,640,480,0, 5424,640,480:waittimer 41132 blt 0,0,640,480,0, 5426,640,480:waittimer 41147 blt 0,0,640,480,0, 5428,640,480:waittimer 41162 blt 0,0,640,480,0, 5430,640,480:waittimer 41177 blt 0,0,640,480,0, 5432,640,480:waittimer 41192 blt 0,0,640,480,0, 5434,640,480:waittimer 41207 blt 0,0,640,480,0, 5436,640,480:waittimer 41223 blt 0,0,640,480,0, 5438,640,480:waittimer 41238 blt 0,0,640,480,0, 5440,640,480:waittimer 41253 blt 0,0,640,480,0, 5442,640,480:waittimer 41268 blt 0,0,640,480,0, 5444,640,480:waittimer 41283 blt 0,0,640,480,0, 5446,640,480:waittimer 41298 blt 0,0,640,480,0, 5448,640,480:waittimer 41314 blt 0,0,640,480,0, 5450,640,480:waittimer 41329 blt 0,0,640,480,0, 5452,640,480:waittimer 41344 blt 0,0,640,480,0, 5454,640,480:waittimer 41359 blt 0,0,640,480,0, 5456,640,480:waittimer 41374 blt 0,0,640,480,0, 5458,640,480:waittimer 41389 blt 0,0,640,480,0, 5460,640,480:waittimer 41405 blt 0,0,640,480,0, 5462,640,480:waittimer 41420 blt 0,0,640,480,0, 5464,640,480:waittimer 41435 blt 0,0,640,480,0, 5466,640,480:waittimer 41450 blt 0,0,640,480,0, 5468,640,480:waittimer 41465 blt 0,0,640,480,0, 5470,640,480:waittimer 41480 blt 0,0,640,480,0, 5472,640,480:waittimer 41496 blt 0,0,640,480,0, 5474,640,480:waittimer 41511 blt 0,0,640,480,0, 5476,640,480:waittimer 41526 blt 0,0,640,480,0, 5478,640,480:waittimer 41541 blt 0,0,640,480,0, 5480,640,480:waittimer 41556 blt 0,0,640,480,0, 5482,640,480:waittimer 41571 blt 0,0,640,480,0, 5484,640,480:waittimer 41587 blt 0,0,640,480,0, 5486,640,480:waittimer 41602 blt 0,0,640,480,0, 5488,640,480:waittimer 41617 blt 0,0,640,480,0, 5490,640,480:waittimer 41632 blt 0,0,640,480,0, 5492,640,480:waittimer 41647 blt 0,0,640,480,0, 5494,640,480:waittimer 41662 blt 0,0,640,480,0, 5496,640,480:waittimer 41678 blt 0,0,640,480,0, 5498,640,480:waittimer 41693 blt 0,0,640,480,0, 5500,640,480:waittimer 41708 blt 0,0,640,480,0, 5502,640,480:waittimer 41723 blt 0,0,640,480,0, 5504,640,480:waittimer 41738 blt 0,0,640,480,0, 5506,640,480:waittimer 41753 blt 0,0,640,480,0, 5508,640,480:waittimer 41769 blt 0,0,640,480,0, 5510,640,480:waittimer 41784 blt 0,0,640,480,0, 5512,640,480:waittimer 41799 blt 0,0,640,480,0, 5514,640,480:waittimer 41814 blt 0,0,640,480,0, 5516,640,480:waittimer 41829 blt 0,0,640,480,0, 5518,640,480:waittimer 41844 blt 0,0,640,480,0, 5520,640,480:waittimer 41860 blt 0,0,640,480,0, 5522,640,480:waittimer 41875 blt 0,0,640,480,0, 5524,640,480:waittimer 41890 blt 0,0,640,480,0, 5526,640,480:waittimer 41905 blt 0,0,640,480,0, 5528,640,480:waittimer 41920 blt 0,0,640,480,0, 5530,640,480:waittimer 41935 blt 0,0,640,480,0, 5532,640,480:waittimer 41951 blt 0,0,640,480,0, 5534,640,480:waittimer 41966 blt 0,0,640,480,0, 5536,640,480:waittimer 41981 blt 0,0,640,480,0, 5538,640,480:waittimer 41996 blt 0,0,640,480,0, 5540,640,480:waittimer 42011 blt 0,0,640,480,0, 5542,640,480:waittimer 42026 blt 0,0,640,480,0, 5544,640,480:waittimer 42042 blt 0,0,640,480,0, 5546,640,480:waittimer 42057 blt 0,0,640,480,0, 5548,640,480:waittimer 42072 blt 0,0,640,480,0, 5550,640,480:waittimer 42087 blt 0,0,640,480,0, 5552,640,480:waittimer 42102 blt 0,0,640,480,0, 5554,640,480:waittimer 42117 blt 0,0,640,480,0, 5556,640,480:waittimer 42133 blt 0,0,640,480,0, 5558,640,480:waittimer 42148 blt 0,0,640,480,0, 5560,640,480:waittimer 42163 blt 0,0,640,480,0, 5562,640,480:waittimer 42178 blt 0,0,640,480,0, 5564,640,480:waittimer 42193 blt 0,0,640,480,0, 5566,640,480:waittimer 42208 blt 0,0,640,480,0, 5568,640,480:waittimer 42224 blt 0,0,640,480,0, 5570,640,480:waittimer 42239 blt 0,0,640,480,0, 5572,640,480:waittimer 42254 blt 0,0,640,480,0, 5574,640,480:waittimer 42269 blt 0,0,640,480,0, 5576,640,480:waittimer 42284 blt 0,0,640,480,0, 5578,640,480:waittimer 42299 blt 0,0,640,480,0, 5580,640,480:waittimer 42315 blt 0,0,640,480,0, 5582,640,480:waittimer 42330 blt 0,0,640,480,0, 5584,640,480:waittimer 42345 blt 0,0,640,480,0, 5586,640,480:waittimer 42360 blt 0,0,640,480,0, 5588,640,480:waittimer 42375 blt 0,0,640,480,0, 5590,640,480:waittimer 42390 blt 0,0,640,480,0, 5592,640,480:waittimer 42406 blt 0,0,640,480,0, 5594,640,480:waittimer 42421 blt 0,0,640,480,0, 5596,640,480:waittimer 42436 blt 0,0,640,480,0, 5598,640,480:waittimer 42451 blt 0,0,640,480,0, 5600,640,480:waittimer 42466 blt 0,0,640,480,0, 5602,640,480:waittimer 42481 blt 0,0,640,480,0, 5604,640,480:waittimer 42497 blt 0,0,640,480,0, 5606,640,480:waittimer 42512 blt 0,0,640,480,0, 5608,640,480:waittimer 42527 blt 0,0,640,480,0, 5610,640,480:waittimer 42542 blt 0,0,640,480,0, 5612,640,480:waittimer 42557 blt 0,0,640,480,0, 5614,640,480:waittimer 42572 blt 0,0,640,480,0, 5616,640,480:waittimer 42588 blt 0,0,640,480,0, 5618,640,480:waittimer 42603 blt 0,0,640,480,0, 5620,640,480:waittimer 42618 blt 0,0,640,480,0, 5622,640,480:waittimer 42633 blt 0,0,640,480,0, 5624,640,480:waittimer 42648 blt 0,0,640,480,0, 5626,640,480:waittimer 42663 blt 0,0,640,480,0, 5628,640,480:waittimer 42679 blt 0,0,640,480,0, 5630,640,480:waittimer 42694 blt 0,0,640,480,0, 5632,640,480:waittimer 42709 blt 0,0,640,480,0, 5634,640,480:waittimer 42724 blt 0,0,640,480,0, 5636,640,480:waittimer 42739 blt 0,0,640,480,0, 5638,640,480:waittimer 42754 blt 0,0,640,480,0, 5640,640,480:waittimer 42770 blt 0,0,640,480,0, 5642,640,480:waittimer 42785 blt 0,0,640,480,0, 5644,640,480:waittimer 42800 blt 0,0,640,480,0, 5646,640,480:waittimer 42815 blt 0,0,640,480,0, 5648,640,480:waittimer 42830 blt 0,0,640,480,0, 5650,640,480:waittimer 42845 blt 0,0,640,480,0, 5652,640,480:waittimer 42861 blt 0,0,640,480,0, 5654,640,480:waittimer 42876 blt 0,0,640,480,0, 5656,640,480:waittimer 42891 blt 0,0,640,480,0, 5658,640,480:waittimer 42906 blt 0,0,640,480,0, 5660,640,480:waittimer 42921 blt 0,0,640,480,0, 5662,640,480:waittimer 42936 blt 0,0,640,480,0, 5664,640,480:waittimer 42952 blt 0,0,640,480,0, 5666,640,480:waittimer 42967 blt 0,0,640,480,0, 5668,640,480:waittimer 42982 blt 0,0,640,480,0, 5670,640,480:waittimer 42997 blt 0,0,640,480,0, 5672,640,480:waittimer 43012 blt 0,0,640,480,0, 5674,640,480:waittimer 43027 blt 0,0,640,480,0, 5676,640,480:waittimer 43043 blt 0,0,640,480,0, 5678,640,480:waittimer 43058 blt 0,0,640,480,0, 5680,640,480:waittimer 43073 blt 0,0,640,480,0, 5682,640,480:waittimer 43088 blt 0,0,640,480,0, 5684,640,480:waittimer 43103 blt 0,0,640,480,0, 5686,640,480:waittimer 43118 blt 0,0,640,480,0, 5688,640,480:waittimer 43134 blt 0,0,640,480,0, 5690,640,480:waittimer 43149 blt 0,0,640,480,0, 5692,640,480:waittimer 43164 blt 0,0,640,480,0, 5694,640,480:waittimer 43179 blt 0,0,640,480,0, 5696,640,480:waittimer 43194 blt 0,0,640,480,0, 5698,640,480:waittimer 43209 blt 0,0,640,480,0, 5700,640,480:waittimer 43225 blt 0,0,640,480,0, 5702,640,480:waittimer 43240 blt 0,0,640,480,0, 5704,640,480:waittimer 43255 blt 0,0,640,480,0, 5706,640,480:waittimer 43270 blt 0,0,640,480,0, 5708,640,480:waittimer 43285 blt 0,0,640,480,0, 5710,640,480:waittimer 43300 blt 0,0,640,480,0, 5712,640,480:waittimer 43316 blt 0,0,640,480,0, 5714,640,480:waittimer 43331 blt 0,0,640,480,0, 5716,640,480:waittimer 43346 blt 0,0,640,480,0, 5718,640,480:waittimer 43361 blt 0,0,640,480,0, 5720,640,480:waittimer 43376 blt 0,0,640,480,0, 5722,640,480:waittimer 43391 blt 0,0,640,480,0, 5724,640,480:waittimer 43407 blt 0,0,640,480,0, 5726,640,480:waittimer 43422 blt 0,0,640,480,0, 5728,640,480:waittimer 43437 blt 0,0,640,480,0, 5730,640,480:waittimer 43452 blt 0,0,640,480,0, 5732,640,480:waittimer 43467 blt 0,0,640,480,0, 5734,640,480:waittimer 43482 blt 0,0,640,480,0, 5736,640,480:waittimer 43498 blt 0,0,640,480,0, 5738,640,480:waittimer 43513 blt 0,0,640,480,0, 5740,640,480:waittimer 43528 blt 0,0,640,480,0, 5742,640,480:waittimer 43543 blt 0,0,640,480,0, 5744,640,480:waittimer 43558 blt 0,0,640,480,0, 5746,640,480:waittimer 43573 blt 0,0,640,480,0, 5748,640,480:waittimer 43589 blt 0,0,640,480,0, 5750,640,480:waittimer 43604 blt 0,0,640,480,0, 5752,640,480:waittimer 43619 blt 0,0,640,480,0, 5754,640,480:waittimer 43634 blt 0,0,640,480,0, 5756,640,480:waittimer 43649 blt 0,0,640,480,0, 5758,640,480:waittimer 43664 blt 0,0,640,480,0, 5760,640,480:waittimer 43680 blt 0,0,640,480,0, 5762,640,480:waittimer 43695 blt 0,0,640,480,0, 5764,640,480:waittimer 43710 blt 0,0,640,480,0, 5766,640,480:waittimer 43725 blt 0,0,640,480,0, 5768,640,480:waittimer 43740 blt 0,0,640,480,0, 5770,640,480:waittimer 43755 blt 0,0,640,480,0, 5772,640,480:waittimer 43771 blt 0,0,640,480,0, 5774,640,480:waittimer 43786 blt 0,0,640,480,0, 5776,640,480:waittimer 43801 blt 0,0,640,480,0, 5778,640,480:waittimer 43816 blt 0,0,640,480,0, 5780,640,480:waittimer 43831 blt 0,0,640,480,0, 5782,640,480:waittimer 43846 blt 0,0,640,480,0, 5784,640,480:waittimer 43862 blt 0,0,640,480,0, 5786,640,480:waittimer 43877 blt 0,0,640,480,0, 5788,640,480:waittimer 43892 blt 0,0,640,480,0, 5790,640,480:waittimer 43907 blt 0,0,640,480,0, 5792,640,480:waittimer 43922 blt 0,0,640,480,0, 5794,640,480:waittimer 43937 blt 0,0,640,480,0, 5796,640,480:waittimer 43953 blt 0,0,640,480,0, 5798,640,480:waittimer 43968 blt 0,0,640,480,0, 5800,640,480:waittimer 43983 blt 0,0,640,480,0, 5802,640,480:waittimer 43998 blt 0,0,640,480,0, 5804,640,480:waittimer 44013 blt 0,0,640,480,0, 5806,640,480:waittimer 44028 blt 0,0,640,480,0, 5808,640,480:waittimer 44044 blt 0,0,640,480,0, 5810,640,480:waittimer 44059 blt 0,0,640,480,0, 5812,640,480:waittimer 44074 blt 0,0,640,480,0, 5814,640,480:waittimer 44089 blt 0,0,640,480,0, 5816,640,480:waittimer 44104 blt 0,0,640,480,0, 5818,640,480:waittimer 44119 blt 0,0,640,480,0, 5820,640,480:waittimer 44135 blt 0,0,640,480,0, 5822,640,480:waittimer 44150 blt 0,0,640,480,0, 5824,640,480:waittimer 44165 blt 0,0,640,480,0, 5826,640,480:waittimer 44180 blt 0,0,640,480,0, 5828,640,480:waittimer 44195 blt 0,0,640,480,0, 5830,640,480:waittimer 44210 blt 0,0,640,480,0, 5832,640,480:waittimer 44226 blt 0,0,640,480,0, 5834,640,480:waittimer 44241 blt 0,0,640,480,0, 5836,640,480:waittimer 44256 blt 0,0,640,480,0, 5838,640,480:waittimer 44271 blt 0,0,640,480,0, 5840,640,480:waittimer 44286 blt 0,0,640,480,0, 5842,640,480:waittimer 44301 blt 0,0,640,480,0, 5844,640,480:waittimer 44317 blt 0,0,640,480,0, 5846,640,480:waittimer 44332 blt 0,0,640,480,0, 5848,640,480:waittimer 44347 blt 0,0,640,480,0, 5850,640,480:waittimer 44362 blt 0,0,640,480,0, 5852,640,480:waittimer 44377 blt 0,0,640,480,0, 5854,640,480:waittimer 44392 blt 0,0,640,480,0, 5856,640,480:waittimer 44408 blt 0,0,640,480,0, 5858,640,480:waittimer 44423 blt 0,0,640,480,0, 5860,640,480:waittimer 44438 blt 0,0,640,480,0, 5862,640,480:waittimer 44453 blt 0,0,640,480,0, 5864,640,480:waittimer 44468 blt 0,0,640,480,0, 5866,640,480:waittimer 44483 blt 0,0,640,480,0, 5868,640,480:waittimer 44499 blt 0,0,640,480,0, 5870,640,480:waittimer 44514 blt 0,0,640,480,0, 5872,640,480:waittimer 44529 blt 0,0,640,480,0, 5874,640,480:waittimer 44544 blt 0,0,640,480,0, 5876,640,480:waittimer 44559 blt 0,0,640,480,0, 5878,640,480:waittimer 44574 blt 0,0,640,480,0, 5880,640,480:waittimer 44590 blt 0,0,640,480,0, 5882,640,480:waittimer 44605 blt 0,0,640,480,0, 5884,640,480:waittimer 44620 blt 0,0,640,480,0, 5886,640,480:waittimer 44635 blt 0,0,640,480,0, 5888,640,480:waittimer 44650 blt 0,0,640,480,0, 5890,640,480:waittimer 44665 blt 0,0,640,480,0, 5892,640,480:waittimer 44681 blt 0,0,640,480,0, 5894,640,480:waittimer 44696 blt 0,0,640,480,0, 5896,640,480:waittimer 44711 blt 0,0,640,480,0, 5898,640,480:waittimer 44726 blt 0,0,640,480,0, 5900,640,480:waittimer 44741 blt 0,0,640,480,0, 5902,640,480:waittimer 44756 blt 0,0,640,480,0, 5904,640,480:waittimer 44772 blt 0,0,640,480,0, 5906,640,480:waittimer 44787 blt 0,0,640,480,0, 5908,640,480:waittimer 44802 blt 0,0,640,480,0, 5910,640,480:waittimer 44817 blt 0,0,640,480,0, 5912,640,480:waittimer 44832 blt 0,0,640,480,0, 5914,640,480:waittimer 44847 blt 0,0,640,480,0, 5916,640,480:waittimer 44863 blt 0,0,640,480,0, 5918,640,480:waittimer 44878 blt 0,0,640,480,0, 5920,640,480:waittimer 44893 blt 0,0,640,480,0, 5922,640,480:waittimer 44908 blt 0,0,640,480,0, 5924,640,480:waittimer 44923 blt 0,0,640,480,0, 5926,640,480:waittimer 44938 blt 0,0,640,480,0, 5928,640,480:waittimer 44954 blt 0,0,640,480,0, 5930,640,480:waittimer 44969 blt 0,0,640,480,0, 5932,640,480:waittimer 44984 blt 0,0,640,480,0, 5934,640,480:waittimer 44999 blt 0,0,640,480,0, 5936,640,480:waittimer 45014 blt 0,0,640,480,0, 5938,640,480:waittimer 45029 blt 0,0,640,480,0, 5940,640,480:waittimer 45045 blt 0,0,640,480,0, 5942,640,480:waittimer 45060 blt 0,0,640,480,0, 5944,640,480:waittimer 45075 blt 0,0,640,480,0, 5946,640,480:waittimer 45090 blt 0,0,640,480,0, 5948,640,480:waittimer 45105 blt 0,0,640,480,0, 5950,640,480:waittimer 45120 blt 0,0,640,480,0, 5952,640,480:waittimer 45136 blt 0,0,640,480,0, 5954,640,480:waittimer 45151 blt 0,0,640,480,0, 5956,640,480:waittimer 45166 blt 0,0,640,480,0, 5958,640,480:waittimer 45181 blt 0,0,640,480,0, 5960,640,480:waittimer 45196 blt 0,0,640,480,0, 5962,640,480:waittimer 45211 blt 0,0,640,480,0, 5964,640,480:waittimer 45227 blt 0,0,640,480,0, 5966,640,480:waittimer 45242 blt 0,0,640,480,0, 5968,640,480:waittimer 45257 blt 0,0,640,480,0, 5970,640,480:waittimer 45272 blt 0,0,640,480,0, 5972,640,480:waittimer 45287 blt 0,0,640,480,0, 5974,640,480:waittimer 45302 blt 0,0,640,480,0, 5976,640,480:waittimer 45318 blt 0,0,640,480,0, 5978,640,480:waittimer 45333 blt 0,0,640,480,0, 5980,640,480:waittimer 45348 blt 0,0,640,480,0, 5982,640,480:waittimer 45363 blt 0,0,640,480,0, 5984,640,480:waittimer 45378 blt 0,0,640,480,0, 5986,640,480:waittimer 45393 blt 0,0,640,480,0, 5988,640,480:waittimer 45409 blt 0,0,640,480,0, 5990,640,480:waittimer 45424 blt 0,0,640,480,0, 5992,640,480:waittimer 45439 blt 0,0,640,480,0, 5994,640,480:waittimer 45454 blt 0,0,640,480,0, 5996,640,480:waittimer 45469 blt 0,0,640,480,0, 5998,640,480:waittimer 45484 blt 0,0,640,480,0, 6000,640,480:waittimer 45500 blt 0,0,640,480,0, 6002,640,480:waittimer 45515 blt 0,0,640,480,0, 6004,640,480:waittimer 45530 blt 0,0,640,480,0, 6006,640,480:waittimer 45545 blt 0,0,640,480,0, 6008,640,480:waittimer 45560 blt 0,0,640,480,0, 6010,640,480:waittimer 45575 blt 0,0,640,480,0, 6012,640,480:waittimer 45591 blt 0,0,640,480,0, 6014,640,480:waittimer 45606 blt 0,0,640,480,0, 6016,640,480:waittimer 45621 blt 0,0,640,480,0, 6018,640,480:waittimer 45636 blt 0,0,640,480,0, 6020,640,480:waittimer 45651 blt 0,0,640,480,0, 6022,640,480:waittimer 45666 blt 0,0,640,480,0, 6024,640,480:waittimer 45682 blt 0,0,640,480,0, 6026,640,480:waittimer 45697 blt 0,0,640,480,0, 6028,640,480:waittimer 45712 blt 0,0,640,480,0, 6030,640,480:waittimer 45727 blt 0,0,640,480,0, 6032,640,480:waittimer 45742 blt 0,0,640,480,0, 6034,640,480:waittimer 45757 blt 0,0,640,480,0, 6036,640,480:waittimer 45773 blt 0,0,640,480,0, 6038,640,480:waittimer 45788 blt 0,0,640,480,0, 6040,640,480:waittimer 45803 blt 0,0,640,480,0, 6042,640,480:waittimer 45818 blt 0,0,640,480,0, 6044,640,480:waittimer 45833 blt 0,0,640,480,0, 6046,640,480:waittimer 45848 blt 0,0,640,480,0, 6048,640,480:waittimer 45864 blt 0,0,640,480,0, 6050,640,480:waittimer 45879 blt 0,0,640,480,0, 6052,640,480:waittimer 45894 blt 0,0,640,480,0, 6054,640,480:waittimer 45909 blt 0,0,640,480,0, 6056,640,480:waittimer 45924 blt 0,0,640,480,0, 6058,640,480:waittimer 45939 blt 0,0,640,480,0, 6060,640,480:waittimer 45955 blt 0,0,640,480,0, 6062,640,480:waittimer 45970 blt 0,0,640,480,0, 6064,640,480:waittimer 45985 blt 0,0,640,480,0, 6066,640,480:waittimer 46000 blt 0,0,640,480,0, 6068,640,480:waittimer 46015 blt 0,0,640,480,0, 6070,640,480:waittimer 46030 blt 0,0,640,480,0, 6072,640,480:waittimer 46046 blt 0,0,640,480,0, 6074,640,480:waittimer 46061 blt 0,0,640,480,0, 6076,640,480:waittimer 46076 blt 0,0,640,480,0, 6078,640,480:waittimer 46091 blt 0,0,640,480,0, 6080,640,480:waittimer 46106 blt 0,0,640,480,0, 6082,640,480:waittimer 46121 blt 0,0,640,480,0, 6084,640,480:waittimer 46137 blt 0,0,640,480,0, 6086,640,480:waittimer 46152 blt 0,0,640,480,0, 6088,640,480:waittimer 46167 blt 0,0,640,480,0, 6090,640,480:waittimer 46182 blt 0,0,640,480,0, 6092,640,480:waittimer 46197 blt 0,0,640,480,0, 6094,640,480:waittimer 46212 blt 0,0,640,480,0, 6096,640,480:waittimer 46228 blt 0,0,640,480,0, 6098,640,480:waittimer 46243 blt 0,0,640,480,0, 6100,640,480:waittimer 46258 blt 0,0,640,480,0, 6102,640,480:waittimer 46273 blt 0,0,640,480,0, 6104,640,480:waittimer 46288 blt 0,0,640,480,0, 6106,640,480:waittimer 46303 blt 0,0,640,480,0, 6108,640,480:waittimer 46319 blt 0,0,640,480,0, 6110,640,480:waittimer 46334 blt 0,0,640,480,0, 6112,640,480:waittimer 46349 blt 0,0,640,480,0, 6114,640,480:waittimer 46364 blt 0,0,640,480,0, 6116,640,480:waittimer 46379 blt 0,0,640,480,0, 6118,640,480:waittimer 46394 blt 0,0,640,480,0, 6120,640,480:waittimer 46410 blt 0,0,640,480,0, 6122,640,480:waittimer 46425 blt 0,0,640,480,0, 6124,640,480:waittimer 46440 blt 0,0,640,480,0, 6126,640,480:waittimer 46455 blt 0,0,640,480,0, 6128,640,480:waittimer 46470 blt 0,0,640,480,0, 6130,640,480:waittimer 46485 blt 0,0,640,480,0, 6132,640,480:waittimer 46501 blt 0,0,640,480,0, 6134,640,480:waittimer 46516 blt 0,0,640,480,0, 6136,640,480:waittimer 46531 blt 0,0,640,480,0, 6138,640,480:waittimer 46546 blt 0,0,640,480,0, 6140,640,480:waittimer 46561 blt 0,0,640,480,0, 6142,640,480:waittimer 46576 blt 0,0,640,480,0, 6144,640,480:waittimer 46592 blt 0,0,640,480,0, 6146,640,480:waittimer 46607 blt 0,0,640,480,0, 6148,640,480:waittimer 46622 blt 0,0,640,480,0, 6150,640,480:waittimer 46637 blt 0,0,640,480,0, 6152,640,480:waittimer 46652 blt 0,0,640,480,0, 6154,640,480:waittimer 46667 blt 0,0,640,480,0, 6156,640,480:waittimer 46683 blt 0,0,640,480,0, 6158,640,480:waittimer 46698 blt 0,0,640,480,0, 6160,640,480:waittimer 46713 blt 0,0,640,480,0, 6162,640,480:waittimer 46728 blt 0,0,640,480,0, 6164,640,480:waittimer 46743 blt 0,0,640,480,0, 6166,640,480:waittimer 46758 blt 0,0,640,480,0, 6168,640,480:waittimer 46774 blt 0,0,640,480,0, 6170,640,480:waittimer 46789 blt 0,0,640,480,0, 6172,640,480:waittimer 46804 blt 0,0,640,480,0, 6174,640,480:waittimer 46819 blt 0,0,640,480,0, 6176,640,480:waittimer 46834 blt 0,0,640,480,0, 6178,640,480:waittimer 46849 blt 0,0,640,480,0, 6180,640,480:waittimer 46865 blt 0,0,640,480,0, 6182,640,480:waittimer 46880 blt 0,0,640,480,0, 6184,640,480:waittimer 46895 blt 0,0,640,480,0, 6186,640,480:waittimer 46910 blt 0,0,640,480,0, 6188,640,480:waittimer 46925 blt 0,0,640,480,0, 6190,640,480:waittimer 46940 blt 0,0,640,480,0, 6192,640,480:waittimer 46956 blt 0,0,640,480,0, 6194,640,480:waittimer 46971 blt 0,0,640,480,0, 6196,640,480:waittimer 46986 blt 0,0,640,480,0, 6198,640,480:waittimer 47001 blt 0,0,640,480,0, 6200,640,480:waittimer 47016 blt 0,0,640,480,0, 6202,640,480:waittimer 47031 blt 0,0,640,480,0, 6204,640,480:waittimer 47047 blt 0,0,640,480,0, 6206,640,480:waittimer 47062 blt 0,0,640,480,0, 6208,640,480:waittimer 47077 blt 0,0,640,480,0, 6210,640,480:waittimer 47092 blt 0,0,640,480,0, 6212,640,480:waittimer 47107 blt 0,0,640,480,0, 6214,640,480:waittimer 47122 blt 0,0,640,480,0, 6216,640,480:waittimer 47138 blt 0,0,640,480,0, 6218,640,480:waittimer 47153 blt 0,0,640,480,0, 6220,640,480:waittimer 47168 blt 0,0,640,480,0, 6222,640,480:waittimer 47183 blt 0,0,640,480,0, 6224,640,480:waittimer 47198 blt 0,0,640,480,0, 6226,640,480:waittimer 47213 blt 0,0,640,480,0, 6228,640,480:waittimer 47229 blt 0,0,640,480,0, 6230,640,480:waittimer 47244 blt 0,0,640,480,0, 6232,640,480:waittimer 47259 blt 0,0,640,480,0, 6234,640,480:waittimer 47274 blt 0,0,640,480,0, 6236,640,480:waittimer 47289 blt 0,0,640,480,0, 6238,640,480:waittimer 47304 blt 0,0,640,480,0, 6240,640,480:waittimer 47320 blt 0,0,640,480,0, 6242,640,480:waittimer 47335 blt 0,0,640,480,0, 6244,640,480:waittimer 47350 blt 0,0,640,480,0, 6246,640,480:waittimer 47365 blt 0,0,640,480,0, 6248,640,480:waittimer 47380 blt 0,0,640,480,0, 6250,640,480:waittimer 47395 blt 0,0,640,480,0, 6252,640,480:waittimer 47411 blt 0,0,640,480,0, 6254,640,480:waittimer 47426 blt 0,0,640,480,0, 6256,640,480:waittimer 47441 blt 0,0,640,480,0, 6258,640,480:waittimer 47456 blt 0,0,640,480,0, 6260,640,480:waittimer 47471 blt 0,0,640,480,0, 6262,640,480:waittimer 47486 blt 0,0,640,480,0, 6264,640,480:waittimer 47502 blt 0,0,640,480,0, 6266,640,480:waittimer 47517 blt 0,0,640,480,0, 6268,640,480:waittimer 47532 blt 0,0,640,480,0, 6270,640,480:waittimer 47547 blt 0,0,640,480,0, 6272,640,480:waittimer 47562 blt 0,0,640,480,0, 6274,640,480:waittimer 47577 blt 0,0,640,480,0, 6276,640,480:waittimer 47593 blt 0,0,640,480,0, 6278,640,480:waittimer 47608 blt 0,0,640,480,0, 6280,640,480:waittimer 47623 blt 0,0,640,480,0, 6282,640,480:waittimer 47638 blt 0,0,640,480,0, 6284,640,480:waittimer 47653 blt 0,0,640,480,0, 6286,640,480:waittimer 47668 blt 0,0,640,480,0, 6288,640,480:waittimer 47684 blt 0,0,640,480,0, 6290,640,480:waittimer 47699 blt 0,0,640,480,0, 6292,640,480:waittimer 47714 blt 0,0,640,480,0, 6294,640,480:waittimer 47729 blt 0,0,640,480,0, 6296,640,480:waittimer 47744 blt 0,0,640,480,0, 6298,640,480:waittimer 47759 blt 0,0,640,480,0, 6300,640,480:waittimer 47775 blt 0,0,640,480,0, 6302,640,480:waittimer 47790 blt 0,0,640,480,0, 6304,640,480:waittimer 47805 blt 0,0,640,480,0, 6306,640,480:waittimer 47820 blt 0,0,640,480,0, 6308,640,480:waittimer 47835 blt 0,0,640,480,0, 6310,640,480:waittimer 47850 blt 0,0,640,480,0, 6312,640,480:waittimer 47866 blt 0,0,640,480,0, 6314,640,480:waittimer 47881 blt 0,0,640,480,0, 6316,640,480:waittimer 47896 blt 0,0,640,480,0, 6318,640,480:waittimer 47911 blt 0,0,640,480,0, 6320,640,480:waittimer 47926 blt 0,0,640,480,0, 6322,640,480:waittimer 47941 blt 0,0,640,480,0, 6324,640,480:waittimer 47957 blt 0,0,640,480,0, 6326,640,480:waittimer 47972 blt 0,0,640,480,0, 6328,640,480:waittimer 47987 blt 0,0,640,480,0, 6330,640,480:waittimer 48002 blt 0,0,640,480,0, 6332,640,480:waittimer 48017 blt 0,0,640,480,0, 6334,640,480:waittimer 48032 blt 0,0,640,480,0, 6336,640,480:waittimer 48048 blt 0,0,640,480,0, 6338,640,480:waittimer 48063 blt 0,0,640,480,0, 6340,640,480:waittimer 48078 blt 0,0,640,480,0, 6342,640,480:waittimer 48093 blt 0,0,640,480,0, 6344,640,480:waittimer 48108 blt 0,0,640,480,0, 6346,640,480:waittimer 48123 blt 0,0,640,480,0, 6348,640,480:waittimer 48139 blt 0,0,640,480,0, 6350,640,480:waittimer 48154 blt 0,0,640,480,0, 6352,640,480:waittimer 48169 blt 0,0,640,480,0, 6354,640,480:waittimer 48184 blt 0,0,640,480,0, 6356,640,480:waittimer 48199 blt 0,0,640,480,0, 6358,640,480:waittimer 48214 blt 0,0,640,480,0, 6360,640,480:waittimer 48230 blt 0,0,640,480,0, 6362,640,480:waittimer 48245 blt 0,0,640,480,0, 6364,640,480:waittimer 48260 blt 0,0,640,480,0, 6366,640,480:waittimer 48275 blt 0,0,640,480,0, 6368,640,480:waittimer 48290 blt 0,0,640,480,0, 6370,640,480:waittimer 48305 blt 0,0,640,480,0, 6372,640,480:waittimer 48321 blt 0,0,640,480,0, 6374,640,480:waittimer 48336 blt 0,0,640,480,0, 6376,640,480:waittimer 48351 blt 0,0,640,480,0, 6378,640,480:waittimer 48366 blt 0,0,640,480,0, 6380,640,480:waittimer 48381 blt 0,0,640,480,0, 6382,640,480:waittimer 48396 blt 0,0,640,480,0, 6384,640,480:waittimer 48412 blt 0,0,640,480,0, 6386,640,480:waittimer 48427 blt 0,0,640,480,0, 6388,640,480:waittimer 48442 blt 0,0,640,480,0, 6390,640,480:waittimer 48457 blt 0,0,640,480,0, 6392,640,480:waittimer 48472 blt 0,0,640,480,0, 6394,640,480:waittimer 48487 blt 0,0,640,480,0, 6396,640,480:waittimer 48503 blt 0,0,640,480,0, 6398,640,480:waittimer 48518 blt 0,0,640,480,0, 6400,640,480:waittimer 48533 blt 0,0,640,480,0, 6402,640,480:waittimer 48548 blt 0,0,640,480,0, 6404,640,480:waittimer 48563 blt 0,0,640,480,0, 6406,640,480:waittimer 48578 blt 0,0,640,480,0, 6408,640,480:waittimer 48594 blt 0,0,640,480,0, 6410,640,480:waittimer 48609 blt 0,0,640,480,0, 6412,640,480:waittimer 48624 blt 0,0,640,480,0, 6414,640,480:waittimer 48639 blt 0,0,640,480,0, 6416,640,480:waittimer 48654 blt 0,0,640,480,0, 6418,640,480:waittimer 48669 blt 0,0,640,480,0, 6420,640,480:waittimer 48685 blt 0,0,640,480,0, 6422,640,480:waittimer 48700 blt 0,0,640,480,0, 6424,640,480:waittimer 48715 blt 0,0,640,480,0, 6426,640,480:waittimer 48730 blt 0,0,640,480,0, 6428,640,480:waittimer 48745 blt 0,0,640,480,0, 6430,640,480:waittimer 48760 blt 0,0,640,480,0, 6432,640,480:waittimer 48776 blt 0,0,640,480,0, 6434,640,480:waittimer 48791 blt 0,0,640,480,0, 6436,640,480:waittimer 48806 blt 0,0,640,480,0, 6438,640,480:waittimer 48821 blt 0,0,640,480,0, 6440,640,480:waittimer 48836 blt 0,0,640,480,0, 6442,640,480:waittimer 48851 blt 0,0,640,480,0, 6444,640,480:waittimer 48867 blt 0,0,640,480,0, 6446,640,480:waittimer 48882 blt 0,0,640,480,0, 6448,640,480:waittimer 48897 blt 0,0,640,480,0, 6450,640,480:waittimer 48912 blt 0,0,640,480,0, 6452,640,480:waittimer 48927 blt 0,0,640,480,0, 6454,640,480:waittimer 48942 blt 0,0,640,480,0, 6456,640,480:waittimer 48958 blt 0,0,640,480,0, 6458,640,480:waittimer 48973 blt 0,0,640,480,0, 6460,640,480:waittimer 48988 blt 0,0,640,480,0, 6462,640,480:waittimer 49003 blt 0,0,640,480,0, 6464,640,480:waittimer 49018 blt 0,0,640,480,0, 6466,640,480:waittimer 49033 blt 0,0,640,480,0, 6468,640,480:waittimer 49049 blt 0,0,640,480,0, 6470,640,480:waittimer 49064 blt 0,0,640,480,0, 6472,640,480:waittimer 49079 blt 0,0,640,480,0, 6474,640,480:waittimer 49094 blt 0,0,640,480,0, 6476,640,480:waittimer 49109 blt 0,0,640,480,0, 6478,640,480:waittimer 49124 blt 0,0,640,480,0, 6480,640,480:waittimer 49140 blt 0,0,640,480,0, 6482,640,480:waittimer 49155 blt 0,0,640,480,0, 6484,640,480:waittimer 49170 blt 0,0,640,480,0, 6486,640,480:waittimer 49185 blt 0,0,640,480,0, 6488,640,480:waittimer 49200 blt 0,0,640,480,0, 6490,640,480:waittimer 49215 blt 0,0,640,480,0, 6492,640,480:waittimer 49231 blt 0,0,640,480,0, 6494,640,480:waittimer 49246 blt 0,0,640,480,0, 6496,640,480:waittimer 49261 blt 0,0,640,480,0, 6498,640,480:waittimer 49276 blt 0,0,640,480,0, 6500,640,480:waittimer 49291 blt 0,0,640,480,0, 6502,640,480:waittimer 49306 blt 0,0,640,480,0, 6504,640,480:waittimer 49322 blt 0,0,640,480,0, 6506,640,480:waittimer 49337 blt 0,0,640,480,0, 6508,640,480:waittimer 49352 blt 0,0,640,480,0, 6510,640,480:waittimer 49367 blt 0,0,640,480,0, 6512,640,480:waittimer 49382 blt 0,0,640,480,0, 6514,640,480:waittimer 49397 blt 0,0,640,480,0, 6516,640,480:waittimer 49413 blt 0,0,640,480,0, 6518,640,480:waittimer 49428 blt 0,0,640,480,0, 6520,640,480:waittimer 49443 blt 0,0,640,480,0, 6522,640,480:waittimer 49458 blt 0,0,640,480,0, 6524,640,480:waittimer 49473 blt 0,0,640,480,0, 6526,640,480:waittimer 49488 blt 0,0,640,480,0, 6528,640,480:waittimer 49504 blt 0,0,640,480,0, 6530,640,480:waittimer 49519 blt 0,0,640,480,0, 6532,640,480:waittimer 49534 blt 0,0,640,480,0, 6534,640,480:waittimer 49549 blt 0,0,640,480,0, 6536,640,480:waittimer 49564 blt 0,0,640,480,0, 6538,640,480:waittimer 49579 blt 0,0,640,480,0, 6540,640,480:waittimer 49595 blt 0,0,640,480,0, 6542,640,480:waittimer 49610 blt 0,0,640,480,0, 6544,640,480:waittimer 49625 blt 0,0,640,480,0, 6546,640,480:waittimer 49640 blt 0,0,640,480,0, 6548,640,480:waittimer 49655 blt 0,0,640,480,0, 6550,640,480:waittimer 49670 blt 0,0,640,480,0, 6552,640,480:waittimer 49686 blt 0,0,640,480,0, 6554,640,480:waittimer 49701 blt 0,0,640,480,0, 6556,640,480:waittimer 49716 blt 0,0,640,480,0, 6558,640,480:waittimer 49731 blt 0,0,640,480,0, 6560,640,480:waittimer 49746 blt 0,0,640,480,0, 6562,640,480:waittimer 49761 blt 0,0,640,480,0, 6564,640,480:waittimer 49777 blt 0,0,640,480,0, 6566,640,480:waittimer 49792 blt 0,0,640,480,0, 6568,640,480:waittimer 49807 blt 0,0,640,480,0, 6570,640,480:waittimer 49822 blt 0,0,640,480,0, 6572,640,480:waittimer 49837 blt 0,0,640,480,0, 6574,640,480:waittimer 49852 blt 0,0,640,480,0, 6576,640,480:waittimer 49868 blt 0,0,640,480,0, 6578,640,480:waittimer 49883 blt 0,0,640,480,0, 6580,640,480:waittimer 49898 blt 0,0,640,480,0, 6582,640,480:waittimer 49913 blt 0,0,640,480,0, 6584,640,480:waittimer 49928 blt 0,0,640,480,0, 6586,640,480:waittimer 49943 blt 0,0,640,480,0, 6588,640,480:waittimer 49959 blt 0,0,640,480,0, 6590,640,480:waittimer 49974 blt 0,0,640,480,0, 6592,640,480:waittimer 49989 blt 0,0,640,480,0, 6594,640,480:waittimer 50004 blt 0,0,640,480,0, 6596,640,480:waittimer 50019 blt 0,0,640,480,0, 6598,640,480:waittimer 50034 blt 0,0,640,480,0, 6600,640,480:waittimer 50050 blt 0,0,640,480,0, 6602,640,480:waittimer 50065 blt 0,0,640,480,0, 6604,640,480:waittimer 50080 blt 0,0,640,480,0, 6606,640,480:waittimer 50095 blt 0,0,640,480,0, 6608,640,480:waittimer 50110 blt 0,0,640,480,0, 6610,640,480:waittimer 50125 blt 0,0,640,480,0, 6612,640,480:waittimer 50141 blt 0,0,640,480,0, 6614,640,480:waittimer 50156 blt 0,0,640,480,0, 6616,640,480:waittimer 50171 blt 0,0,640,480,0, 6618,640,480:waittimer 50186 blt 0,0,640,480,0, 6620,640,480:waittimer 50201 blt 0,0,640,480,0, 6622,640,480:waittimer 50216 blt 0,0,640,480,0, 6624,640,480:waittimer 50232 blt 0,0,640,480,0, 6626,640,480:waittimer 50247 blt 0,0,640,480,0, 6628,640,480:waittimer 50262 blt 0,0,640,480,0, 6630,640,480:waittimer 50277 blt 0,0,640,480,0, 6632,640,480:waittimer 50292 blt 0,0,640,480,0, 6634,640,480:waittimer 50307 blt 0,0,640,480,0, 6636,640,480:waittimer 50323 blt 0,0,640,480,0, 6638,640,480:waittimer 50338 blt 0,0,640,480,0, 6640,640,480:waittimer 50353 blt 0,0,640,480,0, 6642,640,480:waittimer 50368 blt 0,0,640,480,0, 6644,640,480:waittimer 50383 blt 0,0,640,480,0, 6646,640,480:waittimer 50398 blt 0,0,640,480,0, 6648,640,480:waittimer 50414 blt 0,0,640,480,0, 6650,640,480:waittimer 50429 blt 0,0,640,480,0, 6652,640,480:waittimer 50444 blt 0,0,640,480,0, 6654,640,480:waittimer 50459 blt 0,0,640,480,0, 6656,640,480:waittimer 50474 blt 0,0,640,480,0, 6658,640,480:waittimer 50489 blt 0,0,640,480,0, 6660,640,480:waittimer 50505 blt 0,0,640,480,0, 6662,640,480:waittimer 50520 blt 0,0,640,480,0, 6664,640,480:waittimer 50535 blt 0,0,640,480,0, 6666,640,480:waittimer 50550 blt 0,0,640,480,0, 6668,640,480:waittimer 50565 blt 0,0,640,480,0, 6670,640,480:waittimer 50580 blt 0,0,640,480,0, 6672,640,480:waittimer 50596 blt 0,0,640,480,0, 6674,640,480:waittimer 50611 blt 0,0,640,480,0, 6676,640,480:waittimer 50626 blt 0,0,640,480,0, 6678,640,480:waittimer 50641 blt 0,0,640,480,0, 6680,640,480:waittimer 50656 blt 0,0,640,480,0, 6682,640,480:waittimer 50671 blt 0,0,640,480,0, 6684,640,480:waittimer 50687 blt 0,0,640,480,0, 6686,640,480:waittimer 50702 blt 0,0,640,480,0, 6688,640,480:waittimer 50717 blt 0,0,640,480,0, 6690,640,480:waittimer 50732 blt 0,0,640,480,0, 6692,640,480:waittimer 50747 blt 0,0,640,480,0, 6694,640,480:waittimer 50762 blt 0,0,640,480,0, 6696,640,480:waittimer 50778 blt 0,0,640,480,0, 6698,640,480:waittimer 50793 blt 0,0,640,480,0, 6700,640,480:waittimer 50808 blt 0,0,640,480,0, 6702,640,480:waittimer 50823 blt 0,0,640,480,0, 6704,640,480:waittimer 50838 blt 0,0,640,480,0, 6706,640,480:waittimer 50853 blt 0,0,640,480,0, 6708,640,480:waittimer 50869 blt 0,0,640,480,0, 6710,640,480:waittimer 50884 blt 0,0,640,480,0, 6712,640,480:waittimer 50899 blt 0,0,640,480,0, 6714,640,480:waittimer 50914 blt 0,0,640,480,0, 6716,640,480:waittimer 50929 blt 0,0,640,480,0, 6718,640,480:waittimer 50944 blt 0,0,640,480,0, 6720,640,480:waittimer 50960 blt 0,0,640,480,0, 6722,640,480:waittimer 50975 blt 0,0,640,480,0, 6724,640,480:waittimer 50990 blt 0,0,640,480,0, 6726,640,480:waittimer 51005 blt 0,0,640,480,0, 6728,640,480:waittimer 51020 blt 0,0,640,480,0, 6730,640,480:waittimer 51035 blt 0,0,640,480,0, 6732,640,480:waittimer 51051 blt 0,0,640,480,0, 6734,640,480:waittimer 51066 blt 0,0,640,480,0, 6736,640,480:waittimer 51081 blt 0,0,640,480,0, 6738,640,480:waittimer 51096 blt 0,0,640,480,0, 6740,640,480:waittimer 51111 blt 0,0,640,480,0, 6742,640,480:waittimer 51126 blt 0,0,640,480,0, 6744,640,480:waittimer 51142 blt 0,0,640,480,0, 6746,640,480:waittimer 51157 blt 0,0,640,480,0, 6748,640,480:waittimer 51172 blt 0,0,640,480,0, 6750,640,480:waittimer 51187 blt 0,0,640,480,0, 6752,640,480:waittimer 51202 blt 0,0,640,480,0, 6754,640,480:waittimer 51217 blt 0,0,640,480,0, 6756,640,480:waittimer 51233 blt 0,0,640,480,0, 6758,640,480:waittimer 51248 blt 0,0,640,480,0, 6760,640,480:waittimer 51263 blt 0,0,640,480,0, 6762,640,480:waittimer 51278 blt 0,0,640,480,0, 6764,640,480:waittimer 51293 blt 0,0,640,480,0, 6766,640,480:waittimer 51308 blt 0,0,640,480,0, 6768,640,480:waittimer 51324 blt 0,0,640,480,0, 6770,640,480:waittimer 51339 blt 0,0,640,480,0, 6772,640,480:waittimer 51354 blt 0,0,640,480,0, 6774,640,480:waittimer 51369 blt 0,0,640,480,0, 6776,640,480:waittimer 51384 blt 0,0,640,480,0, 6778,640,480:waittimer 51399 blt 0,0,640,480,0, 6780,640,480:waittimer 51415 blt 0,0,640,480,0, 6782,640,480:waittimer 51430 blt 0,0,640,480,0, 6784,640,480:waittimer 51445 blt 0,0,640,480,0, 6786,640,480:waittimer 51460 blt 0,0,640,480,0, 6788,640,480:waittimer 51475 blt 0,0,640,480,0, 6790,640,480:waittimer 51490 blt 0,0,640,480,0, 6792,640,480:waittimer 51506 blt 0,0,640,480,0, 6794,640,480:waittimer 51521 blt 0,0,640,480,0, 6796,640,480:waittimer 51536 blt 0,0,640,480,0, 6798,640,480:waittimer 51551 blt 0,0,640,480,0, 6800,640,480:waittimer 51566 blt 0,0,640,480,0, 6802,640,480:waittimer 51581 blt 0,0,640,480,0, 6804,640,480:waittimer 51597 blt 0,0,640,480,0, 6806,640,480:waittimer 51612 blt 0,0,640,480,0, 6808,640,480:waittimer 51627 blt 0,0,640,480,0, 6810,640,480:waittimer 51642 blt 0,0,640,480,0, 6812,640,480:waittimer 51657 blt 0,0,640,480,0, 6814,640,480:waittimer 51672 blt 0,0,640,480,0, 6816,640,480:waittimer 51688 blt 0,0,640,480,0, 6818,640,480:waittimer 51703 blt 0,0,640,480,0, 6820,640,480:waittimer 51718 blt 0,0,640,480,0, 6822,640,480:waittimer 51733 blt 0,0,640,480,0, 6824,640,480:waittimer 51748 blt 0,0,640,480,0, 6826,640,480:waittimer 51763 blt 0,0,640,480,0, 6828,640,480:waittimer 51779 blt 0,0,640,480,0, 6830,640,480:waittimer 51794 blt 0,0,640,480,0, 6832,640,480:waittimer 51809 blt 0,0,640,480,0, 6834,640,480:waittimer 51824 blt 0,0,640,480,0, 6836,640,480:waittimer 51839 blt 0,0,640,480,0, 6838,640,480:waittimer 51854 blt 0,0,640,480,0, 6840,640,480:waittimer 51870 blt 0,0,640,480,0, 6842,640,480:waittimer 51885 blt 0,0,640,480,0, 6844,640,480:waittimer 51900 blt 0,0,640,480,0, 6846,640,480:waittimer 51915 blt 0,0,640,480,0, 6848,640,480:waittimer 51930 blt 0,0,640,480,0, 6850,640,480:waittimer 51945 blt 0,0,640,480,0, 6852,640,480:waittimer 51961 blt 0,0,640,480,0, 6854,640,480:waittimer 51976 blt 0,0,640,480,0, 6856,640,480:waittimer 51991 blt 0,0,640,480,0, 6858,640,480:waittimer 52006 blt 0,0,640,480,0, 6860,640,480:waittimer 52021 blt 0,0,640,480,0, 6862,640,480:waittimer 52036 blt 0,0,640,480,0, 6864,640,480:waittimer 52052 blt 0,0,640,480,0, 6866,640,480:waittimer 52067 blt 0,0,640,480,0, 6868,640,480:waittimer 52082 blt 0,0,640,480,0, 6870,640,480:waittimer 52097 blt 0,0,640,480,0, 6872,640,480:waittimer 52112 blt 0,0,640,480,0, 6874,640,480:waittimer 52127 blt 0,0,640,480,0, 6876,640,480:waittimer 52143 blt 0,0,640,480,0, 6878,640,480:waittimer 52158 blt 0,0,640,480,0, 6880,640,480:waittimer 52173 blt 0,0,640,480,0, 6882,640,480:waittimer 52188 blt 0,0,640,480,0, 6884,640,480:waittimer 52203 blt 0,0,640,480,0, 6886,640,480:waittimer 52218 blt 0,0,640,480,0, 6888,640,480:waittimer 52234 blt 0,0,640,480,0, 6890,640,480:waittimer 52249 blt 0,0,640,480,0, 6892,640,480:waittimer 52264 blt 0,0,640,480,0, 6894,640,480:waittimer 52279 blt 0,0,640,480,0, 6896,640,480:waittimer 52294 blt 0,0,640,480,0, 6898,640,480:waittimer 52309 blt 0,0,640,480,0, 6900,640,480:waittimer 52325 blt 0,0,640,480,0, 6902,640,480:waittimer 52340 blt 0,0,640,480,0, 6904,640,480:waittimer 52355 blt 0,0,640,480,0, 6906,640,480:waittimer 52370 blt 0,0,640,480,0, 6908,640,480:waittimer 52385 blt 0,0,640,480,0, 6910,640,480:waittimer 52400 blt 0,0,640,480,0, 6912,640,480:waittimer 52416 blt 0,0,640,480,0, 6914,640,480:waittimer 52431 blt 0,0,640,480,0, 6916,640,480:waittimer 52446 blt 0,0,640,480,0, 6918,640,480:waittimer 52461 blt 0,0,640,480,0, 6920,640,480:waittimer 52476 blt 0,0,640,480,0, 6922,640,480:waittimer 52491 blt 0,0,640,480,0, 6924,640,480:waittimer 52507 blt 0,0,640,480,0, 6926,640,480:waittimer 52522 blt 0,0,640,480,0, 6928,640,480:waittimer 52537 blt 0,0,640,480,0, 6930,640,480:waittimer 52552 blt 0,0,640,480,0, 6932,640,480:waittimer 52567 blt 0,0,640,480,0, 6934,640,480:waittimer 52582 blt 0,0,640,480,0, 6936,640,480:waittimer 52598 blt 0,0,640,480,0, 6938,640,480:waittimer 52613 blt 0,0,640,480,0, 6940,640,480:waittimer 52628 blt 0,0,640,480,0, 6942,640,480:waittimer 52643 blt 0,0,640,480,0, 6944,640,480:waittimer 52658 blt 0,0,640,480,0, 6946,640,480:waittimer 52673 blt 0,0,640,480,0, 6948,640,480:waittimer 52689 blt 0,0,640,480,0, 6950,640,480:waittimer 52704 blt 0,0,640,480,0, 6952,640,480:waittimer 52719 blt 0,0,640,480,0, 6954,640,480:waittimer 52734 blt 0,0,640,480,0, 6956,640,480:waittimer 52749 blt 0,0,640,480,0, 6958,640,480:waittimer 52764 blt 0,0,640,480,0, 6960,640,480:waittimer 52780 blt 0,0,640,480,0, 6962,640,480:waittimer 52795 blt 0,0,640,480,0, 6964,640,480:waittimer 52810 blt 0,0,640,480,0, 6966,640,480:waittimer 52825 blt 0,0,640,480,0, 6968,640,480:waittimer 52840 blt 0,0,640,480,0, 6970,640,480:waittimer 52855 blt 0,0,640,480,0, 6972,640,480:waittimer 52871 blt 0,0,640,480,0, 6974,640,480:waittimer 52886 blt 0,0,640,480,0, 6976,640,480:waittimer 52901 blt 0,0,640,480,0, 6978,640,480:waittimer 52916 blt 0,0,640,480,0, 6980,640,480:waittimer 52931 blt 0,0,640,480,0, 6982,640,480:waittimer 52946 blt 0,0,640,480,0, 6984,640,480:waittimer 52962 blt 0,0,640,480,0, 6986,640,480:waittimer 52977 blt 0,0,640,480,0, 6988,640,480:waittimer 52992 blt 0,0,640,480,0, 6990,640,480:waittimer 53007 blt 0,0,640,480,0, 6992,640,480:waittimer 53022 blt 0,0,640,480,0, 6994,640,480:waittimer 53037 blt 0,0,640,480,0, 6996,640,480:waittimer 53053 blt 0,0,640,480,0, 6998,640,480:waittimer 53068 blt 0,0,640,480,0, 7000,640,480:waittimer 53083 blt 0,0,640,480,0, 7002,640,480:waittimer 53098 blt 0,0,640,480,0, 7004,640,480:waittimer 53113 blt 0,0,640,480,0, 7006,640,480:waittimer 53128 blt 0,0,640,480,0, 7008,640,480:waittimer 53144 blt 0,0,640,480,0, 7010,640,480:waittimer 53159 blt 0,0,640,480,0, 7012,640,480:waittimer 53174 blt 0,0,640,480,0, 7014,640,480:waittimer 53189 blt 0,0,640,480,0, 7016,640,480:waittimer 53204 blt 0,0,640,480,0, 7018,640,480:waittimer 53219 blt 0,0,640,480,0, 7020,640,480:waittimer 53235 blt 0,0,640,480,0, 7022,640,480:waittimer 53250 blt 0,0,640,480,0, 7024,640,480:waittimer 53265 blt 0,0,640,480,0, 7026,640,480:waittimer 53280 blt 0,0,640,480,0, 7028,640,480:waittimer 53295 blt 0,0,640,480,0, 7030,640,480:waittimer 53310 blt 0,0,640,480,0, 7032,640,480:waittimer 53326 blt 0,0,640,480,0, 7034,640,480:waittimer 53341 blt 0,0,640,480,0, 7036,640,480:waittimer 53356 blt 0,0,640,480,0, 7038,640,480:waittimer 53371 blt 0,0,640,480,0, 7040,640,480:waittimer 53386 blt 0,0,640,480,0, 7042,640,480:waittimer 53401 blt 0,0,640,480,0, 7044,640,480:waittimer 53417 blt 0,0,640,480,0, 7046,640,480:waittimer 53432 blt 0,0,640,480,0, 7048,640,480:waittimer 53447 blt 0,0,640,480,0, 7050,640,480:waittimer 53462 blt 0,0,640,480,0, 7052,640,480:waittimer 53477 blt 0,0,640,480,0, 7054,640,480:waittimer 53492 blt 0,0,640,480,0, 7056,640,480:waittimer 53508 blt 0,0,640,480,0, 7058,640,480:waittimer 53523 blt 0,0,640,480,0, 7060,640,480:waittimer 53538 blt 0,0,640,480,0, 7062,640,480:waittimer 53553 blt 0,0,640,480,0, 7064,640,480:waittimer 53568 blt 0,0,640,480,0, 7066,640,480:waittimer 53583 blt 0,0,640,480,0, 7068,640,480:waittimer 53599 blt 0,0,640,480,0, 7070,640,480:waittimer 53614 blt 0,0,640,480,0, 7072,640,480:waittimer 53629 blt 0,0,640,480,0, 7074,640,480:waittimer 53644 blt 0,0,640,480,0, 7076,640,480:waittimer 53659 blt 0,0,640,480,0, 7078,640,480:waittimer 53674 blt 0,0,640,480,0, 7080,640,480:waittimer 53690 blt 0,0,640,480,0, 7082,640,480:waittimer 53705 blt 0,0,640,480,0, 7084,640,480:waittimer 53720 blt 0,0,640,480,0, 7086,640,480:waittimer 53735 blt 0,0,640,480,0, 7088,640,480:waittimer 53750 blt 0,0,640,480,0, 7090,640,480:waittimer 53765 blt 0,0,640,480,0, 7092,640,480:waittimer 53781 blt 0,0,640,480,0, 7094,640,480:waittimer 53796 blt 0,0,640,480,0, 7096,640,480:waittimer 53811 blt 0,0,640,480,0, 7098,640,480:waittimer 53826 blt 0,0,640,480,0, 7100,640,480:waittimer 53841 blt 0,0,640,480,0, 7102,640,480:waittimer 53856 blt 0,0,640,480,0, 7104,640,480:waittimer 53872 blt 0,0,640,480,0, 7106,640,480:waittimer 53887 blt 0,0,640,480,0, 7108,640,480:waittimer 53902 blt 0,0,640,480,0, 7110,640,480:waittimer 53917 blt 0,0,640,480,0, 7112,640,480:waittimer 53932 blt 0,0,640,480,0, 7114,640,480:waittimer 53947 blt 0,0,640,480,0, 7116,640,480:waittimer 53963 blt 0,0,640,480,0, 7118,640,480:waittimer 53978 blt 0,0,640,480,0, 7120,640,480:waittimer 53993 blt 0,0,640,480,0, 7122,640,480:waittimer 54008 blt 0,0,640,480,0, 7124,640,480:waittimer 54023 blt 0,0,640,480,0, 7126,640,480:waittimer 54038 blt 0,0,640,480,0, 7128,640,480:waittimer 54054 blt 0,0,640,480,0, 7130,640,480:waittimer 54069 blt 0,0,640,480,0, 7132,640,480:waittimer 54084 blt 0,0,640,480,0, 7134,640,480:waittimer 54099 blt 0,0,640,480,0, 7136,640,480:waittimer 54114 blt 0,0,640,480,0, 7138,640,480:waittimer 54129 blt 0,0,640,480,0, 7140,640,480:waittimer 54145 blt 0,0,640,480,0, 7142,640,480:waittimer 54160 blt 0,0,640,480,0, 7144,640,480:waittimer 54175 blt 0,0,640,480,0, 7146,640,480:waittimer 54190 blt 0,0,640,480,0, 7148,640,480:waittimer 54205 blt 0,0,640,480,0, 7150,640,480:waittimer 54220 blt 0,0,640,480,0, 7152,640,480:waittimer 54236 blt 0,0,640,480,0, 7154,640,480:waittimer 54251 blt 0,0,640,480,0, 7156,640,480:waittimer 54266 blt 0,0,640,480,0, 7158,640,480:waittimer 54281 blt 0,0,640,480,0, 7160,640,480:waittimer 54296 blt 0,0,640,480,0, 7162,640,480:waittimer 54311 blt 0,0,640,480,0, 7164,640,480:waittimer 54327 blt 0,0,640,480,0, 7166,640,480:waittimer 54342 blt 0,0,640,480,0, 7168,640,480:waittimer 54357 blt 0,0,640,480,0, 7170,640,480:waittimer 54372 blt 0,0,640,480,0, 7172,640,480:waittimer 54387 blt 0,0,640,480,0, 7174,640,480:waittimer 54402 blt 0,0,640,480,0, 7176,640,480:waittimer 54418 blt 0,0,640,480,0, 7178,640,480:waittimer 54433 blt 0,0,640,480,0, 7180,640,480:waittimer 54448 blt 0,0,640,480,0, 7182,640,480:waittimer 54463 blt 0,0,640,480,0, 7184,640,480:waittimer 54478 blt 0,0,640,480,0, 7186,640,480:waittimer 54493 blt 0,0,640,480,0, 7188,640,480:waittimer 54509 blt 0,0,640,480,0, 7190,640,480:waittimer 54524 blt 0,0,640,480,0, 7192,640,480:waittimer 54539 blt 0,0,640,480,0, 7194,640,480:waittimer 54554 blt 0,0,640,480,0, 7196,640,480:waittimer 54569 blt 0,0,640,480,0, 7198,640,480:waittimer 54584 blt 0,0,640,480,0, 7200,640,480:waittimer 54600 blt 0,0,640,480,0, 7202,640,480:waittimer 54615 blt 0,0,640,480,0, 7204,640,480:waittimer 54630 blt 0,0,640,480,0, 7206,640,480:waittimer 54645 blt 0,0,640,480,0, 7208,640,480:waittimer 54660 blt 0,0,640,480,0, 7210,640,480:waittimer 54675 blt 0,0,640,480,0, 7212,640,480:waittimer 54691 blt 0,0,640,480,0, 7214,640,480:waittimer 54706 blt 0,0,640,480,0, 7216,640,480:waittimer 54721 blt 0,0,640,480,0, 7218,640,480:waittimer 54736 blt 0,0,640,480,0, 7220,640,480:waittimer 54751 blt 0,0,640,480,0, 7222,640,480:waittimer 54766 blt 0,0,640,480,0, 7224,640,480:waittimer 54782 blt 0,0,640,480,0, 7226,640,480:waittimer 54797 blt 0,0,640,480,0, 7228,640,480:waittimer 54812 blt 0,0,640,480,0, 7230,640,480:waittimer 54827 blt 0,0,640,480,0, 7232,640,480:waittimer 54842 blt 0,0,640,480,0, 7234,640,480:waittimer 54857 blt 0,0,640,480,0, 7236,640,480:waittimer 54873 blt 0,0,640,480,0, 7238,640,480:waittimer 54888 blt 0,0,640,480,0, 7240,640,480:waittimer 54903 blt 0,0,640,480,0, 7242,640,480:waittimer 54918 blt 0,0,640,480,0, 7244,640,480:waittimer 54933 blt 0,0,640,480,0, 7246,640,480:waittimer 54948 blt 0,0,640,480,0, 7248,640,480:waittimer 54964 blt 0,0,640,480,0, 7250,640,480:waittimer 54979 blt 0,0,640,480,0, 7252,640,480:waittimer 54994 blt 0,0,640,480,0, 7254,640,480:waittimer 55009 blt 0,0,640,480,0, 7256,640,480:waittimer 55024 blt 0,0,640,480,0, 7258,640,480:waittimer 55039 blt 0,0,640,480,0, 7260,640,480:waittimer 55055 blt 0,0,640,480,0, 7262,640,480:waittimer 55070 blt 0,0,640,480,0, 7264,640,480:waittimer 55085 blt 0,0,640,480,0, 7266,640,480:waittimer 55100 blt 0,0,640,480,0, 7268,640,480:waittimer 55115 blt 0,0,640,480,0, 7270,640,480:waittimer 55130 blt 0,0,640,480,0, 7272,640,480:waittimer 55146 blt 0,0,640,480,0, 7274,640,480:waittimer 55161 blt 0,0,640,480,0, 7276,640,480:waittimer 55176 blt 0,0,640,480,0, 7278,640,480:waittimer 55191 blt 0,0,640,480,0, 7280,640,480:waittimer 55206 blt 0,0,640,480,0, 7282,640,480:waittimer 55221 blt 0,0,640,480,0, 7284,640,480:waittimer 55237 blt 0,0,640,480,0, 7286,640,480:waittimer 55252 blt 0,0,640,480,0, 7288,640,480:waittimer 55267 blt 0,0,640,480,0, 7290,640,480:waittimer 55282 blt 0,0,640,480,0, 7292,640,480:waittimer 55297 blt 0,0,640,480,0, 7294,640,480:waittimer 55312 blt 0,0,640,480,0, 7296,640,480:waittimer 55328 blt 0,0,640,480,0, 7298,640,480:waittimer 55343 blt 0,0,640,480,0, 7300,640,480:waittimer 55358 blt 0,0,640,480,0, 7302,640,480:waittimer 55373 blt 0,0,640,480,0, 7304,640,480:waittimer 55388 blt 0,0,640,480,0, 7306,640,480:waittimer 55403 blt 0,0,640,480,0, 7308,640,480:waittimer 55419 blt 0,0,640,480,0, 7310,640,480:waittimer 55434 blt 0,0,640,480,0, 7312,640,480:waittimer 55449 blt 0,0,640,480,0, 7314,640,480:waittimer 55464 blt 0,0,640,480,0, 7316,640,480:waittimer 55479 blt 0,0,640,480,0, 7318,640,480:waittimer 55494 blt 0,0,640,480,0, 7320,640,480:waittimer 55510 blt 0,0,640,480,0, 7322,640,480:waittimer 55525 blt 0,0,640,480,0, 7324,640,480:waittimer 55540 blt 0,0,640,480,0, 7326,640,480:waittimer 55555 blt 0,0,640,480,0, 7328,640,480:waittimer 55570 blt 0,0,640,480,0, 7330,640,480:waittimer 55585 blt 0,0,640,480,0, 7332,640,480:waittimer 55601 blt 0,0,640,480,0, 7334,640,480:waittimer 55616 blt 0,0,640,480,0, 7336,640,480:waittimer 55631 blt 0,0,640,480,0, 7338,640,480:waittimer 55646 blt 0,0,640,480,0, 7340,640,480:waittimer 55661 blt 0,0,640,480,0, 7342,640,480:waittimer 55676 blt 0,0,640,480,0, 7344,640,480:waittimer 55692 blt 0,0,640,480,0, 7346,640,480:waittimer 55707 blt 0,0,640,480,0, 7348,640,480:waittimer 55722 blt 0,0,640,480,0, 7350,640,480:waittimer 55737 blt 0,0,640,480,0, 7352,640,480:waittimer 55752 blt 0,0,640,480,0, 7354,640,480:waittimer 55767 blt 0,0,640,480,0, 7356,640,480:waittimer 55783 blt 0,0,640,480,0, 7358,640,480:waittimer 55798 blt 0,0,640,480,0, 7360,640,480:waittimer 55813 blt 0,0,640,480,0, 7362,640,480:waittimer 55828 blt 0,0,640,480,0, 7364,640,480:waittimer 55843 blt 0,0,640,480,0, 7366,640,480:waittimer 55858 blt 0,0,640,480,0, 7368,640,480:waittimer 55874 blt 0,0,640,480,0, 7370,640,480:waittimer 55889 blt 0,0,640,480,0, 7372,640,480:waittimer 55904 blt 0,0,640,480,0, 7374,640,480:waittimer 55919 blt 0,0,640,480,0, 7376,640,480:waittimer 55934 blt 0,0,640,480,0, 7378,640,480:waittimer 55949 blt 0,0,640,480,0, 7380,640,480:waittimer 55965 blt 0,0,640,480,0, 7382,640,480:waittimer 55980 blt 0,0,640,480,0, 7384,640,480:waittimer 55995 blt 0,0,640,480,0, 7386,640,480:waittimer 56010 blt 0,0,640,480,0, 7388,640,480:waittimer 56025 blt 0,0,640,480,0, 7390,640,480:waittimer 56040 blt 0,0,640,480,0, 7392,640,480:waittimer 56056 blt 0,0,640,480,0, 7394,640,480:waittimer 56071 blt 0,0,640,480,0, 7396,640,480:waittimer 56086 blt 0,0,640,480,0, 7398,640,480:waittimer 56101 blt 0,0,640,480,0, 7400,640,480:waittimer 56116 blt 0,0,640,480,0, 7402,640,480:waittimer 56131 blt 0,0,640,480,0, 7404,640,480:waittimer 56147 blt 0,0,640,480,0, 7406,640,480:waittimer 56162 blt 0,0,640,480,0, 7408,640,480:waittimer 56177 blt 0,0,640,480,0, 7410,640,480:waittimer 56192 blt 0,0,640,480,0, 7412,640,480:waittimer 56207 blt 0,0,640,480,0, 7414,640,480:waittimer 56222 blt 0,0,640,480,0, 7416,640,480:waittimer 56238 blt 0,0,640,480,0, 7418,640,480:waittimer 56253 blt 0,0,640,480,0, 7420,640,480:waittimer 56268 blt 0,0,640,480,0, 7422,640,480:waittimer 56283 blt 0,0,640,480,0, 7424,640,480:waittimer 56298 blt 0,0,640,480,0, 7426,640,480:waittimer 56313 blt 0,0,640,480,0, 7428,640,480:waittimer 56329 blt 0,0,640,480,0, 7430,640,480:waittimer 56344 blt 0,0,640,480,0, 7432,640,480:waittimer 56359 blt 0,0,640,480,0, 7434,640,480:waittimer 56374 blt 0,0,640,480,0, 7436,640,480:waittimer 56389 blt 0,0,640,480,0, 7438,640,480:waittimer 56404 blt 0,0,640,480,0, 7440,640,480:waittimer 56420 blt 0,0,640,480,0, 7442,640,480:waittimer 56435 blt 0,0,640,480,0, 7444,640,480:waittimer 56450 blt 0,0,640,480,0, 7446,640,480:waittimer 56465 blt 0,0,640,480,0, 7448,640,480:waittimer 56480 blt 0,0,640,480,0, 7450,640,480:waittimer 56495 blt 0,0,640,480,0, 7452,640,480:waittimer 56511 blt 0,0,640,480,0, 7454,640,480:waittimer 56526 blt 0,0,640,480,0, 7456,640,480:waittimer 56541 blt 0,0,640,480,0, 7458,640,480:waittimer 56556 blt 0,0,640,480,0, 7460,640,480:waittimer 56571 blt 0,0,640,480,0, 7462,640,480:waittimer 56586 blt 0,0,640,480,0, 7464,640,480:waittimer 56602 blt 0,0,640,480,0, 7466,640,480:waittimer 56617 blt 0,0,640,480,0, 7468,640,480:waittimer 56632 blt 0,0,640,480,0, 7470,640,480:waittimer 56647 blt 0,0,640,480,0, 7472,640,480:waittimer 56662 blt 0,0,640,480,0, 7474,640,480:waittimer 56677 blt 0,0,640,480,0, 7476,640,480:waittimer 56693 blt 0,0,640,480,0, 7478,640,480:waittimer 56708 blt 0,0,640,480,0, 7480,640,480:waittimer 56723 blt 0,0,640,480,0, 7482,640,480:waittimer 56738 blt 0,0,640,480,0, 7484,640,480:waittimer 56753 blt 0,0,640,480,0, 7486,640,480:waittimer 56768 blt 0,0,640,480,0, 7488,640,480:waittimer 56784 blt 0,0,640,480,0, 7490,640,480:waittimer 56799 blt 0,0,640,480,0, 7492,640,480:waittimer 56814 blt 0,0,640,480,0, 7494,640,480:waittimer 56829 blt 0,0,640,480,0, 7496,640,480:waittimer 56844 blt 0,0,640,480,0, 7498,640,480:waittimer 56859 blt 0,0,640,480,0, 7500,640,480:waittimer 56875 blt 0,0,640,480,0, 7502,640,480:waittimer 56890 blt 0,0,640,480,0, 7504,640,480:waittimer 56905 blt 0,0,640,480,0, 7506,640,480:waittimer 56920 blt 0,0,640,480,0, 7508,640,480:waittimer 56935 blt 0,0,640,480,0, 7510,640,480:waittimer 56950 blt 0,0,640,480,0, 7512,640,480:waittimer 56966 blt 0,0,640,480,0, 7514,640,480:waittimer 56981 blt 0,0,640,480,0, 7516,640,480:waittimer 56996 blt 0,0,640,480,0, 7518,640,480:waittimer 57011 blt 0,0,640,480,0, 7520,640,480:waittimer 57026 blt 0,0,640,480,0, 7522,640,480:waittimer 57041 blt 0,0,640,480,0, 7524,640,480:waittimer 57057 blt 0,0,640,480,0, 7526,640,480:waittimer 57072 blt 0,0,640,480,0, 7528,640,480:waittimer 57087 blt 0,0,640,480,0, 7530,640,480:waittimer 57102 blt 0,0,640,480,0, 7532,640,480:waittimer 57117 blt 0,0,640,480,0, 7534,640,480:waittimer 57132 blt 0,0,640,480,0, 7536,640,480:waittimer 57148 blt 0,0,640,480,0, 7538,640,480:waittimer 57163 blt 0,0,640,480,0, 7540,640,480:waittimer 57178 blt 0,0,640,480,0, 7542,640,480:waittimer 57193 blt 0,0,640,480,0, 7544,640,480:waittimer 57208 blt 0,0,640,480,0, 7546,640,480:waittimer 57223 blt 0,0,640,480,0, 7548,640,480:waittimer 57239 blt 0,0,640,480,0, 7550,640,480:waittimer 57254 blt 0,0,640,480,0, 7552,640,480:waittimer 57269 blt 0,0,640,480,0, 7554,640,480:waittimer 57284 blt 0,0,640,480,0, 7556,640,480:waittimer 57299 blt 0,0,640,480,0, 7558,640,480:waittimer 57314 blt 0,0,640,480,0, 7560,640,480:waittimer 57330 blt 0,0,640,480,0, 7562,640,480:waittimer 57345 blt 0,0,640,480,0, 7564,640,480:waittimer 57360 blt 0,0,640,480,0, 7566,640,480:waittimer 57375 blt 0,0,640,480,0, 7568,640,480:waittimer 57390 blt 0,0,640,480,0, 7570,640,480:waittimer 57405 blt 0,0,640,480,0, 7572,640,480:waittimer 57421 blt 0,0,640,480,0, 7574,640,480:waittimer 57436 blt 0,0,640,480,0, 7576,640,480:waittimer 57451 blt 0,0,640,480,0, 7578,640,480:waittimer 57466 blt 0,0,640,480,0, 7580,640,480:waittimer 57481 blt 0,0,640,480,0, 7582,640,480:waittimer 57496 blt 0,0,640,480,0, 7584,640,480:waittimer 57512 blt 0,0,640,480,0, 7586,640,480:waittimer 57527 blt 0,0,640,480,0, 7588,640,480:waittimer 57542 blt 0,0,640,480,0, 7590,640,480:waittimer 57557 blt 0,0,640,480,0, 7592,640,480:waittimer 57572 blt 0,0,640,480,0, 7594,640,480:waittimer 57587 blt 0,0,640,480,0, 7596,640,480:waittimer 57603 blt 0,0,640,480,0, 7598,640,480:waittimer 57618 blt 0,0,640,480,0, 7600,640,480:waittimer 57633 blt 0,0,640,480,0, 7602,640,480:waittimer 57648 blt 0,0,640,480,0, 7604,640,480:waittimer 57663 blt 0,0,640,480,0, 7606,640,480:waittimer 57678 blt 0,0,640,480,0, 7608,640,480:waittimer 57694 blt 0,0,640,480,0, 7610,640,480:waittimer 57709 blt 0,0,640,480,0, 7612,640,480:waittimer 57724 blt 0,0,640,480,0, 7614,640,480:waittimer 57739 blt 0,0,640,480,0, 7616,640,480:waittimer 57754 blt 0,0,640,480,0, 7618,640,480:waittimer 57769 blt 0,0,640,480,0, 7620,640,480:waittimer 57785 blt 0,0,640,480,0, 7622,640,480:waittimer 57800 blt 0,0,640,480,0, 7624,640,480:waittimer 57815 blt 0,0,640,480,0, 7626,640,480:waittimer 57830 blt 0,0,640,480,0, 7628,640,480:waittimer 57845 blt 0,0,640,480,0, 7630,640,480:waittimer 57860 blt 0,0,640,480,0, 7632,640,480:waittimer 57876 blt 0,0,640,480,0, 7634,640,480:waittimer 57891 blt 0,0,640,480,0, 7636,640,480:waittimer 57906 blt 0,0,640,480,0, 7638,640,480:waittimer 57921 blt 0,0,640,480,0, 7640,640,480:waittimer 57936 blt 0,0,640,480,0, 7642,640,480:waittimer 57951 blt 0,0,640,480,0, 7644,640,480:waittimer 57967 blt 0,0,640,480,0, 7646,640,480:waittimer 57982 blt 0,0,640,480,0, 7648,640,480:waittimer 57997 blt 0,0,640,480,0, 7650,640,480:waittimer 58012 blt 0,0,640,480,0, 7652,640,480:waittimer 58027 blt 0,0,640,480,0, 7654,640,480:waittimer 58042 blt 0,0,640,480,0, 7656,640,480:waittimer 58058 blt 0,0,640,480,0, 7658,640,480:waittimer 58073 blt 0,0,640,480,0, 7660,640,480:waittimer 58088 blt 0,0,640,480,0, 7662,640,480:waittimer 58103 blt 0,0,640,480,0, 7664,640,480:waittimer 58118 blt 0,0,640,480,0, 7666,640,480:waittimer 58133 blt 0,0,640,480,0, 7668,640,480:waittimer 58149 blt 0,0,640,480,0, 7670,640,480:waittimer 58164 blt 0,0,640,480,0, 7672,640,480:waittimer 58179 blt 0,0,640,480,0, 7674,640,480:waittimer 58194 blt 0,0,640,480,0, 7676,640,480:waittimer 58209 blt 0,0,640,480,0, 7678,640,480:waittimer 58224 blt 0,0,640,480,0, 7680,640,480:waittimer 58240 blt 0,0,640,480,0, 7682,640,480:waittimer 58255 blt 0,0,640,480,0, 7684,640,480:waittimer 58270 blt 0,0,640,480,0, 7686,640,480:waittimer 58285 blt 0,0,640,480,0, 7688,640,480:waittimer 58300 blt 0,0,640,480,0, 7690,640,480:waittimer 58315 blt 0,0,640,480,0, 7692,640,480:waittimer 58331 blt 0,0,640,480,0, 7694,640,480:waittimer 58346 blt 0,0,640,480,0, 7696,640,480:waittimer 58361 blt 0,0,640,480,0, 7698,640,480:waittimer 58376 blt 0,0,640,480,0, 7700,640,480:waittimer 58391 blt 0,0,640,480,0, 7702,640,480:waittimer 58406 blt 0,0,640,480,0, 7704,640,480:waittimer 58422 blt 0,0,640,480,0, 7706,640,480:waittimer 58437 blt 0,0,640,480,0, 7708,640,480:waittimer 58452 blt 0,0,640,480,0, 7710,640,480:waittimer 58467 blt 0,0,640,480,0, 7712,640,480:waittimer 58482 blt 0,0,640,480,0, 7714,640,480:waittimer 58497 blt 0,0,640,480,0, 7716,640,480:waittimer 58513 blt 0,0,640,480,0, 7718,640,480:waittimer 58528 blt 0,0,640,480,0, 7720,640,480:waittimer 58543 blt 0,0,640,480,0, 7722,640,480:waittimer 58558 blt 0,0,640,480,0, 7724,640,480:waittimer 58573 blt 0,0,640,480,0, 7726,640,480:waittimer 58588 blt 0,0,640,480,0, 7728,640,480:waittimer 58604 blt 0,0,640,480,0, 7730,640,480:waittimer 58619 blt 0,0,640,480,0, 7732,640,480:waittimer 58634 blt 0,0,640,480,0, 7734,640,480:waittimer 58649 blt 0,0,640,480,0, 7736,640,480:waittimer 58664 blt 0,0,640,480,0, 7738,640,480:waittimer 58679 blt 0,0,640,480,0, 7740,640,480:waittimer 58695 blt 0,0,640,480,0, 7742,640,480:waittimer 58710 blt 0,0,640,480,0, 7744,640,480:waittimer 58725 blt 0,0,640,480,0, 7746,640,480:waittimer 58740 blt 0,0,640,480,0, 7748,640,480:waittimer 58755 blt 0,0,640,480,0, 7750,640,480:waittimer 58770 blt 0,0,640,480,0, 7752,640,480:waittimer 58786 blt 0,0,640,480,0, 7754,640,480:waittimer 58801 blt 0,0,640,480,0, 7756,640,480:waittimer 58816 blt 0,0,640,480,0, 7758,640,480:waittimer 58831 blt 0,0,640,480,0, 7760,640,480:waittimer 58846 blt 0,0,640,480,0, 7762,640,480:waittimer 58861 blt 0,0,640,480,0, 7764,640,480:waittimer 58877 blt 0,0,640,480,0, 7766,640,480:waittimer 58892 blt 0,0,640,480,0, 7768,640,480:waittimer 58907 blt 0,0,640,480,0, 7770,640,480:waittimer 58922 blt 0,0,640,480,0, 7772,640,480:waittimer 58937 blt 0,0,640,480,0, 7774,640,480:waittimer 58952 blt 0,0,640,480,0, 7776,640,480:waittimer 58968 blt 0,0,640,480,0, 7778,640,480:waittimer 58983 blt 0,0,640,480,0, 7780,640,480:waittimer 58998 blt 0,0,640,480,0, 7782,640,480:waittimer 59013 blt 0,0,640,480,0, 7784,640,480:waittimer 59028 blt 0,0,640,480,0, 7786,640,480:waittimer 59043 blt 0,0,640,480,0, 7788,640,480:waittimer 59059 blt 0,0,640,480,0, 7790,640,480:waittimer 59074 blt 0,0,640,480,0, 7792,640,480:waittimer 59089 blt 0,0,640,480,0, 7794,640,480:waittimer 59104 blt 0,0,640,480,0, 7796,640,480:waittimer 59119 blt 0,0,640,480,0, 7798,640,480:waittimer 59134 blt 0,0,640,480,0, 7800,640,480:waittimer 59150 blt 0,0,640,480,0, 7802,640,480:waittimer 59165 blt 0,0,640,480,0, 7804,640,480:waittimer 59180 blt 0,0,640,480,0, 7806,640,480:waittimer 59195 blt 0,0,640,480,0, 7808,640,480:waittimer 59210 blt 0,0,640,480,0, 7810,640,480:waittimer 59225 blt 0,0,640,480,0, 7812,640,480:waittimer 59241 blt 0,0,640,480,0, 7814,640,480:waittimer 59256 blt 0,0,640,480,0, 7816,640,480:waittimer 59271 blt 0,0,640,480,0, 7818,640,480:waittimer 59286 blt 0,0,640,480,0, 7820,640,480:waittimer 59301 blt 0,0,640,480,0, 7822,640,480:waittimer 59316 blt 0,0,640,480,0, 7824,640,480:waittimer 59332 blt 0,0,640,480,0, 7826,640,480:waittimer 59347 blt 0,0,640,480,0, 7828,640,480:waittimer 59362 blt 0,0,640,480,0, 7830,640,480:waittimer 59377 blt 0,0,640,480,0, 7832,640,480:waittimer 59392 blt 0,0,640,480,0, 7834,640,480:waittimer 59407 blt 0,0,640,480,0, 7836,640,480:waittimer 59423 blt 0,0,640,480,0, 7838,640,480:waittimer 59438 blt 0,0,640,480,0, 7840,640,480:waittimer 59453 blt 0,0,640,480,0, 7842,640,480:waittimer 59468 blt 0,0,640,480,0, 7844,640,480:waittimer 59483 blt 0,0,640,480,0, 7846,640,480:waittimer 59498 blt 0,0,640,480,0, 7848,640,480:waittimer 59514 blt 0,0,640,480,0, 7850,640,480:waittimer 59529 blt 0,0,640,480,0, 7852,640,480:waittimer 59544 blt 0,0,640,480,0, 7854,640,480:waittimer 59559 blt 0,0,640,480,0, 7856,640,480:waittimer 59574 blt 0,0,640,480,0, 7858,640,480:waittimer 59589 blt 0,0,640,480,0, 7860,640,480:waittimer 59605 blt 0,0,640,480,0, 7862,640,480:waittimer 59620 blt 0,0,640,480,0, 7864,640,480:waittimer 59635 blt 0,0,640,480,0, 7866,640,480:waittimer 59650 blt 0,0,640,480,0, 7868,640,480:waittimer 59665 blt 0,0,640,480,0, 7870,640,480:waittimer 59680 blt 0,0,640,480,0, 7872,640,480:waittimer 59696 blt 0,0,640,480,0, 7874,640,480:waittimer 59711 blt 0,0,640,480,0, 7876,640,480:waittimer 59726 blt 0,0,640,480,0, 7878,640,480:waittimer 59741 blt 0,0,640,480,0, 7880,640,480:waittimer 59756 blt 0,0,640,480,0, 7882,640,480:waittimer 59771 blt 0,0,640,480,0, 7884,640,480:waittimer 59787 blt 0,0,640,480,0, 7886,640,480:waittimer 59802 blt 0,0,640,480,0, 7888,640,480:waittimer 59817 blt 0,0,640,480,0, 7890,640,480:waittimer 59832 blt 0,0,640,480,0, 7892,640,480:waittimer 59847 blt 0,0,640,480,0, 7894,640,480:waittimer 59862 blt 0,0,640,480,0, 7896,640,480:waittimer 59878 blt 0,0,640,480,0, 7898,640,480:waittimer 59893 blt 0,0,640,480,0, 7900,640,480:waittimer 59908 blt 0,0,640,480,0, 7902,640,480:waittimer 59923 blt 0,0,640,480,0, 7904,640,480:waittimer 59938 blt 0,0,640,480,0, 7906,640,480:waittimer 59953 blt 0,0,640,480,0, 7908,640,480:waittimer 59969 blt 0,0,640,480,0, 7910,640,480:waittimer 59984 blt 0,0,640,480,0, 7912,640,480:waittimer 59999 blt 0,0,640,480,0, 7914,640,480:waittimer 60014 blt 0,0,640,480,0, 7916,640,480:waittimer 60029 blt 0,0,640,480,0, 7918,640,480:waittimer 60044 blt 0,0,640,480,0, 7920,640,480:waittimer 60060 blt 0,0,640,480,0, 7922,640,480:waittimer 60075 blt 0,0,640,480,0, 7924,640,480:waittimer 60090 blt 0,0,640,480,0, 7926,640,480:waittimer 60105 blt 0,0,640,480,0, 7928,640,480:waittimer 60120 blt 0,0,640,480,0, 7930,640,480:waittimer 60135 blt 0,0,640,480,0, 7932,640,480:waittimer 60151 blt 0,0,640,480,0, 7934,640,480:waittimer 60166 blt 0,0,640,480,0, 7936,640,480:waittimer 60181 blt 0,0,640,480,0, 7938,640,480:waittimer 60196 blt 0,0,640,480,0, 7940,640,480:waittimer 60211 blt 0,0,640,480,0, 7942,640,480:waittimer 60226 blt 0,0,640,480,0, 7944,640,480:waittimer 60242 blt 0,0,640,480,0, 7946,640,480:waittimer 60257 blt 0,0,640,480,0, 7948,640,480:waittimer 60272 blt 0,0,640,480,0, 7950,640,480:waittimer 60287 blt 0,0,640,480,0, 7952,640,480:waittimer 60302 blt 0,0,640,480,0, 7954,640,480:waittimer 60317 blt 0,0,640,480,0, 7956,640,480:waittimer 60333 blt 0,0,640,480,0, 7958,640,480:waittimer 60348 blt 0,0,640,480,0, 7960,640,480:waittimer 60363 blt 0,0,640,480,0, 7962,640,480:waittimer 60378 blt 0,0,640,480,0, 7964,640,480:waittimer 60393 blt 0,0,640,480,0, 7966,640,480:waittimer 60408 blt 0,0,640,480,0, 7968,640,480:waittimer 60424 blt 0,0,640,480,0, 7970,640,480:waittimer 60439 blt 0,0,640,480,0, 7972,640,480:waittimer 60454 blt 0,0,640,480,0, 7974,640,480:waittimer 60469 blt 0,0,640,480,0, 7976,640,480:waittimer 60484 blt 0,0,640,480,0, 7978,640,480:waittimer 60499 blt 0,0,640,480,0, 7980,640,480:waittimer 60515 blt 0,0,640,480,0, 7982,640,480:waittimer 60530 blt 0,0,640,480,0, 7984,640,480:waittimer 60545 blt 0,0,640,480,0, 7986,640,480:waittimer 60560 blt 0,0,640,480,0, 7988,640,480:waittimer 60575 blt 0,0,640,480,0, 7990,640,480:waittimer 60590 blt 0,0,640,480,0, 7992,640,480:waittimer 60606 blt 0,0,640,480,0, 7994,640,480:waittimer 60621 blt 0,0,640,480,0, 7996,640,480:waittimer 60636 blt 0,0,640,480,0, 7998,640,480:waittimer 60651 blt 0,0,640,480,0, 8000,640,480:waittimer 60666 blt 0,0,640,480,0, 8002,640,480:waittimer 60681 blt 0,0,640,480,0, 8004,640,480:waittimer 60697 blt 0,0,640,480,0, 8006,640,480:waittimer 60712 blt 0,0,640,480,0, 8008,640,480:waittimer 60727 blt 0,0,640,480,0, 8010,640,480:waittimer 60742 blt 0,0,640,480,0, 8012,640,480:waittimer 60757 blt 0,0,640,480,0, 8014,640,480:waittimer 60772 blt 0,0,640,480,0, 8016,640,480:waittimer 60788 blt 0,0,640,480,0, 8018,640,480:waittimer 60803 blt 0,0,640,480,0, 8020,640,480:waittimer 60818 blt 0,0,640,480,0, 8022,640,480:waittimer 60833 blt 0,0,640,480,0, 8024,640,480:waittimer 60848 blt 0,0,640,480,0, 8026,640,480:waittimer 60863 blt 0,0,640,480,0, 8028,640,480:waittimer 60879 blt 0,0,640,480,0, 8030,640,480:waittimer 60894 blt 0,0,640,480,0, 8032,640,480:waittimer 60909 blt 0,0,640,480,0, 8034,640,480:waittimer 60924 blt 0,0,640,480,0, 8036,640,480:waittimer 60939 blt 0,0,640,480,0, 8038,640,480:waittimer 60954 blt 0,0,640,480,0, 8040,640,480:waittimer 60970 blt 0,0,640,480,0, 8042,640,480:waittimer 60985 blt 0,0,640,480,0, 8044,640,480:waittimer 61000 blt 0,0,640,480,0, 8046,640,480:waittimer 61015 blt 0,0,640,480,0, 8048,640,480:waittimer 61030 blt 0,0,640,480,0, 8050,640,480:waittimer 61045 blt 0,0,640,480,0, 8052,640,480:waittimer 61061 blt 0,0,640,480,0, 8054,640,480:waittimer 61076 blt 0,0,640,480,0, 8056,640,480:waittimer 61091 blt 0,0,640,480,0, 8058,640,480:waittimer 61106 blt 0,0,640,480,0, 8060,640,480:waittimer 61121 blt 0,0,640,480,0, 8062,640,480:waittimer 61136 blt 0,0,640,480,0, 8064,640,480:waittimer 61152 blt 0,0,640,480,0, 8066,640,480:waittimer 61167 blt 0,0,640,480,0, 8068,640,480:waittimer 61182 blt 0,0,640,480,0, 8070,640,480:waittimer 61197 blt 0,0,640,480,0, 8072,640,480:waittimer 61212 blt 0,0,640,480,0, 8074,640,480:waittimer 61227 blt 0,0,640,480,0, 8076,640,480:waittimer 61243 blt 0,0,640,480,0, 8078,640,480:waittimer 61258 blt 0,0,640,480,0, 8080,640,480:waittimer 61273 blt 0,0,640,480,0, 8082,640,480:waittimer 61288 blt 0,0,640,480,0, 8084,640,480:waittimer 61303 blt 0,0,640,480,0, 8086,640,480:waittimer 61318 blt 0,0,640,480,0, 8088,640,480:waittimer 61334 blt 0,0,640,480,0, 8090,640,480:waittimer 61349 blt 0,0,640,480,0, 8092,640,480:waittimer 61364 blt 0,0,640,480,0, 8094,640,480:waittimer 61379 blt 0,0,640,480,0, 8096,640,480:waittimer 61394 blt 0,0,640,480,0, 8098,640,480:waittimer 61409 blt 0,0,640,480,0, 8100,640,480:waittimer 61425 blt 0,0,640,480,0, 8102,640,480:waittimer 61440 blt 0,0,640,480,0, 8104,640,480:waittimer 61455 blt 0,0,640,480,0, 8106,640,480:waittimer 61470 blt 0,0,640,480,0, 8108,640,480:waittimer 61485 blt 0,0,640,480,0, 8110,640,480:waittimer 61500 blt 0,0,640,480,0, 8112,640,480:waittimer 61516 blt 0,0,640,480,0, 8114,640,480:waittimer 61531 blt 0,0,640,480,0, 8116,640,480:waittimer 61546 blt 0,0,640,480,0, 8118,640,480:waittimer 61561 blt 0,0,640,480,0, 8120,640,480:waittimer 61576 blt 0,0,640,480,0, 8122,640,480:waittimer 61591 blt 0,0,640,480,0, 8124,640,480:waittimer 61607 blt 0,0,640,480,0, 8126,640,480:waittimer 61622 blt 0,0,640,480,0, 8128,640,480:waittimer 61637 blt 0,0,640,480,0, 8130,640,480:waittimer 61652 blt 0,0,640,480,0, 8132,640,480:waittimer 61667 blt 0,0,640,480,0, 8134,640,480:waittimer 61682 blt 0,0,640,480,0, 8136,640,480:waittimer 61698 blt 0,0,640,480,0, 8138,640,480:waittimer 61713 blt 0,0,640,480,0, 8140,640,480:waittimer 61728 blt 0,0,640,480,0, 8142,640,480:waittimer 61743 blt 0,0,640,480,0, 8144,640,480:waittimer 61758 blt 0,0,640,480,0, 8146,640,480:waittimer 61773 blt 0,0,640,480,0, 8148,640,480:waittimer 61789 blt 0,0,640,480,0, 8150,640,480:waittimer 61804 blt 0,0,640,480,0, 8152,640,480:waittimer 61819 blt 0,0,640,480,0, 8154,640,480:waittimer 61834 blt 0,0,640,480,0, 8156,640,480:waittimer 61849 blt 0,0,640,480,0, 8158,640,480:waittimer 61864 blt 0,0,640,480,0, 8160,640,480:waittimer 61880 blt 0,0,640,480,0, 8162,640,480:waittimer 61895 blt 0,0,640,480,0, 8164,640,480:waittimer 61910 blt 0,0,640,480,0, 8166,640,480:waittimer 61925 blt 0,0,640,480,0, 8168,640,480:waittimer 61940 blt 0,0,640,480,0, 8170,640,480:waittimer 61955 blt 0,0,640,480,0, 8172,640,480:waittimer 61971 blt 0,0,640,480,0, 8174,640,480:waittimer 61986 blt 0,0,640,480,0, 8176,640,480:waittimer 62001 blt 0,0,640,480,0, 8178,640,480:waittimer 62016 blt 0,0,640,480,0, 8180,640,480:waittimer 62031 blt 0,0,640,480,0, 8182,640,480:waittimer 62046 blt 0,0,640,480,0, 8184,640,480:waittimer 62062 blt 0,0,640,480,0, 8186,640,480:waittimer 62077 blt 0,0,640,480,0, 8188,640,480:waittimer 62092 blt 0,0,640,480,0, 8190,640,480:waittimer 62107 blt 0,0,640,480,0, 8192,640,480:waittimer 62122 blt 0,0,640,480,0, 8194,640,480:waittimer 62137 blt 0,0,640,480,0, 8196,640,480:waittimer 62153 blt 0,0,640,480,0, 8198,640,480:waittimer 62168 blt 0,0,640,480,0, 8200,640,480:waittimer 62183 blt 0,0,640,480,0, 8202,640,480:waittimer 62198 blt 0,0,640,480,0, 8204,640,480:waittimer 62213 blt 0,0,640,480,0, 8206,640,480:waittimer 62228 blt 0,0,640,480,0, 8208,640,480:waittimer 62244 blt 0,0,640,480,0, 8210,640,480:waittimer 62259 blt 0,0,640,480,0, 8212,640,480:waittimer 62274 blt 0,0,640,480,0, 8214,640,480:waittimer 62289 blt 0,0,640,480,0, 8216,640,480:waittimer 62304 blt 0,0,640,480,0, 8218,640,480:waittimer 62319 blt 0,0,640,480,0, 8220,640,480:waittimer 62335 blt 0,0,640,480,0, 8222,640,480:waittimer 62350 blt 0,0,640,480,0, 8224,640,480:waittimer 62365 blt 0,0,640,480,0, 8226,640,480:waittimer 62380 blt 0,0,640,480,0, 8228,640,480:waittimer 62395 blt 0,0,640,480,0, 8230,640,480:waittimer 62410 blt 0,0,640,480,0, 8232,640,480:waittimer 62426 blt 0,0,640,480,0, 8234,640,480:waittimer 62441 blt 0,0,640,480,0, 8236,640,480:waittimer 62456 blt 0,0,640,480,0, 8238,640,480:waittimer 62471 blt 0,0,640,480,0, 8240,640,480:waittimer 62486 blt 0,0,640,480,0, 8242,640,480:waittimer 62501 blt 0,0,640,480,0, 8244,640,480:waittimer 62517 blt 0,0,640,480,0, 8246,640,480:waittimer 62532 blt 0,0,640,480,0, 8248,640,480:waittimer 62547 blt 0,0,640,480,0, 8250,640,480:waittimer 62562 blt 0,0,640,480,0, 8252,640,480:waittimer 62577 blt 0,0,640,480,0, 8254,640,480:waittimer 62592 blt 0,0,640,480,0, 8256,640,480:waittimer 62608 blt 0,0,640,480,0, 8258,640,480:waittimer 62623 blt 0,0,640,480,0, 8260,640,480:waittimer 62638 blt 0,0,640,480,0, 8262,640,480:waittimer 62653 blt 0,0,640,480,0, 8264,640,480:waittimer 62668 blt 0,0,640,480,0, 8266,640,480:waittimer 62683 blt 0,0,640,480,0, 8268,640,480:waittimer 62699 blt 0,0,640,480,0, 8270,640,480:waittimer 62714 blt 0,0,640,480,0, 8272,640,480:waittimer 62729 blt 0,0,640,480,0, 8274,640,480:waittimer 62744 blt 0,0,640,480,0, 8276,640,480:waittimer 62759 blt 0,0,640,480,0, 8278,640,480:waittimer 62774 blt 0,0,640,480,0, 8280,640,480:waittimer 62790 blt 0,0,640,480,0, 8282,640,480:waittimer 62805 blt 0,0,640,480,0, 8284,640,480:waittimer 62820 blt 0,0,640,480,0, 8286,640,480:waittimer 62835 blt 0,0,640,480,0, 8288,640,480:waittimer 62850 blt 0,0,640,480,0, 8290,640,480:waittimer 62865 blt 0,0,640,480,0, 8292,640,480:waittimer 62881 blt 0,0,640,480,0, 8294,640,480:waittimer 62896 blt 0,0,640,480,0, 8296,640,480:waittimer 62911 blt 0,0,640,480,0, 8298,640,480:waittimer 62926 blt 0,0,640,480,0, 8300,640,480:waittimer 62941 blt 0,0,640,480,0, 8302,640,480:waittimer 62956 blt 0,0,640,480,0, 8304,640,480:waittimer 62972 blt 0,0,640,480,0, 8306,640,480:waittimer 62987 blt 0,0,640,480,0, 8308,640,480:waittimer 63002 blt 0,0,640,480,0, 8310,640,480:waittimer 63017 blt 0,0,640,480,0, 8312,640,480:waittimer 63032 blt 0,0,640,480,0, 8314,640,480:waittimer 63047 blt 0,0,640,480,0, 8316,640,480:waittimer 63063 blt 0,0,640,480,0, 8318,640,480:waittimer 63078 blt 0,0,640,480,0, 8320,640,480:waittimer 63093 blt 0,0,640,480,0, 8322,640,480:waittimer 63108 blt 0,0,640,480,0, 8324,640,480:waittimer 63123 blt 0,0,640,480,0, 8326,640,480:waittimer 63138 blt 0,0,640,480,0, 8328,640,480:waittimer 63154 blt 0,0,640,480,0, 8330,640,480:waittimer 63169 blt 0,0,640,480,0, 8332,640,480:waittimer 63184 blt 0,0,640,480,0, 8334,640,480:waittimer 63199 blt 0,0,640,480,0, 8336,640,480:waittimer 63214 blt 0,0,640,480,0, 8338,640,480:waittimer 63229 blt 0,0,640,480,0, 8340,640,480:waittimer 63245 blt 0,0,640,480,0, 8342,640,480:waittimer 63260 blt 0,0,640,480,0, 8344,640,480:waittimer 63275 blt 0,0,640,480,0, 8346,640,480:waittimer 63290 blt 0,0,640,480,0, 8348,640,480:waittimer 63305 blt 0,0,640,480,0, 8350,640,480:waittimer 63320 blt 0,0,640,480,0, 8352,640,480:waittimer 63336 blt 0,0,640,480,0, 8354,640,480:waittimer 63351 blt 0,0,640,480,0, 8356,640,480:waittimer 63366 blt 0,0,640,480,0, 8358,640,480:waittimer 63381 blt 0,0,640,480,0, 8360,640,480:waittimer 63396 blt 0,0,640,480,0, 8362,640,480:waittimer 63411 blt 0,0,640,480,0, 8364,640,480:waittimer 63427 blt 0,0,640,480,0, 8366,640,480:waittimer 63442 blt 0,0,640,480,0, 8368,640,480:waittimer 63457 blt 0,0,640,480,0, 8370,640,480:waittimer 63472 blt 0,0,640,480,0, 8372,640,480:waittimer 63487 blt 0,0,640,480,0, 8374,640,480:waittimer 63502 blt 0,0,640,480,0, 8376,640,480:waittimer 63518 blt 0,0,640,480,0, 8378,640,480:waittimer 63533 blt 0,0,640,480,0, 8380,640,480:waittimer 63548 blt 0,0,640,480,0, 8382,640,480:waittimer 63563 blt 0,0,640,480,0, 8384,640,480:waittimer 63578 blt 0,0,640,480,0, 8386,640,480:waittimer 63593 blt 0,0,640,480,0, 8388,640,480:waittimer 63609 blt 0,0,640,480,0, 8390,640,480:waittimer 63624 blt 0,0,640,480,0, 8392,640,480:waittimer 63639 blt 0,0,640,480,0, 8394,640,480:waittimer 63654 blt 0,0,640,480,0, 8396,640,480:waittimer 63669 blt 0,0,640,480,0, 8398,640,480:waittimer 63684 blt 0,0,640,480,0, 8400,640,480:waittimer 63700 blt 0,0,640,480,0, 8402,640,480:waittimer 63715 blt 0,0,640,480,0, 8404,640,480:waittimer 63730 blt 0,0,640,480,0, 8406,640,480:waittimer 63745 blt 0,0,640,480,0, 8408,640,480:waittimer 63760 blt 0,0,640,480,0, 8410,640,480:waittimer 63775 blt 0,0,640,480,0, 8412,640,480:waittimer 63791 blt 0,0,640,480,0, 8414,640,480:waittimer 63806 blt 0,0,640,480,0, 8416,640,480:waittimer 63821 blt 0,0,640,480,0, 8418,640,480:waittimer 63836 blt 0,0,640,480,0, 8420,640,480:waittimer 63851 blt 0,0,640,480,0, 8422,640,480:waittimer 63866 blt 0,0,640,480,0, 8424,640,480:waittimer 63882 blt 0,0,640,480,0, 8426,640,480:waittimer 63897 blt 0,0,640,480,0, 8428,640,480:waittimer 63912 blt 0,0,640,480,0, 8430,640,480:waittimer 63927 blt 0,0,640,480,0, 8432,640,480:waittimer 63942 blt 0,0,640,480,0, 8434,640,480:waittimer 63957 blt 0,0,640,480,0, 8436,640,480:waittimer 63973 blt 0,0,640,480,0, 8438,640,480:waittimer 63988 blt 0,0,640,480,0, 8440,640,480:waittimer 64003 blt 0,0,640,480,0, 8442,640,480:waittimer 64018 blt 0,0,640,480,0, 8444,640,480:waittimer 64033 blt 0,0,640,480,0, 8446,640,480:waittimer 64048 blt 0,0,640,480,0, 8448,640,480:waittimer 64064 blt 0,0,640,480,0, 8450,640,480:waittimer 64079 blt 0,0,640,480,0, 8452,640,480:waittimer 64094 blt 0,0,640,480,0, 8454,640,480:waittimer 64109 blt 0,0,640,480,0, 8456,640,480:waittimer 64124 blt 0,0,640,480,0, 8458,640,480:waittimer 64139 blt 0,0,640,480,0, 8460,640,480:waittimer 64155 blt 0,0,640,480,0, 8462,640,480:waittimer 64170 blt 0,0,640,480,0, 8464,640,480:waittimer 64185 blt 0,0,640,480,0, 8466,640,480:waittimer 64200 blt 0,0,640,480,0, 8468,640,480:waittimer 64215 blt 0,0,640,480,0, 8470,640,480:waittimer 64230 blt 0,0,640,480,0, 8472,640,480:waittimer 64246 blt 0,0,640,480,0, 8474,640,480:waittimer 64261 blt 0,0,640,480,0, 8476,640,480:waittimer 64276 blt 0,0,640,480,0, 8478,640,480:waittimer 64291 blt 0,0,640,480,0, 8480,640,480:waittimer 64306 blt 0,0,640,480,0, 8482,640,480:waittimer 64321 blt 0,0,640,480,0, 8484,640,480:waittimer 64337 blt 0,0,640,480,0, 8486,640,480:waittimer 64352 blt 0,0,640,480,0, 8488,640,480:waittimer 64367 blt 0,0,640,480,0, 8490,640,480:waittimer 64382 blt 0,0,640,480,0, 8492,640,480:waittimer 64397 blt 0,0,640,480,0, 8494,640,480:waittimer 64412 blt 0,0,640,480,0, 8496,640,480:waittimer 64428 blt 0,0,640,480,0, 8498,640,480:waittimer 64443 blt 0,0,640,480,0, 8500,640,480:waittimer 64458 blt 0,0,640,480,0, 8502,640,480:waittimer 64473 blt 0,0,640,480,0, 8504,640,480:waittimer 64488 blt 0,0,640,480,0, 8506,640,480:waittimer 64503 blt 0,0,640,480,0, 8508,640,480:waittimer 64519 blt 0,0,640,480,0, 8510,640,480:waittimer 64534 blt 0,0,640,480,0, 8512,640,480:waittimer 64549 blt 0,0,640,480,0, 8514,640,480:waittimer 64564 blt 0,0,640,480,0, 8516,640,480:waittimer 64579 blt 0,0,640,480,0, 8518,640,480:waittimer 64594 blt 0,0,640,480,0, 8520,640,480:waittimer 64610 blt 0,0,640,480,0, 8522,640,480:waittimer 64625 blt 0,0,640,480,0, 8524,640,480:waittimer 64640 blt 0,0,640,480,0, 8526,640,480:waittimer 64655 blt 0,0,640,480,0, 8528,640,480:waittimer 64670 blt 0,0,640,480,0, 8530,640,480:waittimer 64685 blt 0,0,640,480,0, 8532,640,480:waittimer 64701 blt 0,0,640,480,0, 8534,640,480:waittimer 64716 blt 0,0,640,480,0, 8536,640,480:waittimer 64731 blt 0,0,640,480,0, 8538,640,480:waittimer 64746 blt 0,0,640,480,0, 8540,640,480:waittimer 64761 blt 0,0,640,480,0, 8542,640,480:waittimer 64776 blt 0,0,640,480,0, 8544,640,480:waittimer 64792 blt 0,0,640,480,0, 8546,640,480:waittimer 64807 blt 0,0,640,480,0, 8548,640,480:waittimer 64822 blt 0,0,640,480,0, 8550,640,480:waittimer 64837 blt 0,0,640,480,0, 8552,640,480:waittimer 64852 blt 0,0,640,480,0, 8554,640,480:waittimer 64867 blt 0,0,640,480,0, 8556,640,480:waittimer 64883 blt 0,0,640,480,0, 8558,640,480:waittimer 64898 blt 0,0,640,480,0, 8560,640,480:waittimer 64913 blt 0,0,640,480,0, 8562,640,480:waittimer 64928 blt 0,0,640,480,0, 8564,640,480:waittimer 64943 blt 0,0,640,480,0, 8566,640,480:waittimer 64958 blt 0,0,640,480,0, 8568,640,480:waittimer 64974 blt 0,0,640,480,0, 8570,640,480:waittimer 64989 blt 0,0,640,480,0, 8572,640,480:waittimer 65004 blt 0,0,640,480,0, 8574,640,480:waittimer 65019 blt 0,0,640,480,0, 8576,640,480:waittimer 65034 blt 0,0,640,480,0, 8578,640,480:waittimer 65049 blt 0,0,640,480,0, 8580,640,480:waittimer 65065 blt 0,0,640,480,0, 8582,640,480:waittimer 65080 blt 0,0,640,480,0, 8584,640,480:waittimer 65095 blt 0,0,640,480,0, 8586,640,480:waittimer 65110 blt 0,0,640,480,0, 8588,640,480:waittimer 65125 blt 0,0,640,480,0, 8590,640,480:waittimer 65140 blt 0,0,640,480,0, 8592,640,480:waittimer 65156 blt 0,0,640,480,0, 8594,640,480:waittimer 65171 blt 0,0,640,480,0, 8596,640,480:waittimer 65186 blt 0,0,640,480,0, 8598,640,480:waittimer 65201 blt 0,0,640,480,0, 8600,640,480:waittimer 65216 blt 0,0,640,480,0, 8602,640,480:waittimer 65231 blt 0,0,640,480,0, 8604,640,480:waittimer 65247 blt 0,0,640,480,0, 8606,640,480:waittimer 65262 blt 0,0,640,480,0, 8608,640,480:waittimer 65277 blt 0,0,640,480,0, 8610,640,480:waittimer 65292 blt 0,0,640,480,0, 8612,640,480:waittimer 65307 blt 0,0,640,480,0, 8614,640,480:waittimer 65322 blt 0,0,640,480,0, 8616,640,480:waittimer 65338 blt 0,0,640,480,0, 8618,640,480:waittimer 65353 blt 0,0,640,480,0, 8620,640,480:waittimer 65368 blt 0,0,640,480,0, 8622,640,480:waittimer 65383 blt 0,0,640,480,0, 8624,640,480:waittimer 65398 blt 0,0,640,480,0, 8626,640,480:waittimer 65413 blt 0,0,640,480,0, 8628,640,480:waittimer 65429 blt 0,0,640,480,0, 8630,640,480:waittimer 65444 blt 0,0,640,480,0, 8632,640,480:waittimer 65459 blt 0,0,640,480,0, 8634,640,480:waittimer 65474 blt 0,0,640,480,0, 8636,640,480:waittimer 65489 blt 0,0,640,480,0, 8638,640,480:waittimer 65504 blt 0,0,640,480,0, 8640,640,480:waittimer 65520 blt 0,0,640,480,0, 8642,640,480:waittimer 65535 blt 0,0,640,480,0, 8644,640,480:waittimer 65550 blt 0,0,640,480,0, 8646,640,480:waittimer 65565 blt 0,0,640,480,0, 8648,640,480:waittimer 65580 blt 0,0,640,480,0, 8650,640,480:waittimer 65595 blt 0,0,640,480,0, 8652,640,480:waittimer 65611 blt 0,0,640,480,0, 8654,640,480:waittimer 65626 blt 0,0,640,480,0, 8656,640,480:waittimer 65641 blt 0,0,640,480,0, 8658,640,480:waittimer 65656 blt 0,0,640,480,0, 8660,640,480:waittimer 65671 blt 0,0,640,480,0, 8662,640,480:waittimer 65686 blt 0,0,640,480,0, 8664,640,480:waittimer 65702 blt 0,0,640,480,0, 8666,640,480:waittimer 65717 blt 0,0,640,480,0, 8668,640,480:waittimer 65732 blt 0,0,640,480,0, 8670,640,480:waittimer 65747 blt 0,0,640,480,0, 8672,640,480:waittimer 65762 blt 0,0,640,480,0, 8674,640,480:waittimer 65777 blt 0,0,640,480,0, 8676,640,480:waittimer 65793 blt 0,0,640,480,0, 8678,640,480:waittimer 65808 blt 0,0,640,480,0, 8680,640,480:waittimer 65823 blt 0,0,640,480,0, 8682,640,480:waittimer 65838 blt 0,0,640,480,0, 8684,640,480:waittimer 65853 blt 0,0,640,480,0, 8686,640,480:waittimer 65868 blt 0,0,640,480,0, 8688,640,480:waittimer 65884 blt 0,0,640,480,0, 8690,640,480:waittimer 65899 blt 0,0,640,480,0, 8692,640,480:waittimer 65914 blt 0,0,640,480,0, 8694,640,480:waittimer 65929 blt 0,0,640,480,0, 8696,640,480:waittimer 65944 blt 0,0,640,480,0, 8698,640,480:waittimer 65959 blt 0,0,640,480,0, 8700,640,480:waittimer 65975 blt 0,0,640,480,0, 8702,640,480:waittimer 65990 blt 0,0,640,480,0, 8704,640,480:waittimer 66005 blt 0,0,640,480,0, 8706,640,480:waittimer 66020 blt 0,0,640,480,0, 8708,640,480:waittimer 66035 blt 0,0,640,480,0, 8710,640,480:waittimer 66050 blt 0,0,640,480,0, 8712,640,480:waittimer 66066 blt 0,0,640,480,0, 8714,640,480:waittimer 66081 blt 0,0,640,480,0, 8716,640,480:waittimer 66096 blt 0,0,640,480,0, 8718,640,480:waittimer 66111 blt 0,0,640,480,0, 8720,640,480:waittimer 66126 blt 0,0,640,480,0, 8722,640,480:waittimer 66141 blt 0,0,640,480,0, 8724,640,480:waittimer 66157 blt 0,0,640,480,0, 8726,640,480:waittimer 66172 blt 0,0,640,480,0, 8728,640,480:waittimer 66187 blt 0,0,640,480,0, 8730,640,480:waittimer 66202 blt 0,0,640,480,0, 8732,640,480:waittimer 66217 blt 0,0,640,480,0, 8734,640,480:waittimer 66232 blt 0,0,640,480,0, 8736,640,480:waittimer 66248 blt 0,0,640,480,0, 8738,640,480:waittimer 66263 blt 0,0,640,480,0, 8740,640,480:waittimer 66278 blt 0,0,640,480,0, 8742,640,480:waittimer 66293 blt 0,0,640,480,0, 8744,640,480:waittimer 66308 blt 0,0,640,480,0, 8746,640,480:waittimer 66323 blt 0,0,640,480,0, 8748,640,480:waittimer 66339 blt 0,0,640,480,0, 8750,640,480:waittimer 66354 blt 0,0,640,480,0, 8752,640,480:waittimer 66369 blt 0,0,640,480,0, 8754,640,480:waittimer 66384 blt 0,0,640,480,0, 8756,640,480:waittimer 66399 blt 0,0,640,480,0, 8758,640,480:waittimer 66414 blt 0,0,640,480,0, 8760,640,480:waittimer 66430 blt 0,0,640,480,0, 8762,640,480:waittimer 66445 blt 0,0,640,480,0, 8764,640,480:waittimer 66460 blt 0,0,640,480,0, 8766,640,480:waittimer 66475 blt 0,0,640,480,0, 8768,640,480:waittimer 66490 blt 0,0,640,480,0, 8770,640,480:waittimer 66505 blt 0,0,640,480,0, 8772,640,480:waittimer 66521 blt 0,0,640,480,0, 8774,640,480:waittimer 66536 blt 0,0,640,480,0, 8776,640,480:waittimer 66551 blt 0,0,640,480,0, 8778,640,480:waittimer 66566 blt 0,0,640,480,0, 8780,640,480:waittimer 66581 blt 0,0,640,480,0, 8782,640,480:waittimer 66596 blt 0,0,640,480,0, 8784,640,480:waittimer 66612 blt 0,0,640,480,0, 8786,640,480:waittimer 66627 blt 0,0,640,480,0, 8788,640,480:waittimer 66642 blt 0,0,640,480,0, 8790,640,480:waittimer 66657 blt 0,0,640,480,0, 8792,640,480:waittimer 66672 blt 0,0,640,480,0, 8794,640,480:waittimer 66687 blt 0,0,640,480,0, 8796,640,480:waittimer 66703 blt 0,0,640,480,0, 8798,640,480:waittimer 66718 blt 0,0,640,480,0, 8800,640,480:waittimer 66733 blt 0,0,640,480,0, 8802,640,480:waittimer 66748 blt 0,0,640,480,0, 8804,640,480:waittimer 66763 blt 0,0,640,480,0, 8806,640,480:waittimer 66778 blt 0,0,640,480,0, 8808,640,480:waittimer 66794 blt 0,0,640,480,0, 8810,640,480:waittimer 66809 blt 0,0,640,480,0, 8812,640,480:waittimer 66824 blt 0,0,640,480,0, 8814,640,480:waittimer 66839 blt 0,0,640,480,0, 8816,640,480:waittimer 66854 blt 0,0,640,480,0, 8818,640,480:waittimer 66869 blt 0,0,640,480,0, 8820,640,480:waittimer 66885 blt 0,0,640,480,0, 8822,640,480:waittimer 66900 blt 0,0,640,480,0, 8824,640,480:waittimer 66915 blt 0,0,640,480,0, 8826,640,480:waittimer 66930 blt 0,0,640,480,0, 8828,640,480:waittimer 66945 blt 0,0,640,480,0, 8830,640,480:waittimer 66960 blt 0,0,640,480,0, 8832,640,480:waittimer 66976 blt 0,0,640,480,0, 8834,640,480:waittimer 66991 blt 0,0,640,480,0, 8836,640,480:waittimer 67006 blt 0,0,640,480,0, 8838,640,480:waittimer 67021 blt 0,0,640,480,0, 8840,640,480:waittimer 67036 blt 0,0,640,480,0, 8842,640,480:waittimer 67051 blt 0,0,640,480,0, 8844,640,480:waittimer 67067 blt 0,0,640,480,0, 8846,640,480:waittimer 67082 blt 0,0,640,480,0, 8848,640,480:waittimer 67097 blt 0,0,640,480,0, 8850,640,480:waittimer 67112 blt 0,0,640,480,0, 8852,640,480:waittimer 67127 blt 0,0,640,480,0, 8854,640,480:waittimer 67142 blt 0,0,640,480,0, 8856,640,480:waittimer 67158 blt 0,0,640,480,0, 8858,640,480:waittimer 67173 blt 0,0,640,480,0, 8860,640,480:waittimer 67188 blt 0,0,640,480,0, 8862,640,480:waittimer 67203 blt 0,0,640,480,0, 8864,640,480:waittimer 67218 blt 0,0,640,480,0, 8866,640,480:waittimer 67233 blt 0,0,640,480,0, 8868,640,480:waittimer 67249 blt 0,0,640,480,0, 8870,640,480:waittimer 67264 blt 0,0,640,480,0, 8872,640,480:waittimer 67279 blt 0,0,640,480,0, 8874,640,480:waittimer 67294 blt 0,0,640,480,0, 8876,640,480:waittimer 67309 blt 0,0,640,480,0, 8878,640,480:waittimer 67324 blt 0,0,640,480,0, 8880,640,480:waittimer 67340 blt 0,0,640,480,0, 8882,640,480:waittimer 67355 blt 0,0,640,480,0, 8884,640,480:waittimer 67370 blt 0,0,640,480,0, 8886,640,480:waittimer 67385 blt 0,0,640,480,0, 8888,640,480:waittimer 67400 blt 0,0,640,480,0, 8890,640,480:waittimer 67415 blt 0,0,640,480,0, 8892,640,480:waittimer 67431 blt 0,0,640,480,0, 8894,640,480:waittimer 67446 blt 0,0,640,480,0, 8896,640,480:waittimer 67461 blt 0,0,640,480,0, 8898,640,480:waittimer 67476 blt 0,0,640,480,0, 8900,640,480:waittimer 67491 blt 0,0,640,480,0, 8902,640,480:waittimer 67506 blt 0,0,640,480,0, 8904,640,480:waittimer 67522 blt 0,0,640,480,0, 8906,640,480:waittimer 67537 blt 0,0,640,480,0, 8908,640,480:waittimer 67552 blt 0,0,640,480,0, 8910,640,480:waittimer 67567 blt 0,0,640,480,0, 8912,640,480:waittimer 67582 blt 0,0,640,480,0, 8914,640,480:waittimer 67597 blt 0,0,640,480,0, 8916,640,480:waittimer 67613 blt 0,0,640,480,0, 8918,640,480:waittimer 67628 blt 0,0,640,480,0, 8920,640,480:waittimer 67643 blt 0,0,640,480,0, 8922,640,480:waittimer 67658 blt 0,0,640,480,0, 8924,640,480:waittimer 67673 blt 0,0,640,480,0, 8926,640,480:waittimer 67688 blt 0,0,640,480,0, 8928,640,480:waittimer 67704 blt 0,0,640,480,0, 8930,640,480:waittimer 67719 blt 0,0,640,480,0, 8932,640,480:waittimer 67734 blt 0,0,640,480,0, 8934,640,480:waittimer 67749 blt 0,0,640,480,0, 8936,640,480:waittimer 67764 blt 0,0,640,480,0, 8938,640,480:waittimer 67779 blt 0,0,640,480,0, 8940,640,480:waittimer 67795 blt 0,0,640,480,0, 8942,640,480:waittimer 67810 blt 0,0,640,480,0, 8944,640,480:waittimer 67825 blt 0,0,640,480,0, 8946,640,480:waittimer 67840 blt 0,0,640,480,0, 8948,640,480:waittimer 67855 blt 0,0,640,480,0, 8950,640,480:waittimer 67870 blt 0,0,640,480,0, 8952,640,480:waittimer 67886 blt 0,0,640,480,0, 8954,640,480:waittimer 67901 blt 0,0,640,480,0, 8956,640,480:waittimer 67916 blt 0,0,640,480,0, 8958,640,480:waittimer 67931 blt 0,0,640,480,0, 8960,640,480:waittimer 67946 blt 0,0,640,480,0, 8962,640,480:waittimer 67961 blt 0,0,640,480,0, 8964,640,480:waittimer 67977 blt 0,0,640,480,0, 8966,640,480:waittimer 67992 blt 0,0,640,480,0, 8968,640,480:waittimer 68007 blt 0,0,640,480,0, 8970,640,480:waittimer 68022 blt 0,0,640,480,0, 8972,640,480:waittimer 68037 blt 0,0,640,480,0, 8974,640,480:waittimer 68052 blt 0,0,640,480,0, 8976,640,480:waittimer 68068 blt 0,0,640,480,0, 8978,640,480:waittimer 68083 blt 0,0,640,480,0, 8980,640,480:waittimer 68098 blt 0,0,640,480,0, 8982,640,480:waittimer 68113 blt 0,0,640,480,0, 8984,640,480:waittimer 68128 blt 0,0,640,480,0, 8986,640,480:waittimer 68143 blt 0,0,640,480,0, 8988,640,480:waittimer 68159 blt 0,0,640,480,0, 8990,640,480:waittimer 68174 blt 0,0,640,480,0, 8992,640,480:waittimer 68189 blt 0,0,640,480,0, 8994,640,480:waittimer 68204 blt 0,0,640,480,0, 8996,640,480:waittimer 68219 blt 0,0,640,480,0, 8998,640,480:waittimer 68234 blt 0,0,640,480,0, 9000,640,480:waittimer 68250 blt 0,0,640,480,0, 9002,640,480:waittimer 68265 blt 0,0,640,480,0, 9004,640,480:waittimer 68280 blt 0,0,640,480,0, 9006,640,480:waittimer 68295 blt 0,0,640,480,0, 9008,640,480:waittimer 68310 blt 0,0,640,480,0, 9010,640,480:waittimer 68325 blt 0,0,640,480,0, 9012,640,480:waittimer 68341 blt 0,0,640,480,0, 9014,640,480:waittimer 68356 blt 0,0,640,480,0, 9016,640,480:waittimer 68371 blt 0,0,640,480,0, 9018,640,480:waittimer 68386 blt 0,0,640,480,0, 9020,640,480:waittimer 68401 blt 0,0,640,480,0, 9022,640,480:waittimer 68416 blt 0,0,640,480,0, 9024,640,480:waittimer 68432 blt 0,0,640,480,0, 9026,640,480:waittimer 68447 blt 0,0,640,480,0, 9028,640,480:waittimer 68462 blt 0,0,640,480,0, 9030,640,480:waittimer 68477 blt 0,0,640,480,0, 9032,640,480:waittimer 68492 blt 0,0,640,480,0, 9034,640,480:waittimer 68507 blt 0,0,640,480,0, 9036,640,480:waittimer 68523 blt 0,0,640,480,0, 9038,640,480:waittimer 68538 blt 0,0,640,480,0, 9040,640,480:waittimer 68553 blt 0,0,640,480,0, 9042,640,480:waittimer 68568 blt 0,0,640,480,0, 9044,640,480:waittimer 68583 blt 0,0,640,480,0, 9046,640,480:waittimer 68598 blt 0,0,640,480,0, 9048,640,480:waittimer 68614 blt 0,0,640,480,0, 9050,640,480:waittimer 68629 blt 0,0,640,480,0, 9052,640,480:waittimer 68644 blt 0,0,640,480,0, 9054,640,480:waittimer 68659 blt 0,0,640,480,0, 9056,640,480:waittimer 68674 blt 0,0,640,480,0, 9058,640,480:waittimer 68689 blt 0,0,640,480,0, 9060,640,480:waittimer 68705 blt 0,0,640,480,0, 9062,640,480:waittimer 68720 blt 0,0,640,480,0, 9064,640,480:waittimer 68735 blt 0,0,640,480,0, 9066,640,480:waittimer 68750 blt 0,0,640,480,0, 9068,640,480:waittimer 68765 blt 0,0,640,480,0, 9070,640,480:waittimer 68780 blt 0,0,640,480,0, 9072,640,480:waittimer 68796 blt 0,0,640,480,0, 9074,640,480:waittimer 68811 blt 0,0,640,480,0, 9076,640,480:waittimer 68826 blt 0,0,640,480,0, 9078,640,480:waittimer 68841 blt 0,0,640,480,0, 9080,640,480:waittimer 68856 blt 0,0,640,480,0, 9082,640,480:waittimer 68871 blt 0,0,640,480,0, 9084,640,480:waittimer 68887 blt 0,0,640,480,0, 9086,640,480:waittimer 68902 blt 0,0,640,480,0, 9088,640,480:waittimer 68917 blt 0,0,640,480,0, 9090,640,480:waittimer 68932 blt 0,0,640,480,0, 9092,640,480:waittimer 68947 blt 0,0,640,480,0, 9094,640,480:waittimer 68962 blt 0,0,640,480,0, 9096,640,480:waittimer 68978 blt 0,0,640,480,0, 9098,640,480:waittimer 68993 blt 0,0,640,480,0, 9100,640,480:waittimer 69008 blt 0,0,640,480,0, 9102,640,480:waittimer 69023 blt 0,0,640,480,0, 9104,640,480:waittimer 69038 blt 0,0,640,480,0, 9106,640,480:waittimer 69053 blt 0,0,640,480,0, 9108,640,480:waittimer 69069 blt 0,0,640,480,0, 9110,640,480:waittimer 69084 blt 0,0,640,480,0, 9112,640,480:waittimer 69099 blt 0,0,640,480,0, 9114,640,480:waittimer 69114 blt 0,0,640,480,0, 9116,640,480:waittimer 69129 blt 0,0,640,480,0, 9118,640,480:waittimer 69144 blt 0,0,640,480,0, 9120,640,480:waittimer 69160 blt 0,0,640,480,0, 9122,640,480:waittimer 69175 blt 0,0,640,480,0, 9124,640,480:waittimer 69190 blt 0,0,640,480,0, 9126,640,480:waittimer 69205 blt 0,0,640,480,0, 9128,640,480:waittimer 69220 blt 0,0,640,480,0, 9130,640,480:waittimer 69235 blt 0,0,640,480,0, 9132,640,480:waittimer 69251 blt 0,0,640,480,0, 9134,640,480:waittimer 69266 blt 0,0,640,480,0, 9136,640,480:waittimer 69281 blt 0,0,640,480,0, 9138,640,480:waittimer 69296 blt 0,0,640,480,0, 9140,640,480:waittimer 69311 blt 0,0,640,480,0, 9142,640,480:waittimer 69326 blt 0,0,640,480,0, 9144,640,480:waittimer 69342 blt 0,0,640,480,0, 9146,640,480:waittimer 69357 blt 0,0,640,480,0, 9148,640,480:waittimer 69372 blt 0,0,640,480,0, 9150,640,480:waittimer 69387 blt 0,0,640,480,0, 9152,640,480:waittimer 69402 blt 0,0,640,480,0, 9154,640,480:waittimer 69417 blt 0,0,640,480,0, 9156,640,480:waittimer 69433 blt 0,0,640,480,0, 9158,640,480:waittimer 69448 blt 0,0,640,480,0, 9160,640,480:waittimer 69463 blt 0,0,640,480,0, 9162,640,480:waittimer 69478 blt 0,0,640,480,0, 9164,640,480:waittimer 69493 blt 0,0,640,480,0, 9166,640,480:waittimer 69508 blt 0,0,640,480,0, 9168,640,480:waittimer 69524 blt 0,0,640,480,0, 9170,640,480:waittimer 69539 blt 0,0,640,480,0, 9172,640,480:waittimer 69554 blt 0,0,640,480,0, 9174,640,480:waittimer 69569 blt 0,0,640,480,0, 9176,640,480:waittimer 69584 blt 0,0,640,480,0, 9178,640,480:waittimer 69599 blt 0,0,640,480,0, 9180,640,480:waittimer 69615 blt 0,0,640,480,0, 9182,640,480:waittimer 69630 blt 0,0,640,480,0, 9184,640,480:waittimer 69645 blt 0,0,640,480,0, 9186,640,480:waittimer 69660 blt 0,0,640,480,0, 9188,640,480:waittimer 69675 blt 0,0,640,480,0, 9190,640,480:waittimer 69690 blt 0,0,640,480,0, 9192,640,480:waittimer 69706 blt 0,0,640,480,0, 9194,640,480:waittimer 69721 blt 0,0,640,480,0, 9196,640,480:waittimer 69736 blt 0,0,640,480,0, 9198,640,480:waittimer 69751 blt 0,0,640,480,0, 9200,640,480:waittimer 69766 blt 0,0,640,480,0, 9202,640,480:waittimer 69781 blt 0,0,640,480,0, 9204,640,480:waittimer 69797 blt 0,0,640,480,0, 9206,640,480:waittimer 69812 blt 0,0,640,480,0, 9208,640,480:waittimer 69827 blt 0,0,640,480,0, 9210,640,480:waittimer 69842 blt 0,0,640,480,0, 9212,640,480:waittimer 69857 blt 0,0,640,480,0, 9214,640,480:waittimer 69872 blt 0,0,640,480,0, 9216,640,480:waittimer 69888 blt 0,0,640,480,0, 9218,640,480:waittimer 69903 blt 0,0,640,480,0, 9220,640,480:waittimer 69918 blt 0,0,640,480,0, 9222,640,480:waittimer 69933 blt 0,0,640,480,0, 9224,640,480:waittimer 69948 blt 0,0,640,480,0, 9226,640,480:waittimer 69963 blt 0,0,640,480,0, 9228,640,480:waittimer 69979 blt 0,0,640,480,0, 9230,640,480:waittimer 69994 blt 0,0,640,480,0, 9232,640,480:waittimer 70009 blt 0,0,640,480,0, 9234,640,480:waittimer 70024 blt 0,0,640,480,0, 9236,640,480:waittimer 70039 blt 0,0,640,480,0, 9238,640,480:waittimer 70054 blt 0,0,640,480,0, 9240,640,480:waittimer 70070 blt 0,0,640,480,0, 9242,640,480:waittimer 70085 blt 0,0,640,480,0, 9244,640,480:waittimer 70100 blt 0,0,640,480,0, 9246,640,480:waittimer 70115 blt 0,0,640,480,0, 9248,640,480:waittimer 70130 blt 0,0,640,480,0, 9250,640,480:waittimer 70145 blt 0,0,640,480,0, 9252,640,480:waittimer 70161 blt 0,0,640,480,0, 9254,640,480:waittimer 70176 blt 0,0,640,480,0, 9256,640,480:waittimer 70191 blt 0,0,640,480,0, 9258,640,480:waittimer 70206 blt 0,0,640,480,0, 9260,640,480:waittimer 70221 blt 0,0,640,480,0, 9262,640,480:waittimer 70236 blt 0,0,640,480,0, 9264,640,480:waittimer 70252 blt 0,0,640,480,0, 9266,640,480:waittimer 70267 blt 0,0,640,480,0, 9268,640,480:waittimer 70282 blt 0,0,640,480,0, 9270,640,480:waittimer 70297 blt 0,0,640,480,0, 9272,640,480:waittimer 70312 blt 0,0,640,480,0, 9274,640,480:waittimer 70327 blt 0,0,640,480,0, 9276,640,480:waittimer 70343 blt 0,0,640,480,0, 9278,640,480:waittimer 70358 blt 0,0,640,480,0, 9280,640,480:waittimer 70373 blt 0,0,640,480,0, 9282,640,480:waittimer 70388 blt 0,0,640,480,0, 9284,640,480:waittimer 70403 blt 0,0,640,480,0, 9286,640,480:waittimer 70418 blt 0,0,640,480,0, 9288,640,480:waittimer 70434 blt 0,0,640,480,0, 9290,640,480:waittimer 70449 blt 0,0,640,480,0, 9292,640,480:waittimer 70464 blt 0,0,640,480,0, 9294,640,480:waittimer 70479 blt 0,0,640,480,0, 9296,640,480:waittimer 70494 blt 0,0,640,480,0, 9298,640,480:waittimer 70509 blt 0,0,640,480,0, 9300,640,480:waittimer 70525 blt 0,0,640,480,0, 9302,640,480:waittimer 70540 blt 0,0,640,480,0, 9304,640,480:waittimer 70555 blt 0,0,640,480,0, 9306,640,480:waittimer 70570 blt 0,0,640,480,0, 9308,640,480:waittimer 70585 blt 0,0,640,480,0, 9310,640,480:waittimer 70600 blt 0,0,640,480,0, 9312,640,480:waittimer 70616 blt 0,0,640,480,0, 9314,640,480:waittimer 70631 blt 0,0,640,480,0, 9316,640,480:waittimer 70646 blt 0,0,640,480,0, 9318,640,480:waittimer 70661 blt 0,0,640,480,0, 9320,640,480:waittimer 70676 blt 0,0,640,480,0, 9322,640,480:waittimer 70691 blt 0,0,640,480,0, 9324,640,480:waittimer 70707 blt 0,0,640,480,0, 9326,640,480:waittimer 70722 blt 0,0,640,480,0, 9328,640,480:waittimer 70737 blt 0,0,640,480,0, 9330,640,480:waittimer 70752 blt 0,0,640,480,0, 9332,640,480:waittimer 70767 blt 0,0,640,480,0, 9334,640,480:waittimer 70782 blt 0,0,640,480,0, 9336,640,480:waittimer 70798 blt 0,0,640,480,0, 9338,640,480:waittimer 70813 blt 0,0,640,480,0, 9340,640,480:waittimer 70828 blt 0,0,640,480,0, 9342,640,480:waittimer 70843 blt 0,0,640,480,0, 9344,640,480:waittimer 70858 blt 0,0,640,480,0, 9346,640,480:waittimer 70873 blt 0,0,640,480,0, 9348,640,480:waittimer 70889 blt 0,0,640,480,0, 9350,640,480:waittimer 70904 blt 0,0,640,480,0, 9352,640,480:waittimer 70919 blt 0,0,640,480,0, 9354,640,480:waittimer 70934 blt 0,0,640,480,0, 9356,640,480:waittimer 70949 blt 0,0,640,480,0, 9358,640,480:waittimer 70964 blt 0,0,640,480,0, 9360,640,480:waittimer 70980 blt 0,0,640,480,0, 9362,640,480:waittimer 70995 blt 0,0,640,480,0, 9364,640,480:waittimer 71010 blt 0,0,640,480,0, 9366,640,480:waittimer 71025 blt 0,0,640,480,0, 9368,640,480:waittimer 71040 blt 0,0,640,480,0, 9370,640,480:waittimer 71055 blt 0,0,640,480,0, 9372,640,480:waittimer 71071 blt 0,0,640,480,0, 9374,640,480:waittimer 71086 blt 0,0,640,480,0, 9376,640,480:waittimer 71101 blt 0,0,640,480,0, 9378,640,480:waittimer 71116 blt 0,0,640,480,0, 9380,640,480:waittimer 71131 blt 0,0,640,480,0, 9382,640,480:waittimer 71146 blt 0,0,640,480,0, 9384,640,480:waittimer 71162 blt 0,0,640,480,0, 9386,640,480:waittimer 71177 blt 0,0,640,480,0, 9388,640,480:waittimer 71192 blt 0,0,640,480,0, 9390,640,480:waittimer 71207 blt 0,0,640,480,0, 9392,640,480:waittimer 71222 blt 0,0,640,480,0, 9394,640,480:waittimer 71237 blt 0,0,640,480,0, 9396,640,480:waittimer 71253 blt 0,0,640,480,0, 9398,640,480:waittimer 71268 blt 0,0,640,480,0, 9400,640,480:waittimer 71283 blt 0,0,640,480,0, 9402,640,480:waittimer 71298 blt 0,0,640,480,0, 9404,640,480:waittimer 71313 blt 0,0,640,480,0, 9406,640,480:waittimer 71328 blt 0,0,640,480,0, 9408,640,480:waittimer 71344 blt 0,0,640,480,0, 9410,640,480:waittimer 71359 blt 0,0,640,480,0, 9412,640,480:waittimer 71374 blt 0,0,640,480,0, 9414,640,480:waittimer 71389 blt 0,0,640,480,0, 9416,640,480:waittimer 71404 blt 0,0,640,480,0, 9418,640,480:waittimer 71419 blt 0,0,640,480,0, 9420,640,480:waittimer 71435 blt 0,0,640,480,0, 9422,640,480:waittimer 71450 blt 0,0,640,480,0, 9424,640,480:waittimer 71465 blt 0,0,640,480,0, 9426,640,480:waittimer 71480 blt 0,0,640,480,0, 9428,640,480:waittimer 71495 blt 0,0,640,480,0, 9430,640,480:waittimer 71510 blt 0,0,640,480,0, 9432,640,480:waittimer 71526 blt 0,0,640,480,0, 9434,640,480:waittimer 71541 blt 0,0,640,480,0, 9436,640,480:waittimer 71556 blt 0,0,640,480,0, 9438,640,480:waittimer 71571 blt 0,0,640,480,0, 9440,640,480:waittimer 71586 blt 0,0,640,480,0, 9442,640,480:waittimer 71601 blt 0,0,640,480,0, 9444,640,480:waittimer 71617 blt 0,0,640,480,0, 9446,640,480:waittimer 71632 blt 0,0,640,480,0, 9448,640,480:waittimer 71647 blt 0,0,640,480,0, 9450,640,480:waittimer 71662 blt 0,0,640,480,0, 9452,640,480:waittimer 71677 blt 0,0,640,480,0, 9454,640,480:waittimer 71692 blt 0,0,640,480,0, 9456,640,480:waittimer 71708 blt 0,0,640,480,0, 9458,640,480:waittimer 71723 blt 0,0,640,480,0, 9460,640,480:waittimer 71738 blt 0,0,640,480,0, 9462,640,480:waittimer 71753 blt 0,0,640,480,0, 9464,640,480:waittimer 71768 blt 0,0,640,480,0, 9466,640,480:waittimer 71783 blt 0,0,640,480,0, 9468,640,480:waittimer 71799 blt 0,0,640,480,0, 9470,640,480:waittimer 71814 blt 0,0,640,480,0, 9472,640,480:waittimer 71829 blt 0,0,640,480,0, 9474,640,480:waittimer 71844 blt 0,0,640,480,0, 9476,640,480:waittimer 71859 blt 0,0,640,480,0, 9478,640,480:waittimer 71874 blt 0,0,640,480,0, 9480,640,480:waittimer 71890 blt 0,0,640,480,0, 9482,640,480:waittimer 71905 blt 0,0,640,480,0, 9484,640,480:waittimer 71920 blt 0,0,640,480,0, 9486,640,480:waittimer 71935 blt 0,0,640,480,0, 9488,640,480:waittimer 71950 blt 0,0,640,480,0, 9490,640,480:waittimer 71965 blt 0,0,640,480,0, 9492,640,480:waittimer 71981 blt 0,0,640,480,0, 9494,640,480:waittimer 71996 blt 0,0,640,480,0, 9496,640,480:waittimer 72011 blt 0,0,640,480,0, 9498,640,480:waittimer 72026 blt 0,0,640,480,0, 9500,640,480:waittimer 72041 blt 0,0,640,480,0, 9502,640,480:waittimer 72056 blt 0,0,640,480,0, 9504,640,480:waittimer 72072 blt 0,0,640,480,0, 9506,640,480:waittimer 72087 blt 0,0,640,480,0, 9508,640,480:waittimer 72102 blt 0,0,640,480,0, 9510,640,480:waittimer 72117 blt 0,0,640,480,0, 9512,640,480:waittimer 72132 blt 0,0,640,480,0, 9514,640,480:waittimer 72147 blt 0,0,640,480,0, 9516,640,480:waittimer 72163 blt 0,0,640,480,0, 9518,640,480:waittimer 72178 blt 0,0,640,480,0, 9520,640,480:waittimer 72193 blt 0,0,640,480,0, 9522,640,480:waittimer 72208 blt 0,0,640,480,0, 9524,640,480:waittimer 72223 blt 0,0,640,480,0, 9526,640,480:waittimer 72238 blt 0,0,640,480,0, 9528,640,480:waittimer 72254 blt 0,0,640,480,0, 9530,640,480:waittimer 72269 blt 0,0,640,480,0, 9532,640,480:waittimer 72284 blt 0,0,640,480,0, 9534,640,480:waittimer 72299 blt 0,0,640,480,0, 9536,640,480:waittimer 72314 blt 0,0,640,480,0, 9538,640,480:waittimer 72329 blt 0,0,640,480,0, 9540,640,480:waittimer 72345 blt 0,0,640,480,0, 9542,640,480:waittimer 72360 blt 0,0,640,480,0, 9544,640,480:waittimer 72375 blt 0,0,640,480,0, 9546,640,480:waittimer 72390 blt 0,0,640,480,0, 9548,640,480:waittimer 72405 blt 0,0,640,480,0, 9550,640,480:waittimer 72420 blt 0,0,640,480,0, 9552,640,480:waittimer 72436 blt 0,0,640,480,0, 9554,640,480:waittimer 72451 blt 0,0,640,480,0, 9556,640,480:waittimer 72466 blt 0,0,640,480,0, 9558,640,480:waittimer 72481 blt 0,0,640,480,0, 9560,640,480:waittimer 72496 blt 0,0,640,480,0, 9562,640,480:waittimer 72511 blt 0,0,640,480,0, 9564,640,480:waittimer 72527 blt 0,0,640,480,0, 9566,640,480:waittimer 72542 blt 0,0,640,480,0, 9568,640,480:waittimer 72557 blt 0,0,640,480,0, 9570,640,480:waittimer 72572 blt 0,0,640,480,0, 9572,640,480:waittimer 72587 blt 0,0,640,480,0, 9574,640,480:waittimer 72602 blt 0,0,640,480,0, 9576,640,480:waittimer 72618 blt 0,0,640,480,0, 9578,640,480:waittimer 72633 blt 0,0,640,480,0, 9580,640,480:waittimer 72648 blt 0,0,640,480,0, 9582,640,480:waittimer 72663 blt 0,0,640,480,0, 9584,640,480:waittimer 72678 blt 0,0,640,480,0, 9586,640,480:waittimer 72693 blt 0,0,640,480,0, 9588,640,480:waittimer 72709 blt 0,0,640,480,0, 9590,640,480:waittimer 72724 blt 0,0,640,480,0, 9592,640,480:waittimer 72739 blt 0,0,640,480,0, 9594,640,480:waittimer 72754 blt 0,0,640,480,0, 9596,640,480:waittimer 72769 blt 0,0,640,480,0, 9598,640,480:waittimer 72784 blt 0,0,640,480,0, 9600,640,480:waittimer 72800 blt 0,0,640,480,0, 9602,640,480:waittimer 72815 blt 0,0,640,480,0, 9604,640,480:waittimer 72830 blt 0,0,640,480,0, 9606,640,480:waittimer 72845 blt 0,0,640,480,0, 9608,640,480:waittimer 72860 blt 0,0,640,480,0, 9610,640,480:waittimer 72875 blt 0,0,640,480,0, 9612,640,480:waittimer 72891 blt 0,0,640,480,0, 9614,640,480:waittimer 72906 blt 0,0,640,480,0, 9616,640,480:waittimer 72921 blt 0,0,640,480,0, 9618,640,480:waittimer 72936 blt 0,0,640,480,0, 9620,640,480:waittimer 72951 blt 0,0,640,480,0, 9622,640,480:waittimer 72966 blt 0,0,640,480,0, 9624,640,480:waittimer 72982 blt 0,0,640,480,0, 9626,640,480:waittimer 72997 blt 0,0,640,480,0, 9628,640,480:waittimer 73012 blt 0,0,640,480,0, 9630,640,480:waittimer 73027 blt 0,0,640,480,0, 9632,640,480:waittimer 73042 blt 0,0,640,480,0, 9634,640,480:waittimer 73057 blt 0,0,640,480,0, 9636,640,480:waittimer 73073 blt 0,0,640,480,0, 9638,640,480:waittimer 73088 blt 0,0,640,480,0, 9640,640,480:waittimer 73103 blt 0,0,640,480,0, 9642,640,480:waittimer 73118 blt 0,0,640,480,0, 9644,640,480:waittimer 73133 blt 0,0,640,480,0, 9646,640,480:waittimer 73148 blt 0,0,640,480,0, 9648,640,480:waittimer 73164 blt 0,0,640,480,0, 9650,640,480:waittimer 73179 blt 0,0,640,480,0, 9652,640,480:waittimer 73194 blt 0,0,640,480,0, 9654,640,480:waittimer 73209 blt 0,0,640,480,0, 9656,640,480:waittimer 73224 blt 0,0,640,480,0, 9658,640,480:waittimer 73239 blt 0,0,640,480,0, 9660,640,480:waittimer 73255 blt 0,0,640,480,0, 9662,640,480:waittimer 73270 blt 0,0,640,480,0, 9664,640,480:waittimer 73285 blt 0,0,640,480,0, 9666,640,480:waittimer 73300 blt 0,0,640,480,0, 9668,640,480:waittimer 73315 blt 0,0,640,480,0, 9670,640,480:waittimer 73330 blt 0,0,640,480,0, 9672,640,480:waittimer 73346 blt 0,0,640,480,0, 9674,640,480:waittimer 73361 blt 0,0,640,480,0, 9676,640,480:waittimer 73376 blt 0,0,640,480,0, 9678,640,480:waittimer 73391 blt 0,0,640,480,0, 9680,640,480:waittimer 73406 blt 0,0,640,480,0, 9682,640,480:waittimer 73421 blt 0,0,640,480,0, 9684,640,480:waittimer 73437 blt 0,0,640,480,0, 9686,640,480:waittimer 73452 blt 0,0,640,480,0, 9688,640,480:waittimer 73467 blt 0,0,640,480,0, 9690,640,480:waittimer 73482 blt 0,0,640,480,0, 9692,640,480:waittimer 73497 blt 0,0,640,480,0, 9694,640,480:waittimer 73512 blt 0,0,640,480,0, 9696,640,480:waittimer 73528 blt 0,0,640,480,0, 9698,640,480:waittimer 73543 blt 0,0,640,480,0, 9700,640,480:waittimer 73558 blt 0,0,640,480,0, 9702,640,480:waittimer 73573 blt 0,0,640,480,0, 9704,640,480:waittimer 73588 blt 0,0,640,480,0, 9706,640,480:waittimer 73603 blt 0,0,640,480,0, 9708,640,480:waittimer 73619 blt 0,0,640,480,0, 9710,640,480:waittimer 73634 blt 0,0,640,480,0, 9712,640,480:waittimer 73649 blt 0,0,640,480,0, 9714,640,480:waittimer 73664 blt 0,0,640,480,0, 9716,640,480:waittimer 73679 blt 0,0,640,480,0, 9718,640,480:waittimer 73694 blt 0,0,640,480,0, 9720,640,480:waittimer 73710 blt 0,0,640,480,0, 9722,640,480:waittimer 73725 blt 0,0,640,480,0, 9724,640,480:waittimer 73740 blt 0,0,640,480,0, 9726,640,480:waittimer 73755 blt 0,0,640,480,0, 9728,640,480:waittimer 73770 blt 0,0,640,480,0, 9730,640,480:waittimer 73785 blt 0,0,640,480,0, 9732,640,480:waittimer 73801 blt 0,0,640,480,0, 9734,640,480:waittimer 73816 blt 0,0,640,480,0, 9736,640,480:waittimer 73831 blt 0,0,640,480,0, 9738,640,480:waittimer 73846 blt 0,0,640,480,0, 9740,640,480:waittimer 73861 blt 0,0,640,480,0, 9742,640,480:waittimer 73876 blt 0,0,640,480,0, 9744,640,480:waittimer 73892 blt 0,0,640,480,0, 9746,640,480:waittimer 73907 blt 0,0,640,480,0, 9748,640,480:waittimer 73922 blt 0,0,640,480,0, 9750,640,480:waittimer 73937 blt 0,0,640,480,0, 9752,640,480:waittimer 73952 blt 0,0,640,480,0, 9754,640,480:waittimer 73967 blt 0,0,640,480,0, 9756,640,480:waittimer 73983 blt 0,0,640,480,0, 9758,640,480:waittimer 73998 blt 0,0,640,480,0, 9760,640,480:waittimer 74013 blt 0,0,640,480,0, 9762,640,480:waittimer 74028 blt 0,0,640,480,0, 9764,640,480:waittimer 74043 blt 0,0,640,480,0, 9766,640,480:waittimer 74058 blt 0,0,640,480,0, 9768,640,480:waittimer 74074 blt 0,0,640,480,0, 9770,640,480:waittimer 74089 blt 0,0,640,480,0, 9772,640,480:waittimer 74104 blt 0,0,640,480,0, 9774,640,480:waittimer 74119 blt 0,0,640,480,0, 9776,640,480:waittimer 74134 blt 0,0,640,480,0, 9778,640,480:waittimer 74149 blt 0,0,640,480,0, 9780,640,480:waittimer 74165 blt 0,0,640,480,0, 9782,640,480:waittimer 74180 blt 0,0,640,480,0, 9784,640,480:waittimer 74195 blt 0,0,640,480,0, 9786,640,480:waittimer 74210 blt 0,0,640,480,0, 9788,640,480:waittimer 74225 blt 0,0,640,480,0, 9790,640,480:waittimer 74240 blt 0,0,640,480,0, 9792,640,480:waittimer 74256 blt 0,0,640,480,0, 9794,640,480:waittimer 74271 blt 0,0,640,480,0, 9796,640,480:waittimer 74286 blt 0,0,640,480,0, 9798,640,480:waittimer 74301 blt 0,0,640,480,0, 9800,640,480:waittimer 74316 blt 0,0,640,480,0, 9802,640,480:waittimer 74331 blt 0,0,640,480,0, 9804,640,480:waittimer 74347 blt 0,0,640,480,0, 9806,640,480:waittimer 74362 blt 0,0,640,480,0, 9808,640,480:waittimer 74377 blt 0,0,640,480,0, 9810,640,480:waittimer 74392 blt 0,0,640,480,0, 9812,640,480:waittimer 74407 blt 0,0,640,480,0, 9814,640,480:waittimer 74422 blt 0,0,640,480,0, 9816,640,480:waittimer 74438 blt 0,0,640,480,0, 9818,640,480:waittimer 74453 blt 0,0,640,480,0, 9820,640,480:waittimer 74468 blt 0,0,640,480,0, 9822,640,480:waittimer 74483 blt 0,0,640,480,0, 9824,640,480:waittimer 74498 blt 0,0,640,480,0, 9826,640,480:waittimer 74513 blt 0,0,640,480,0, 9828,640,480:waittimer 74529 blt 0,0,640,480,0, 9830,640,480:waittimer 74544 blt 0,0,640,480,0, 9832,640,480:waittimer 74559 blt 0,0,640,480,0, 9834,640,480:waittimer 74574 blt 0,0,640,480,0, 9836,640,480:waittimer 74589 blt 0,0,640,480,0, 9838,640,480:waittimer 74604 blt 0,0,640,480,0, 9840,640,480:waittimer 74620 blt 0,0,640,480,0, 9842,640,480:waittimer 74635 blt 0,0,640,480,0, 9844,640,480:waittimer 74650 blt 0,0,640,480,0, 9846,640,480:waittimer 74665 blt 0,0,640,480,0, 9848,640,480:waittimer 74680 blt 0,0,640,480,0, 9850,640,480:waittimer 74695 blt 0,0,640,480,0, 9852,640,480:waittimer 74711 blt 0,0,640,480,0, 9854,640,480:waittimer 74726 blt 0,0,640,480,0, 9856,640,480:waittimer 74741 blt 0,0,640,480,0, 9858,640,480:waittimer 74756 blt 0,0,640,480,0, 9860,640,480:waittimer 74771 blt 0,0,640,480,0, 9862,640,480:waittimer 74786 blt 0,0,640,480,0, 9864,640,480:waittimer 74802 blt 0,0,640,480,0, 9866,640,480:waittimer 74817 blt 0,0,640,480,0, 9868,640,480:waittimer 74832 blt 0,0,640,480,0, 9870,640,480:waittimer 74847 blt 0,0,640,480,0, 9872,640,480:waittimer 74862 blt 0,0,640,480,0, 9874,640,480:waittimer 74877 blt 0,0,640,480,0, 9876,640,480:waittimer 74893 blt 0,0,640,480,0, 9878,640,480:waittimer 74908 blt 0,0,640,480,0, 9880,640,480:waittimer 74923 blt 0,0,640,480,0, 9882,640,480:waittimer 74938 blt 0,0,640,480,0, 9884,640,480:waittimer 74953 blt 0,0,640,480,0, 9886,640,480:waittimer 74968 blt 0,0,640,480,0, 9888,640,480:waittimer 74984 blt 0,0,640,480,0, 9890,640,480:waittimer 74999 blt 0,0,640,480,0, 9892,640,480:waittimer 75014 blt 0,0,640,480,0, 9894,640,480:waittimer 75029 blt 0,0,640,480,0, 9896,640,480:waittimer 75044 blt 0,0,640,480,0, 9898,640,480:waittimer 75059 blt 0,0,640,480,0, 9900,640,480:waittimer 75075 blt 0,0,640,480,0, 9902,640,480:waittimer 75090 blt 0,0,640,480,0, 9904,640,480:waittimer 75105 blt 0,0,640,480,0, 9906,640,480:waittimer 75120 blt 0,0,640,480,0, 9908,640,480:waittimer 75135 blt 0,0,640,480,0, 9910,640,480:waittimer 75150 blt 0,0,640,480,0, 9912,640,480:waittimer 75166 blt 0,0,640,480,0, 9914,640,480:waittimer 75181 blt 0,0,640,480,0, 9916,640,480:waittimer 75196 blt 0,0,640,480,0, 9918,640,480:waittimer 75211 blt 0,0,640,480,0, 9920,640,480:waittimer 75226 blt 0,0,640,480,0, 9922,640,480:waittimer 75241 blt 0,0,640,480,0, 9924,640,480:waittimer 75257 blt 0,0,640,480,0, 9926,640,480:waittimer 75272 blt 0,0,640,480,0, 9928,640,480:waittimer 75287 blt 0,0,640,480,0, 9930,640,480:waittimer 75302 blt 0,0,640,480,0, 9932,640,480:waittimer 75317 blt 0,0,640,480,0, 9934,640,480:waittimer 75332 blt 0,0,640,480,0, 9936,640,480:waittimer 75348 blt 0,0,640,480,0, 9938,640,480:waittimer 75363 blt 0,0,640,480,0, 9940,640,480:waittimer 75378 blt 0,0,640,480,0, 9942,640,480:waittimer 75393 blt 0,0,640,480,0, 9944,640,480:waittimer 75408 blt 0,0,640,480,0, 9946,640,480:waittimer 75423 blt 0,0,640,480,0, 9948,640,480:waittimer 75439 blt 0,0,640,480,0, 9950,640,480:waittimer 75454 blt 0,0,640,480,0, 9952,640,480:waittimer 75469 blt 0,0,640,480,0, 9954,640,480:waittimer 75484 blt 0,0,640,480,0, 9956,640,480:waittimer 75499 blt 0,0,640,480,0, 9958,640,480:waittimer 75514 blt 0,0,640,480,0, 9960,640,480:waittimer 75530 blt 0,0,640,480,0, 9962,640,480:waittimer 75545 blt 0,0,640,480,0, 9964,640,480:waittimer 75560 blt 0,0,640,480,0, 9966,640,480:waittimer 75575 blt 0,0,640,480,0, 9968,640,480:waittimer 75590 blt 0,0,640,480,0, 9970,640,480:waittimer 75605 blt 0,0,640,480,0, 9972,640,480:waittimer 75621 blt 0,0,640,480,0, 9974,640,480:waittimer 75636 blt 0,0,640,480,0, 9976,640,480:waittimer 75651 blt 0,0,640,480,0, 9978,640,480:waittimer 75666 blt 0,0,640,480,0, 9980,640,480:waittimer 75681 blt 0,0,640,480,0, 9982,640,480:waittimer 75696 blt 0,0,640,480,0, 9984,640,480:waittimer 75712 blt 0,0,640,480,0, 9986,640,480:waittimer 75727 blt 0,0,640,480,0, 9988,640,480:waittimer 75742 blt 0,0,640,480,0, 9990,640,480:waittimer 75757 blt 0,0,640,480,0, 9992,640,480:waittimer 75772 blt 0,0,640,480,0, 9994,640,480:waittimer 75787 blt 0,0,640,480,0, 9996,640,480:waittimer 75803 blt 0,0,640,480,0, 9998,640,480:waittimer 75818 blt 0,0,640,480,0, 10000,640,480:waittimer 75833 blt 0,0,640,480,0, 10002,640,480:waittimer 75848 blt 0,0,640,480,0, 10004,640,480:waittimer 75863 blt 0,0,640,480,0, 10006,640,480:waittimer 75878 blt 0,0,640,480,0, 10008,640,480:waittimer 75894 blt 0,0,640,480,0, 10010,640,480:waittimer 75909 blt 0,0,640,480,0, 10012,640,480:waittimer 75924 blt 0,0,640,480,0, 10014,640,480:waittimer 75939 blt 0,0,640,480,0, 10016,640,480:waittimer 75954 blt 0,0,640,480,0, 10018,640,480:waittimer 75969 blt 0,0,640,480,0, 10020,640,480:waittimer 75985 blt 0,0,640,480,0, 10022,640,480:waittimer 76000 blt 0,0,640,480,0, 10024,640,480:waittimer 76015 blt 0,0,640,480,0, 10026,640,480:waittimer 76030 blt 0,0,640,480,0, 10028,640,480:waittimer 76045 blt 0,0,640,480,0, 10030,640,480:waittimer 76060 blt 0,0,640,480,0, 10032,640,480:waittimer 76076 blt 0,0,640,480,0, 10034,640,480:waittimer 76091 blt 0,0,640,480,0, 10036,640,480:waittimer 76106 blt 0,0,640,480,0, 10038,640,480:waittimer 76121 blt 0,0,640,480,0, 10040,640,480:waittimer 76136 blt 0,0,640,480,0, 10042,640,480:waittimer 76151 blt 0,0,640,480,0, 10044,640,480:waittimer 76167 blt 0,0,640,480,0, 10046,640,480:waittimer 76182 blt 0,0,640,480,0, 10048,640,480:waittimer 76197 blt 0,0,640,480,0, 10050,640,480:waittimer 76212 blt 0,0,640,480,0, 10052,640,480:waittimer 76227 blt 0,0,640,480,0, 10054,640,480:waittimer 76242 blt 0,0,640,480,0, 10056,640,480:waittimer 76258 blt 0,0,640,480,0, 10058,640,480:waittimer 76273 blt 0,0,640,480,0, 10060,640,480:waittimer 76288 blt 0,0,640,480,0, 10062,640,480:waittimer 76303 blt 0,0,640,480,0, 10064,640,480:waittimer 76318 blt 0,0,640,480,0, 10066,640,480:waittimer 76333 blt 0,0,640,480,0, 10068,640,480:waittimer 76349 blt 0,0,640,480,0, 10070,640,480:waittimer 76364 blt 0,0,640,480,0, 10072,640,480:waittimer 76379 blt 0,0,640,480,0, 10074,640,480:waittimer 76394 blt 0,0,640,480,0, 10076,640,480:waittimer 76409 blt 0,0,640,480,0, 10078,640,480:waittimer 76424 blt 0,0,640,480,0, 10080,640,480:waittimer 76440 blt 0,0,640,480,0, 10082,640,480:waittimer 76455 blt 0,0,640,480,0, 10084,640,480:waittimer 76470 blt 0,0,640,480,0, 10086,640,480:waittimer 76485 blt 0,0,640,480,0, 10088,640,480:waittimer 76500 blt 0,0,640,480,0, 10090,640,480:waittimer 76515 blt 0,0,640,480,0, 10092,640,480:waittimer 76531 blt 0,0,640,480,0, 10094,640,480:waittimer 76546 blt 0,0,640,480,0, 10096,640,480:waittimer 76561 blt 0,0,640,480,0, 10098,640,480:waittimer 76576 blt 0,0,640,480,0, 10100,640,480:waittimer 76591 blt 0,0,640,480,0, 10102,640,480:waittimer 76606 blt 0,0,640,480,0, 10104,640,480:waittimer 76622 blt 0,0,640,480,0, 10106,640,480:waittimer 76637 blt 0,0,640,480,0, 10108,640,480:waittimer 76652 blt 0,0,640,480,0, 10110,640,480:waittimer 76667 blt 0,0,640,480,0, 10112,640,480:waittimer 76682 blt 0,0,640,480,0, 10114,640,480:waittimer 76697 blt 0,0,640,480,0, 10116,640,480:waittimer 76713 blt 0,0,640,480,0, 10118,640,480:waittimer 76728 blt 0,0,640,480,0, 10120,640,480:waittimer 76743 blt 0,0,640,480,0, 10122,640,480:waittimer 76758 blt 0,0,640,480,0, 10124,640,480:waittimer 76773 blt 0,0,640,480,0, 10126,640,480:waittimer 76788 blt 0,0,640,480,0, 10128,640,480:waittimer 76804 blt 0,0,640,480,0, 10130,640,480:waittimer 76819 blt 0,0,640,480,0, 10132,640,480:waittimer 76834 blt 0,0,640,480,0, 10134,640,480:waittimer 76849 blt 0,0,640,480,0, 10136,640,480:waittimer 76864 blt 0,0,640,480,0, 10138,640,480:waittimer 76879 blt 0,0,640,480,0, 10140,640,480:waittimer 76895 blt 0,0,640,480,0, 10142,640,480:waittimer 76910 blt 0,0,640,480,0, 10144,640,480:waittimer 76925 blt 0,0,640,480,0, 10146,640,480:waittimer 76940 blt 0,0,640,480,0, 10148,640,480:waittimer 76955 blt 0,0,640,480,0, 10150,640,480:waittimer 76970 blt 0,0,640,480,0, 10152,640,480:waittimer 76986 blt 0,0,640,480,0, 10154,640,480:waittimer 77001 blt 0,0,640,480,0, 10156,640,480:waittimer 77016 blt 0,0,640,480,0, 10158,640,480:waittimer 77031 blt 0,0,640,480,0, 10160,640,480:waittimer 77046 blt 0,0,640,480,0, 10162,640,480:waittimer 77061 blt 0,0,640,480,0, 10164,640,480:waittimer 77077 blt 0,0,640,480,0, 10166,640,480:waittimer 77092 blt 0,0,640,480,0, 10168,640,480:waittimer 77107 blt 0,0,640,480,0, 10170,640,480:waittimer 77122 blt 0,0,640,480,0, 10172,640,480:waittimer 77137 blt 0,0,640,480,0, 10174,640,480:waittimer 77152 blt 0,0,640,480,0, 10176,640,480:waittimer 77168 blt 0,0,640,480,0, 10178,640,480:waittimer 77183 blt 0,0,640,480,0, 10180,640,480:waittimer 77198 blt 0,0,640,480,0, 10182,640,480:waittimer 77213 blt 0,0,640,480,0, 10184,640,480:waittimer 77228 blt 0,0,640,480,0, 10186,640,480:waittimer 77243 blt 0,0,640,480,0, 10188,640,480:waittimer 77259 blt 0,0,640,480,0, 10190,640,480:waittimer 77274 blt 0,0,640,480,0, 10192,640,480:waittimer 77289 blt 0,0,640,480,0, 10194,640,480:waittimer 77304 blt 0,0,640,480,0, 10196,640,480:waittimer 77319 blt 0,0,640,480,0, 10198,640,480:waittimer 77334 blt 0,0,640,480,0, 10200,640,480:waittimer 77350 blt 0,0,640,480,0, 10202,640,480:waittimer 77365 blt 0,0,640,480,0, 10204,640,480:waittimer 77380 blt 0,0,640,480,0, 10206,640,480:waittimer 77395 blt 0,0,640,480,0, 10208,640,480:waittimer 77410 blt 0,0,640,480,0, 10210,640,480:waittimer 77425 blt 0,0,640,480,0, 10212,640,480:waittimer 77441 blt 0,0,640,480,0, 10214,640,480:waittimer 77456 blt 0,0,640,480,0, 10216,640,480:waittimer 77471 blt 0,0,640,480,0, 10218,640,480:waittimer 77486 blt 0,0,640,480,0, 10220,640,480:waittimer 77501 blt 0,0,640,480,0, 10222,640,480:waittimer 77516 blt 0,0,640,480,0, 10224,640,480:waittimer 77532 blt 0,0,640,480,0, 10226,640,480:waittimer 77547 blt 0,0,640,480,0, 10228,640,480:waittimer 77562 blt 0,0,640,480,0, 10230,640,480:waittimer 77577 blt 0,0,640,480,0, 10232,640,480:waittimer 77592 blt 0,0,640,480,0, 10234,640,480:waittimer 77607 blt 0,0,640,480,0, 10236,640,480:waittimer 77623 blt 0,0,640,480,0, 10238,640,480:waittimer 77638 blt 0,0,640,480,0, 10240,640,480:waittimer 77653 blt 0,0,640,480,0, 10242,640,480:waittimer 77668 blt 0,0,640,480,0, 10244,640,480:waittimer 77683 blt 0,0,640,480,0, 10246,640,480:waittimer 77698 blt 0,0,640,480,0, 10248,640,480:waittimer 77714 blt 0,0,640,480,0, 10250,640,480:waittimer 77729 blt 0,0,640,480,0, 10252,640,480:waittimer 77744 blt 0,0,640,480,0, 10254,640,480:waittimer 77759 blt 0,0,640,480,0, 10256,640,480:waittimer 77774 blt 0,0,640,480,0, 10258,640,480:waittimer 77789 blt 0,0,640,480,0, 10260,640,480:waittimer 77805 blt 0,0,640,480,0, 10262,640,480:waittimer 77820 blt 0,0,640,480,0, 10264,640,480:waittimer 77835 blt 0,0,640,480,0, 10266,640,480:waittimer 77850 blt 0,0,640,480,0, 10268,640,480:waittimer 77865 blt 0,0,640,480,0, 10270,640,480:waittimer 77880 blt 0,0,640,480,0, 10272,640,480:waittimer 77896 blt 0,0,640,480,0, 10274,640,480:waittimer 77911 blt 0,0,640,480,0, 10276,640,480:waittimer 77926 blt 0,0,640,480,0, 10278,640,480:waittimer 77941 blt 0,0,640,480,0, 10280,640,480:waittimer 77956 blt 0,0,640,480,0, 10282,640,480:waittimer 77971 blt 0,0,640,480,0, 10284,640,480:waittimer 77987 blt 0,0,640,480,0, 10286,640,480:waittimer 78002 blt 0,0,640,480,0, 10288,640,480:waittimer 78017 blt 0,0,640,480,0, 10290,640,480:waittimer 78032 blt 0,0,640,480,0, 10292,640,480:waittimer 78047 blt 0,0,640,480,0, 10294,640,480:waittimer 78062 blt 0,0,640,480,0, 10296,640,480:waittimer 78078 blt 0,0,640,480,0, 10298,640,480:waittimer 78093 blt 0,0,640,480,0, 10300,640,480:waittimer 78108 blt 0,0,640,480,0, 10302,640,480:waittimer 78123 blt 0,0,640,480,0, 10304,640,480:waittimer 78138 blt 0,0,640,480,0, 10306,640,480:waittimer 78153 blt 0,0,640,480,0, 10308,640,480:waittimer 78169 blt 0,0,640,480,0, 10310,640,480:waittimer 78184 blt 0,0,640,480,0, 10312,640,480:waittimer 78199 blt 0,0,640,480,0, 10314,640,480:waittimer 78214 blt 0,0,640,480,0, 10316,640,480:waittimer 78229 blt 0,0,640,480,0, 10318,640,480:waittimer 78244 blt 0,0,640,480,0, 10320,640,480:waittimer 78260 blt 0,0,640,480,0, 10322,640,480:waittimer 78275 blt 0,0,640,480,0, 10324,640,480:waittimer 78290 blt 0,0,640,480,0, 10326,640,480:waittimer 78305 blt 0,0,640,480,0, 10328,640,480:waittimer 78320 blt 0,0,640,480,0, 10330,640,480:waittimer 78335 blt 0,0,640,480,0, 10332,640,480:waittimer 78351 blt 0,0,640,480,0, 10334,640,480:waittimer 78366 blt 0,0,640,480,0, 10336,640,480:waittimer 78381 blt 0,0,640,480,0, 10338,640,480:waittimer 78396 blt 0,0,640,480,0, 10340,640,480:waittimer 78411 blt 0,0,640,480,0, 10342,640,480:waittimer 78426 blt 0,0,640,480,0, 10344,640,480:waittimer 78442 blt 0,0,640,480,0, 10346,640,480:waittimer 78457 blt 0,0,640,480,0, 10348,640,480:waittimer 78472 blt 0,0,640,480,0, 10350,640,480:waittimer 78487 blt 0,0,640,480,0, 10352,640,480:waittimer 78502 blt 0,0,640,480,0, 10354,640,480:waittimer 78517 blt 0,0,640,480,0, 10356,640,480:waittimer 78533 blt 0,0,640,480,0, 10358,640,480:waittimer 78548 blt 0,0,640,480,0, 10360,640,480:waittimer 78563 blt 0,0,640,480,0, 10362,640,480:waittimer 78578 blt 0,0,640,480,0, 10364,640,480:waittimer 78593 blt 0,0,640,480,0, 10366,640,480:waittimer 78608 blt 0,0,640,480,0, 10368,640,480:waittimer 78624 blt 0,0,640,480,0, 10370,640,480:waittimer 78639 blt 0,0,640,480,0, 10372,640,480:waittimer 78654 blt 0,0,640,480,0, 10374,640,480:waittimer 78669 blt 0,0,640,480,0, 10376,640,480:waittimer 78684 blt 0,0,640,480,0, 10378,640,480:waittimer 78699 blt 0,0,640,480,0, 10380,640,480:waittimer 78715 blt 0,0,640,480,0, 10382,640,480:waittimer 78730 blt 0,0,640,480,0, 10384,640,480:waittimer 78745 blt 0,0,640,480,0, 10386,640,480:waittimer 78760 blt 0,0,640,480,0, 10388,640,480:waittimer 78775 blt 0,0,640,480,0, 10390,640,480:waittimer 78790 blt 0,0,640,480,0, 10392,640,480:waittimer 78806 blt 0,0,640,480,0, 10394,640,480:waittimer 78821 blt 0,0,640,480,0, 10396,640,480:waittimer 78836 blt 0,0,640,480,0, 10398,640,480:waittimer 78851 blt 0,0,640,480,0, 10400,640,480:waittimer 78866 blt 0,0,640,480,0, 10402,640,480:waittimer 78881 blt 0,0,640,480,0, 10404,640,480:waittimer 78897 blt 0,0,640,480,0, 10406,640,480:waittimer 78912 blt 0,0,640,480,0, 10408,640,480:waittimer 78927 blt 0,0,640,480,0, 10410,640,480:waittimer 78942 blt 0,0,640,480,0, 10412,640,480:waittimer 78957 blt 0,0,640,480,0, 10414,640,480:waittimer 78972 blt 0,0,640,480,0, 10416,640,480:waittimer 78988 blt 0,0,640,480,0, 10418,640,480:waittimer 79003 blt 0,0,640,480,0, 10420,640,480:waittimer 79018 blt 0,0,640,480,0, 10422,640,480:waittimer 79033 blt 0,0,640,480,0, 10424,640,480:waittimer 79048 blt 0,0,640,480,0, 10426,640,480:waittimer 79063 blt 0,0,640,480,0, 10428,640,480:waittimer 79079 blt 0,0,640,480,0, 10430,640,480:waittimer 79094 blt 0,0,640,480,0, 10432,640,480:waittimer 79109 blt 0,0,640,480,0, 10434,640,480:waittimer 79124 blt 0,0,640,480,0, 10436,640,480:waittimer 79139 blt 0,0,640,480,0, 10438,640,480:waittimer 79154 blt 0,0,640,480,0, 10440,640,480:waittimer 79170 blt 0,0,640,480,0, 10442,640,480:waittimer 79185 blt 0,0,640,480,0, 10444,640,480:waittimer 79200 blt 0,0,640,480,0, 10446,640,480:waittimer 79215 blt 0,0,640,480,0, 10448,640,480:waittimer 79230 blt 0,0,640,480,0, 10450,640,480:waittimer 79245 blt 0,0,640,480,0, 10452,640,480:waittimer 79261 blt 0,0,640,480,0, 10454,640,480:waittimer 79276 blt 0,0,640,480,0, 10456,640,480:waittimer 79291 blt 0,0,640,480,0, 10458,640,480:waittimer 79306 blt 0,0,640,480,0, 10460,640,480:waittimer 79321 blt 0,0,640,480,0, 10462,640,480:waittimer 79336 blt 0,0,640,480,0, 10464,640,480:waittimer 79352 blt 0,0,640,480,0, 10466,640,480:waittimer 79367 blt 0,0,640,480,0, 10468,640,480:waittimer 79382 blt 0,0,640,480,0, 10470,640,480:waittimer 79397 blt 0,0,640,480,0, 10472,640,480:waittimer 79412 blt 0,0,640,480,0, 10474,640,480:waittimer 79427 blt 0,0,640,480,0, 10476,640,480:waittimer 79443 blt 0,0,640,480,0, 10478,640,480:waittimer 79458 blt 0,0,640,480,0, 10480,640,480:waittimer 79473 blt 0,0,640,480,0, 10482,640,480:waittimer 79488 blt 0,0,640,480,0, 10484,640,480:waittimer 79503 blt 0,0,640,480,0, 10486,640,480:waittimer 79518 blt 0,0,640,480,0, 10488,640,480:waittimer 79534 blt 0,0,640,480,0, 10490,640,480:waittimer 79549 blt 0,0,640,480,0, 10492,640,480:waittimer 79564 blt 0,0,640,480,0, 10494,640,480:waittimer 79579 blt 0,0,640,480,0, 10496,640,480:waittimer 79594 blt 0,0,640,480,0, 10498,640,480:waittimer 79609 blt 0,0,640,480,0, 10500,640,480:waittimer 79625 blt 0,0,640,480,0, 10502,640,480:waittimer 79640 blt 0,0,640,480,0, 10504,640,480:waittimer 79655 blt 0,0,640,480,0, 10506,640,480:waittimer 79670 blt 0,0,640,480,0, 10508,640,480:waittimer 79685 blt 0,0,640,480,0, 10510,640,480:waittimer 79700 blt 0,0,640,480,0, 10512,640,480:waittimer 79716 blt 0,0,640,480,0, 10514,640,480:waittimer 79731 blt 0,0,640,480,0, 10516,640,480:waittimer 79746 blt 0,0,640,480,0, 10518,640,480:waittimer 79761 blt 0,0,640,480,0, 10520,640,480:waittimer 79776 blt 0,0,640,480,0, 10522,640,480:waittimer 79791 blt 0,0,640,480,0, 10524,640,480:waittimer 79807 blt 0,0,640,480,0, 10526,640,480:waittimer 79822 blt 0,0,640,480,0, 10528,640,480:waittimer 79837 blt 0,0,640,480,0, 10530,640,480:waittimer 79852 blt 0,0,640,480,0, 10532,640,480:waittimer 79867 blt 0,0,640,480,0, 10534,640,480:waittimer 79882 blt 0,0,640,480,0, 10536,640,480:waittimer 79898 blt 0,0,640,480,0, 10538,640,480:waittimer 79913 blt 0,0,640,480,0, 10540,640,480:waittimer 79928 blt 0,0,640,480,0, 10542,640,480:waittimer 79943 blt 0,0,640,480,0, 10544,640,480:waittimer 79958 blt 0,0,640,480,0, 10546,640,480:waittimer 79973 blt 0,0,640,480,0, 10548,640,480:waittimer 79989 blt 0,0,640,480,0, 10550,640,480:waittimer 80004 blt 0,0,640,480,0, 10552,640,480:waittimer 80019 blt 0,0,640,480,0, 10554,640,480:waittimer 80034 blt 0,0,640,480,0, 10556,640,480:waittimer 80049 blt 0,0,640,480,0, 10558,640,480:waittimer 80064 blt 0,0,640,480,0, 10560,640,480:waittimer 80080 blt 0,0,640,480,0, 10562,640,480:waittimer 80095 blt 0,0,640,480,0, 10564,640,480:waittimer 80110 blt 0,0,640,480,0, 10566,640,480:waittimer 80125 blt 0,0,640,480,0, 10568,640,480:waittimer 80140 blt 0,0,640,480,0, 10570,640,480:waittimer 80155 blt 0,0,640,480,0, 10572,640,480:waittimer 80171 blt 0,0,640,480,0, 10574,640,480:waittimer 80186 blt 0,0,640,480,0, 10576,640,480:waittimer 80201 blt 0,0,640,480,0, 10578,640,480:waittimer 80216 blt 0,0,640,480,0, 10580,640,480:waittimer 80231 blt 0,0,640,480,0, 10582,640,480:waittimer 80246 blt 0,0,640,480,0, 10584,640,480:waittimer 80262 blt 0,0,640,480,0, 10586,640,480:waittimer 80277 blt 0,0,640,480,0, 10588,640,480:waittimer 80292 blt 0,0,640,480,0, 10590,640,480:waittimer 80307 blt 0,0,640,480,0, 10592,640,480:waittimer 80322 blt 0,0,640,480,0, 10594,640,480:waittimer 80337 blt 0,0,640,480,0, 10596,640,480:waittimer 80353 blt 0,0,640,480,0, 10598,640,480:waittimer 80368 blt 0,0,640,480,0, 10600,640,480:waittimer 80383 blt 0,0,640,480,0, 10602,640,480:waittimer 80398 blt 0,0,640,480,0, 10604,640,480:waittimer 80413 blt 0,0,640,480,0, 10606,640,480:waittimer 80428 blt 0,0,640,480,0, 10608,640,480:waittimer 80444 blt 0,0,640,480,0, 10610,640,480:waittimer 80459 blt 0,0,640,480,0, 10612,640,480:waittimer 80474 blt 0,0,640,480,0, 10614,640,480:waittimer 80489 blt 0,0,640,480,0, 10616,640,480:waittimer 80504 blt 0,0,640,480,0, 10618,640,480:waittimer 80519 blt 0,0,640,480,0, 10620,640,480:waittimer 80535 blt 0,0,640,480,0, 10622,640,480:waittimer 80550 blt 0,0,640,480,0, 10624,640,480:waittimer 80565 blt 0,0,640,480,0, 10626,640,480:waittimer 80580 blt 0,0,640,480,0, 10628,640,480:waittimer 80595 blt 0,0,640,480,0, 10630,640,480:waittimer 80610 blt 0,0,640,480,0, 10632,640,480:waittimer 80626 blt 0,0,640,480,0, 10634,640,480:waittimer 80641 blt 0,0,640,480,0, 10636,640,480:waittimer 80656 blt 0,0,640,480,0, 10638,640,480:waittimer 80671 blt 0,0,640,480,0, 10640,640,480:waittimer 80686 blt 0,0,640,480,0, 10642,640,480:waittimer 80701 blt 0,0,640,480,0, 10644,640,480:waittimer 80717 blt 0,0,640,480,0, 10646,640,480:waittimer 80732 blt 0,0,640,480,0, 10648,640,480:waittimer 80747 blt 0,0,640,480,0, 10650,640,480:waittimer 80762 blt 0,0,640,480,0, 10652,640,480:waittimer 80777 blt 0,0,640,480,0, 10654,640,480:waittimer 80792 blt 0,0,640,480,0, 10656,640,480:waittimer 80808 blt 0,0,640,480,0, 10658,640,480:waittimer 80823 blt 0,0,640,480,0, 10660,640,480:waittimer 80838 blt 0,0,640,480,0, 10662,640,480:waittimer 80853 blt 0,0,640,480,0, 10664,640,480:waittimer 80868 blt 0,0,640,480,0, 10666,640,480:waittimer 80883 blt 0,0,640,480,0, 10668,640,480:waittimer 80899 blt 0,0,640,480,0, 10670,640,480:waittimer 80914 blt 0,0,640,480,0, 10672,640,480:waittimer 80929 blt 0,0,640,480,0, 10674,640,480:waittimer 80944 blt 0,0,640,480,0, 10676,640,480:waittimer 80959 blt 0,0,640,480,0, 10678,640,480:waittimer 80974 blt 0,0,640,480,0, 10680,640,480:waittimer 80990 blt 0,0,640,480,0, 10682,640,480:waittimer 81005 blt 0,0,640,480,0, 10684,640,480:waittimer 81020 blt 0,0,640,480,0, 10686,640,480:waittimer 81035 blt 0,0,640,480,0, 10688,640,480:waittimer 81050 blt 0,0,640,480,0, 10690,640,480:waittimer 81065 blt 0,0,640,480,0, 10692,640,480:waittimer 81081 blt 0,0,640,480,0, 10694,640,480:waittimer 81096 blt 0,0,640,480,0, 10696,640,480:waittimer 81111 blt 0,0,640,480,0, 10698,640,480:waittimer 81126 blt 0,0,640,480,0, 10700,640,480:waittimer 81141 blt 0,0,640,480,0, 10702,640,480:waittimer 81156 blt 0,0,640,480,0, 10704,640,480:waittimer 81172 blt 0,0,640,480,0, 10706,640,480:waittimer 81187 blt 0,0,640,480,0, 10708,640,480:waittimer 81202 blt 0,0,640,480,0, 10710,640,480:waittimer 81217 blt 0,0,640,480,0, 10712,640,480:waittimer 81232 blt 0,0,640,480,0, 10714,640,480:waittimer 81247 blt 0,0,640,480,0, 10716,640,480:waittimer 81263 blt 0,0,640,480,0, 10718,640,480:waittimer 81278 blt 0,0,640,480,0, 10720,640,480:waittimer 81293 blt 0,0,640,480,0, 10722,640,480:waittimer 81308 blt 0,0,640,480,0, 10724,640,480:waittimer 81323 blt 0,0,640,480,0, 10726,640,480:waittimer 81338 blt 0,0,640,480,0, 10728,640,480:waittimer 81354 blt 0,0,640,480,0, 10730,640,480:waittimer 81369 blt 0,0,640,480,0, 10732,640,480:waittimer 81384 blt 0,0,640,480,0, 10734,640,480:waittimer 81399 blt 0,0,640,480,0, 10736,640,480:waittimer 81414 blt 0,0,640,480,0, 10738,640,480:waittimer 81429 blt 0,0,640,480,0, 10740,640,480:waittimer 81445 blt 0,0,640,480,0, 10742,640,480:waittimer 81460 blt 0,0,640,480,0, 10744,640,480:waittimer 81475 blt 0,0,640,480,0, 10746,640,480:waittimer 81490 blt 0,0,640,480,0, 10748,640,480:waittimer 81505 blt 0,0,640,480,0, 10750,640,480:waittimer 81520 blt 0,0,640,480,0, 10752,640,480:waittimer 81536 blt 0,0,640,480,0, 10754,640,480:waittimer 81551 blt 0,0,640,480,0, 10756,640,480:waittimer 81566 blt 0,0,640,480,0, 10758,640,480:waittimer 81581 blt 0,0,640,480,0, 10760,640,480:waittimer 81596 blt 0,0,640,480,0, 10762,640,480:waittimer 81611 blt 0,0,640,480,0, 10764,640,480:waittimer 81627 blt 0,0,640,480,0, 10766,640,480:waittimer 81642 blt 0,0,640,480,0, 10768,640,480:waittimer 81657 blt 0,0,640,480,0, 10770,640,480:waittimer 81672 blt 0,0,640,480,0, 10772,640,480:waittimer 81687 blt 0,0,640,480,0, 10774,640,480:waittimer 81702 blt 0,0,640,480,0, 10776,640,480:waittimer 81718 blt 0,0,640,480,0, 10778,640,480:waittimer 81733 blt 0,0,640,480,0, 10780,640,480:waittimer 81748 blt 0,0,640,480,0, 10782,640,480:waittimer 81763 blt 0,0,640,480,0, 10784,640,480:waittimer 81778 blt 0,0,640,480,0, 10786,640,480:waittimer 81793 blt 0,0,640,480,0, 10788,640,480:waittimer 81809 blt 0,0,640,480,0, 10790,640,480:waittimer 81824 blt 0,0,640,480,0, 10792,640,480:waittimer 81839 blt 0,0,640,480,0, 10794,640,480:waittimer 81854 blt 0,0,640,480,0, 10796,640,480:waittimer 81869 blt 0,0,640,480,0, 10798,640,480:waittimer 81884 blt 0,0,640,480,0, 10800,640,480:waittimer 81900 blt 0,0,640,480,0, 10802,640,480:waittimer 81915 blt 0,0,640,480,0, 10804,640,480:waittimer 81930 blt 0,0,640,480,0, 10806,640,480:waittimer 81945 blt 0,0,640,480,0, 10808,640,480:waittimer 81960 blt 0,0,640,480,0, 10810,640,480:waittimer 81975 blt 0,0,640,480,0, 10812,640,480:waittimer 81991 blt 0,0,640,480,0, 10814,640,480:waittimer 82006 blt 0,0,640,480,0, 10816,640,480:waittimer 82021 blt 0,0,640,480,0, 10818,640,480:waittimer 82036 blt 0,0,640,480,0, 10820,640,480:waittimer 82051 blt 0,0,640,480,0, 10822,640,480:waittimer 82066 blt 0,0,640,480,0, 10824,640,480:waittimer 82082 blt 0,0,640,480,0, 10826,640,480:waittimer 82097 blt 0,0,640,480,0, 10828,640,480:waittimer 82112 blt 0,0,640,480,0, 10830,640,480:waittimer 82127 blt 0,0,640,480,0, 10832,640,480:waittimer 82142 blt 0,0,640,480,0, 10834,640,480:waittimer 82157 blt 0,0,640,480,0, 10836,640,480:waittimer 82173 blt 0,0,640,480,0, 10838,640,480:waittimer 82188 blt 0,0,640,480,0, 10840,640,480:waittimer 82203 blt 0,0,640,480,0, 10842,640,480:waittimer 82218 blt 0,0,640,480,0, 10844,640,480:waittimer 82233 blt 0,0,640,480,0, 10846,640,480:waittimer 82248 blt 0,0,640,480,0, 10848,640,480:waittimer 82264 blt 0,0,640,480,0, 10850,640,480:waittimer 82279 blt 0,0,640,480,0, 10852,640,480:waittimer 82294 blt 0,0,640,480,0, 10854,640,480:waittimer 82309 blt 0,0,640,480,0, 10856,640,480:waittimer 82324 blt 0,0,640,480,0, 10858,640,480:waittimer 82339 blt 0,0,640,480,0, 10860,640,480:waittimer 82355 blt 0,0,640,480,0, 10862,640,480:waittimer 82370 blt 0,0,640,480,0, 10864,640,480:waittimer 82385 blt 0,0,640,480,0, 10866,640,480:waittimer 82400 blt 0,0,640,480,0, 10868,640,480:waittimer 82415 blt 0,0,640,480,0, 10870,640,480:waittimer 82430 blt 0,0,640,480,0, 10872,640,480:waittimer 82446 blt 0,0,640,480,0, 10874,640,480:waittimer 82461 blt 0,0,640,480,0, 10876,640,480:waittimer 82476 blt 0,0,640,480,0, 10878,640,480:waittimer 82491 blt 0,0,640,480,0, 10880,640,480:waittimer 82506 blt 0,0,640,480,0, 10882,640,480:waittimer 82521 blt 0,0,640,480,0, 10884,640,480:waittimer 82537 blt 0,0,640,480,0, 10886,640,480:waittimer 82552 blt 0,0,640,480,0, 10888,640,480:waittimer 82567 blt 0,0,640,480,0, 10890,640,480:waittimer 82582 blt 0,0,640,480,0, 10892,640,480:waittimer 82597 blt 0,0,640,480,0, 10894,640,480:waittimer 82612 blt 0,0,640,480,0, 10896,640,480:waittimer 82628 blt 0,0,640,480,0, 10898,640,480:waittimer 82643 blt 0,0,640,480,0, 10900,640,480:waittimer 82658 blt 0,0,640,480,0, 10902,640,480:waittimer 82673 blt 0,0,640,480,0, 10904,640,480:waittimer 82688 blt 0,0,640,480,0, 10906,640,480:waittimer 82703 blt 0,0,640,480,0, 10908,640,480:waittimer 82719 blt 0,0,640,480,0, 10910,640,480:waittimer 82734 blt 0,0,640,480,0, 10912,640,480:waittimer 82749 blt 0,0,640,480,0, 10914,640,480:waittimer 82764 blt 0,0,640,480,0, 10916,640,480:waittimer 82779 blt 0,0,640,480,0, 10918,640,480:waittimer 82794 blt 0,0,640,480,0, 10920,640,480:waittimer 82810 blt 0,0,640,480,0, 10922,640,480:waittimer 82825 blt 0,0,640,480,0, 10924,640,480:waittimer 82840 blt 0,0,640,480,0, 10926,640,480:waittimer 82855 blt 0,0,640,480,0, 10928,640,480:waittimer 82870 blt 0,0,640,480,0, 10930,640,480:waittimer 82885 blt 0,0,640,480,0, 10932,640,480:waittimer 82901 blt 0,0,640,480,0, 10934,640,480:waittimer 82916 blt 0,0,640,480,0, 10936,640,480:waittimer 82931 blt 0,0,640,480,0, 10938,640,480:waittimer 82946 blt 0,0,640,480,0, 10940,640,480:waittimer 82961 blt 0,0,640,480,0, 10942,640,480:waittimer 82976 blt 0,0,640,480,0, 10944,640,480:waittimer 82992 blt 0,0,640,480,0, 10946,640,480:waittimer 83007 blt 0,0,640,480,0, 10948,640,480:waittimer 83022 blt 0,0,640,480,0, 10950,640,480:waittimer 83037 blt 0,0,640,480,0, 10952,640,480:waittimer 83052 blt 0,0,640,480,0, 10954,640,480:waittimer 83067 blt 0,0,640,480,0, 10956,640,480:waittimer 83083 blt 0,0,640,480,0, 10958,640,480:waittimer 83098 blt 0,0,640,480,0, 10960,640,480:waittimer 83113 blt 0,0,640,480,0, 10962,640,480:waittimer 83128 blt 0,0,640,480,0, 10964,640,480:waittimer 83143 blt 0,0,640,480,0, 10966,640,480:waittimer 83158 blt 0,0,640,480,0, 10968,640,480:waittimer 83174 blt 0,0,640,480,0, 10970,640,480:waittimer 83189 blt 0,0,640,480,0, 10972,640,480:waittimer 83204 blt 0,0,640,480,0, 10974,640,480:waittimer 83219 blt 0,0,640,480,0, 10976,640,480:waittimer 83234 blt 0,0,640,480,0, 10978,640,480:waittimer 83249 blt 0,0,640,480,0, 10980,640,480:waittimer 83265 blt 0,0,640,480,0, 10982,640,480:waittimer 83280 blt 0,0,640,480,0, 10984,640,480:waittimer 83295 blt 0,0,640,480,0, 10986,640,480:waittimer 83310 blt 0,0,640,480,0, 10988,640,480:waittimer 83325 blt 0,0,640,480,0, 10990,640,480:waittimer 83340 blt 0,0,640,480,0, 10992,640,480:waittimer 83356 blt 0,0,640,480,0, 10994,640,480:waittimer 83371 blt 0,0,640,480,0, 10996,640,480:waittimer 83386 blt 0,0,640,480,0, 10998,640,480:waittimer 83401 blt 0,0,640,480,0, 11000,640,480:waittimer 83416 blt 0,0,640,480,0, 11002,640,480:waittimer 83431 blt 0,0,640,480,0, 11004,640,480:waittimer 83447 blt 0,0,640,480,0, 11006,640,480:waittimer 83462 blt 0,0,640,480,0, 11008,640,480:waittimer 83477 blt 0,0,640,480,0, 11010,640,480:waittimer 83492 blt 0,0,640,480,0, 11012,640,480:waittimer 83507 blt 0,0,640,480,0, 11014,640,480:waittimer 83522 blt 0,0,640,480,0, 11016,640,480:waittimer 83538 blt 0,0,640,480,0, 11018,640,480:waittimer 83553 blt 0,0,640,480,0, 11020,640,480:waittimer 83568 blt 0,0,640,480,0, 11022,640,480:waittimer 83583 blt 0,0,640,480,0, 11024,640,480:waittimer 83598 blt 0,0,640,480,0, 11026,640,480:waittimer 83613 blt 0,0,640,480,0, 11028,640,480:waittimer 83629 blt 0,0,640,480,0, 11030,640,480:waittimer 83644 blt 0,0,640,480,0, 11032,640,480:waittimer 83659 blt 0,0,640,480,0, 11034,640,480:waittimer 83674 blt 0,0,640,480,0, 11036,640,480:waittimer 83689 blt 0,0,640,480,0, 11038,640,480:waittimer 83704 blt 0,0,640,480,0, 11040,640,480:waittimer 83720 blt 0,0,640,480,0, 11042,640,480:waittimer 83735 blt 0,0,640,480,0, 11044,640,480:waittimer 83750 blt 0,0,640,480,0, 11046,640,480:waittimer 83765 blt 0,0,640,480,0, 11048,640,480:waittimer 83780 blt 0,0,640,480,0, 11050,640,480:waittimer 83795 blt 0,0,640,480,0, 11052,640,480:waittimer 83811 blt 0,0,640,480,0, 11054,640,480:waittimer 83826 blt 0,0,640,480,0, 11056,640,480:waittimer 83841 blt 0,0,640,480,0, 11058,640,480:waittimer 83856 blt 0,0,640,480,0, 11060,640,480:waittimer 83871 blt 0,0,640,480,0, 11062,640,480:waittimer 83886 blt 0,0,640,480,0, 11064,640,480:waittimer 83902 blt 0,0,640,480,0, 11066,640,480:waittimer 83917 blt 0,0,640,480,0, 11068,640,480:waittimer 83932 blt 0,0,640,480,0, 11070,640,480:waittimer 83947 blt 0,0,640,480,0, 11072,640,480:waittimer 83962 blt 0,0,640,480,0, 11074,640,480:waittimer 83977 blt 0,0,640,480,0, 11076,640,480:waittimer 83993 blt 0,0,640,480,0, 11078,640,480:waittimer 84008 blt 0,0,640,480,0, 11080,640,480:waittimer 84023 blt 0,0,640,480,0, 11082,640,480:waittimer 84038 blt 0,0,640,480,0, 11084,640,480:waittimer 84053 blt 0,0,640,480,0, 11086,640,480:waittimer 84068 blt 0,0,640,480,0, 11088,640,480:waittimer 84084 blt 0,0,640,480,0, 11090,640,480:waittimer 84099 blt 0,0,640,480,0, 11092,640,480:waittimer 84114 blt 0,0,640,480,0, 11094,640,480:waittimer 84129 blt 0,0,640,480,0, 11096,640,480:waittimer 84144 blt 0,0,640,480,0, 11098,640,480:waittimer 84159 blt 0,0,640,480,0, 11100,640,480:waittimer 84175 blt 0,0,640,480,0, 11102,640,480:waittimer 84190 blt 0,0,640,480,0, 11104,640,480:waittimer 84205 blt 0,0,640,480,0, 11106,640,480:waittimer 84220 blt 0,0,640,480,0, 11108,640,480:waittimer 84235 blt 0,0,640,480,0, 11110,640,480:waittimer 84250 blt 0,0,640,480,0, 11112,640,480:waittimer 84266 blt 0,0,640,480,0, 11114,640,480:waittimer 84281 blt 0,0,640,480,0, 11116,640,480:waittimer 84296 blt 0,0,640,480,0, 11118,640,480:waittimer 84311 blt 0,0,640,480,0, 11120,640,480:waittimer 84326 blt 0,0,640,480,0, 11122,640,480:waittimer 84341 blt 0,0,640,480,0, 11124,640,480:waittimer 84357 blt 0,0,640,480,0, 11126,640,480:waittimer 84372 blt 0,0,640,480,0, 11128,640,480:waittimer 84387 blt 0,0,640,480,0, 11130,640,480:waittimer 84402 blt 0,0,640,480,0, 11132,640,480:waittimer 84417 blt 0,0,640,480,0, 11134,640,480:waittimer 84432 blt 0,0,640,480,0, 11136,640,480:waittimer 84448 blt 0,0,640,480,0, 11138,640,480:waittimer 84463 blt 0,0,640,480,0, 11140,640,480:waittimer 84478 blt 0,0,640,480,0, 11142,640,480:waittimer 84493 blt 0,0,640,480,0, 11144,640,480:waittimer 84508 blt 0,0,640,480,0, 11146,640,480:waittimer 84523 blt 0,0,640,480,0, 11148,640,480:waittimer 84539 blt 0,0,640,480,0, 11150,640,480:waittimer 84554 blt 0,0,640,480,0, 11152,640,480:waittimer 84569 blt 0,0,640,480,0, 11154,640,480:waittimer 84584 blt 0,0,640,480,0, 11156,640,480:waittimer 84599 blt 0,0,640,480,0, 11158,640,480:waittimer 84614 blt 0,0,640,480,0, 11160,640,480:waittimer 84630 blt 0,0,640,480,0, 11162,640,480:waittimer 84645 blt 0,0,640,480,0, 11164,640,480:waittimer 84660 blt 0,0,640,480,0, 11166,640,480:waittimer 84675 blt 0,0,640,480,0, 11168,640,480:waittimer 84690 blt 0,0,640,480,0, 11170,640,480:waittimer 84705 blt 0,0,640,480,0, 11172,640,480:waittimer 84721 blt 0,0,640,480,0, 11174,640,480:waittimer 84736 blt 0,0,640,480,0, 11176,640,480:waittimer 84751 blt 0,0,640,480,0, 11178,640,480:waittimer 84766 blt 0,0,640,480,0, 11180,640,480:waittimer 84781 blt 0,0,640,480,0, 11182,640,480:waittimer 84796 blt 0,0,640,480,0, 11184,640,480:waittimer 84812 blt 0,0,640,480,0, 11186,640,480:waittimer 84827 blt 0,0,640,480,0, 11188,640,480:waittimer 84842 blt 0,0,640,480,0, 11190,640,480:waittimer 84857 blt 0,0,640,480,0, 11192,640,480:waittimer 84872 blt 0,0,640,480,0, 11194,640,480:waittimer 84887 blt 0,0,640,480,0, 11196,640,480:waittimer 84903 blt 0,0,640,480,0, 11198,640,480:waittimer 84918 blt 0,0,640,480,0, 11200,640,480:waittimer 84933 blt 0,0,640,480,0, 11202,640,480:waittimer 84948 blt 0,0,640,480,0, 11204,640,480:waittimer 84963 blt 0,0,640,480,0, 11206,640,480:waittimer 84978 blt 0,0,640,480,0, 11208,640,480:waittimer 84994 blt 0,0,640,480,0, 11210,640,480:waittimer 85009 blt 0,0,640,480,0, 11212,640,480:waittimer 85024 blt 0,0,640,480,0, 11214,640,480:waittimer 85039 blt 0,0,640,480,0, 11216,640,480:waittimer 85054 blt 0,0,640,480,0, 11218,640,480:waittimer 85069 blt 0,0,640,480,0, 11220,640,480:waittimer 85085 blt 0,0,640,480,0, 11222,640,480:waittimer 85100 blt 0,0,640,480,0, 11224,640,480:waittimer 85115 blt 0,0,640,480,0, 11226,640,480:waittimer 85130 blt 0,0,640,480,0, 11228,640,480:waittimer 85145 blt 0,0,640,480,0, 11230,640,480:waittimer 85160 blt 0,0,640,480,0, 11232,640,480:waittimer 85176 blt 0,0,640,480,0, 11234,640,480:waittimer 85191 blt 0,0,640,480,0, 11236,640,480:waittimer 85206 blt 0,0,640,480,0, 11238,640,480:waittimer 85221 blt 0,0,640,480,0, 11240,640,480:waittimer 85236 blt 0,0,640,480,0, 11242,640,480:waittimer 85251 blt 0,0,640,480,0, 11244,640,480:waittimer 85267 blt 0,0,640,480,0, 11246,640,480:waittimer 85282 blt 0,0,640,480,0, 11248,640,480:waittimer 85297 blt 0,0,640,480,0, 11250,640,480:waittimer 85312 blt 0,0,640,480,0, 11252,640,480:waittimer 85327 blt 0,0,640,480,0, 11254,640,480:waittimer 85342 blt 0,0,640,480,0, 11256,640,480:waittimer 85358 blt 0,0,640,480,0, 11258,640,480:waittimer 85373 blt 0,0,640,480,0, 11260,640,480:waittimer 85388 blt 0,0,640,480,0, 11262,640,480:waittimer 85403 blt 0,0,640,480,0, 11264,640,480:waittimer 85418 blt 0,0,640,480,0, 11266,640,480:waittimer 85433 blt 0,0,640,480,0, 11268,640,480:waittimer 85449 blt 0,0,640,480,0, 11270,640,480:waittimer 85464 blt 0,0,640,480,0, 11272,640,480:waittimer 85479 blt 0,0,640,480,0, 11274,640,480:waittimer 85494 blt 0,0,640,480,0, 11276,640,480:waittimer 85509 blt 0,0,640,480,0, 11278,640,480:waittimer 85524 blt 0,0,640,480,0, 11280,640,480:waittimer 85540 blt 0,0,640,480,0, 11282,640,480:waittimer 85555 blt 0,0,640,480,0, 11284,640,480:waittimer 85570 blt 0,0,640,480,0, 11286,640,480:waittimer 85585 blt 0,0,640,480,0, 11288,640,480:waittimer 85600 blt 0,0,640,480,0, 11290,640,480:waittimer 85615 blt 0,0,640,480,0, 11292,640,480:waittimer 85631 blt 0,0,640,480,0, 11294,640,480:waittimer 85646 blt 0,0,640,480,0, 11296,640,480:waittimer 85661 blt 0,0,640,480,0, 11298,640,480:waittimer 85676 blt 0,0,640,480,0, 11300,640,480:waittimer 85691 blt 0,0,640,480,0, 11302,640,480:waittimer 85706 blt 0,0,640,480,0, 11304,640,480:waittimer 85722 blt 0,0,640,480,0, 11306,640,480:waittimer 85737 blt 0,0,640,480,0, 11308,640,480:waittimer 85752 blt 0,0,640,480,0, 11310,640,480:waittimer 85767 blt 0,0,640,480,0, 11312,640,480:waittimer 85782 blt 0,0,640,480,0, 11314,640,480:waittimer 85797 blt 0,0,640,480,0, 11316,640,480:waittimer 85813 blt 0,0,640,480,0, 11318,640,480:waittimer 85828 blt 0,0,640,480,0, 11320,640,480:waittimer 85843 blt 0,0,640,480,0, 11322,640,480:waittimer 85858 blt 0,0,640,480,0, 11324,640,480:waittimer 85873 blt 0,0,640,480,0, 11326,640,480:waittimer 85888 blt 0,0,640,480,0, 11328,640,480:waittimer 85904 blt 0,0,640,480,0, 11330,640,480:waittimer 85919 blt 0,0,640,480,0, 11332,640,480:waittimer 85934 blt 0,0,640,480,0, 11334,640,480:waittimer 85949 blt 0,0,640,480,0, 11336,640,480:waittimer 85964 blt 0,0,640,480,0, 11338,640,480:waittimer 85979 blt 0,0,640,480,0, 11340,640,480:waittimer 85995 blt 0,0,640,480,0, 11342,640,480:waittimer 86010 blt 0,0,640,480,0, 11344,640,480:waittimer 86025 blt 0,0,640,480,0, 11346,640,480:waittimer 86040 blt 0,0,640,480,0, 11348,640,480:waittimer 86055 blt 0,0,640,480,0, 11350,640,480:waittimer 86070 blt 0,0,640,480,0, 11352,640,480:waittimer 86086 blt 0,0,640,480,0, 11354,640,480:waittimer 86101 blt 0,0,640,480,0, 11356,640,480:waittimer 86116 blt 0,0,640,480,0, 11358,640,480:waittimer 86131 blt 0,0,640,480,0, 11360,640,480:waittimer 86146 blt 0,0,640,480,0, 11362,640,480:waittimer 86161 blt 0,0,640,480,0, 11364,640,480:waittimer 86177 blt 0,0,640,480,0, 11366,640,480:waittimer 86192 blt 0,0,640,480,0, 11368,640,480:waittimer 86207 blt 0,0,640,480,0, 11370,640,480:waittimer 86222 blt 0,0,640,480,0, 11372,640,480:waittimer 86237 blt 0,0,640,480,0, 11374,640,480:waittimer 86252 blt 0,0,640,480,0, 11376,640,480:waittimer 86268 blt 0,0,640,480,0, 11378,640,480:waittimer 86283 blt 0,0,640,480,0, 11380,640,480:waittimer 86298 blt 0,0,640,480,0, 11382,640,480:waittimer 86313 blt 0,0,640,480,0, 11384,640,480:waittimer 86328 blt 0,0,640,480,0, 11386,640,480:waittimer 86343 blt 0,0,640,480,0, 11388,640,480:waittimer 86359 blt 0,0,640,480,0, 11390,640,480:waittimer 86374 blt 0,0,640,480,0, 11392,640,480:waittimer 86389 blt 0,0,640,480,0, 11394,640,480:waittimer 86404 blt 0,0,640,480,0, 11396,640,480:waittimer 86419 blt 0,0,640,480,0, 11398,640,480:waittimer 86434 blt 0,0,640,480,0, 11400,640,480:waittimer 86450 blt 0,0,640,480,0, 11402,640,480:waittimer 86465 blt 0,0,640,480,0, 11404,640,480:waittimer 86480 blt 0,0,640,480,0, 11406,640,480:waittimer 86495 blt 0,0,640,480,0, 11408,640,480:waittimer 86510 blt 0,0,640,480,0, 11410,640,480:waittimer 86525 blt 0,0,640,480,0, 11412,640,480:waittimer 86541 blt 0,0,640,480,0, 11414,640,480:waittimer 86556 blt 0,0,640,480,0, 11416,640,480:waittimer 86571 blt 0,0,640,480,0, 11418,640,480:waittimer 86586 blt 0,0,640,480,0, 11420,640,480:waittimer 86601 blt 0,0,640,480,0, 11422,640,480:waittimer 86616 blt 0,0,640,480,0, 11424,640,480:waittimer 86632 blt 0,0,640,480,0, 11426,640,480:waittimer 86647 blt 0,0,640,480,0, 11428,640,480:waittimer 86662 blt 0,0,640,480,0, 11430,640,480:waittimer 86677 blt 0,0,640,480,0, 11432,640,480:waittimer 86692 blt 0,0,640,480,0, 11434,640,480:waittimer 86707 blt 0,0,640,480,0, 11436,640,480:waittimer 86723 blt 0,0,640,480,0, 11438,640,480:waittimer 86738 blt 0,0,640,480,0, 11440,640,480:waittimer 86753 blt 0,0,640,480,0, 11442,640,480:waittimer 86768 blt 0,0,640,480,0, 11444,640,480:waittimer 86783 blt 0,0,640,480,0, 11446,640,480:waittimer 86798 blt 0,0,640,480,0, 11448,640,480:waittimer 86814 blt 0,0,640,480,0, 11450,640,480:waittimer 86829 blt 0,0,640,480,0, 11452,640,480:waittimer 86844 blt 0,0,640,480,0, 11454,640,480:waittimer 86859 blt 0,0,640,480,0, 11456,640,480:waittimer 86874 blt 0,0,640,480,0, 11458,640,480:waittimer 86889 blt 0,0,640,480,0, 11460,640,480:waittimer 86905 blt 0,0,640,480,0, 11462,640,480:waittimer 86920 blt 0,0,640,480,0, 11464,640,480:waittimer 86935 blt 0,0,640,480,0, 11466,640,480:waittimer 86950 blt 0,0,640,480,0, 11468,640,480:waittimer 86965 blt 0,0,640,480,0, 11470,640,480:waittimer 86980 blt 0,0,640,480,0, 11472,640,480:waittimer 86996 blt 0,0,640,480,0, 11474,640,480:waittimer 87011 blt 0,0,640,480,0, 11476,640,480:waittimer 87026 blt 0,0,640,480,0, 11478,640,480:waittimer 87041 blt 0,0,640,480,0, 11480,640,480:waittimer 87056 blt 0,0,640,480,0, 11482,640,480:waittimer 87071 blt 0,0,640,480,0, 11484,640,480:waittimer 87087 blt 0,0,640,480,0, 11486,640,480:waittimer 87102 blt 0,0,640,480,0, 11488,640,480:waittimer 87117 blt 0,0,640,480,0, 11490,640,480:waittimer 87132 blt 0,0,640,480,0, 11492,640,480:waittimer 87147 blt 0,0,640,480,0, 11494,640,480:waittimer 87162 blt 0,0,640,480,0, 11496,640,480:waittimer 87178 blt 0,0,640,480,0, 11498,640,480:waittimer 87193 blt 0,0,640,480,0, 11500,640,480:waittimer 87208 blt 0,0,640,480,0, 11502,640,480:waittimer 87223 blt 0,0,640,480,0, 11504,640,480:waittimer 87238 blt 0,0,640,480,0, 11506,640,480:waittimer 87253 blt 0,0,640,480,0, 11508,640,480:waittimer 87269 blt 0,0,640,480,0, 11510,640,480:waittimer 87284 blt 0,0,640,480,0, 11512,640,480:waittimer 87299 blt 0,0,640,480,0, 11514,640,480:waittimer 87314 blt 0,0,640,480,0, 11516,640,480:waittimer 87329 blt 0,0,640,480,0, 11518,640,480:waittimer 87344 blt 0,0,640,480,0, 11520,640,480:waittimer 87360 blt 0,0,640,480,0, 11522,640,480:waittimer 87375 blt 0,0,640,480,0, 11524,640,480:waittimer 87390 blt 0,0,640,480,0, 11526,640,480:waittimer 87405 blt 0,0,640,480,0, 11528,640,480:waittimer 87420 blt 0,0,640,480,0, 11530,640,480:waittimer 87435 blt 0,0,640,480,0, 11532,640,480:waittimer 87451 blt 0,0,640,480,0, 11534,640,480:waittimer 87466 blt 0,0,640,480,0, 11536,640,480:waittimer 87481 blt 0,0,640,480,0, 11538,640,480:waittimer 87496 blt 0,0,640,480,0, 11540,640,480:waittimer 87511 blt 0,0,640,480,0, 11542,640,480:waittimer 87526 blt 0,0,640,480,0, 11544,640,480:waittimer 87542 blt 0,0,640,480,0, 11546,640,480:waittimer 87557 blt 0,0,640,480,0, 11548,640,480:waittimer 87572 blt 0,0,640,480,0, 11550,640,480:waittimer 87587 blt 0,0,640,480,0, 11552,640,480:waittimer 87602 blt 0,0,640,480,0, 11554,640,480:waittimer 87617 blt 0,0,640,480,0, 11556,640,480:waittimer 87633 blt 0,0,640,480,0, 11558,640,480:waittimer 87648 blt 0,0,640,480,0, 11560,640,480:waittimer 87663 blt 0,0,640,480,0, 11562,640,480:waittimer 87678 blt 0,0,640,480,0, 11564,640,480:waittimer 87693 blt 0,0,640,480,0, 11566,640,480:waittimer 87708 blt 0,0,640,480,0, 11568,640,480:waittimer 87724 blt 0,0,640,480,0, 11570,640,480:waittimer 87739 blt 0,0,640,480,0, 11572,640,480:waittimer 87754 blt 0,0,640,480,0, 11574,640,480:waittimer 87769 blt 0,0,640,480,0, 11576,640,480:waittimer 87784 blt 0,0,640,480,0, 11578,640,480:waittimer 87799 blt 0,0,640,480,0, 11580,640,480:waittimer 87815 blt 0,0,640,480,0, 11582,640,480:waittimer 87830 blt 0,0,640,480,0, 11584,640,480:waittimer 87845 blt 0,0,640,480,0, 11586,640,480:waittimer 87860 blt 0,0,640,480,0, 11588,640,480:waittimer 87875 blt 0,0,640,480,0, 11590,640,480:waittimer 87890 blt 0,0,640,480,0, 11592,640,480:waittimer 87906 blt 0,0,640,480,0, 11594,640,480:waittimer 87921 blt 0,0,640,480,0, 11596,640,480:waittimer 87936 blt 0,0,640,480,0, 11598,640,480:waittimer 87951 blt 0,0,640,480,0, 11600,640,480:waittimer 87966 blt 0,0,640,480,0, 11602,640,480:waittimer 87981 blt 0,0,640,480,0, 11604,640,480:waittimer 87997 blt 0,0,640,480,0, 11606,640,480:waittimer 88012 blt 0,0,640,480,0, 11608,640,480:waittimer 88027 blt 0,0,640,480,0, 11610,640,480:waittimer 88042 blt 0,0,640,480,0, 11612,640,480:waittimer 88057 blt 0,0,640,480,0, 11614,640,480:waittimer 88072 blt 0,0,640,480,0, 11616,640,480:waittimer 88088 blt 0,0,640,480,0, 11618,640,480:waittimer 88103 blt 0,0,640,480,0, 11620,640,480:waittimer 88118 blt 0,0,640,480,0, 11622,640,480:waittimer 88133 blt 0,0,640,480,0, 11624,640,480:waittimer 88148 blt 0,0,640,480,0, 11626,640,480:waittimer 88163 blt 0,0,640,480,0, 11628,640,480:waittimer 88179 blt 0,0,640,480,0, 11630,640,480:waittimer 88194 blt 0,0,640,480,0, 11632,640,480:waittimer 88209 blt 0,0,640,480,0, 11634,640,480:waittimer 88224 blt 0,0,640,480,0, 11636,640,480:waittimer 88239 blt 0,0,640,480,0, 11638,640,480:waittimer 88254 blt 0,0,640,480,0, 11640,640,480:waittimer 88270 blt 0,0,640,480,0, 11642,640,480:waittimer 88285 blt 0,0,640,480,0, 11644,640,480:waittimer 88300 blt 0,0,640,480,0, 11646,640,480:waittimer 88315 blt 0,0,640,480,0, 11648,640,480:waittimer 88330 blt 0,0,640,480,0, 11650,640,480:waittimer 88345 blt 0,0,640,480,0, 11652,640,480:waittimer 88361 blt 0,0,640,480,0, 11654,640,480:waittimer 88376 blt 0,0,640,480,0, 11656,640,480:waittimer 88391 blt 0,0,640,480,0, 11658,640,480:waittimer 88406 blt 0,0,640,480,0, 11660,640,480:waittimer 88421 blt 0,0,640,480,0, 11662,640,480:waittimer 88436 blt 0,0,640,480,0, 11664,640,480:waittimer 88452 blt 0,0,640,480,0, 11666,640,480:waittimer 88467 blt 0,0,640,480,0, 11668,640,480:waittimer 88482 blt 0,0,640,480,0, 11670,640,480:waittimer 88497 blt 0,0,640,480,0, 11672,640,480:waittimer 88512 blt 0,0,640,480,0, 11674,640,480:waittimer 88527 blt 0,0,640,480,0, 11676,640,480:waittimer 88543 blt 0,0,640,480,0, 11678,640,480:waittimer 88558 blt 0,0,640,480,0, 11680,640,480:waittimer 88573 blt 0,0,640,480,0, 11682,640,480:waittimer 88588 blt 0,0,640,480,0, 11684,640,480:waittimer 88603 blt 0,0,640,480,0, 11686,640,480:waittimer 88618 blt 0,0,640,480,0, 11688,640,480:waittimer 88634 blt 0,0,640,480,0, 11690,640,480:waittimer 88649 blt 0,0,640,480,0, 11692,640,480:waittimer 88664 blt 0,0,640,480,0, 11694,640,480:waittimer 88679 blt 0,0,640,480,0, 11696,640,480:waittimer 88694 blt 0,0,640,480,0, 11698,640,480:waittimer 88709 blt 0,0,640,480,0, 11700,640,480:waittimer 88725 blt 0,0,640,480,0, 11702,640,480:waittimer 88740 blt 0,0,640,480,0, 11704,640,480:waittimer 88755 blt 0,0,640,480,0, 11706,640,480:waittimer 88770 blt 0,0,640,480,0, 11708,640,480:waittimer 88785 blt 0,0,640,480,0, 11710,640,480:waittimer 88800 blt 0,0,640,480,0, 11712,640,480:waittimer 88816 blt 0,0,640,480,0, 11714,640,480:waittimer 88831 blt 0,0,640,480,0, 11716,640,480:waittimer 88846 blt 0,0,640,480,0, 11718,640,480:waittimer 88861 blt 0,0,640,480,0, 11720,640,480:waittimer 88876 blt 0,0,640,480,0, 11722,640,480:waittimer 88891 blt 0,0,640,480,0, 11724,640,480:waittimer 88907 blt 0,0,640,480,0, 11726,640,480:waittimer 88922 blt 0,0,640,480,0, 11728,640,480:waittimer 88937 blt 0,0,640,480,0, 11730,640,480:waittimer 88952 blt 0,0,640,480,0, 11732,640,480:waittimer 88967 blt 0,0,640,480,0, 11734,640,480:waittimer 88982 blt 0,0,640,480,0, 11736,640,480:waittimer 88998 blt 0,0,640,480,0, 11738,640,480:waittimer 89013 blt 0,0,640,480,0, 11740,640,480:waittimer 89028 blt 0,0,640,480,0, 11742,640,480:waittimer 89043 blt 0,0,640,480,0, 11744,640,480:waittimer 89058 blt 0,0,640,480,0, 11746,640,480:waittimer 89073 blt 0,0,640,480,0, 11748,640,480:waittimer 89089 blt 0,0,640,480,0, 11750,640,480:waittimer 89104 blt 0,0,640,480,0, 11752,640,480:waittimer 89119 blt 0,0,640,480,0, 11754,640,480:waittimer 89134 blt 0,0,640,480,0, 11756,640,480:waittimer 89149 blt 0,0,640,480,0, 11758,640,480:waittimer 89164 blt 0,0,640,480,0, 11760,640,480:waittimer 89180 blt 0,0,640,480,0, 11762,640,480:waittimer 89195 blt 0,0,640,480,0, 11764,640,480:waittimer 89210 blt 0,0,640,480,0, 11766,640,480:waittimer 89225 blt 0,0,640,480,0, 11768,640,480:waittimer 89240 blt 0,0,640,480,0, 11770,640,480:waittimer 89255 blt 0,0,640,480,0, 11772,640,480:waittimer 89271 blt 0,0,640,480,0, 11774,640,480:waittimer 89286 blt 0,0,640,480,0, 11776,640,480:waittimer 89301 blt 0,0,640,480,0, 11778,640,480:waittimer 89316 blt 0,0,640,480,0, 11780,640,480:waittimer 89331 blt 0,0,640,480,0, 11782,640,480:waittimer 89346 blt 0,0,640,480,0, 11784,640,480:waittimer 89362 blt 0,0,640,480,0, 11786,640,480:waittimer 89377 blt 0,0,640,480,0, 11788,640,480:waittimer 89392 blt 0,0,640,480,0, 11790,640,480:waittimer 89407 blt 0,0,640,480,0, 11792,640,480:waittimer 89422 blt 0,0,640,480,0, 11794,640,480:waittimer 89437 blt 0,0,640,480,0, 11796,640,480:waittimer 89453 blt 0,0,640,480,0, 11798,640,480:waittimer 89468 blt 0,0,640,480,0, 11800,640,480:waittimer 89483 blt 0,0,640,480,0, 11802,640,480:waittimer 89498 blt 0,0,640,480,0, 11804,640,480:waittimer 89513 blt 0,0,640,480,0, 11806,640,480:waittimer 89528 blt 0,0,640,480,0, 11808,640,480:waittimer 89544 blt 0,0,640,480,0, 11810,640,480:waittimer 89559 blt 0,0,640,480,0, 11812,640,480:waittimer 89574 blt 0,0,640,480,0, 11814,640,480:waittimer 89589 blt 0,0,640,480,0, 11816,640,480:waittimer 89604 blt 0,0,640,480,0, 11818,640,480:waittimer 89619 blt 0,0,640,480,0, 11820,640,480:waittimer 89635 blt 0,0,640,480,0, 11822,640,480:waittimer 89650 blt 0,0,640,480,0, 11824,640,480:waittimer 89665 blt 0,0,640,480,0, 11826,640,480:waittimer 89680 blt 0,0,640,480,0, 11828,640,480:waittimer 89695 blt 0,0,640,480,0, 11830,640,480:waittimer 89710 blt 0,0,640,480,0, 11832,640,480:waittimer 89726 blt 0,0,640,480,0, 11834,640,480:waittimer 89741 blt 0,0,640,480,0, 11836,640,480:waittimer 89756 blt 0,0,640,480,0, 11838,640,480:waittimer 89771 blt 0,0,640,480,0, 11840,640,480:waittimer 89786 blt 0,0,640,480,0, 11842,640,480:waittimer 89801 blt 0,0,640,480,0, 11844,640,480:waittimer 89817 blt 0,0,640,480,0, 11846,640,480:waittimer 89832 blt 0,0,640,480,0, 11848,640,480:waittimer 89847 blt 0,0,640,480,0, 11850,640,480:waittimer 89862 blt 0,0,640,480,0, 11852,640,480:waittimer 89877 blt 0,0,640,480,0, 11854,640,480:waittimer 89892 blt 0,0,640,480,0, 11856,640,480:waittimer 89908 blt 0,0,640,480,0, 11858,640,480:waittimer 89923 blt 0,0,640,480,0, 11860,640,480:waittimer 89938 blt 0,0,640,480,0, 11862,640,480:waittimer 89953 blt 0,0,640,480,0, 11864,640,480:waittimer 89968 blt 0,0,640,480,0, 11866,640,480:waittimer 89983 blt 0,0,640,480,0, 11868,640,480:waittimer 89999 blt 0,0,640,480,0, 11870,640,480:waittimer 90014 blt 0,0,640,480,0, 11872,640,480:waittimer 90029 blt 0,0,640,480,0, 11874,640,480:waittimer 90044 blt 0,0,640,480,0, 11876,640,480:waittimer 90059 blt 0,0,640,480,0, 11878,640,480:waittimer 90074 blt 0,0,640,480,0, 11880,640,480:waittimer 90090 blt 0,0,640,480,0, 11882,640,480:waittimer 90105 blt 0,0,640,480,0, 11884,640,480:waittimer 90120 blt 0,0,640,480,0, 11886,640,480:waittimer 90135 blt 0,0,640,480,0, 11888,640,480:waittimer 90150 blt 0,0,640,480,0, 11890,640,480:waittimer 90165 blt 0,0,640,480,0, 11892,640,480:waittimer 90181 blt 0,0,640,480,0, 11894,640,480:waittimer 90196 blt 0,0,640,480,0, 11896,640,480:waittimer 90211 blt 0,0,640,480,0, 11898,640,480:waittimer 90226 blt 0,0,640,480,0, 11900,640,480:waittimer 90241 blt 0,0,640,480,0, 11902,640,480:waittimer 90256 blt 0,0,640,480,0, 11904,640,480:waittimer 90272 blt 0,0,640,480,0, 11906,640,480:waittimer 90287 blt 0,0,640,480,0, 11908,640,480:waittimer 90302 blt 0,0,640,480,0, 11910,640,480:waittimer 90317 blt 0,0,640,480,0, 11912,640,480:waittimer 90332 blt 0,0,640,480,0, 11914,640,480:waittimer 90347 blt 0,0,640,480,0, 11916,640,480:waittimer 90363 blt 0,0,640,480,0, 11918,640,480:waittimer 90378 blt 0,0,640,480,0, 11920,640,480:waittimer 90393 blt 0,0,640,480,0, 11922,640,480:waittimer 90408 blt 0,0,640,480,0, 11924,640,480:waittimer 90423 blt 0,0,640,480,0, 11926,640,480:waittimer 90438 blt 0,0,640,480,0, 11928,640,480:waittimer 90454 blt 0,0,640,480,0, 11930,640,480:waittimer 90469 blt 0,0,640,480,0, 11932,640,480:waittimer 90484 blt 0,0,640,480,0, 11934,640,480:waittimer 90499 blt 0,0,640,480,0, 11936,640,480:waittimer 90514 blt 0,0,640,480,0, 11938,640,480:waittimer 90529 blt 0,0,640,480,0, 11940,640,480:waittimer 90545 blt 0,0,640,480,0, 11942,640,480:waittimer 90560 blt 0,0,640,480,0, 11944,640,480:waittimer 90575 blt 0,0,640,480,0, 11946,640,480:waittimer 90590 blt 0,0,640,480,0, 11948,640,480:waittimer 90605 blt 0,0,640,480,0, 11950,640,480:waittimer 90620 blt 0,0,640,480,0, 11952,640,480:waittimer 90636 blt 0,0,640,480,0, 11954,640,480:waittimer 90651 blt 0,0,640,480,0, 11956,640,480:waittimer 90666 blt 0,0,640,480,0, 11958,640,480:waittimer 90681 blt 0,0,640,480,0, 11960,640,480:waittimer 90696 blt 0,0,640,480,0, 11962,640,480:waittimer 90711 blt 0,0,640,480,0, 11964,640,480:waittimer 90727 blt 0,0,640,480,0, 11966,640,480:waittimer 90742 blt 0,0,640,480,0, 11968,640,480:waittimer 90757 blt 0,0,640,480,0, 11970,640,480:waittimer 90772 blt 0,0,640,480,0, 11972,640,480:waittimer 90787 blt 0,0,640,480,0, 11974,640,480:waittimer 90802 blt 0,0,640,480,0, 11976,640,480:waittimer 90818 blt 0,0,640,480,0, 11978,640,480:waittimer 90833 blt 0,0,640,480,0, 11980,640,480:waittimer 90848 blt 0,0,640,480,0, 11982,640,480:waittimer 90863 blt 0,0,640,480,0, 11984,640,480:waittimer 90878 blt 0,0,640,480,0, 11986,640,480:waittimer 90893 blt 0,0,640,480,0, 11988,640,480:waittimer 90909 blt 0,0,640,480,0, 11990,640,480:waittimer 90924 blt 0,0,640,480,0, 11992,640,480:waittimer 90939 blt 0,0,640,480,0, 11994,640,480:waittimer 90954 blt 0,0,640,480,0, 11996,640,480:waittimer 90969 blt 0,0,640,480,0, 11998,640,480:waittimer 90984 blt 0,0,640,480,0, 12000,640,480:waittimer 91000 return ;**************************************** *teatime_ep4b_scroll resettimer blt 0,0,640,480,0, 1,640,480:waittimer 6 blt 0,0,640,480,0, 2,640,480:waittimer 13 blt 0,0,640,480,0, 3,640,480:waittimer 19 blt 0,0,640,480,0, 4,640,480:waittimer 26 blt 0,0,640,480,0, 5,640,480:waittimer 32 blt 0,0,640,480,0, 6,640,480:waittimer 39 blt 0,0,640,480,0, 7,640,480:waittimer 45 blt 0,0,640,480,0, 8,640,480:waittimer 52 blt 0,0,640,480,0, 9,640,480:waittimer 58 blt 0,0,640,480,0, 10,640,480:waittimer 65 blt 0,0,640,480,0, 11,640,480:waittimer 71 blt 0,0,640,480,0, 12,640,480:waittimer 78 blt 0,0,640,480,0, 13,640,480:waittimer 84 blt 0,0,640,480,0, 14,640,480:waittimer 91 blt 0,0,640,480,0, 15,640,480:waittimer 97 blt 0,0,640,480,0, 16,640,480:waittimer 104 blt 0,0,640,480,0, 17,640,480:waittimer 110 blt 0,0,640,480,0, 18,640,480:waittimer 117 blt 0,0,640,480,0, 19,640,480:waittimer 124 blt 0,0,640,480,0, 20,640,480:waittimer 130 blt 0,0,640,480,0, 21,640,480:waittimer 137 blt 0,0,640,480,0, 22,640,480:waittimer 143 blt 0,0,640,480,0, 23,640,480:waittimer 150 blt 0,0,640,480,0, 24,640,480:waittimer 156 blt 0,0,640,480,0, 25,640,480:waittimer 163 blt 0,0,640,480,0, 26,640,480:waittimer 169 blt 0,0,640,480,0, 27,640,480:waittimer 176 blt 0,0,640,480,0, 28,640,480:waittimer 182 blt 0,0,640,480,0, 29,640,480:waittimer 189 blt 0,0,640,480,0, 30,640,480:waittimer 195 blt 0,0,640,480,0, 31,640,480:waittimer 202 blt 0,0,640,480,0, 32,640,480:waittimer 208 blt 0,0,640,480,0, 33,640,480:waittimer 215 blt 0,0,640,480,0, 34,640,480:waittimer 221 blt 0,0,640,480,0, 35,640,480:waittimer 228 blt 0,0,640,480,0, 36,640,480:waittimer 235 blt 0,0,640,480,0, 37,640,480:waittimer 241 blt 0,0,640,480,0, 38,640,480:waittimer 248 blt 0,0,640,480,0, 39,640,480:waittimer 254 blt 0,0,640,480,0, 40,640,480:waittimer 261 blt 0,0,640,480,0, 41,640,480:waittimer 267 blt 0,0,640,480,0, 42,640,480:waittimer 274 blt 0,0,640,480,0, 43,640,480:waittimer 280 blt 0,0,640,480,0, 44,640,480:waittimer 287 blt 0,0,640,480,0, 45,640,480:waittimer 293 blt 0,0,640,480,0, 46,640,480:waittimer 300 blt 0,0,640,480,0, 47,640,480:waittimer 306 blt 0,0,640,480,0, 48,640,480:waittimer 313 blt 0,0,640,480,0, 49,640,480:waittimer 319 blt 0,0,640,480,0, 50,640,480:waittimer 326 blt 0,0,640,480,0, 51,640,480:waittimer 332 blt 0,0,640,480,0, 52,640,480:waittimer 339 blt 0,0,640,480,0, 53,640,480:waittimer 346 blt 0,0,640,480,0, 54,640,480:waittimer 352 blt 0,0,640,480,0, 55,640,480:waittimer 359 blt 0,0,640,480,0, 56,640,480:waittimer 365 blt 0,0,640,480,0, 57,640,480:waittimer 372 blt 0,0,640,480,0, 58,640,480:waittimer 378 blt 0,0,640,480,0, 59,640,480:waittimer 385 blt 0,0,640,480,0, 60,640,480:waittimer 391 blt 0,0,640,480,0, 61,640,480:waittimer 398 blt 0,0,640,480,0, 62,640,480:waittimer 404 blt 0,0,640,480,0, 63,640,480:waittimer 411 blt 0,0,640,480,0, 64,640,480:waittimer 417 blt 0,0,640,480,0, 65,640,480:waittimer 424 blt 0,0,640,480,0, 66,640,480:waittimer 430 blt 0,0,640,480,0, 67,640,480:waittimer 437 blt 0,0,640,480,0, 68,640,480:waittimer 443 blt 0,0,640,480,0, 69,640,480:waittimer 450 blt 0,0,640,480,0, 70,640,480:waittimer 456 blt 0,0,640,480,0, 71,640,480:waittimer 463 blt 0,0,640,480,0, 72,640,480:waittimer 470 blt 0,0,640,480,0, 73,640,480:waittimer 476 blt 0,0,640,480,0, 74,640,480:waittimer 483 blt 0,0,640,480,0, 75,640,480:waittimer 489 blt 0,0,640,480,0, 76,640,480:waittimer 496 blt 0,0,640,480,0, 77,640,480:waittimer 502 blt 0,0,640,480,0, 78,640,480:waittimer 509 blt 0,0,640,480,0, 79,640,480:waittimer 515 blt 0,0,640,480,0, 80,640,480:waittimer 522 blt 0,0,640,480,0, 81,640,480:waittimer 528 blt 0,0,640,480,0, 82,640,480:waittimer 535 blt 0,0,640,480,0, 83,640,480:waittimer 541 blt 0,0,640,480,0, 84,640,480:waittimer 548 blt 0,0,640,480,0, 85,640,480:waittimer 554 blt 0,0,640,480,0, 86,640,480:waittimer 561 blt 0,0,640,480,0, 87,640,480:waittimer 567 blt 0,0,640,480,0, 88,640,480:waittimer 574 blt 0,0,640,480,0, 89,640,480:waittimer 581 blt 0,0,640,480,0, 90,640,480:waittimer 587 blt 0,0,640,480,0, 91,640,480:waittimer 594 blt 0,0,640,480,0, 92,640,480:waittimer 600 blt 0,0,640,480,0, 93,640,480:waittimer 607 blt 0,0,640,480,0, 94,640,480:waittimer 613 blt 0,0,640,480,0, 95,640,480:waittimer 620 blt 0,0,640,480,0, 96,640,480:waittimer 626 blt 0,0,640,480,0, 97,640,480:waittimer 633 blt 0,0,640,480,0, 98,640,480:waittimer 639 blt 0,0,640,480,0, 99,640,480:waittimer 646 blt 0,0,640,480,0, 100,640,480:waittimer 652 blt 0,0,640,480,0, 101,640,480:waittimer 659 blt 0,0,640,480,0, 102,640,480:waittimer 665 blt 0,0,640,480,0, 103,640,480:waittimer 672 blt 0,0,640,480,0, 104,640,480:waittimer 678 blt 0,0,640,480,0, 105,640,480:waittimer 685 blt 0,0,640,480,0, 106,640,480:waittimer 692 blt 0,0,640,480,0, 107,640,480:waittimer 698 blt 0,0,640,480,0, 108,640,480:waittimer 705 blt 0,0,640,480,0, 109,640,480:waittimer 711 blt 0,0,640,480,0, 110,640,480:waittimer 718 blt 0,0,640,480,0, 111,640,480:waittimer 724 blt 0,0,640,480,0, 112,640,480:waittimer 731 blt 0,0,640,480,0, 113,640,480:waittimer 737 blt 0,0,640,480,0, 114,640,480:waittimer 744 blt 0,0,640,480,0, 115,640,480:waittimer 750 blt 0,0,640,480,0, 116,640,480:waittimer 757 blt 0,0,640,480,0, 117,640,480:waittimer 763 blt 0,0,640,480,0, 118,640,480:waittimer 770 blt 0,0,640,480,0, 119,640,480:waittimer 776 blt 0,0,640,480,0, 120,640,480:waittimer 783 blt 0,0,640,480,0, 121,640,480:waittimer 789 blt 0,0,640,480,0, 122,640,480:waittimer 796 blt 0,0,640,480,0, 123,640,480:waittimer 802 blt 0,0,640,480,0, 124,640,480:waittimer 809 blt 0,0,640,480,0, 125,640,480:waittimer 816 blt 0,0,640,480,0, 126,640,480:waittimer 822 blt 0,0,640,480,0, 127,640,480:waittimer 829 blt 0,0,640,480,0, 128,640,480:waittimer 835 blt 0,0,640,480,0, 129,640,480:waittimer 842 blt 0,0,640,480,0, 130,640,480:waittimer 848 blt 0,0,640,480,0, 131,640,480:waittimer 855 blt 0,0,640,480,0, 132,640,480:waittimer 861 blt 0,0,640,480,0, 133,640,480:waittimer 868 blt 0,0,640,480,0, 134,640,480:waittimer 874 blt 0,0,640,480,0, 135,640,480:waittimer 881 blt 0,0,640,480,0, 136,640,480:waittimer 887 blt 0,0,640,480,0, 137,640,480:waittimer 894 blt 0,0,640,480,0, 138,640,480:waittimer 900 blt 0,0,640,480,0, 139,640,480:waittimer 907 blt 0,0,640,480,0, 140,640,480:waittimer 913 blt 0,0,640,480,0, 141,640,480:waittimer 920 blt 0,0,640,480,0, 142,640,480:waittimer 927 blt 0,0,640,480,0, 143,640,480:waittimer 933 blt 0,0,640,480,0, 144,640,480:waittimer 940 blt 0,0,640,480,0, 145,640,480:waittimer 946 blt 0,0,640,480,0, 146,640,480:waittimer 953 blt 0,0,640,480,0, 147,640,480:waittimer 959 blt 0,0,640,480,0, 148,640,480:waittimer 966 blt 0,0,640,480,0, 149,640,480:waittimer 972 blt 0,0,640,480,0, 150,640,480:waittimer 979 blt 0,0,640,480,0, 151,640,480:waittimer 985 blt 0,0,640,480,0, 152,640,480:waittimer 992 blt 0,0,640,480,0, 153,640,480:waittimer 998 blt 0,0,640,480,0, 154,640,480:waittimer 1005 blt 0,0,640,480,0, 155,640,480:waittimer 1011 blt 0,0,640,480,0, 156,640,480:waittimer 1018 blt 0,0,640,480,0, 157,640,480:waittimer 1024 blt 0,0,640,480,0, 158,640,480:waittimer 1031 blt 0,0,640,480,0, 159,640,480:waittimer 1038 blt 0,0,640,480,0, 160,640,480:waittimer 1044 blt 0,0,640,480,0, 161,640,480:waittimer 1051 blt 0,0,640,480,0, 162,640,480:waittimer 1057 blt 0,0,640,480,0, 163,640,480:waittimer 1064 blt 0,0,640,480,0, 164,640,480:waittimer 1070 blt 0,0,640,480,0, 165,640,480:waittimer 1077 blt 0,0,640,480,0, 166,640,480:waittimer 1083 blt 0,0,640,480,0, 167,640,480:waittimer 1090 blt 0,0,640,480,0, 168,640,480:waittimer 1096 blt 0,0,640,480,0, 169,640,480:waittimer 1103 blt 0,0,640,480,0, 170,640,480:waittimer 1109 blt 0,0,640,480,0, 171,640,480:waittimer 1116 blt 0,0,640,480,0, 172,640,480:waittimer 1122 blt 0,0,640,480,0, 173,640,480:waittimer 1129 blt 0,0,640,480,0, 174,640,480:waittimer 1135 blt 0,0,640,480,0, 175,640,480:waittimer 1142 blt 0,0,640,480,0, 176,640,480:waittimer 1149 blt 0,0,640,480,0, 177,640,480:waittimer 1155 blt 0,0,640,480,0, 178,640,480:waittimer 1162 blt 0,0,640,480,0, 179,640,480:waittimer 1168 blt 0,0,640,480,0, 180,640,480:waittimer 1175 blt 0,0,640,480,0, 181,640,480:waittimer 1181 blt 0,0,640,480,0, 182,640,480:waittimer 1188 blt 0,0,640,480,0, 183,640,480:waittimer 1194 blt 0,0,640,480,0, 184,640,480:waittimer 1201 blt 0,0,640,480,0, 185,640,480:waittimer 1207 blt 0,0,640,480,0, 186,640,480:waittimer 1214 blt 0,0,640,480,0, 187,640,480:waittimer 1220 blt 0,0,640,480,0, 188,640,480:waittimer 1227 blt 0,0,640,480,0, 189,640,480:waittimer 1233 blt 0,0,640,480,0, 190,640,480:waittimer 1240 blt 0,0,640,480,0, 191,640,480:waittimer 1246 blt 0,0,640,480,0, 192,640,480:waittimer 1253 blt 0,0,640,480,0, 193,640,480:waittimer 1259 blt 0,0,640,480,0, 194,640,480:waittimer 1266 blt 0,0,640,480,0, 195,640,480:waittimer 1273 blt 0,0,640,480,0, 196,640,480:waittimer 1279 blt 0,0,640,480,0, 197,640,480:waittimer 1286 blt 0,0,640,480,0, 198,640,480:waittimer 1292 blt 0,0,640,480,0, 199,640,480:waittimer 1299 blt 0,0,640,480,0, 200,640,480:waittimer 1305 blt 0,0,640,480,0, 201,640,480:waittimer 1312 blt 0,0,640,480,0, 202,640,480:waittimer 1318 blt 0,0,640,480,0, 203,640,480:waittimer 1325 blt 0,0,640,480,0, 204,640,480:waittimer 1331 blt 0,0,640,480,0, 205,640,480:waittimer 1338 blt 0,0,640,480,0, 206,640,480:waittimer 1344 blt 0,0,640,480,0, 207,640,480:waittimer 1351 blt 0,0,640,480,0, 208,640,480:waittimer 1357 blt 0,0,640,480,0, 209,640,480:waittimer 1364 blt 0,0,640,480,0, 210,640,480:waittimer 1370 blt 0,0,640,480,0, 211,640,480:waittimer 1377 blt 0,0,640,480,0, 212,640,480:waittimer 1384 blt 0,0,640,480,0, 213,640,480:waittimer 1390 blt 0,0,640,480,0, 214,640,480:waittimer 1397 blt 0,0,640,480,0, 215,640,480:waittimer 1403 blt 0,0,640,480,0, 216,640,480:waittimer 1410 blt 0,0,640,480,0, 217,640,480:waittimer 1416 blt 0,0,640,480,0, 218,640,480:waittimer 1423 blt 0,0,640,480,0, 219,640,480:waittimer 1429 blt 0,0,640,480,0, 220,640,480:waittimer 1436 blt 0,0,640,480,0, 221,640,480:waittimer 1442 blt 0,0,640,480,0, 222,640,480:waittimer 1449 blt 0,0,640,480,0, 223,640,480:waittimer 1455 blt 0,0,640,480,0, 224,640,480:waittimer 1462 blt 0,0,640,480,0, 225,640,480:waittimer 1468 blt 0,0,640,480,0, 226,640,480:waittimer 1475 blt 0,0,640,480,0, 227,640,480:waittimer 1481 blt 0,0,640,480,0, 228,640,480:waittimer 1488 blt 0,0,640,480,0, 229,640,480:waittimer 1495 blt 0,0,640,480,0, 230,640,480:waittimer 1501 blt 0,0,640,480,0, 231,640,480:waittimer 1508 blt 0,0,640,480,0, 232,640,480:waittimer 1514 blt 0,0,640,480,0, 233,640,480:waittimer 1521 blt 0,0,640,480,0, 234,640,480:waittimer 1527 blt 0,0,640,480,0, 235,640,480:waittimer 1534 blt 0,0,640,480,0, 236,640,480:waittimer 1540 blt 0,0,640,480,0, 237,640,480:waittimer 1547 blt 0,0,640,480,0, 238,640,480:waittimer 1553 blt 0,0,640,480,0, 239,640,480:waittimer 1560 blt 0,0,640,480,0, 240,640,480:waittimer 1566 blt 0,0,640,480,0, 241,640,480:waittimer 1573 blt 0,0,640,480,0, 242,640,480:waittimer 1579 blt 0,0,640,480,0, 243,640,480:waittimer 1586 blt 0,0,640,480,0, 244,640,480:waittimer 1592 blt 0,0,640,480,0, 245,640,480:waittimer 1599 blt 0,0,640,480,0, 246,640,480:waittimer 1605 blt 0,0,640,480,0, 247,640,480:waittimer 1612 blt 0,0,640,480,0, 248,640,480:waittimer 1619 blt 0,0,640,480,0, 249,640,480:waittimer 1625 blt 0,0,640,480,0, 250,640,480:waittimer 1632 blt 0,0,640,480,0, 251,640,480:waittimer 1638 blt 0,0,640,480,0, 252,640,480:waittimer 1645 blt 0,0,640,480,0, 253,640,480:waittimer 1651 blt 0,0,640,480,0, 254,640,480:waittimer 1658 blt 0,0,640,480,0, 255,640,480:waittimer 1664 blt 0,0,640,480,0, 256,640,480:waittimer 1671 blt 0,0,640,480,0, 257,640,480:waittimer 1677 blt 0,0,640,480,0, 258,640,480:waittimer 1684 blt 0,0,640,480,0, 259,640,480:waittimer 1690 blt 0,0,640,480,0, 260,640,480:waittimer 1697 blt 0,0,640,480,0, 261,640,480:waittimer 1703 blt 0,0,640,480,0, 262,640,480:waittimer 1710 blt 0,0,640,480,0, 263,640,480:waittimer 1716 blt 0,0,640,480,0, 264,640,480:waittimer 1723 blt 0,0,640,480,0, 265,640,480:waittimer 1730 blt 0,0,640,480,0, 266,640,480:waittimer 1736 blt 0,0,640,480,0, 267,640,480:waittimer 1743 blt 0,0,640,480,0, 268,640,480:waittimer 1749 blt 0,0,640,480,0, 269,640,480:waittimer 1756 blt 0,0,640,480,0, 270,640,480:waittimer 1762 blt 0,0,640,480,0, 271,640,480:waittimer 1769 blt 0,0,640,480,0, 272,640,480:waittimer 1775 blt 0,0,640,480,0, 273,640,480:waittimer 1782 blt 0,0,640,480,0, 274,640,480:waittimer 1788 blt 0,0,640,480,0, 275,640,480:waittimer 1795 blt 0,0,640,480,0, 276,640,480:waittimer 1801 blt 0,0,640,480,0, 277,640,480:waittimer 1808 blt 0,0,640,480,0, 278,640,480:waittimer 1814 blt 0,0,640,480,0, 279,640,480:waittimer 1821 blt 0,0,640,480,0, 280,640,480:waittimer 1827 blt 0,0,640,480,0, 281,640,480:waittimer 1834 blt 0,0,640,480,0, 282,640,480:waittimer 1841 blt 0,0,640,480,0, 283,640,480:waittimer 1847 blt 0,0,640,480,0, 284,640,480:waittimer 1854 blt 0,0,640,480,0, 285,640,480:waittimer 1860 blt 0,0,640,480,0, 286,640,480:waittimer 1867 blt 0,0,640,480,0, 287,640,480:waittimer 1873 blt 0,0,640,480,0, 288,640,480:waittimer 1880 blt 0,0,640,480,0, 289,640,480:waittimer 1886 blt 0,0,640,480,0, 290,640,480:waittimer 1893 blt 0,0,640,480,0, 291,640,480:waittimer 1899 blt 0,0,640,480,0, 292,640,480:waittimer 1906 blt 0,0,640,480,0, 293,640,480:waittimer 1912 blt 0,0,640,480,0, 294,640,480:waittimer 1919 blt 0,0,640,480,0, 295,640,480:waittimer 1925 blt 0,0,640,480,0, 296,640,480:waittimer 1932 blt 0,0,640,480,0, 297,640,480:waittimer 1938 blt 0,0,640,480,0, 298,640,480:waittimer 1945 blt 0,0,640,480,0, 299,640,480:waittimer 1951 blt 0,0,640,480,0, 300,640,480:waittimer 1958 blt 0,0,640,480,0, 301,640,480:waittimer 1965 blt 0,0,640,480,0, 302,640,480:waittimer 1971 blt 0,0,640,480,0, 303,640,480:waittimer 1978 blt 0,0,640,480,0, 304,640,480:waittimer 1984 blt 0,0,640,480,0, 305,640,480:waittimer 1991 blt 0,0,640,480,0, 306,640,480:waittimer 1997 blt 0,0,640,480,0, 307,640,480:waittimer 2004 blt 0,0,640,480,0, 308,640,480:waittimer 2010 blt 0,0,640,480,0, 309,640,480:waittimer 2017 blt 0,0,640,480,0, 310,640,480:waittimer 2023 blt 0,0,640,480,0, 311,640,480:waittimer 2030 blt 0,0,640,480,0, 312,640,480:waittimer 2036 blt 0,0,640,480,0, 313,640,480:waittimer 2043 blt 0,0,640,480,0, 314,640,480:waittimer 2049 blt 0,0,640,480,0, 315,640,480:waittimer 2056 blt 0,0,640,480,0, 316,640,480:waittimer 2062 blt 0,0,640,480,0, 317,640,480:waittimer 2069 blt 0,0,640,480,0, 318,640,480:waittimer 2076 blt 0,0,640,480,0, 319,640,480:waittimer 2082 blt 0,0,640,480,0, 320,640,480:waittimer 2089 blt 0,0,640,480,0, 321,640,480:waittimer 2095 blt 0,0,640,480,0, 322,640,480:waittimer 2102 blt 0,0,640,480,0, 323,640,480:waittimer 2108 blt 0,0,640,480,0, 324,640,480:waittimer 2115 blt 0,0,640,480,0, 325,640,480:waittimer 2121 blt 0,0,640,480,0, 326,640,480:waittimer 2128 blt 0,0,640,480,0, 327,640,480:waittimer 2134 blt 0,0,640,480,0, 328,640,480:waittimer 2141 blt 0,0,640,480,0, 329,640,480:waittimer 2147 blt 0,0,640,480,0, 330,640,480:waittimer 2154 blt 0,0,640,480,0, 331,640,480:waittimer 2160 blt 0,0,640,480,0, 332,640,480:waittimer 2167 blt 0,0,640,480,0, 333,640,480:waittimer 2173 blt 0,0,640,480,0, 334,640,480:waittimer 2180 blt 0,0,640,480,0, 335,640,480:waittimer 2187 blt 0,0,640,480,0, 336,640,480:waittimer 2193 blt 0,0,640,480,0, 337,640,480:waittimer 2200 blt 0,0,640,480,0, 338,640,480:waittimer 2206 blt 0,0,640,480,0, 339,640,480:waittimer 2213 blt 0,0,640,480,0, 340,640,480:waittimer 2219 blt 0,0,640,480,0, 341,640,480:waittimer 2226 blt 0,0,640,480,0, 342,640,480:waittimer 2232 blt 0,0,640,480,0, 343,640,480:waittimer 2239 blt 0,0,640,480,0, 344,640,480:waittimer 2245 blt 0,0,640,480,0, 345,640,480:waittimer 2252 blt 0,0,640,480,0, 346,640,480:waittimer 2258 blt 0,0,640,480,0, 347,640,480:waittimer 2265 blt 0,0,640,480,0, 348,640,480:waittimer 2271 blt 0,0,640,480,0, 349,640,480:waittimer 2278 blt 0,0,640,480,0, 350,640,480:waittimer 2284 blt 0,0,640,480,0, 351,640,480:waittimer 2291 blt 0,0,640,480,0, 352,640,480:waittimer 2298 blt 0,0,640,480,0, 353,640,480:waittimer 2304 blt 0,0,640,480,0, 354,640,480:waittimer 2311 blt 0,0,640,480,0, 355,640,480:waittimer 2317 blt 0,0,640,480,0, 356,640,480:waittimer 2324 blt 0,0,640,480,0, 357,640,480:waittimer 2330 blt 0,0,640,480,0, 358,640,480:waittimer 2337 blt 0,0,640,480,0, 359,640,480:waittimer 2343 blt 0,0,640,480,0, 360,640,480:waittimer 2350 blt 0,0,640,480,0, 361,640,480:waittimer 2356 blt 0,0,640,480,0, 362,640,480:waittimer 2363 blt 0,0,640,480,0, 363,640,480:waittimer 2369 blt 0,0,640,480,0, 364,640,480:waittimer 2376 blt 0,0,640,480,0, 365,640,480:waittimer 2382 blt 0,0,640,480,0, 366,640,480:waittimer 2389 blt 0,0,640,480,0, 367,640,480:waittimer 2395 blt 0,0,640,480,0, 368,640,480:waittimer 2402 blt 0,0,640,480,0, 369,640,480:waittimer 2408 blt 0,0,640,480,0, 370,640,480:waittimer 2415 blt 0,0,640,480,0, 371,640,480:waittimer 2422 blt 0,0,640,480,0, 372,640,480:waittimer 2428 blt 0,0,640,480,0, 373,640,480:waittimer 2435 blt 0,0,640,480,0, 374,640,480:waittimer 2441 blt 0,0,640,480,0, 375,640,480:waittimer 2448 blt 0,0,640,480,0, 376,640,480:waittimer 2454 blt 0,0,640,480,0, 377,640,480:waittimer 2461 blt 0,0,640,480,0, 378,640,480:waittimer 2467 blt 0,0,640,480,0, 379,640,480:waittimer 2474 blt 0,0,640,480,0, 380,640,480:waittimer 2480 blt 0,0,640,480,0, 381,640,480:waittimer 2487 blt 0,0,640,480,0, 382,640,480:waittimer 2493 blt 0,0,640,480,0, 383,640,480:waittimer 2500 blt 0,0,640,480,0, 384,640,480:waittimer 2506 blt 0,0,640,480,0, 385,640,480:waittimer 2513 blt 0,0,640,480,0, 386,640,480:waittimer 2519 blt 0,0,640,480,0, 387,640,480:waittimer 2526 blt 0,0,640,480,0, 388,640,480:waittimer 2533 blt 0,0,640,480,0, 389,640,480:waittimer 2539 blt 0,0,640,480,0, 390,640,480:waittimer 2546 blt 0,0,640,480,0, 391,640,480:waittimer 2552 blt 0,0,640,480,0, 392,640,480:waittimer 2559 blt 0,0,640,480,0, 393,640,480:waittimer 2565 blt 0,0,640,480,0, 394,640,480:waittimer 2572 blt 0,0,640,480,0, 395,640,480:waittimer 2578 blt 0,0,640,480,0, 396,640,480:waittimer 2585 blt 0,0,640,480,0, 397,640,480:waittimer 2591 blt 0,0,640,480,0, 398,640,480:waittimer 2598 blt 0,0,640,480,0, 399,640,480:waittimer 2604 blt 0,0,640,480,0, 400,640,480:waittimer 2611 blt 0,0,640,480,0, 401,640,480:waittimer 2617 blt 0,0,640,480,0, 402,640,480:waittimer 2624 blt 0,0,640,480,0, 403,640,480:waittimer 2630 blt 0,0,640,480,0, 404,640,480:waittimer 2637 blt 0,0,640,480,0, 405,640,480:waittimer 2644 blt 0,0,640,480,0, 406,640,480:waittimer 2650 blt 0,0,640,480,0, 407,640,480:waittimer 2657 blt 0,0,640,480,0, 408,640,480:waittimer 2663 blt 0,0,640,480,0, 409,640,480:waittimer 2670 blt 0,0,640,480,0, 410,640,480:waittimer 2676 blt 0,0,640,480,0, 411,640,480:waittimer 2683 blt 0,0,640,480,0, 412,640,480:waittimer 2689 blt 0,0,640,480,0, 413,640,480:waittimer 2696 blt 0,0,640,480,0, 414,640,480:waittimer 2702 blt 0,0,640,480,0, 415,640,480:waittimer 2709 blt 0,0,640,480,0, 416,640,480:waittimer 2715 blt 0,0,640,480,0, 417,640,480:waittimer 2722 blt 0,0,640,480,0, 418,640,480:waittimer 2728 blt 0,0,640,480,0, 419,640,480:waittimer 2735 blt 0,0,640,480,0, 420,640,480:waittimer 2741 blt 0,0,640,480,0, 421,640,480:waittimer 2748 blt 0,0,640,480,0, 422,640,480:waittimer 2754 blt 0,0,640,480,0, 423,640,480:waittimer 2761 blt 0,0,640,480,0, 424,640,480:waittimer 2768 blt 0,0,640,480,0, 425,640,480:waittimer 2774 blt 0,0,640,480,0, 426,640,480:waittimer 2781 blt 0,0,640,480,0, 427,640,480:waittimer 2787 blt 0,0,640,480,0, 428,640,480:waittimer 2794 blt 0,0,640,480,0, 429,640,480:waittimer 2800 blt 0,0,640,480,0, 430,640,480:waittimer 2807 blt 0,0,640,480,0, 431,640,480:waittimer 2813 blt 0,0,640,480,0, 432,640,480:waittimer 2820 blt 0,0,640,480,0, 433,640,480:waittimer 2826 blt 0,0,640,480,0, 434,640,480:waittimer 2833 blt 0,0,640,480,0, 435,640,480:waittimer 2839 blt 0,0,640,480,0, 436,640,480:waittimer 2846 blt 0,0,640,480,0, 437,640,480:waittimer 2852 blt 0,0,640,480,0, 438,640,480:waittimer 2859 blt 0,0,640,480,0, 439,640,480:waittimer 2865 blt 0,0,640,480,0, 440,640,480:waittimer 2872 blt 0,0,640,480,0, 441,640,480:waittimer 2879 blt 0,0,640,480,0, 442,640,480:waittimer 2885 blt 0,0,640,480,0, 443,640,480:waittimer 2892 blt 0,0,640,480,0, 444,640,480:waittimer 2898 blt 0,0,640,480,0, 445,640,480:waittimer 2905 blt 0,0,640,480,0, 446,640,480:waittimer 2911 blt 0,0,640,480,0, 447,640,480:waittimer 2918 blt 0,0,640,480,0, 448,640,480:waittimer 2924 blt 0,0,640,480,0, 449,640,480:waittimer 2931 blt 0,0,640,480,0, 450,640,480:waittimer 2937 blt 0,0,640,480,0, 451,640,480:waittimer 2944 blt 0,0,640,480,0, 452,640,480:waittimer 2950 blt 0,0,640,480,0, 453,640,480:waittimer 2957 blt 0,0,640,480,0, 454,640,480:waittimer 2963 blt 0,0,640,480,0, 455,640,480:waittimer 2970 blt 0,0,640,480,0, 456,640,480:waittimer 2976 blt 0,0,640,480,0, 457,640,480:waittimer 2983 blt 0,0,640,480,0, 458,640,480:waittimer 2990 blt 0,0,640,480,0, 459,640,480:waittimer 2996 blt 0,0,640,480,0, 460,640,480:waittimer 3003 blt 0,0,640,480,0, 461,640,480:waittimer 3009 blt 0,0,640,480,0, 462,640,480:waittimer 3016 blt 0,0,640,480,0, 463,640,480:waittimer 3022 blt 0,0,640,480,0, 464,640,480:waittimer 3029 blt 0,0,640,480,0, 465,640,480:waittimer 3035 blt 0,0,640,480,0, 466,640,480:waittimer 3042 blt 0,0,640,480,0, 467,640,480:waittimer 3048 blt 0,0,640,480,0, 468,640,480:waittimer 3055 blt 0,0,640,480,0, 469,640,480:waittimer 3061 blt 0,0,640,480,0, 470,640,480:waittimer 3068 blt 0,0,640,480,0, 471,640,480:waittimer 3074 blt 0,0,640,480,0, 472,640,480:waittimer 3081 blt 0,0,640,480,0, 473,640,480:waittimer 3087 blt 0,0,640,480,0, 474,640,480:waittimer 3094 blt 0,0,640,480,0, 475,640,480:waittimer 3100 blt 0,0,640,480,0, 476,640,480:waittimer 3107 blt 0,0,640,480,0, 477,640,480:waittimer 3114 blt 0,0,640,480,0, 478,640,480:waittimer 3120 blt 0,0,640,480,0, 479,640,480:waittimer 3127 blt 0,0,640,480,0, 480,640,480:waittimer 3133 blt 0,0,640,480,0, 481,640,480:waittimer 3140 blt 0,0,640,480,0, 482,640,480:waittimer 3146 blt 0,0,640,480,0, 483,640,480:waittimer 3153 blt 0,0,640,480,0, 484,640,480:waittimer 3159 blt 0,0,640,480,0, 485,640,480:waittimer 3166 blt 0,0,640,480,0, 486,640,480:waittimer 3172 blt 0,0,640,480,0, 487,640,480:waittimer 3179 blt 0,0,640,480,0, 488,640,480:waittimer 3185 blt 0,0,640,480,0, 489,640,480:waittimer 3192 blt 0,0,640,480,0, 490,640,480:waittimer 3198 blt 0,0,640,480,0, 491,640,480:waittimer 3205 blt 0,0,640,480,0, 492,640,480:waittimer 3211 blt 0,0,640,480,0, 493,640,480:waittimer 3218 blt 0,0,640,480,0, 494,640,480:waittimer 3225 blt 0,0,640,480,0, 495,640,480:waittimer 3231 blt 0,0,640,480,0, 496,640,480:waittimer 3238 blt 0,0,640,480,0, 497,640,480:waittimer 3244 blt 0,0,640,480,0, 498,640,480:waittimer 3251 blt 0,0,640,480,0, 499,640,480:waittimer 3257 blt 0,0,640,480,0, 500,640,480:waittimer 3264 blt 0,0,640,480,0, 501,640,480:waittimer 3270 blt 0,0,640,480,0, 502,640,480:waittimer 3277 blt 0,0,640,480,0, 503,640,480:waittimer 3283 blt 0,0,640,480,0, 504,640,480:waittimer 3290 blt 0,0,640,480,0, 505,640,480:waittimer 3296 blt 0,0,640,480,0, 506,640,480:waittimer 3303 blt 0,0,640,480,0, 507,640,480:waittimer 3309 blt 0,0,640,480,0, 508,640,480:waittimer 3316 blt 0,0,640,480,0, 509,640,480:waittimer 3322 blt 0,0,640,480,0, 510,640,480:waittimer 3329 blt 0,0,640,480,0, 511,640,480:waittimer 3336 blt 0,0,640,480,0, 512,640,480:waittimer 3342 blt 0,0,640,480,0, 513,640,480:waittimer 3349 blt 0,0,640,480,0, 514,640,480:waittimer 3355 blt 0,0,640,480,0, 515,640,480:waittimer 3362 blt 0,0,640,480,0, 516,640,480:waittimer 3368 blt 0,0,640,480,0, 517,640,480:waittimer 3375 blt 0,0,640,480,0, 518,640,480:waittimer 3381 blt 0,0,640,480,0, 519,640,480:waittimer 3388 blt 0,0,640,480,0, 520,640,480:waittimer 3394 blt 0,0,640,480,0, 521,640,480:waittimer 3401 blt 0,0,640,480,0, 522,640,480:waittimer 3407 blt 0,0,640,480,0, 523,640,480:waittimer 3414 blt 0,0,640,480,0, 524,640,480:waittimer 3420 blt 0,0,640,480,0, 525,640,480:waittimer 3427 blt 0,0,640,480,0, 526,640,480:waittimer 3433 blt 0,0,640,480,0, 527,640,480:waittimer 3440 blt 0,0,640,480,0, 528,640,480:waittimer 3447 blt 0,0,640,480,0, 529,640,480:waittimer 3453 blt 0,0,640,480,0, 530,640,480:waittimer 3460 blt 0,0,640,480,0, 531,640,480:waittimer 3466 blt 0,0,640,480,0, 532,640,480:waittimer 3473 blt 0,0,640,480,0, 533,640,480:waittimer 3479 blt 0,0,640,480,0, 534,640,480:waittimer 3486 blt 0,0,640,480,0, 535,640,480:waittimer 3492 blt 0,0,640,480,0, 536,640,480:waittimer 3499 blt 0,0,640,480,0, 537,640,480:waittimer 3505 blt 0,0,640,480,0, 538,640,480:waittimer 3512 blt 0,0,640,480,0, 539,640,480:waittimer 3518 blt 0,0,640,480,0, 540,640,480:waittimer 3525 blt 0,0,640,480,0, 541,640,480:waittimer 3531 blt 0,0,640,480,0, 542,640,480:waittimer 3538 blt 0,0,640,480,0, 543,640,480:waittimer 3544 blt 0,0,640,480,0, 544,640,480:waittimer 3551 blt 0,0,640,480,0, 545,640,480:waittimer 3557 blt 0,0,640,480,0, 546,640,480:waittimer 3564 blt 0,0,640,480,0, 547,640,480:waittimer 3571 blt 0,0,640,480,0, 548,640,480:waittimer 3577 blt 0,0,640,480,0, 549,640,480:waittimer 3584 blt 0,0,640,480,0, 550,640,480:waittimer 3590 blt 0,0,640,480,0, 551,640,480:waittimer 3597 blt 0,0,640,480,0, 552,640,480:waittimer 3603 blt 0,0,640,480,0, 553,640,480:waittimer 3610 blt 0,0,640,480,0, 554,640,480:waittimer 3616 blt 0,0,640,480,0, 555,640,480:waittimer 3623 blt 0,0,640,480,0, 556,640,480:waittimer 3629 blt 0,0,640,480,0, 557,640,480:waittimer 3636 blt 0,0,640,480,0, 558,640,480:waittimer 3642 blt 0,0,640,480,0, 559,640,480:waittimer 3649 blt 0,0,640,480,0, 560,640,480:waittimer 3655 blt 0,0,640,480,0, 561,640,480:waittimer 3662 blt 0,0,640,480,0, 562,640,480:waittimer 3668 blt 0,0,640,480,0, 563,640,480:waittimer 3675 blt 0,0,640,480,0, 564,640,480:waittimer 3682 blt 0,0,640,480,0, 565,640,480:waittimer 3688 blt 0,0,640,480,0, 566,640,480:waittimer 3695 blt 0,0,640,480,0, 567,640,480:waittimer 3701 blt 0,0,640,480,0, 568,640,480:waittimer 3708 blt 0,0,640,480,0, 569,640,480:waittimer 3714 blt 0,0,640,480,0, 570,640,480:waittimer 3721 blt 0,0,640,480,0, 571,640,480:waittimer 3727 blt 0,0,640,480,0, 572,640,480:waittimer 3734 blt 0,0,640,480,0, 573,640,480:waittimer 3740 blt 0,0,640,480,0, 574,640,480:waittimer 3747 blt 0,0,640,480,0, 575,640,480:waittimer 3753 blt 0,0,640,480,0, 576,640,480:waittimer 3760 blt 0,0,640,480,0, 577,640,480:waittimer 3766 blt 0,0,640,480,0, 578,640,480:waittimer 3773 blt 0,0,640,480,0, 579,640,480:waittimer 3779 blt 0,0,640,480,0, 580,640,480:waittimer 3786 blt 0,0,640,480,0, 581,640,480:waittimer 3793 blt 0,0,640,480,0, 582,640,480:waittimer 3799 blt 0,0,640,480,0, 583,640,480:waittimer 3806 blt 0,0,640,480,0, 584,640,480:waittimer 3812 blt 0,0,640,480,0, 585,640,480:waittimer 3819 blt 0,0,640,480,0, 586,640,480:waittimer 3825 blt 0,0,640,480,0, 587,640,480:waittimer 3832 blt 0,0,640,480,0, 588,640,480:waittimer 3838 blt 0,0,640,480,0, 589,640,480:waittimer 3845 blt 0,0,640,480,0, 590,640,480:waittimer 3851 blt 0,0,640,480,0, 591,640,480:waittimer 3858 blt 0,0,640,480,0, 592,640,480:waittimer 3864 blt 0,0,640,480,0, 593,640,480:waittimer 3871 blt 0,0,640,480,0, 594,640,480:waittimer 3877 blt 0,0,640,480,0, 595,640,480:waittimer 3884 blt 0,0,640,480,0, 596,640,480:waittimer 3890 blt 0,0,640,480,0, 597,640,480:waittimer 3897 blt 0,0,640,480,0, 598,640,480:waittimer 3903 blt 0,0,640,480,0, 599,640,480:waittimer 3910 blt 0,0,640,480,0, 600,640,480:waittimer 3917 blt 0,0,640,480,0, 601,640,480:waittimer 3923 blt 0,0,640,480,0, 602,640,480:waittimer 3930 blt 0,0,640,480,0, 603,640,480:waittimer 3936 blt 0,0,640,480,0, 604,640,480:waittimer 3943 blt 0,0,640,480,0, 605,640,480:waittimer 3949 blt 0,0,640,480,0, 606,640,480:waittimer 3956 blt 0,0,640,480,0, 607,640,480:waittimer 3962 blt 0,0,640,480,0, 608,640,480:waittimer 3969 blt 0,0,640,480,0, 609,640,480:waittimer 3975 blt 0,0,640,480,0, 610,640,480:waittimer 3982 blt 0,0,640,480,0, 611,640,480:waittimer 3988 blt 0,0,640,480,0, 612,640,480:waittimer 3995 blt 0,0,640,480,0, 613,640,480:waittimer 4001 blt 0,0,640,480,0, 614,640,480:waittimer 4008 blt 0,0,640,480,0, 615,640,480:waittimer 4014 blt 0,0,640,480,0, 616,640,480:waittimer 4021 blt 0,0,640,480,0, 617,640,480:waittimer 4028 blt 0,0,640,480,0, 618,640,480:waittimer 4034 blt 0,0,640,480,0, 619,640,480:waittimer 4041 blt 0,0,640,480,0, 620,640,480:waittimer 4047 blt 0,0,640,480,0, 621,640,480:waittimer 4054 blt 0,0,640,480,0, 622,640,480:waittimer 4060 blt 0,0,640,480,0, 623,640,480:waittimer 4067 blt 0,0,640,480,0, 624,640,480:waittimer 4073 blt 0,0,640,480,0, 625,640,480:waittimer 4080 blt 0,0,640,480,0, 626,640,480:waittimer 4086 blt 0,0,640,480,0, 627,640,480:waittimer 4093 blt 0,0,640,480,0, 628,640,480:waittimer 4099 blt 0,0,640,480,0, 629,640,480:waittimer 4106 blt 0,0,640,480,0, 630,640,480:waittimer 4112 blt 0,0,640,480,0, 631,640,480:waittimer 4119 blt 0,0,640,480,0, 632,640,480:waittimer 4125 blt 0,0,640,480,0, 633,640,480:waittimer 4132 blt 0,0,640,480,0, 634,640,480:waittimer 4139 blt 0,0,640,480,0, 635,640,480:waittimer 4145 blt 0,0,640,480,0, 636,640,480:waittimer 4152 blt 0,0,640,480,0, 637,640,480:waittimer 4158 blt 0,0,640,480,0, 638,640,480:waittimer 4165 blt 0,0,640,480,0, 639,640,480:waittimer 4171 blt 0,0,640,480,0, 640,640,480:waittimer 4178 blt 0,0,640,480,0, 641,640,480:waittimer 4184 blt 0,0,640,480,0, 642,640,480:waittimer 4191 blt 0,0,640,480,0, 643,640,480:waittimer 4197 blt 0,0,640,480,0, 644,640,480:waittimer 4204 blt 0,0,640,480,0, 645,640,480:waittimer 4210 blt 0,0,640,480,0, 646,640,480:waittimer 4217 blt 0,0,640,480,0, 647,640,480:waittimer 4223 blt 0,0,640,480,0, 648,640,480:waittimer 4230 blt 0,0,640,480,0, 649,640,480:waittimer 4236 blt 0,0,640,480,0, 650,640,480:waittimer 4243 blt 0,0,640,480,0, 651,640,480:waittimer 4249 blt 0,0,640,480,0, 652,640,480:waittimer 4256 blt 0,0,640,480,0, 653,640,480:waittimer 4263 blt 0,0,640,480,0, 654,640,480:waittimer 4269 blt 0,0,640,480,0, 655,640,480:waittimer 4276 blt 0,0,640,480,0, 656,640,480:waittimer 4282 blt 0,0,640,480,0, 657,640,480:waittimer 4289 blt 0,0,640,480,0, 658,640,480:waittimer 4295 blt 0,0,640,480,0, 659,640,480:waittimer 4302 blt 0,0,640,480,0, 660,640,480:waittimer 4308 blt 0,0,640,480,0, 661,640,480:waittimer 4315 blt 0,0,640,480,0, 662,640,480:waittimer 4321 blt 0,0,640,480,0, 663,640,480:waittimer 4328 blt 0,0,640,480,0, 664,640,480:waittimer 4334 blt 0,0,640,480,0, 665,640,480:waittimer 4341 blt 0,0,640,480,0, 666,640,480:waittimer 4347 blt 0,0,640,480,0, 667,640,480:waittimer 4354 blt 0,0,640,480,0, 668,640,480:waittimer 4360 blt 0,0,640,480,0, 669,640,480:waittimer 4367 blt 0,0,640,480,0, 670,640,480:waittimer 4374 blt 0,0,640,480,0, 671,640,480:waittimer 4380 blt 0,0,640,480,0, 672,640,480:waittimer 4387 blt 0,0,640,480,0, 673,640,480:waittimer 4393 blt 0,0,640,480,0, 674,640,480:waittimer 4400 blt 0,0,640,480,0, 675,640,480:waittimer 4406 blt 0,0,640,480,0, 676,640,480:waittimer 4413 blt 0,0,640,480,0, 677,640,480:waittimer 4419 blt 0,0,640,480,0, 678,640,480:waittimer 4426 blt 0,0,640,480,0, 679,640,480:waittimer 4432 blt 0,0,640,480,0, 680,640,480:waittimer 4439 blt 0,0,640,480,0, 681,640,480:waittimer 4445 blt 0,0,640,480,0, 682,640,480:waittimer 4452 blt 0,0,640,480,0, 683,640,480:waittimer 4458 blt 0,0,640,480,0, 684,640,480:waittimer 4465 blt 0,0,640,480,0, 685,640,480:waittimer 4471 blt 0,0,640,480,0, 686,640,480:waittimer 4478 blt 0,0,640,480,0, 687,640,480:waittimer 4485 blt 0,0,640,480,0, 688,640,480:waittimer 4491 blt 0,0,640,480,0, 689,640,480:waittimer 4498 blt 0,0,640,480,0, 690,640,480:waittimer 4504 blt 0,0,640,480,0, 691,640,480:waittimer 4511 blt 0,0,640,480,0, 692,640,480:waittimer 4517 blt 0,0,640,480,0, 693,640,480:waittimer 4524 blt 0,0,640,480,0, 694,640,480:waittimer 4530 blt 0,0,640,480,0, 695,640,480:waittimer 4537 blt 0,0,640,480,0, 696,640,480:waittimer 4543 blt 0,0,640,480,0, 697,640,480:waittimer 4550 blt 0,0,640,480,0, 698,640,480:waittimer 4556 blt 0,0,640,480,0, 699,640,480:waittimer 4563 blt 0,0,640,480,0, 700,640,480:waittimer 4569 blt 0,0,640,480,0, 701,640,480:waittimer 4576 blt 0,0,640,480,0, 702,640,480:waittimer 4582 blt 0,0,640,480,0, 703,640,480:waittimer 4589 blt 0,0,640,480,0, 704,640,480:waittimer 4596 blt 0,0,640,480,0, 705,640,480:waittimer 4602 blt 0,0,640,480,0, 706,640,480:waittimer 4609 blt 0,0,640,480,0, 707,640,480:waittimer 4615 blt 0,0,640,480,0, 708,640,480:waittimer 4622 blt 0,0,640,480,0, 709,640,480:waittimer 4628 blt 0,0,640,480,0, 710,640,480:waittimer 4635 blt 0,0,640,480,0, 711,640,480:waittimer 4641 blt 0,0,640,480,0, 712,640,480:waittimer 4648 blt 0,0,640,480,0, 713,640,480:waittimer 4654 blt 0,0,640,480,0, 714,640,480:waittimer 4661 blt 0,0,640,480,0, 715,640,480:waittimer 4667 blt 0,0,640,480,0, 716,640,480:waittimer 4674 blt 0,0,640,480,0, 717,640,480:waittimer 4680 blt 0,0,640,480,0, 718,640,480:waittimer 4687 blt 0,0,640,480,0, 719,640,480:waittimer 4693 blt 0,0,640,480,0, 720,640,480:waittimer 4700 blt 0,0,640,480,0, 721,640,480:waittimer 4706 blt 0,0,640,480,0, 722,640,480:waittimer 4713 blt 0,0,640,480,0, 723,640,480:waittimer 4720 blt 0,0,640,480,0, 724,640,480:waittimer 4726 blt 0,0,640,480,0, 725,640,480:waittimer 4733 blt 0,0,640,480,0, 726,640,480:waittimer 4739 blt 0,0,640,480,0, 727,640,480:waittimer 4746 blt 0,0,640,480,0, 728,640,480:waittimer 4752 blt 0,0,640,480,0, 729,640,480:waittimer 4759 blt 0,0,640,480,0, 730,640,480:waittimer 4765 blt 0,0,640,480,0, 731,640,480:waittimer 4772 blt 0,0,640,480,0, 732,640,480:waittimer 4778 blt 0,0,640,480,0, 733,640,480:waittimer 4785 blt 0,0,640,480,0, 734,640,480:waittimer 4791 blt 0,0,640,480,0, 735,640,480:waittimer 4798 blt 0,0,640,480,0, 736,640,480:waittimer 4804 blt 0,0,640,480,0, 737,640,480:waittimer 4811 blt 0,0,640,480,0, 738,640,480:waittimer 4817 blt 0,0,640,480,0, 739,640,480:waittimer 4824 blt 0,0,640,480,0, 740,640,480:waittimer 4831 blt 0,0,640,480,0, 741,640,480:waittimer 4837 blt 0,0,640,480,0, 742,640,480:waittimer 4844 blt 0,0,640,480,0, 743,640,480:waittimer 4850 blt 0,0,640,480,0, 744,640,480:waittimer 4857 blt 0,0,640,480,0, 745,640,480:waittimer 4863 blt 0,0,640,480,0, 746,640,480:waittimer 4870 blt 0,0,640,480,0, 747,640,480:waittimer 4876 blt 0,0,640,480,0, 748,640,480:waittimer 4883 blt 0,0,640,480,0, 749,640,480:waittimer 4889 blt 0,0,640,480,0, 750,640,480:waittimer 4896 blt 0,0,640,480,0, 751,640,480:waittimer 4902 blt 0,0,640,480,0, 752,640,480:waittimer 4909 blt 0,0,640,480,0, 753,640,480:waittimer 4915 blt 0,0,640,480,0, 754,640,480:waittimer 4922 blt 0,0,640,480,0, 755,640,480:waittimer 4928 blt 0,0,640,480,0, 756,640,480:waittimer 4935 blt 0,0,640,480,0, 757,640,480:waittimer 4942 blt 0,0,640,480,0, 758,640,480:waittimer 4948 blt 0,0,640,480,0, 759,640,480:waittimer 4955 blt 0,0,640,480,0, 760,640,480:waittimer 4961 blt 0,0,640,480,0, 761,640,480:waittimer 4968 blt 0,0,640,480,0, 762,640,480:waittimer 4974 blt 0,0,640,480,0, 763,640,480:waittimer 4981 blt 0,0,640,480,0, 764,640,480:waittimer 4987 blt 0,0,640,480,0, 765,640,480:waittimer 4994 blt 0,0,640,480,0, 766,640,480:waittimer 5000 blt 0,0,640,480,0, 767,640,480:waittimer 5007 blt 0,0,640,480,0, 768,640,480:waittimer 5013 blt 0,0,640,480,0, 769,640,480:waittimer 5020 blt 0,0,640,480,0, 770,640,480:waittimer 5026 blt 0,0,640,480,0, 771,640,480:waittimer 5033 blt 0,0,640,480,0, 772,640,480:waittimer 5039 blt 0,0,640,480,0, 773,640,480:waittimer 5046 blt 0,0,640,480,0, 774,640,480:waittimer 5052 blt 0,0,640,480,0, 775,640,480:waittimer 5059 blt 0,0,640,480,0, 776,640,480:waittimer 5066 blt 0,0,640,480,0, 777,640,480:waittimer 5072 blt 0,0,640,480,0, 778,640,480:waittimer 5079 blt 0,0,640,480,0, 779,640,480:waittimer 5085 blt 0,0,640,480,0, 780,640,480:waittimer 5092 blt 0,0,640,480,0, 781,640,480:waittimer 5098 blt 0,0,640,480,0, 782,640,480:waittimer 5105 blt 0,0,640,480,0, 783,640,480:waittimer 5111 blt 0,0,640,480,0, 784,640,480:waittimer 5118 blt 0,0,640,480,0, 785,640,480:waittimer 5124 blt 0,0,640,480,0, 786,640,480:waittimer 5131 blt 0,0,640,480,0, 787,640,480:waittimer 5137 blt 0,0,640,480,0, 788,640,480:waittimer 5144 blt 0,0,640,480,0, 789,640,480:waittimer 5150 blt 0,0,640,480,0, 790,640,480:waittimer 5157 blt 0,0,640,480,0, 791,640,480:waittimer 5163 blt 0,0,640,480,0, 792,640,480:waittimer 5170 blt 0,0,640,480,0, 793,640,480:waittimer 5177 blt 0,0,640,480,0, 794,640,480:waittimer 5183 blt 0,0,640,480,0, 795,640,480:waittimer 5190 blt 0,0,640,480,0, 796,640,480:waittimer 5196 blt 0,0,640,480,0, 797,640,480:waittimer 5203 blt 0,0,640,480,0, 798,640,480:waittimer 5209 blt 0,0,640,480,0, 799,640,480:waittimer 5216 blt 0,0,640,480,0, 800,640,480:waittimer 5222 blt 0,0,640,480,0, 801,640,480:waittimer 5229 blt 0,0,640,480,0, 802,640,480:waittimer 5235 blt 0,0,640,480,0, 803,640,480:waittimer 5242 blt 0,0,640,480,0, 804,640,480:waittimer 5248 blt 0,0,640,480,0, 805,640,480:waittimer 5255 blt 0,0,640,480,0, 806,640,480:waittimer 5261 blt 0,0,640,480,0, 807,640,480:waittimer 5268 blt 0,0,640,480,0, 808,640,480:waittimer 5274 blt 0,0,640,480,0, 809,640,480:waittimer 5281 blt 0,0,640,480,0, 810,640,480:waittimer 5288 blt 0,0,640,480,0, 811,640,480:waittimer 5294 blt 0,0,640,480,0, 812,640,480:waittimer 5301 blt 0,0,640,480,0, 813,640,480:waittimer 5307 blt 0,0,640,480,0, 814,640,480:waittimer 5314 blt 0,0,640,480,0, 815,640,480:waittimer 5320 blt 0,0,640,480,0, 816,640,480:waittimer 5327 blt 0,0,640,480,0, 817,640,480:waittimer 5333 blt 0,0,640,480,0, 818,640,480:waittimer 5340 blt 0,0,640,480,0, 819,640,480:waittimer 5346 blt 0,0,640,480,0, 820,640,480:waittimer 5353 blt 0,0,640,480,0, 821,640,480:waittimer 5359 blt 0,0,640,480,0, 822,640,480:waittimer 5366 blt 0,0,640,480,0, 823,640,480:waittimer 5372 blt 0,0,640,480,0, 824,640,480:waittimer 5379 blt 0,0,640,480,0, 825,640,480:waittimer 5385 blt 0,0,640,480,0, 826,640,480:waittimer 5392 blt 0,0,640,480,0, 827,640,480:waittimer 5399 blt 0,0,640,480,0, 828,640,480:waittimer 5405 blt 0,0,640,480,0, 829,640,480:waittimer 5412 blt 0,0,640,480,0, 830,640,480:waittimer 5418 blt 0,0,640,480,0, 831,640,480:waittimer 5425 blt 0,0,640,480,0, 832,640,480:waittimer 5431 blt 0,0,640,480,0, 833,640,480:waittimer 5438 blt 0,0,640,480,0, 834,640,480:waittimer 5444 blt 0,0,640,480,0, 835,640,480:waittimer 5451 blt 0,0,640,480,0, 836,640,480:waittimer 5457 blt 0,0,640,480,0, 837,640,480:waittimer 5464 blt 0,0,640,480,0, 838,640,480:waittimer 5470 blt 0,0,640,480,0, 839,640,480:waittimer 5477 blt 0,0,640,480,0, 840,640,480:waittimer 5483 blt 0,0,640,480,0, 841,640,480:waittimer 5490 blt 0,0,640,480,0, 842,640,480:waittimer 5496 blt 0,0,640,480,0, 843,640,480:waittimer 5503 blt 0,0,640,480,0, 844,640,480:waittimer 5509 blt 0,0,640,480,0, 845,640,480:waittimer 5516 blt 0,0,640,480,0, 846,640,480:waittimer 5523 blt 0,0,640,480,0, 847,640,480:waittimer 5529 blt 0,0,640,480,0, 848,640,480:waittimer 5536 blt 0,0,640,480,0, 849,640,480:waittimer 5542 blt 0,0,640,480,0, 850,640,480:waittimer 5549 blt 0,0,640,480,0, 851,640,480:waittimer 5555 blt 0,0,640,480,0, 852,640,480:waittimer 5562 blt 0,0,640,480,0, 853,640,480:waittimer 5568 blt 0,0,640,480,0, 854,640,480:waittimer 5575 blt 0,0,640,480,0, 855,640,480:waittimer 5581 blt 0,0,640,480,0, 856,640,480:waittimer 5588 blt 0,0,640,480,0, 857,640,480:waittimer 5594 blt 0,0,640,480,0, 858,640,480:waittimer 5601 blt 0,0,640,480,0, 859,640,480:waittimer 5607 blt 0,0,640,480,0, 860,640,480:waittimer 5614 blt 0,0,640,480,0, 861,640,480:waittimer 5620 blt 0,0,640,480,0, 862,640,480:waittimer 5627 blt 0,0,640,480,0, 863,640,480:waittimer 5634 blt 0,0,640,480,0, 864,640,480:waittimer 5640 blt 0,0,640,480,0, 865,640,480:waittimer 5647 blt 0,0,640,480,0, 866,640,480:waittimer 5653 blt 0,0,640,480,0, 867,640,480:waittimer 5660 blt 0,0,640,480,0, 868,640,480:waittimer 5666 blt 0,0,640,480,0, 869,640,480:waittimer 5673 blt 0,0,640,480,0, 870,640,480:waittimer 5679 blt 0,0,640,480,0, 871,640,480:waittimer 5686 blt 0,0,640,480,0, 872,640,480:waittimer 5692 blt 0,0,640,480,0, 873,640,480:waittimer 5699 blt 0,0,640,480,0, 874,640,480:waittimer 5705 blt 0,0,640,480,0, 875,640,480:waittimer 5712 blt 0,0,640,480,0, 876,640,480:waittimer 5718 blt 0,0,640,480,0, 877,640,480:waittimer 5725 blt 0,0,640,480,0, 878,640,480:waittimer 5731 blt 0,0,640,480,0, 879,640,480:waittimer 5738 blt 0,0,640,480,0, 880,640,480:waittimer 5745 blt 0,0,640,480,0, 881,640,480:waittimer 5751 blt 0,0,640,480,0, 882,640,480:waittimer 5758 blt 0,0,640,480,0, 883,640,480:waittimer 5764 blt 0,0,640,480,0, 884,640,480:waittimer 5771 blt 0,0,640,480,0, 885,640,480:waittimer 5777 blt 0,0,640,480,0, 886,640,480:waittimer 5784 blt 0,0,640,480,0, 887,640,480:waittimer 5790 blt 0,0,640,480,0, 888,640,480:waittimer 5797 blt 0,0,640,480,0, 889,640,480:waittimer 5803 blt 0,0,640,480,0, 890,640,480:waittimer 5810 blt 0,0,640,480,0, 891,640,480:waittimer 5816 blt 0,0,640,480,0, 892,640,480:waittimer 5823 blt 0,0,640,480,0, 893,640,480:waittimer 5829 blt 0,0,640,480,0, 894,640,480:waittimer 5836 blt 0,0,640,480,0, 895,640,480:waittimer 5842 blt 0,0,640,480,0, 896,640,480:waittimer 5849 blt 0,0,640,480,0, 897,640,480:waittimer 5855 blt 0,0,640,480,0, 898,640,480:waittimer 5862 blt 0,0,640,480,0, 899,640,480:waittimer 5869 blt 0,0,640,480,0, 900,640,480:waittimer 5875 blt 0,0,640,480,0, 901,640,480:waittimer 5882 blt 0,0,640,480,0, 902,640,480:waittimer 5888 blt 0,0,640,480,0, 903,640,480:waittimer 5895 blt 0,0,640,480,0, 904,640,480:waittimer 5901 blt 0,0,640,480,0, 905,640,480:waittimer 5908 blt 0,0,640,480,0, 906,640,480:waittimer 5914 blt 0,0,640,480,0, 907,640,480:waittimer 5921 blt 0,0,640,480,0, 908,640,480:waittimer 5927 blt 0,0,640,480,0, 909,640,480:waittimer 5934 blt 0,0,640,480,0, 910,640,480:waittimer 5940 blt 0,0,640,480,0, 911,640,480:waittimer 5947 blt 0,0,640,480,0, 912,640,480:waittimer 5953 blt 0,0,640,480,0, 913,640,480:waittimer 5960 blt 0,0,640,480,0, 914,640,480:waittimer 5966 blt 0,0,640,480,0, 915,640,480:waittimer 5973 blt 0,0,640,480,0, 916,640,480:waittimer 5980 blt 0,0,640,480,0, 917,640,480:waittimer 5986 blt 0,0,640,480,0, 918,640,480:waittimer 5993 blt 0,0,640,480,0, 919,640,480:waittimer 5999 blt 0,0,640,480,0, 920,640,480:waittimer 6006 blt 0,0,640,480,0, 921,640,480:waittimer 6012 blt 0,0,640,480,0, 922,640,480:waittimer 6019 blt 0,0,640,480,0, 923,640,480:waittimer 6025 blt 0,0,640,480,0, 924,640,480:waittimer 6032 blt 0,0,640,480,0, 925,640,480:waittimer 6038 blt 0,0,640,480,0, 926,640,480:waittimer 6045 blt 0,0,640,480,0, 927,640,480:waittimer 6051 blt 0,0,640,480,0, 928,640,480:waittimer 6058 blt 0,0,640,480,0, 929,640,480:waittimer 6064 blt 0,0,640,480,0, 930,640,480:waittimer 6071 blt 0,0,640,480,0, 931,640,480:waittimer 6077 blt 0,0,640,480,0, 932,640,480:waittimer 6084 blt 0,0,640,480,0, 933,640,480:waittimer 6091 blt 0,0,640,480,0, 934,640,480:waittimer 6097 blt 0,0,640,480,0, 935,640,480:waittimer 6104 blt 0,0,640,480,0, 936,640,480:waittimer 6110 blt 0,0,640,480,0, 937,640,480:waittimer 6117 blt 0,0,640,480,0, 938,640,480:waittimer 6123 blt 0,0,640,480,0, 939,640,480:waittimer 6130 blt 0,0,640,480,0, 940,640,480:waittimer 6136 blt 0,0,640,480,0, 941,640,480:waittimer 6143 blt 0,0,640,480,0, 942,640,480:waittimer 6149 blt 0,0,640,480,0, 943,640,480:waittimer 6156 blt 0,0,640,480,0, 944,640,480:waittimer 6162 blt 0,0,640,480,0, 945,640,480:waittimer 6169 blt 0,0,640,480,0, 946,640,480:waittimer 6175 blt 0,0,640,480,0, 947,640,480:waittimer 6182 blt 0,0,640,480,0, 948,640,480:waittimer 6188 blt 0,0,640,480,0, 949,640,480:waittimer 6195 blt 0,0,640,480,0, 950,640,480:waittimer 6201 blt 0,0,640,480,0, 951,640,480:waittimer 6208 blt 0,0,640,480,0, 952,640,480:waittimer 6215 blt 0,0,640,480,0, 953,640,480:waittimer 6221 blt 0,0,640,480,0, 954,640,480:waittimer 6228 blt 0,0,640,480,0, 955,640,480:waittimer 6234 blt 0,0,640,480,0, 956,640,480:waittimer 6241 blt 0,0,640,480,0, 957,640,480:waittimer 6247 blt 0,0,640,480,0, 958,640,480:waittimer 6254 blt 0,0,640,480,0, 959,640,480:waittimer 6260 blt 0,0,640,480,0, 960,640,480:waittimer 6267 blt 0,0,640,480,0, 961,640,480:waittimer 6273 blt 0,0,640,480,0, 962,640,480:waittimer 6280 blt 0,0,640,480,0, 963,640,480:waittimer 6286 blt 0,0,640,480,0, 964,640,480:waittimer 6293 blt 0,0,640,480,0, 965,640,480:waittimer 6299 blt 0,0,640,480,0, 966,640,480:waittimer 6306 blt 0,0,640,480,0, 967,640,480:waittimer 6312 blt 0,0,640,480,0, 968,640,480:waittimer 6319 blt 0,0,640,480,0, 969,640,480:waittimer 6326 blt 0,0,640,480,0, 970,640,480:waittimer 6332 blt 0,0,640,480,0, 971,640,480:waittimer 6339 blt 0,0,640,480,0, 972,640,480:waittimer 6345 blt 0,0,640,480,0, 973,640,480:waittimer 6352 blt 0,0,640,480,0, 974,640,480:waittimer 6358 blt 0,0,640,480,0, 975,640,480:waittimer 6365 blt 0,0,640,480,0, 976,640,480:waittimer 6371 blt 0,0,640,480,0, 977,640,480:waittimer 6378 blt 0,0,640,480,0, 978,640,480:waittimer 6384 blt 0,0,640,480,0, 979,640,480:waittimer 6391 blt 0,0,640,480,0, 980,640,480:waittimer 6397 blt 0,0,640,480,0, 981,640,480:waittimer 6404 blt 0,0,640,480,0, 982,640,480:waittimer 6410 blt 0,0,640,480,0, 983,640,480:waittimer 6417 blt 0,0,640,480,0, 984,640,480:waittimer 6423 blt 0,0,640,480,0, 985,640,480:waittimer 6430 blt 0,0,640,480,0, 986,640,480:waittimer 6437 blt 0,0,640,480,0, 987,640,480:waittimer 6443 blt 0,0,640,480,0, 988,640,480:waittimer 6450 blt 0,0,640,480,0, 989,640,480:waittimer 6456 blt 0,0,640,480,0, 990,640,480:waittimer 6463 blt 0,0,640,480,0, 991,640,480:waittimer 6469 blt 0,0,640,480,0, 992,640,480:waittimer 6476 blt 0,0,640,480,0, 993,640,480:waittimer 6482 blt 0,0,640,480,0, 994,640,480:waittimer 6489 blt 0,0,640,480,0, 995,640,480:waittimer 6495 blt 0,0,640,480,0, 996,640,480:waittimer 6502 blt 0,0,640,480,0, 997,640,480:waittimer 6508 blt 0,0,640,480,0, 998,640,480:waittimer 6515 blt 0,0,640,480,0, 999,640,480:waittimer 6521 blt 0,0,640,480,0, 1000,640,480:waittimer 6528 blt 0,0,640,480,0, 1001,640,480:waittimer 6534 blt 0,0,640,480,0, 1002,640,480:waittimer 6541 blt 0,0,640,480,0, 1003,640,480:waittimer 6548 blt 0,0,640,480,0, 1004,640,480:waittimer 6554 blt 0,0,640,480,0, 1005,640,480:waittimer 6561 blt 0,0,640,480,0, 1006,640,480:waittimer 6567 blt 0,0,640,480,0, 1007,640,480:waittimer 6574 blt 0,0,640,480,0, 1008,640,480:waittimer 6580 blt 0,0,640,480,0, 1009,640,480:waittimer 6587 blt 0,0,640,480,0, 1010,640,480:waittimer 6593 blt 0,0,640,480,0, 1011,640,480:waittimer 6600 blt 0,0,640,480,0, 1012,640,480:waittimer 6606 blt 0,0,640,480,0, 1013,640,480:waittimer 6613 blt 0,0,640,480,0, 1014,640,480:waittimer 6619 blt 0,0,640,480,0, 1015,640,480:waittimer 6626 blt 0,0,640,480,0, 1016,640,480:waittimer 6632 blt 0,0,640,480,0, 1017,640,480:waittimer 6639 blt 0,0,640,480,0, 1018,640,480:waittimer 6645 blt 0,0,640,480,0, 1019,640,480:waittimer 6652 blt 0,0,640,480,0, 1020,640,480:waittimer 6658 blt 0,0,640,480,0, 1021,640,480:waittimer 6665 blt 0,0,640,480,0, 1022,640,480:waittimer 6672 blt 0,0,640,480,0, 1023,640,480:waittimer 6678 blt 0,0,640,480,0, 1024,640,480:waittimer 6685 blt 0,0,640,480,0, 1025,640,480:waittimer 6691 blt 0,0,640,480,0, 1026,640,480:waittimer 6698 blt 0,0,640,480,0, 1027,640,480:waittimer 6704 blt 0,0,640,480,0, 1028,640,480:waittimer 6711 blt 0,0,640,480,0, 1029,640,480:waittimer 6717 blt 0,0,640,480,0, 1030,640,480:waittimer 6724 blt 0,0,640,480,0, 1031,640,480:waittimer 6730 blt 0,0,640,480,0, 1032,640,480:waittimer 6737 blt 0,0,640,480,0, 1033,640,480:waittimer 6743 blt 0,0,640,480,0, 1034,640,480:waittimer 6750 blt 0,0,640,480,0, 1035,640,480:waittimer 6756 blt 0,0,640,480,0, 1036,640,480:waittimer 6763 blt 0,0,640,480,0, 1037,640,480:waittimer 6769 blt 0,0,640,480,0, 1038,640,480:waittimer 6776 blt 0,0,640,480,0, 1039,640,480:waittimer 6783 blt 0,0,640,480,0, 1040,640,480:waittimer 6789 blt 0,0,640,480,0, 1041,640,480:waittimer 6796 blt 0,0,640,480,0, 1042,640,480:waittimer 6802 blt 0,0,640,480,0, 1043,640,480:waittimer 6809 blt 0,0,640,480,0, 1044,640,480:waittimer 6815 blt 0,0,640,480,0, 1045,640,480:waittimer 6822 blt 0,0,640,480,0, 1046,640,480:waittimer 6828 blt 0,0,640,480,0, 1047,640,480:waittimer 6835 blt 0,0,640,480,0, 1048,640,480:waittimer 6841 blt 0,0,640,480,0, 1049,640,480:waittimer 6848 blt 0,0,640,480,0, 1050,640,480:waittimer 6854 blt 0,0,640,480,0, 1051,640,480:waittimer 6861 blt 0,0,640,480,0, 1052,640,480:waittimer 6867 blt 0,0,640,480,0, 1053,640,480:waittimer 6874 blt 0,0,640,480,0, 1054,640,480:waittimer 6880 blt 0,0,640,480,0, 1055,640,480:waittimer 6887 blt 0,0,640,480,0, 1056,640,480:waittimer 6894 blt 0,0,640,480,0, 1057,640,480:waittimer 6900 blt 0,0,640,480,0, 1058,640,480:waittimer 6907 blt 0,0,640,480,0, 1059,640,480:waittimer 6913 blt 0,0,640,480,0, 1060,640,480:waittimer 6920 blt 0,0,640,480,0, 1061,640,480:waittimer 6926 blt 0,0,640,480,0, 1062,640,480:waittimer 6933 blt 0,0,640,480,0, 1063,640,480:waittimer 6939 blt 0,0,640,480,0, 1064,640,480:waittimer 6946 blt 0,0,640,480,0, 1065,640,480:waittimer 6952 blt 0,0,640,480,0, 1066,640,480:waittimer 6959 blt 0,0,640,480,0, 1067,640,480:waittimer 6965 blt 0,0,640,480,0, 1068,640,480:waittimer 6972 blt 0,0,640,480,0, 1069,640,480:waittimer 6978 blt 0,0,640,480,0, 1070,640,480:waittimer 6985 blt 0,0,640,480,0, 1071,640,480:waittimer 6991 blt 0,0,640,480,0, 1072,640,480:waittimer 6998 blt 0,0,640,480,0, 1073,640,480:waittimer 7004 blt 0,0,640,480,0, 1074,640,480:waittimer 7011 blt 0,0,640,480,0, 1075,640,480:waittimer 7018 blt 0,0,640,480,0, 1076,640,480:waittimer 7024 blt 0,0,640,480,0, 1077,640,480:waittimer 7031 blt 0,0,640,480,0, 1078,640,480:waittimer 7037 blt 0,0,640,480,0, 1079,640,480:waittimer 7044 blt 0,0,640,480,0, 1080,640,480:waittimer 7050 blt 0,0,640,480,0, 1081,640,480:waittimer 7057 blt 0,0,640,480,0, 1082,640,480:waittimer 7063 blt 0,0,640,480,0, 1083,640,480:waittimer 7070 blt 0,0,640,480,0, 1084,640,480:waittimer 7076 blt 0,0,640,480,0, 1085,640,480:waittimer 7083 blt 0,0,640,480,0, 1086,640,480:waittimer 7089 blt 0,0,640,480,0, 1087,640,480:waittimer 7096 blt 0,0,640,480,0, 1088,640,480:waittimer 7102 blt 0,0,640,480,0, 1089,640,480:waittimer 7109 blt 0,0,640,480,0, 1090,640,480:waittimer 7115 blt 0,0,640,480,0, 1091,640,480:waittimer 7122 blt 0,0,640,480,0, 1092,640,480:waittimer 7129 blt 0,0,640,480,0, 1093,640,480:waittimer 7135 blt 0,0,640,480,0, 1094,640,480:waittimer 7142 blt 0,0,640,480,0, 1095,640,480:waittimer 7148 blt 0,0,640,480,0, 1096,640,480:waittimer 7155 blt 0,0,640,480,0, 1097,640,480:waittimer 7161 blt 0,0,640,480,0, 1098,640,480:waittimer 7168 blt 0,0,640,480,0, 1099,640,480:waittimer 7174 blt 0,0,640,480,0, 1100,640,480:waittimer 7181 blt 0,0,640,480,0, 1101,640,480:waittimer 7187 blt 0,0,640,480,0, 1102,640,480:waittimer 7194 blt 0,0,640,480,0, 1103,640,480:waittimer 7200 blt 0,0,640,480,0, 1104,640,480:waittimer 7207 blt 0,0,640,480,0, 1105,640,480:waittimer 7213 blt 0,0,640,480,0, 1106,640,480:waittimer 7220 blt 0,0,640,480,0, 1107,640,480:waittimer 7226 blt 0,0,640,480,0, 1108,640,480:waittimer 7233 blt 0,0,640,480,0, 1109,640,480:waittimer 7240 blt 0,0,640,480,0, 1110,640,480:waittimer 7246 blt 0,0,640,480,0, 1111,640,480:waittimer 7253 blt 0,0,640,480,0, 1112,640,480:waittimer 7259 blt 0,0,640,480,0, 1113,640,480:waittimer 7266 blt 0,0,640,480,0, 1114,640,480:waittimer 7272 blt 0,0,640,480,0, 1115,640,480:waittimer 7279 blt 0,0,640,480,0, 1116,640,480:waittimer 7285 blt 0,0,640,480,0, 1117,640,480:waittimer 7292 blt 0,0,640,480,0, 1118,640,480:waittimer 7298 blt 0,0,640,480,0, 1119,640,480:waittimer 7305 blt 0,0,640,480,0, 1120,640,480:waittimer 7311 blt 0,0,640,480,0, 1121,640,480:waittimer 7318 blt 0,0,640,480,0, 1122,640,480:waittimer 7324 blt 0,0,640,480,0, 1123,640,480:waittimer 7331 blt 0,0,640,480,0, 1124,640,480:waittimer 7337 blt 0,0,640,480,0, 1125,640,480:waittimer 7344 blt 0,0,640,480,0, 1126,640,480:waittimer 7350 blt 0,0,640,480,0, 1127,640,480:waittimer 7357 blt 0,0,640,480,0, 1128,640,480:waittimer 7364 blt 0,0,640,480,0, 1129,640,480:waittimer 7370 blt 0,0,640,480,0, 1130,640,480:waittimer 7377 blt 0,0,640,480,0, 1131,640,480:waittimer 7383 blt 0,0,640,480,0, 1132,640,480:waittimer 7390 blt 0,0,640,480,0, 1133,640,480:waittimer 7396 blt 0,0,640,480,0, 1134,640,480:waittimer 7403 blt 0,0,640,480,0, 1135,640,480:waittimer 7409 blt 0,0,640,480,0, 1136,640,480:waittimer 7416 blt 0,0,640,480,0, 1137,640,480:waittimer 7422 blt 0,0,640,480,0, 1138,640,480:waittimer 7429 blt 0,0,640,480,0, 1139,640,480:waittimer 7435 blt 0,0,640,480,0, 1140,640,480:waittimer 7442 blt 0,0,640,480,0, 1141,640,480:waittimer 7448 blt 0,0,640,480,0, 1142,640,480:waittimer 7455 blt 0,0,640,480,0, 1143,640,480:waittimer 7461 blt 0,0,640,480,0, 1144,640,480:waittimer 7468 blt 0,0,640,480,0, 1145,640,480:waittimer 7475 blt 0,0,640,480,0, 1146,640,480:waittimer 7481 blt 0,0,640,480,0, 1147,640,480:waittimer 7488 blt 0,0,640,480,0, 1148,640,480:waittimer 7494 blt 0,0,640,480,0, 1149,640,480:waittimer 7501 blt 0,0,640,480,0, 1150,640,480:waittimer 7507 blt 0,0,640,480,0, 1151,640,480:waittimer 7514 blt 0,0,640,480,0, 1152,640,480:waittimer 7520 blt 0,0,640,480,0, 1153,640,480:waittimer 7527 blt 0,0,640,480,0, 1154,640,480:waittimer 7533 blt 0,0,640,480,0, 1155,640,480:waittimer 7540 blt 0,0,640,480,0, 1156,640,480:waittimer 7546 blt 0,0,640,480,0, 1157,640,480:waittimer 7553 blt 0,0,640,480,0, 1158,640,480:waittimer 7559 blt 0,0,640,480,0, 1159,640,480:waittimer 7566 blt 0,0,640,480,0, 1160,640,480:waittimer 7572 blt 0,0,640,480,0, 1161,640,480:waittimer 7579 blt 0,0,640,480,0, 1162,640,480:waittimer 7586 blt 0,0,640,480,0, 1163,640,480:waittimer 7592 blt 0,0,640,480,0, 1164,640,480:waittimer 7599 blt 0,0,640,480,0, 1165,640,480:waittimer 7605 blt 0,0,640,480,0, 1166,640,480:waittimer 7612 blt 0,0,640,480,0, 1167,640,480:waittimer 7618 blt 0,0,640,480,0, 1168,640,480:waittimer 7625 blt 0,0,640,480,0, 1169,640,480:waittimer 7631 blt 0,0,640,480,0, 1170,640,480:waittimer 7638 blt 0,0,640,480,0, 1171,640,480:waittimer 7644 blt 0,0,640,480,0, 1172,640,480:waittimer 7651 blt 0,0,640,480,0, 1173,640,480:waittimer 7657 blt 0,0,640,480,0, 1174,640,480:waittimer 7664 blt 0,0,640,480,0, 1175,640,480:waittimer 7670 blt 0,0,640,480,0, 1176,640,480:waittimer 7677 blt 0,0,640,480,0, 1177,640,480:waittimer 7683 blt 0,0,640,480,0, 1178,640,480:waittimer 7690 blt 0,0,640,480,0, 1179,640,480:waittimer 7697 blt 0,0,640,480,0, 1180,640,480:waittimer 7703 blt 0,0,640,480,0, 1181,640,480:waittimer 7710 blt 0,0,640,480,0, 1182,640,480:waittimer 7716 blt 0,0,640,480,0, 1183,640,480:waittimer 7723 blt 0,0,640,480,0, 1184,640,480:waittimer 7729 blt 0,0,640,480,0, 1185,640,480:waittimer 7736 blt 0,0,640,480,0, 1186,640,480:waittimer 7742 blt 0,0,640,480,0, 1187,640,480:waittimer 7749 blt 0,0,640,480,0, 1188,640,480:waittimer 7755 blt 0,0,640,480,0, 1189,640,480:waittimer 7762 blt 0,0,640,480,0, 1190,640,480:waittimer 7768 blt 0,0,640,480,0, 1191,640,480:waittimer 7775 blt 0,0,640,480,0, 1192,640,480:waittimer 7781 blt 0,0,640,480,0, 1193,640,480:waittimer 7788 blt 0,0,640,480,0, 1194,640,480:waittimer 7794 blt 0,0,640,480,0, 1195,640,480:waittimer 7801 blt 0,0,640,480,0, 1196,640,480:waittimer 7807 blt 0,0,640,480,0, 1197,640,480:waittimer 7814 blt 0,0,640,480,0, 1198,640,480:waittimer 7821 blt 0,0,640,480,0, 1199,640,480:waittimer 7827 blt 0,0,640,480,0, 1200,640,480:waittimer 7834 blt 0,0,640,480,0, 1201,640,480:waittimer 7840 blt 0,0,640,480,0, 1202,640,480:waittimer 7847 blt 0,0,640,480,0, 1203,640,480:waittimer 7853 blt 0,0,640,480,0, 1204,640,480:waittimer 7860 blt 0,0,640,480,0, 1205,640,480:waittimer 7866 blt 0,0,640,480,0, 1206,640,480:waittimer 7873 blt 0,0,640,480,0, 1207,640,480:waittimer 7879 blt 0,0,640,480,0, 1208,640,480:waittimer 7886 blt 0,0,640,480,0, 1209,640,480:waittimer 7892 blt 0,0,640,480,0, 1210,640,480:waittimer 7899 blt 0,0,640,480,0, 1211,640,480:waittimer 7905 blt 0,0,640,480,0, 1212,640,480:waittimer 7912 blt 0,0,640,480,0, 1213,640,480:waittimer 7918 blt 0,0,640,480,0, 1214,640,480:waittimer 7925 blt 0,0,640,480,0, 1215,640,480:waittimer 7932 blt 0,0,640,480,0, 1216,640,480:waittimer 7938 blt 0,0,640,480,0, 1217,640,480:waittimer 7945 blt 0,0,640,480,0, 1218,640,480:waittimer 7951 blt 0,0,640,480,0, 1219,640,480:waittimer 7958 blt 0,0,640,480,0, 1220,640,480:waittimer 7964 blt 0,0,640,480,0, 1221,640,480:waittimer 7971 blt 0,0,640,480,0, 1222,640,480:waittimer 7977 blt 0,0,640,480,0, 1223,640,480:waittimer 7984 blt 0,0,640,480,0, 1224,640,480:waittimer 7990 blt 0,0,640,480,0, 1225,640,480:waittimer 7997 blt 0,0,640,480,0, 1226,640,480:waittimer 8003 blt 0,0,640,480,0, 1227,640,480:waittimer 8010 blt 0,0,640,480,0, 1228,640,480:waittimer 8016 blt 0,0,640,480,0, 1229,640,480:waittimer 8023 blt 0,0,640,480,0, 1230,640,480:waittimer 8029 blt 0,0,640,480,0, 1231,640,480:waittimer 8036 blt 0,0,640,480,0, 1232,640,480:waittimer 8043 blt 0,0,640,480,0, 1233,640,480:waittimer 8049 blt 0,0,640,480,0, 1234,640,480:waittimer 8056 blt 0,0,640,480,0, 1235,640,480:waittimer 8062 blt 0,0,640,480,0, 1236,640,480:waittimer 8069 blt 0,0,640,480,0, 1237,640,480:waittimer 8075 blt 0,0,640,480,0, 1238,640,480:waittimer 8082 blt 0,0,640,480,0, 1239,640,480:waittimer 8088 blt 0,0,640,480,0, 1240,640,480:waittimer 8095 blt 0,0,640,480,0, 1241,640,480:waittimer 8101 blt 0,0,640,480,0, 1242,640,480:waittimer 8108 blt 0,0,640,480,0, 1243,640,480:waittimer 8114 blt 0,0,640,480,0, 1244,640,480:waittimer 8121 blt 0,0,640,480,0, 1245,640,480:waittimer 8127 blt 0,0,640,480,0, 1246,640,480:waittimer 8134 blt 0,0,640,480,0, 1247,640,480:waittimer 8140 blt 0,0,640,480,0, 1248,640,480:waittimer 8147 blt 0,0,640,480,0, 1249,640,480:waittimer 8153 blt 0,0,640,480,0, 1250,640,480:waittimer 8160 blt 0,0,640,480,0, 1251,640,480:waittimer 8167 blt 0,0,640,480,0, 1252,640,480:waittimer 8173 blt 0,0,640,480,0, 1253,640,480:waittimer 8180 blt 0,0,640,480,0, 1254,640,480:waittimer 8186 blt 0,0,640,480,0, 1255,640,480:waittimer 8193 blt 0,0,640,480,0, 1256,640,480:waittimer 8199 blt 0,0,640,480,0, 1257,640,480:waittimer 8206 blt 0,0,640,480,0, 1258,640,480:waittimer 8212 blt 0,0,640,480,0, 1259,640,480:waittimer 8219 blt 0,0,640,480,0, 1260,640,480:waittimer 8225 blt 0,0,640,480,0, 1261,640,480:waittimer 8232 blt 0,0,640,480,0, 1262,640,480:waittimer 8238 blt 0,0,640,480,0, 1263,640,480:waittimer 8245 blt 0,0,640,480,0, 1264,640,480:waittimer 8251 blt 0,0,640,480,0, 1265,640,480:waittimer 8258 blt 0,0,640,480,0, 1266,640,480:waittimer 8264 blt 0,0,640,480,0, 1267,640,480:waittimer 8271 blt 0,0,640,480,0, 1268,640,480:waittimer 8278 blt 0,0,640,480,0, 1269,640,480:waittimer 8284 blt 0,0,640,480,0, 1270,640,480:waittimer 8291 blt 0,0,640,480,0, 1271,640,480:waittimer 8297 blt 0,0,640,480,0, 1272,640,480:waittimer 8304 blt 0,0,640,480,0, 1273,640,480:waittimer 8310 blt 0,0,640,480,0, 1274,640,480:waittimer 8317 blt 0,0,640,480,0, 1275,640,480:waittimer 8323 blt 0,0,640,480,0, 1276,640,480:waittimer 8330 blt 0,0,640,480,0, 1277,640,480:waittimer 8336 blt 0,0,640,480,0, 1278,640,480:waittimer 8343 blt 0,0,640,480,0, 1279,640,480:waittimer 8349 blt 0,0,640,480,0, 1280,640,480:waittimer 8356 blt 0,0,640,480,0, 1281,640,480:waittimer 8362 blt 0,0,640,480,0, 1282,640,480:waittimer 8369 blt 0,0,640,480,0, 1283,640,480:waittimer 8375 blt 0,0,640,480,0, 1284,640,480:waittimer 8382 blt 0,0,640,480,0, 1285,640,480:waittimer 8389 blt 0,0,640,480,0, 1286,640,480:waittimer 8395 blt 0,0,640,480,0, 1287,640,480:waittimer 8402 blt 0,0,640,480,0, 1288,640,480:waittimer 8408 blt 0,0,640,480,0, 1289,640,480:waittimer 8415 blt 0,0,640,480,0, 1290,640,480:waittimer 8421 blt 0,0,640,480,0, 1291,640,480:waittimer 8428 blt 0,0,640,480,0, 1292,640,480:waittimer 8434 blt 0,0,640,480,0, 1293,640,480:waittimer 8441 blt 0,0,640,480,0, 1294,640,480:waittimer 8447 blt 0,0,640,480,0, 1295,640,480:waittimer 8454 blt 0,0,640,480,0, 1296,640,480:waittimer 8460 blt 0,0,640,480,0, 1297,640,480:waittimer 8467 blt 0,0,640,480,0, 1298,640,480:waittimer 8473 blt 0,0,640,480,0, 1299,640,480:waittimer 8480 blt 0,0,640,480,0, 1300,640,480:waittimer 8486 blt 0,0,640,480,0, 1301,640,480:waittimer 8493 blt 0,0,640,480,0, 1302,640,480:waittimer 8499 blt 0,0,640,480,0, 1303,640,480:waittimer 8506 blt 0,0,640,480,0, 1304,640,480:waittimer 8513 blt 0,0,640,480,0, 1305,640,480:waittimer 8519 blt 0,0,640,480,0, 1306,640,480:waittimer 8526 blt 0,0,640,480,0, 1307,640,480:waittimer 8532 blt 0,0,640,480,0, 1308,640,480:waittimer 8539 blt 0,0,640,480,0, 1309,640,480:waittimer 8545 blt 0,0,640,480,0, 1310,640,480:waittimer 8552 blt 0,0,640,480,0, 1311,640,480:waittimer 8558 blt 0,0,640,480,0, 1312,640,480:waittimer 8565 blt 0,0,640,480,0, 1313,640,480:waittimer 8571 blt 0,0,640,480,0, 1314,640,480:waittimer 8578 blt 0,0,640,480,0, 1315,640,480:waittimer 8584 blt 0,0,640,480,0, 1316,640,480:waittimer 8591 blt 0,0,640,480,0, 1317,640,480:waittimer 8597 blt 0,0,640,480,0, 1318,640,480:waittimer 8604 blt 0,0,640,480,0, 1319,640,480:waittimer 8610 blt 0,0,640,480,0, 1320,640,480:waittimer 8617 blt 0,0,640,480,0, 1321,640,480:waittimer 8624 blt 0,0,640,480,0, 1322,640,480:waittimer 8630 blt 0,0,640,480,0, 1323,640,480:waittimer 8637 blt 0,0,640,480,0, 1324,640,480:waittimer 8643 blt 0,0,640,480,0, 1325,640,480:waittimer 8650 blt 0,0,640,480,0, 1326,640,480:waittimer 8656 blt 0,0,640,480,0, 1327,640,480:waittimer 8663 blt 0,0,640,480,0, 1328,640,480:waittimer 8669 blt 0,0,640,480,0, 1329,640,480:waittimer 8676 blt 0,0,640,480,0, 1330,640,480:waittimer 8682 blt 0,0,640,480,0, 1331,640,480:waittimer 8689 blt 0,0,640,480,0, 1332,640,480:waittimer 8695 blt 0,0,640,480,0, 1333,640,480:waittimer 8702 blt 0,0,640,480,0, 1334,640,480:waittimer 8708 blt 0,0,640,480,0, 1335,640,480:waittimer 8715 blt 0,0,640,480,0, 1336,640,480:waittimer 8721 blt 0,0,640,480,0, 1337,640,480:waittimer 8728 blt 0,0,640,480,0, 1338,640,480:waittimer 8735 blt 0,0,640,480,0, 1339,640,480:waittimer 8741 blt 0,0,640,480,0, 1340,640,480:waittimer 8748 blt 0,0,640,480,0, 1341,640,480:waittimer 8754 blt 0,0,640,480,0, 1342,640,480:waittimer 8761 blt 0,0,640,480,0, 1343,640,480:waittimer 8767 blt 0,0,640,480,0, 1344,640,480:waittimer 8774 blt 0,0,640,480,0, 1345,640,480:waittimer 8780 blt 0,0,640,480,0, 1346,640,480:waittimer 8787 blt 0,0,640,480,0, 1347,640,480:waittimer 8793 blt 0,0,640,480,0, 1348,640,480:waittimer 8800 blt 0,0,640,480,0, 1349,640,480:waittimer 8806 blt 0,0,640,480,0, 1350,640,480:waittimer 8813 blt 0,0,640,480,0, 1351,640,480:waittimer 8819 blt 0,0,640,480,0, 1352,640,480:waittimer 8826 blt 0,0,640,480,0, 1353,640,480:waittimer 8832 blt 0,0,640,480,0, 1354,640,480:waittimer 8839 blt 0,0,640,480,0, 1355,640,480:waittimer 8846 blt 0,0,640,480,0, 1356,640,480:waittimer 8852 blt 0,0,640,480,0, 1357,640,480:waittimer 8859 blt 0,0,640,480,0, 1358,640,480:waittimer 8865 blt 0,0,640,480,0, 1359,640,480:waittimer 8872 blt 0,0,640,480,0, 1360,640,480:waittimer 8878 blt 0,0,640,480,0, 1361,640,480:waittimer 8885 blt 0,0,640,480,0, 1362,640,480:waittimer 8891 blt 0,0,640,480,0, 1363,640,480:waittimer 8898 blt 0,0,640,480,0, 1364,640,480:waittimer 8904 blt 0,0,640,480,0, 1365,640,480:waittimer 8911 blt 0,0,640,480,0, 1366,640,480:waittimer 8917 blt 0,0,640,480,0, 1367,640,480:waittimer 8924 blt 0,0,640,480,0, 1368,640,480:waittimer 8930 blt 0,0,640,480,0, 1369,640,480:waittimer 8937 blt 0,0,640,480,0, 1370,640,480:waittimer 8943 blt 0,0,640,480,0, 1371,640,480:waittimer 8950 blt 0,0,640,480,0, 1372,640,480:waittimer 8956 blt 0,0,640,480,0, 1373,640,480:waittimer 8963 blt 0,0,640,480,0, 1374,640,480:waittimer 8970 blt 0,0,640,480,0, 1375,640,480:waittimer 8976 blt 0,0,640,480,0, 1376,640,480:waittimer 8983 blt 0,0,640,480,0, 1377,640,480:waittimer 8989 blt 0,0,640,480,0, 1378,640,480:waittimer 8996 blt 0,0,640,480,0, 1379,640,480:waittimer 9002 blt 0,0,640,480,0, 1380,640,480:waittimer 9009 blt 0,0,640,480,0, 1381,640,480:waittimer 9015 blt 0,0,640,480,0, 1382,640,480:waittimer 9022 blt 0,0,640,480,0, 1383,640,480:waittimer 9028 blt 0,0,640,480,0, 1384,640,480:waittimer 9035 blt 0,0,640,480,0, 1385,640,480:waittimer 9041 blt 0,0,640,480,0, 1386,640,480:waittimer 9048 blt 0,0,640,480,0, 1387,640,480:waittimer 9054 blt 0,0,640,480,0, 1388,640,480:waittimer 9061 blt 0,0,640,480,0, 1389,640,480:waittimer 9067 blt 0,0,640,480,0, 1390,640,480:waittimer 9074 blt 0,0,640,480,0, 1391,640,480:waittimer 9081 blt 0,0,640,480,0, 1392,640,480:waittimer 9087 blt 0,0,640,480,0, 1393,640,480:waittimer 9094 blt 0,0,640,480,0, 1394,640,480:waittimer 9100 blt 0,0,640,480,0, 1395,640,480:waittimer 9107 blt 0,0,640,480,0, 1396,640,480:waittimer 9113 blt 0,0,640,480,0, 1397,640,480:waittimer 9120 blt 0,0,640,480,0, 1398,640,480:waittimer 9126 blt 0,0,640,480,0, 1399,640,480:waittimer 9133 blt 0,0,640,480,0, 1400,640,480:waittimer 9139 blt 0,0,640,480,0, 1401,640,480:waittimer 9146 blt 0,0,640,480,0, 1402,640,480:waittimer 9152 blt 0,0,640,480,0, 1403,640,480:waittimer 9159 blt 0,0,640,480,0, 1404,640,480:waittimer 9165 blt 0,0,640,480,0, 1405,640,480:waittimer 9172 blt 0,0,640,480,0, 1406,640,480:waittimer 9178 blt 0,0,640,480,0, 1407,640,480:waittimer 9185 blt 0,0,640,480,0, 1408,640,480:waittimer 9192 blt 0,0,640,480,0, 1409,640,480:waittimer 9198 blt 0,0,640,480,0, 1410,640,480:waittimer 9205 blt 0,0,640,480,0, 1411,640,480:waittimer 9211 blt 0,0,640,480,0, 1412,640,480:waittimer 9218 blt 0,0,640,480,0, 1413,640,480:waittimer 9224 blt 0,0,640,480,0, 1414,640,480:waittimer 9231 blt 0,0,640,480,0, 1415,640,480:waittimer 9237 blt 0,0,640,480,0, 1416,640,480:waittimer 9244 blt 0,0,640,480,0, 1417,640,480:waittimer 9250 blt 0,0,640,480,0, 1418,640,480:waittimer 9257 blt 0,0,640,480,0, 1419,640,480:waittimer 9263 blt 0,0,640,480,0, 1420,640,480:waittimer 9270 blt 0,0,640,480,0, 1421,640,480:waittimer 9276 blt 0,0,640,480,0, 1422,640,480:waittimer 9283 blt 0,0,640,480,0, 1423,640,480:waittimer 9289 blt 0,0,640,480,0, 1424,640,480:waittimer 9296 blt 0,0,640,480,0, 1425,640,480:waittimer 9302 blt 0,0,640,480,0, 1426,640,480:waittimer 9309 blt 0,0,640,480,0, 1427,640,480:waittimer 9316 blt 0,0,640,480,0, 1428,640,480:waittimer 9322 blt 0,0,640,480,0, 1429,640,480:waittimer 9329 blt 0,0,640,480,0, 1430,640,480:waittimer 9335 blt 0,0,640,480,0, 1431,640,480:waittimer 9342 blt 0,0,640,480,0, 1432,640,480:waittimer 9348 blt 0,0,640,480,0, 1433,640,480:waittimer 9355 blt 0,0,640,480,0, 1434,640,480:waittimer 9361 blt 0,0,640,480,0, 1435,640,480:waittimer 9368 blt 0,0,640,480,0, 1436,640,480:waittimer 9374 blt 0,0,640,480,0, 1437,640,480:waittimer 9381 blt 0,0,640,480,0, 1438,640,480:waittimer 9387 blt 0,0,640,480,0, 1439,640,480:waittimer 9394 blt 0,0,640,480,0, 1440,640,480:waittimer 9400 blt 0,0,640,480,0, 1441,640,480:waittimer 9407 blt 0,0,640,480,0, 1442,640,480:waittimer 9413 blt 0,0,640,480,0, 1443,640,480:waittimer 9420 blt 0,0,640,480,0, 1444,640,480:waittimer 9427 blt 0,0,640,480,0, 1445,640,480:waittimer 9433 blt 0,0,640,480,0, 1446,640,480:waittimer 9440 blt 0,0,640,480,0, 1447,640,480:waittimer 9446 blt 0,0,640,480,0, 1448,640,480:waittimer 9453 blt 0,0,640,480,0, 1449,640,480:waittimer 9459 blt 0,0,640,480,0, 1450,640,480:waittimer 9466 blt 0,0,640,480,0, 1451,640,480:waittimer 9472 blt 0,0,640,480,0, 1452,640,480:waittimer 9479 blt 0,0,640,480,0, 1453,640,480:waittimer 9485 blt 0,0,640,480,0, 1454,640,480:waittimer 9492 blt 0,0,640,480,0, 1455,640,480:waittimer 9498 blt 0,0,640,480,0, 1456,640,480:waittimer 9505 blt 0,0,640,480,0, 1457,640,480:waittimer 9511 blt 0,0,640,480,0, 1458,640,480:waittimer 9518 blt 0,0,640,480,0, 1459,640,480:waittimer 9524 blt 0,0,640,480,0, 1460,640,480:waittimer 9531 blt 0,0,640,480,0, 1461,640,480:waittimer 9538 blt 0,0,640,480,0, 1462,640,480:waittimer 9544 blt 0,0,640,480,0, 1463,640,480:waittimer 9551 blt 0,0,640,480,0, 1464,640,480:waittimer 9557 blt 0,0,640,480,0, 1465,640,480:waittimer 9564 blt 0,0,640,480,0, 1466,640,480:waittimer 9570 blt 0,0,640,480,0, 1467,640,480:waittimer 9577 blt 0,0,640,480,0, 1468,640,480:waittimer 9583 blt 0,0,640,480,0, 1469,640,480:waittimer 9590 blt 0,0,640,480,0, 1470,640,480:waittimer 9596 blt 0,0,640,480,0, 1471,640,480:waittimer 9603 blt 0,0,640,480,0, 1472,640,480:waittimer 9609 blt 0,0,640,480,0, 1473,640,480:waittimer 9616 blt 0,0,640,480,0, 1474,640,480:waittimer 9622 blt 0,0,640,480,0, 1475,640,480:waittimer 9629 blt 0,0,640,480,0, 1476,640,480:waittimer 9635 blt 0,0,640,480,0, 1477,640,480:waittimer 9642 blt 0,0,640,480,0, 1478,640,480:waittimer 9649 blt 0,0,640,480,0, 1479,640,480:waittimer 9655 blt 0,0,640,480,0, 1480,640,480:waittimer 9662 blt 0,0,640,480,0, 1481,640,480:waittimer 9668 blt 0,0,640,480,0, 1482,640,480:waittimer 9675 blt 0,0,640,480,0, 1483,640,480:waittimer 9681 blt 0,0,640,480,0, 1484,640,480:waittimer 9688 blt 0,0,640,480,0, 1485,640,480:waittimer 9694 blt 0,0,640,480,0, 1486,640,480:waittimer 9701 blt 0,0,640,480,0, 1487,640,480:waittimer 9707 blt 0,0,640,480,0, 1488,640,480:waittimer 9714 blt 0,0,640,480,0, 1489,640,480:waittimer 9720 blt 0,0,640,480,0, 1490,640,480:waittimer 9727 blt 0,0,640,480,0, 1491,640,480:waittimer 9733 blt 0,0,640,480,0, 1492,640,480:waittimer 9740 blt 0,0,640,480,0, 1493,640,480:waittimer 9746 blt 0,0,640,480,0, 1494,640,480:waittimer 9753 blt 0,0,640,480,0, 1495,640,480:waittimer 9759 blt 0,0,640,480,0, 1496,640,480:waittimer 9766 blt 0,0,640,480,0, 1497,640,480:waittimer 9773 blt 0,0,640,480,0, 1498,640,480:waittimer 9779 blt 0,0,640,480,0, 1499,640,480:waittimer 9786 blt 0,0,640,480,0, 1500,640,480:waittimer 9792 blt 0,0,640,480,0, 1501,640,480:waittimer 9799 blt 0,0,640,480,0, 1502,640,480:waittimer 9805 blt 0,0,640,480,0, 1503,640,480:waittimer 9812 blt 0,0,640,480,0, 1504,640,480:waittimer 9818 blt 0,0,640,480,0, 1505,640,480:waittimer 9825 blt 0,0,640,480,0, 1506,640,480:waittimer 9831 blt 0,0,640,480,0, 1507,640,480:waittimer 9838 blt 0,0,640,480,0, 1508,640,480:waittimer 9844 blt 0,0,640,480,0, 1509,640,480:waittimer 9851 blt 0,0,640,480,0, 1510,640,480:waittimer 9857 blt 0,0,640,480,0, 1511,640,480:waittimer 9864 blt 0,0,640,480,0, 1512,640,480:waittimer 9870 blt 0,0,640,480,0, 1513,640,480:waittimer 9877 blt 0,0,640,480,0, 1514,640,480:waittimer 9884 blt 0,0,640,480,0, 1515,640,480:waittimer 9890 blt 0,0,640,480,0, 1516,640,480:waittimer 9897 blt 0,0,640,480,0, 1517,640,480:waittimer 9903 blt 0,0,640,480,0, 1518,640,480:waittimer 9910 blt 0,0,640,480,0, 1519,640,480:waittimer 9916 blt 0,0,640,480,0, 1520,640,480:waittimer 9923 blt 0,0,640,480,0, 1521,640,480:waittimer 9929 blt 0,0,640,480,0, 1522,640,480:waittimer 9936 blt 0,0,640,480,0, 1523,640,480:waittimer 9942 blt 0,0,640,480,0, 1524,640,480:waittimer 9949 blt 0,0,640,480,0, 1525,640,480:waittimer 9955 blt 0,0,640,480,0, 1526,640,480:waittimer 9962 blt 0,0,640,480,0, 1527,640,480:waittimer 9968 blt 0,0,640,480,0, 1528,640,480:waittimer 9975 blt 0,0,640,480,0, 1529,640,480:waittimer 9981 blt 0,0,640,480,0, 1530,640,480:waittimer 9988 blt 0,0,640,480,0, 1531,640,480:waittimer 9995 blt 0,0,640,480,0, 1532,640,480:waittimer 10001 blt 0,0,640,480,0, 1533,640,480:waittimer 10008 blt 0,0,640,480,0, 1534,640,480:waittimer 10014 blt 0,0,640,480,0, 1535,640,480:waittimer 10021 blt 0,0,640,480,0, 1536,640,480:waittimer 10027 blt 0,0,640,480,0, 1537,640,480:waittimer 10034 blt 0,0,640,480,0, 1538,640,480:waittimer 10040 blt 0,0,640,480,0, 1539,640,480:waittimer 10047 blt 0,0,640,480,0, 1540,640,480:waittimer 10053 blt 0,0,640,480,0, 1541,640,480:waittimer 10060 blt 0,0,640,480,0, 1542,640,480:waittimer 10066 blt 0,0,640,480,0, 1543,640,480:waittimer 10073 blt 0,0,640,480,0, 1544,640,480:waittimer 10079 blt 0,0,640,480,0, 1545,640,480:waittimer 10086 blt 0,0,640,480,0, 1546,640,480:waittimer 10092 blt 0,0,640,480,0, 1547,640,480:waittimer 10099 blt 0,0,640,480,0, 1548,640,480:waittimer 10105 blt 0,0,640,480,0, 1549,640,480:waittimer 10112 blt 0,0,640,480,0, 1550,640,480:waittimer 10119 blt 0,0,640,480,0, 1551,640,480:waittimer 10125 blt 0,0,640,480,0, 1552,640,480:waittimer 10132 blt 0,0,640,480,0, 1553,640,480:waittimer 10138 blt 0,0,640,480,0, 1554,640,480:waittimer 10145 blt 0,0,640,480,0, 1555,640,480:waittimer 10151 blt 0,0,640,480,0, 1556,640,480:waittimer 10158 blt 0,0,640,480,0, 1557,640,480:waittimer 10164 blt 0,0,640,480,0, 1558,640,480:waittimer 10171 blt 0,0,640,480,0, 1559,640,480:waittimer 10177 blt 0,0,640,480,0, 1560,640,480:waittimer 10184 blt 0,0,640,480,0, 1561,640,480:waittimer 10190 blt 0,0,640,480,0, 1562,640,480:waittimer 10197 blt 0,0,640,480,0, 1563,640,480:waittimer 10203 blt 0,0,640,480,0, 1564,640,480:waittimer 10210 blt 0,0,640,480,0, 1565,640,480:waittimer 10216 blt 0,0,640,480,0, 1566,640,480:waittimer 10223 blt 0,0,640,480,0, 1567,640,480:waittimer 10230 blt 0,0,640,480,0, 1568,640,480:waittimer 10236 blt 0,0,640,480,0, 1569,640,480:waittimer 10243 blt 0,0,640,480,0, 1570,640,480:waittimer 10249 blt 0,0,640,480,0, 1571,640,480:waittimer 10256 blt 0,0,640,480,0, 1572,640,480:waittimer 10262 blt 0,0,640,480,0, 1573,640,480:waittimer 10269 blt 0,0,640,480,0, 1574,640,480:waittimer 10275 blt 0,0,640,480,0, 1575,640,480:waittimer 10282 blt 0,0,640,480,0, 1576,640,480:waittimer 10288 blt 0,0,640,480,0, 1577,640,480:waittimer 10295 blt 0,0,640,480,0, 1578,640,480:waittimer 10301 blt 0,0,640,480,0, 1579,640,480:waittimer 10308 blt 0,0,640,480,0, 1580,640,480:waittimer 10314 blt 0,0,640,480,0, 1581,640,480:waittimer 10321 blt 0,0,640,480,0, 1582,640,480:waittimer 10327 blt 0,0,640,480,0, 1583,640,480:waittimer 10334 blt 0,0,640,480,0, 1584,640,480:waittimer 10341 blt 0,0,640,480,0, 1585,640,480:waittimer 10347 blt 0,0,640,480,0, 1586,640,480:waittimer 10354 blt 0,0,640,480,0, 1587,640,480:waittimer 10360 blt 0,0,640,480,0, 1588,640,480:waittimer 10367 blt 0,0,640,480,0, 1589,640,480:waittimer 10373 blt 0,0,640,480,0, 1590,640,480:waittimer 10380 blt 0,0,640,480,0, 1591,640,480:waittimer 10386 blt 0,0,640,480,0, 1592,640,480:waittimer 10393 blt 0,0,640,480,0, 1593,640,480:waittimer 10399 blt 0,0,640,480,0, 1594,640,480:waittimer 10406 blt 0,0,640,480,0, 1595,640,480:waittimer 10412 blt 0,0,640,480,0, 1596,640,480:waittimer 10419 blt 0,0,640,480,0, 1597,640,480:waittimer 10425 blt 0,0,640,480,0, 1598,640,480:waittimer 10432 blt 0,0,640,480,0, 1599,640,480:waittimer 10438 blt 0,0,640,480,0, 1600,640,480:waittimer 10445 blt 0,0,640,480,0, 1601,640,480:waittimer 10451 blt 0,0,640,480,0, 1602,640,480:waittimer 10458 blt 0,0,640,480,0, 1603,640,480:waittimer 10465 blt 0,0,640,480,0, 1604,640,480:waittimer 10471 blt 0,0,640,480,0, 1605,640,480:waittimer 10478 blt 0,0,640,480,0, 1606,640,480:waittimer 10484 blt 0,0,640,480,0, 1607,640,480:waittimer 10491 blt 0,0,640,480,0, 1608,640,480:waittimer 10497 blt 0,0,640,480,0, 1609,640,480:waittimer 10504 blt 0,0,640,480,0, 1610,640,480:waittimer 10510 blt 0,0,640,480,0, 1611,640,480:waittimer 10517 blt 0,0,640,480,0, 1612,640,480:waittimer 10523 blt 0,0,640,480,0, 1613,640,480:waittimer 10530 blt 0,0,640,480,0, 1614,640,480:waittimer 10536 blt 0,0,640,480,0, 1615,640,480:waittimer 10543 blt 0,0,640,480,0, 1616,640,480:waittimer 10549 blt 0,0,640,480,0, 1617,640,480:waittimer 10556 blt 0,0,640,480,0, 1618,640,480:waittimer 10562 blt 0,0,640,480,0, 1619,640,480:waittimer 10569 blt 0,0,640,480,0, 1620,640,480:waittimer 10576 blt 0,0,640,480,0, 1621,640,480:waittimer 10582 blt 0,0,640,480,0, 1622,640,480:waittimer 10589 blt 0,0,640,480,0, 1623,640,480:waittimer 10595 blt 0,0,640,480,0, 1624,640,480:waittimer 10602 blt 0,0,640,480,0, 1625,640,480:waittimer 10608 blt 0,0,640,480,0, 1626,640,480:waittimer 10615 blt 0,0,640,480,0, 1627,640,480:waittimer 10621 blt 0,0,640,480,0, 1628,640,480:waittimer 10628 blt 0,0,640,480,0, 1629,640,480:waittimer 10634 blt 0,0,640,480,0, 1630,640,480:waittimer 10641 blt 0,0,640,480,0, 1631,640,480:waittimer 10647 blt 0,0,640,480,0, 1632,640,480:waittimer 10654 blt 0,0,640,480,0, 1633,640,480:waittimer 10660 blt 0,0,640,480,0, 1634,640,480:waittimer 10667 blt 0,0,640,480,0, 1635,640,480:waittimer 10673 blt 0,0,640,480,0, 1636,640,480:waittimer 10680 blt 0,0,640,480,0, 1637,640,480:waittimer 10687 blt 0,0,640,480,0, 1638,640,480:waittimer 10693 blt 0,0,640,480,0, 1639,640,480:waittimer 10700 blt 0,0,640,480,0, 1640,640,480:waittimer 10706 blt 0,0,640,480,0, 1641,640,480:waittimer 10713 blt 0,0,640,480,0, 1642,640,480:waittimer 10719 blt 0,0,640,480,0, 1643,640,480:waittimer 10726 blt 0,0,640,480,0, 1644,640,480:waittimer 10732 blt 0,0,640,480,0, 1645,640,480:waittimer 10739 blt 0,0,640,480,0, 1646,640,480:waittimer 10745 blt 0,0,640,480,0, 1647,640,480:waittimer 10752 blt 0,0,640,480,0, 1648,640,480:waittimer 10758 blt 0,0,640,480,0, 1649,640,480:waittimer 10765 blt 0,0,640,480,0, 1650,640,480:waittimer 10771 blt 0,0,640,480,0, 1651,640,480:waittimer 10778 blt 0,0,640,480,0, 1652,640,480:waittimer 10784 blt 0,0,640,480,0, 1653,640,480:waittimer 10791 blt 0,0,640,480,0, 1654,640,480:waittimer 10798 blt 0,0,640,480,0, 1655,640,480:waittimer 10804 blt 0,0,640,480,0, 1656,640,480:waittimer 10811 blt 0,0,640,480,0, 1657,640,480:waittimer 10817 blt 0,0,640,480,0, 1658,640,480:waittimer 10824 blt 0,0,640,480,0, 1659,640,480:waittimer 10830 blt 0,0,640,480,0, 1660,640,480:waittimer 10837 blt 0,0,640,480,0, 1661,640,480:waittimer 10843 blt 0,0,640,480,0, 1662,640,480:waittimer 10850 blt 0,0,640,480,0, 1663,640,480:waittimer 10856 blt 0,0,640,480,0, 1664,640,480:waittimer 10863 blt 0,0,640,480,0, 1665,640,480:waittimer 10869 blt 0,0,640,480,0, 1666,640,480:waittimer 10876 blt 0,0,640,480,0, 1667,640,480:waittimer 10882 blt 0,0,640,480,0, 1668,640,480:waittimer 10889 blt 0,0,640,480,0, 1669,640,480:waittimer 10895 blt 0,0,640,480,0, 1670,640,480:waittimer 10902 blt 0,0,640,480,0, 1671,640,480:waittimer 10908 blt 0,0,640,480,0, 1672,640,480:waittimer 10915 blt 0,0,640,480,0, 1673,640,480:waittimer 10922 blt 0,0,640,480,0, 1674,640,480:waittimer 10928 blt 0,0,640,480,0, 1675,640,480:waittimer 10935 blt 0,0,640,480,0, 1676,640,480:waittimer 10941 blt 0,0,640,480,0, 1677,640,480:waittimer 10948 blt 0,0,640,480,0, 1678,640,480:waittimer 10954 blt 0,0,640,480,0, 1679,640,480:waittimer 10961 blt 0,0,640,480,0, 1680,640,480:waittimer 10967 blt 0,0,640,480,0, 1681,640,480:waittimer 10974 blt 0,0,640,480,0, 1682,640,480:waittimer 10980 blt 0,0,640,480,0, 1683,640,480:waittimer 10987 blt 0,0,640,480,0, 1684,640,480:waittimer 10993 blt 0,0,640,480,0, 1685,640,480:waittimer 11000 blt 0,0,640,480,0, 1686,640,480:waittimer 11006 blt 0,0,640,480,0, 1687,640,480:waittimer 11013 blt 0,0,640,480,0, 1688,640,480:waittimer 11019 blt 0,0,640,480,0, 1689,640,480:waittimer 11026 blt 0,0,640,480,0, 1690,640,480:waittimer 11033 blt 0,0,640,480,0, 1691,640,480:waittimer 11039 blt 0,0,640,480,0, 1692,640,480:waittimer 11046 blt 0,0,640,480,0, 1693,640,480:waittimer 11052 blt 0,0,640,480,0, 1694,640,480:waittimer 11059 blt 0,0,640,480,0, 1695,640,480:waittimer 11065 blt 0,0,640,480,0, 1696,640,480:waittimer 11072 blt 0,0,640,480,0, 1697,640,480:waittimer 11078 blt 0,0,640,480,0, 1698,640,480:waittimer 11085 blt 0,0,640,480,0, 1699,640,480:waittimer 11091 blt 0,0,640,480,0, 1700,640,480:waittimer 11098 blt 0,0,640,480,0, 1701,640,480:waittimer 11104 blt 0,0,640,480,0, 1702,640,480:waittimer 11111 blt 0,0,640,480,0, 1703,640,480:waittimer 11117 blt 0,0,640,480,0, 1704,640,480:waittimer 11124 blt 0,0,640,480,0, 1705,640,480:waittimer 11130 blt 0,0,640,480,0, 1706,640,480:waittimer 11137 blt 0,0,640,480,0, 1707,640,480:waittimer 11144 blt 0,0,640,480,0, 1708,640,480:waittimer 11150 blt 0,0,640,480,0, 1709,640,480:waittimer 11157 blt 0,0,640,480,0, 1710,640,480:waittimer 11163 blt 0,0,640,480,0, 1711,640,480:waittimer 11170 blt 0,0,640,480,0, 1712,640,480:waittimer 11176 blt 0,0,640,480,0, 1713,640,480:waittimer 11183 blt 0,0,640,480,0, 1714,640,480:waittimer 11189 blt 0,0,640,480,0, 1715,640,480:waittimer 11196 blt 0,0,640,480,0, 1716,640,480:waittimer 11202 blt 0,0,640,480,0, 1717,640,480:waittimer 11209 blt 0,0,640,480,0, 1718,640,480:waittimer 11215 blt 0,0,640,480,0, 1719,640,480:waittimer 11222 blt 0,0,640,480,0, 1720,640,480:waittimer 11228 blt 0,0,640,480,0, 1721,640,480:waittimer 11235 blt 0,0,640,480,0, 1722,640,480:waittimer 11241 blt 0,0,640,480,0, 1723,640,480:waittimer 11248 blt 0,0,640,480,0, 1724,640,480:waittimer 11254 blt 0,0,640,480,0, 1725,640,480:waittimer 11261 blt 0,0,640,480,0, 1726,640,480:waittimer 11268 blt 0,0,640,480,0, 1727,640,480:waittimer 11274 blt 0,0,640,480,0, 1728,640,480:waittimer 11281 blt 0,0,640,480,0, 1729,640,480:waittimer 11287 blt 0,0,640,480,0, 1730,640,480:waittimer 11294 blt 0,0,640,480,0, 1731,640,480:waittimer 11300 blt 0,0,640,480,0, 1732,640,480:waittimer 11307 blt 0,0,640,480,0, 1733,640,480:waittimer 11313 blt 0,0,640,480,0, 1734,640,480:waittimer 11320 blt 0,0,640,480,0, 1735,640,480:waittimer 11326 blt 0,0,640,480,0, 1736,640,480:waittimer 11333 blt 0,0,640,480,0, 1737,640,480:waittimer 11339 blt 0,0,640,480,0, 1738,640,480:waittimer 11346 blt 0,0,640,480,0, 1739,640,480:waittimer 11352 blt 0,0,640,480,0, 1740,640,480:waittimer 11359 blt 0,0,640,480,0, 1741,640,480:waittimer 11365 blt 0,0,640,480,0, 1742,640,480:waittimer 11372 blt 0,0,640,480,0, 1743,640,480:waittimer 11379 blt 0,0,640,480,0, 1744,640,480:waittimer 11385 blt 0,0,640,480,0, 1745,640,480:waittimer 11392 blt 0,0,640,480,0, 1746,640,480:waittimer 11398 blt 0,0,640,480,0, 1747,640,480:waittimer 11405 blt 0,0,640,480,0, 1748,640,480:waittimer 11411 blt 0,0,640,480,0, 1749,640,480:waittimer 11418 blt 0,0,640,480,0, 1750,640,480:waittimer 11424 blt 0,0,640,480,0, 1751,640,480:waittimer 11431 blt 0,0,640,480,0, 1752,640,480:waittimer 11437 blt 0,0,640,480,0, 1753,640,480:waittimer 11444 blt 0,0,640,480,0, 1754,640,480:waittimer 11450 blt 0,0,640,480,0, 1755,640,480:waittimer 11457 blt 0,0,640,480,0, 1756,640,480:waittimer 11463 blt 0,0,640,480,0, 1757,640,480:waittimer 11470 blt 0,0,640,480,0, 1758,640,480:waittimer 11476 blt 0,0,640,480,0, 1759,640,480:waittimer 11483 blt 0,0,640,480,0, 1760,640,480:waittimer 11490 blt 0,0,640,480,0, 1761,640,480:waittimer 11496 blt 0,0,640,480,0, 1762,640,480:waittimer 11503 blt 0,0,640,480,0, 1763,640,480:waittimer 11509 blt 0,0,640,480,0, 1764,640,480:waittimer 11516 blt 0,0,640,480,0, 1765,640,480:waittimer 11522 blt 0,0,640,480,0, 1766,640,480:waittimer 11529 blt 0,0,640,480,0, 1767,640,480:waittimer 11535 blt 0,0,640,480,0, 1768,640,480:waittimer 11542 blt 0,0,640,480,0, 1769,640,480:waittimer 11548 blt 0,0,640,480,0, 1770,640,480:waittimer 11555 blt 0,0,640,480,0, 1771,640,480:waittimer 11561 blt 0,0,640,480,0, 1772,640,480:waittimer 11568 blt 0,0,640,480,0, 1773,640,480:waittimer 11574 blt 0,0,640,480,0, 1774,640,480:waittimer 11581 blt 0,0,640,480,0, 1775,640,480:waittimer 11587 blt 0,0,640,480,0, 1776,640,480:waittimer 11594 blt 0,0,640,480,0, 1777,640,480:waittimer 11600 blt 0,0,640,480,0, 1778,640,480:waittimer 11607 blt 0,0,640,480,0, 1779,640,480:waittimer 11614 blt 0,0,640,480,0, 1780,640,480:waittimer 11620 blt 0,0,640,480,0, 1781,640,480:waittimer 11627 blt 0,0,640,480,0, 1782,640,480:waittimer 11633 blt 0,0,640,480,0, 1783,640,480:waittimer 11640 blt 0,0,640,480,0, 1784,640,480:waittimer 11646 blt 0,0,640,480,0, 1785,640,480:waittimer 11653 blt 0,0,640,480,0, 1786,640,480:waittimer 11659 blt 0,0,640,480,0, 1787,640,480:waittimer 11666 blt 0,0,640,480,0, 1788,640,480:waittimer 11672 blt 0,0,640,480,0, 1789,640,480:waittimer 11679 blt 0,0,640,480,0, 1790,640,480:waittimer 11685 blt 0,0,640,480,0, 1791,640,480:waittimer 11692 blt 0,0,640,480,0, 1792,640,480:waittimer 11698 blt 0,0,640,480,0, 1793,640,480:waittimer 11705 blt 0,0,640,480,0, 1794,640,480:waittimer 11711 blt 0,0,640,480,0, 1795,640,480:waittimer 11718 blt 0,0,640,480,0, 1796,640,480:waittimer 11725 blt 0,0,640,480,0, 1797,640,480:waittimer 11731 blt 0,0,640,480,0, 1798,640,480:waittimer 11738 blt 0,0,640,480,0, 1799,640,480:waittimer 11744 blt 0,0,640,480,0, 1800,640,480:waittimer 11751 blt 0,0,640,480,0, 1801,640,480:waittimer 11757 blt 0,0,640,480,0, 1802,640,480:waittimer 11764 blt 0,0,640,480,0, 1803,640,480:waittimer 11770 blt 0,0,640,480,0, 1804,640,480:waittimer 11777 blt 0,0,640,480,0, 1805,640,480:waittimer 11783 blt 0,0,640,480,0, 1806,640,480:waittimer 11790 blt 0,0,640,480,0, 1807,640,480:waittimer 11796 blt 0,0,640,480,0, 1808,640,480:waittimer 11803 blt 0,0,640,480,0, 1809,640,480:waittimer 11809 blt 0,0,640,480,0, 1810,640,480:waittimer 11816 blt 0,0,640,480,0, 1811,640,480:waittimer 11822 blt 0,0,640,480,0, 1812,640,480:waittimer 11829 blt 0,0,640,480,0, 1813,640,480:waittimer 11836 blt 0,0,640,480,0, 1814,640,480:waittimer 11842 blt 0,0,640,480,0, 1815,640,480:waittimer 11849 blt 0,0,640,480,0, 1816,640,480:waittimer 11855 blt 0,0,640,480,0, 1817,640,480:waittimer 11862 blt 0,0,640,480,0, 1818,640,480:waittimer 11868 blt 0,0,640,480,0, 1819,640,480:waittimer 11875 blt 0,0,640,480,0, 1820,640,480:waittimer 11881 blt 0,0,640,480,0, 1821,640,480:waittimer 11888 blt 0,0,640,480,0, 1822,640,480:waittimer 11894 blt 0,0,640,480,0, 1823,640,480:waittimer 11901 blt 0,0,640,480,0, 1824,640,480:waittimer 11907 blt 0,0,640,480,0, 1825,640,480:waittimer 11914 blt 0,0,640,480,0, 1826,640,480:waittimer 11920 blt 0,0,640,480,0, 1827,640,480:waittimer 11927 blt 0,0,640,480,0, 1828,640,480:waittimer 11933 blt 0,0,640,480,0, 1829,640,480:waittimer 11940 blt 0,0,640,480,0, 1830,640,480:waittimer 11947 blt 0,0,640,480,0, 1831,640,480:waittimer 11953 blt 0,0,640,480,0, 1832,640,480:waittimer 11960 blt 0,0,640,480,0, 1833,640,480:waittimer 11966 blt 0,0,640,480,0, 1834,640,480:waittimer 11973 blt 0,0,640,480,0, 1835,640,480:waittimer 11979 blt 0,0,640,480,0, 1836,640,480:waittimer 11986 blt 0,0,640,480,0, 1837,640,480:waittimer 11992 blt 0,0,640,480,0, 1838,640,480:waittimer 11999 blt 0,0,640,480,0, 1839,640,480:waittimer 12005 blt 0,0,640,480,0, 1840,640,480:waittimer 12012 blt 0,0,640,480,0, 1841,640,480:waittimer 12018 blt 0,0,640,480,0, 1842,640,480:waittimer 12025 blt 0,0,640,480,0, 1843,640,480:waittimer 12031 blt 0,0,640,480,0, 1844,640,480:waittimer 12038 blt 0,0,640,480,0, 1845,640,480:waittimer 12044 blt 0,0,640,480,0, 1846,640,480:waittimer 12051 blt 0,0,640,480,0, 1847,640,480:waittimer 12057 blt 0,0,640,480,0, 1848,640,480:waittimer 12064 blt 0,0,640,480,0, 1849,640,480:waittimer 12071 blt 0,0,640,480,0, 1850,640,480:waittimer 12077 blt 0,0,640,480,0, 1851,640,480:waittimer 12084 blt 0,0,640,480,0, 1852,640,480:waittimer 12090 blt 0,0,640,480,0, 1853,640,480:waittimer 12097 blt 0,0,640,480,0, 1854,640,480:waittimer 12103 blt 0,0,640,480,0, 1855,640,480:waittimer 12110 blt 0,0,640,480,0, 1856,640,480:waittimer 12116 blt 0,0,640,480,0, 1857,640,480:waittimer 12123 blt 0,0,640,480,0, 1858,640,480:waittimer 12129 blt 0,0,640,480,0, 1859,640,480:waittimer 12136 blt 0,0,640,480,0, 1860,640,480:waittimer 12142 blt 0,0,640,480,0, 1861,640,480:waittimer 12149 blt 0,0,640,480,0, 1862,640,480:waittimer 12155 blt 0,0,640,480,0, 1863,640,480:waittimer 12162 blt 0,0,640,480,0, 1864,640,480:waittimer 12168 blt 0,0,640,480,0, 1865,640,480:waittimer 12175 blt 0,0,640,480,0, 1866,640,480:waittimer 12182 blt 0,0,640,480,0, 1867,640,480:waittimer 12188 blt 0,0,640,480,0, 1868,640,480:waittimer 12195 blt 0,0,640,480,0, 1869,640,480:waittimer 12201 blt 0,0,640,480,0, 1870,640,480:waittimer 12208 blt 0,0,640,480,0, 1871,640,480:waittimer 12214 blt 0,0,640,480,0, 1872,640,480:waittimer 12221 blt 0,0,640,480,0, 1873,640,480:waittimer 12227 blt 0,0,640,480,0, 1874,640,480:waittimer 12234 blt 0,0,640,480,0, 1875,640,480:waittimer 12240 blt 0,0,640,480,0, 1876,640,480:waittimer 12247 blt 0,0,640,480,0, 1877,640,480:waittimer 12253 blt 0,0,640,480,0, 1878,640,480:waittimer 12260 blt 0,0,640,480,0, 1879,640,480:waittimer 12266 blt 0,0,640,480,0, 1880,640,480:waittimer 12273 blt 0,0,640,480,0, 1881,640,480:waittimer 12279 blt 0,0,640,480,0, 1882,640,480:waittimer 12286 blt 0,0,640,480,0, 1883,640,480:waittimer 12293 blt 0,0,640,480,0, 1884,640,480:waittimer 12299 blt 0,0,640,480,0, 1885,640,480:waittimer 12306 blt 0,0,640,480,0, 1886,640,480:waittimer 12312 blt 0,0,640,480,0, 1887,640,480:waittimer 12319 blt 0,0,640,480,0, 1888,640,480:waittimer 12325 blt 0,0,640,480,0, 1889,640,480:waittimer 12332 blt 0,0,640,480,0, 1890,640,480:waittimer 12338 blt 0,0,640,480,0, 1891,640,480:waittimer 12345 blt 0,0,640,480,0, 1892,640,480:waittimer 12351 blt 0,0,640,480,0, 1893,640,480:waittimer 12358 blt 0,0,640,480,0, 1894,640,480:waittimer 12364 blt 0,0,640,480,0, 1895,640,480:waittimer 12371 blt 0,0,640,480,0, 1896,640,480:waittimer 12377 blt 0,0,640,480,0, 1897,640,480:waittimer 12384 blt 0,0,640,480,0, 1898,640,480:waittimer 12390 blt 0,0,640,480,0, 1899,640,480:waittimer 12397 blt 0,0,640,480,0, 1900,640,480:waittimer 12403 blt 0,0,640,480,0, 1901,640,480:waittimer 12410 blt 0,0,640,480,0, 1902,640,480:waittimer 12417 blt 0,0,640,480,0, 1903,640,480:waittimer 12423 blt 0,0,640,480,0, 1904,640,480:waittimer 12430 blt 0,0,640,480,0, 1905,640,480:waittimer 12436 blt 0,0,640,480,0, 1906,640,480:waittimer 12443 blt 0,0,640,480,0, 1907,640,480:waittimer 12449 blt 0,0,640,480,0, 1908,640,480:waittimer 12456 blt 0,0,640,480,0, 1909,640,480:waittimer 12462 blt 0,0,640,480,0, 1910,640,480:waittimer 12469 blt 0,0,640,480,0, 1911,640,480:waittimer 12475 blt 0,0,640,480,0, 1912,640,480:waittimer 12482 blt 0,0,640,480,0, 1913,640,480:waittimer 12488 blt 0,0,640,480,0, 1914,640,480:waittimer 12495 blt 0,0,640,480,0, 1915,640,480:waittimer 12501 blt 0,0,640,480,0, 1916,640,480:waittimer 12508 blt 0,0,640,480,0, 1917,640,480:waittimer 12514 blt 0,0,640,480,0, 1918,640,480:waittimer 12521 blt 0,0,640,480,0, 1919,640,480:waittimer 12528 blt 0,0,640,480,0, 1920,640,480:waittimer 12534 blt 0,0,640,480,0, 1921,640,480:waittimer 12541 blt 0,0,640,480,0, 1922,640,480:waittimer 12547 blt 0,0,640,480,0, 1923,640,480:waittimer 12554 blt 0,0,640,480,0, 1924,640,480:waittimer 12560 blt 0,0,640,480,0, 1925,640,480:waittimer 12567 blt 0,0,640,480,0, 1926,640,480:waittimer 12573 blt 0,0,640,480,0, 1927,640,480:waittimer 12580 blt 0,0,640,480,0, 1928,640,480:waittimer 12586 blt 0,0,640,480,0, 1929,640,480:waittimer 12593 blt 0,0,640,480,0, 1930,640,480:waittimer 12599 blt 0,0,640,480,0, 1931,640,480:waittimer 12606 blt 0,0,640,480,0, 1932,640,480:waittimer 12612 blt 0,0,640,480,0, 1933,640,480:waittimer 12619 blt 0,0,640,480,0, 1934,640,480:waittimer 12625 blt 0,0,640,480,0, 1935,640,480:waittimer 12632 blt 0,0,640,480,0, 1936,640,480:waittimer 12639 blt 0,0,640,480,0, 1937,640,480:waittimer 12645 blt 0,0,640,480,0, 1938,640,480:waittimer 12652 blt 0,0,640,480,0, 1939,640,480:waittimer 12658 blt 0,0,640,480,0, 1940,640,480:waittimer 12665 blt 0,0,640,480,0, 1941,640,480:waittimer 12671 blt 0,0,640,480,0, 1942,640,480:waittimer 12678 blt 0,0,640,480,0, 1943,640,480:waittimer 12684 blt 0,0,640,480,0, 1944,640,480:waittimer 12691 blt 0,0,640,480,0, 1945,640,480:waittimer 12697 blt 0,0,640,480,0, 1946,640,480:waittimer 12704 blt 0,0,640,480,0, 1947,640,480:waittimer 12710 blt 0,0,640,480,0, 1948,640,480:waittimer 12717 blt 0,0,640,480,0, 1949,640,480:waittimer 12723 blt 0,0,640,480,0, 1950,640,480:waittimer 12730 blt 0,0,640,480,0, 1951,640,480:waittimer 12736 blt 0,0,640,480,0, 1952,640,480:waittimer 12743 blt 0,0,640,480,0, 1953,640,480:waittimer 12749 blt 0,0,640,480,0, 1954,640,480:waittimer 12756 blt 0,0,640,480,0, 1955,640,480:waittimer 12763 blt 0,0,640,480,0, 1956,640,480:waittimer 12769 blt 0,0,640,480,0, 1957,640,480:waittimer 12776 blt 0,0,640,480,0, 1958,640,480:waittimer 12782 blt 0,0,640,480,0, 1959,640,480:waittimer 12789 blt 0,0,640,480,0, 1960,640,480:waittimer 12795 blt 0,0,640,480,0, 1961,640,480:waittimer 12802 blt 0,0,640,480,0, 1962,640,480:waittimer 12808 blt 0,0,640,480,0, 1963,640,480:waittimer 12815 blt 0,0,640,480,0, 1964,640,480:waittimer 12821 blt 0,0,640,480,0, 1965,640,480:waittimer 12828 blt 0,0,640,480,0, 1966,640,480:waittimer 12834 blt 0,0,640,480,0, 1967,640,480:waittimer 12841 blt 0,0,640,480,0, 1968,640,480:waittimer 12847 blt 0,0,640,480,0, 1969,640,480:waittimer 12854 blt 0,0,640,480,0, 1970,640,480:waittimer 12860 blt 0,0,640,480,0, 1971,640,480:waittimer 12867 blt 0,0,640,480,0, 1972,640,480:waittimer 12874 blt 0,0,640,480,0, 1973,640,480:waittimer 12880 blt 0,0,640,480,0, 1974,640,480:waittimer 12887 blt 0,0,640,480,0, 1975,640,480:waittimer 12893 blt 0,0,640,480,0, 1976,640,480:waittimer 12900 blt 0,0,640,480,0, 1977,640,480:waittimer 12906 blt 0,0,640,480,0, 1978,640,480:waittimer 12913 blt 0,0,640,480,0, 1979,640,480:waittimer 12919 blt 0,0,640,480,0, 1980,640,480:waittimer 12926 blt 0,0,640,480,0, 1981,640,480:waittimer 12932 blt 0,0,640,480,0, 1982,640,480:waittimer 12939 blt 0,0,640,480,0, 1983,640,480:waittimer 12945 blt 0,0,640,480,0, 1984,640,480:waittimer 12952 blt 0,0,640,480,0, 1985,640,480:waittimer 12958 blt 0,0,640,480,0, 1986,640,480:waittimer 12965 blt 0,0,640,480,0, 1987,640,480:waittimer 12971 blt 0,0,640,480,0, 1988,640,480:waittimer 12978 blt 0,0,640,480,0, 1989,640,480:waittimer 12985 blt 0,0,640,480,0, 1990,640,480:waittimer 12991 blt 0,0,640,480,0, 1991,640,480:waittimer 12998 blt 0,0,640,480,0, 1992,640,480:waittimer 13004 blt 0,0,640,480,0, 1993,640,480:waittimer 13011 blt 0,0,640,480,0, 1994,640,480:waittimer 13017 blt 0,0,640,480,0, 1995,640,480:waittimer 13024 blt 0,0,640,480,0, 1996,640,480:waittimer 13030 blt 0,0,640,480,0, 1997,640,480:waittimer 13037 blt 0,0,640,480,0, 1998,640,480:waittimer 13043 blt 0,0,640,480,0, 1999,640,480:waittimer 13050 blt 0,0,640,480,0, 2000,640,480:waittimer 13056 blt 0,0,640,480,0, 2001,640,480:waittimer 13063 blt 0,0,640,480,0, 2002,640,480:waittimer 13069 blt 0,0,640,480,0, 2003,640,480:waittimer 13076 blt 0,0,640,480,0, 2004,640,480:waittimer 13082 blt 0,0,640,480,0, 2005,640,480:waittimer 13089 blt 0,0,640,480,0, 2006,640,480:waittimer 13096 blt 0,0,640,480,0, 2007,640,480:waittimer 13102 blt 0,0,640,480,0, 2008,640,480:waittimer 13109 blt 0,0,640,480,0, 2009,640,480:waittimer 13115 blt 0,0,640,480,0, 2010,640,480:waittimer 13122 blt 0,0,640,480,0, 2011,640,480:waittimer 13128 blt 0,0,640,480,0, 2012,640,480:waittimer 13135 blt 0,0,640,480,0, 2013,640,480:waittimer 13141 blt 0,0,640,480,0, 2014,640,480:waittimer 13148 blt 0,0,640,480,0, 2015,640,480:waittimer 13154 blt 0,0,640,480,0, 2016,640,480:waittimer 13161 blt 0,0,640,480,0, 2017,640,480:waittimer 13167 blt 0,0,640,480,0, 2018,640,480:waittimer 13174 blt 0,0,640,480,0, 2019,640,480:waittimer 13180 blt 0,0,640,480,0, 2020,640,480:waittimer 13187 blt 0,0,640,480,0, 2021,640,480:waittimer 13193 blt 0,0,640,480,0, 2022,640,480:waittimer 13200 blt 0,0,640,480,0, 2023,640,480:waittimer 13206 blt 0,0,640,480,0, 2024,640,480:waittimer 13213 blt 0,0,640,480,0, 2025,640,480:waittimer 13220 blt 0,0,640,480,0, 2026,640,480:waittimer 13226 blt 0,0,640,480,0, 2027,640,480:waittimer 13233 blt 0,0,640,480,0, 2028,640,480:waittimer 13239 blt 0,0,640,480,0, 2029,640,480:waittimer 13246 blt 0,0,640,480,0, 2030,640,480:waittimer 13252 blt 0,0,640,480,0, 2031,640,480:waittimer 13259 blt 0,0,640,480,0, 2032,640,480:waittimer 13265 blt 0,0,640,480,0, 2033,640,480:waittimer 13272 blt 0,0,640,480,0, 2034,640,480:waittimer 13278 blt 0,0,640,480,0, 2035,640,480:waittimer 13285 blt 0,0,640,480,0, 2036,640,480:waittimer 13291 blt 0,0,640,480,0, 2037,640,480:waittimer 13298 blt 0,0,640,480,0, 2038,640,480:waittimer 13304 blt 0,0,640,480,0, 2039,640,480:waittimer 13311 blt 0,0,640,480,0, 2040,640,480:waittimer 13317 blt 0,0,640,480,0, 2041,640,480:waittimer 13324 blt 0,0,640,480,0, 2042,640,480:waittimer 13331 blt 0,0,640,480,0, 2043,640,480:waittimer 13337 blt 0,0,640,480,0, 2044,640,480:waittimer 13344 blt 0,0,640,480,0, 2045,640,480:waittimer 13350 blt 0,0,640,480,0, 2046,640,480:waittimer 13357 blt 0,0,640,480,0, 2047,640,480:waittimer 13363 blt 0,0,640,480,0, 2048,640,480:waittimer 13370 blt 0,0,640,480,0, 2049,640,480:waittimer 13376 blt 0,0,640,480,0, 2050,640,480:waittimer 13383 blt 0,0,640,480,0, 2051,640,480:waittimer 13389 blt 0,0,640,480,0, 2052,640,480:waittimer 13396 blt 0,0,640,480,0, 2053,640,480:waittimer 13402 blt 0,0,640,480,0, 2054,640,480:waittimer 13409 blt 0,0,640,480,0, 2055,640,480:waittimer 13415 blt 0,0,640,480,0, 2056,640,480:waittimer 13422 blt 0,0,640,480,0, 2057,640,480:waittimer 13428 blt 0,0,640,480,0, 2058,640,480:waittimer 13435 blt 0,0,640,480,0, 2059,640,480:waittimer 13442 blt 0,0,640,480,0, 2060,640,480:waittimer 13448 blt 0,0,640,480,0, 2061,640,480:waittimer 13455 blt 0,0,640,480,0, 2062,640,480:waittimer 13461 blt 0,0,640,480,0, 2063,640,480:waittimer 13468 blt 0,0,640,480,0, 2064,640,480:waittimer 13474 blt 0,0,640,480,0, 2065,640,480:waittimer 13481 blt 0,0,640,480,0, 2066,640,480:waittimer 13487 blt 0,0,640,480,0, 2067,640,480:waittimer 13494 blt 0,0,640,480,0, 2068,640,480:waittimer 13500 blt 0,0,640,480,0, 2069,640,480:waittimer 13507 blt 0,0,640,480,0, 2070,640,480:waittimer 13513 blt 0,0,640,480,0, 2071,640,480:waittimer 13520 blt 0,0,640,480,0, 2072,640,480:waittimer 13526 blt 0,0,640,480,0, 2073,640,480:waittimer 13533 blt 0,0,640,480,0, 2074,640,480:waittimer 13539 blt 0,0,640,480,0, 2075,640,480:waittimer 13546 blt 0,0,640,480,0, 2076,640,480:waittimer 13552 blt 0,0,640,480,0, 2077,640,480:waittimer 13559 blt 0,0,640,480,0, 2078,640,480:waittimer 13566 blt 0,0,640,480,0, 2079,640,480:waittimer 13572 blt 0,0,640,480,0, 2080,640,480:waittimer 13579 blt 0,0,640,480,0, 2081,640,480:waittimer 13585 blt 0,0,640,480,0, 2082,640,480:waittimer 13592 blt 0,0,640,480,0, 2083,640,480:waittimer 13598 blt 0,0,640,480,0, 2084,640,480:waittimer 13605 blt 0,0,640,480,0, 2085,640,480:waittimer 13611 blt 0,0,640,480,0, 2086,640,480:waittimer 13618 blt 0,0,640,480,0, 2087,640,480:waittimer 13624 blt 0,0,640,480,0, 2088,640,480:waittimer 13631 blt 0,0,640,480,0, 2089,640,480:waittimer 13637 blt 0,0,640,480,0, 2090,640,480:waittimer 13644 blt 0,0,640,480,0, 2091,640,480:waittimer 13650 blt 0,0,640,480,0, 2092,640,480:waittimer 13657 blt 0,0,640,480,0, 2093,640,480:waittimer 13663 blt 0,0,640,480,0, 2094,640,480:waittimer 13670 blt 0,0,640,480,0, 2095,640,480:waittimer 13677 blt 0,0,640,480,0, 2096,640,480:waittimer 13683 blt 0,0,640,480,0, 2097,640,480:waittimer 13690 blt 0,0,640,480,0, 2098,640,480:waittimer 13696 blt 0,0,640,480,0, 2099,640,480:waittimer 13703 blt 0,0,640,480,0, 2100,640,480:waittimer 13709 blt 0,0,640,480,0, 2101,640,480:waittimer 13716 blt 0,0,640,480,0, 2102,640,480:waittimer 13722 blt 0,0,640,480,0, 2103,640,480:waittimer 13729 blt 0,0,640,480,0, 2104,640,480:waittimer 13735 blt 0,0,640,480,0, 2105,640,480:waittimer 13742 blt 0,0,640,480,0, 2106,640,480:waittimer 13748 blt 0,0,640,480,0, 2107,640,480:waittimer 13755 blt 0,0,640,480,0, 2108,640,480:waittimer 13761 blt 0,0,640,480,0, 2109,640,480:waittimer 13768 blt 0,0,640,480,0, 2110,640,480:waittimer 13774 blt 0,0,640,480,0, 2111,640,480:waittimer 13781 blt 0,0,640,480,0, 2112,640,480:waittimer 13788 blt 0,0,640,480,0, 2113,640,480:waittimer 13794 blt 0,0,640,480,0, 2114,640,480:waittimer 13801 blt 0,0,640,480,0, 2115,640,480:waittimer 13807 blt 0,0,640,480,0, 2116,640,480:waittimer 13814 blt 0,0,640,480,0, 2117,640,480:waittimer 13820 blt 0,0,640,480,0, 2118,640,480:waittimer 13827 blt 0,0,640,480,0, 2119,640,480:waittimer 13833 blt 0,0,640,480,0, 2120,640,480:waittimer 13840 blt 0,0,640,480,0, 2121,640,480:waittimer 13846 blt 0,0,640,480,0, 2122,640,480:waittimer 13853 blt 0,0,640,480,0, 2123,640,480:waittimer 13859 blt 0,0,640,480,0, 2124,640,480:waittimer 13866 blt 0,0,640,480,0, 2125,640,480:waittimer 13872 blt 0,0,640,480,0, 2126,640,480:waittimer 13879 blt 0,0,640,480,0, 2127,640,480:waittimer 13885 blt 0,0,640,480,0, 2128,640,480:waittimer 13892 blt 0,0,640,480,0, 2129,640,480:waittimer 13899 blt 0,0,640,480,0, 2130,640,480:waittimer 13905 blt 0,0,640,480,0, 2131,640,480:waittimer 13912 blt 0,0,640,480,0, 2132,640,480:waittimer 13918 blt 0,0,640,480,0, 2133,640,480:waittimer 13925 blt 0,0,640,480,0, 2134,640,480:waittimer 13931 blt 0,0,640,480,0, 2135,640,480:waittimer 13938 blt 0,0,640,480,0, 2136,640,480:waittimer 13944 blt 0,0,640,480,0, 2137,640,480:waittimer 13951 blt 0,0,640,480,0, 2138,640,480:waittimer 13957 blt 0,0,640,480,0, 2139,640,480:waittimer 13964 blt 0,0,640,480,0, 2140,640,480:waittimer 13970 blt 0,0,640,480,0, 2141,640,480:waittimer 13977 blt 0,0,640,480,0, 2142,640,480:waittimer 13983 blt 0,0,640,480,0, 2143,640,480:waittimer 13990 blt 0,0,640,480,0, 2144,640,480:waittimer 13996 blt 0,0,640,480,0, 2145,640,480:waittimer 14003 blt 0,0,640,480,0, 2146,640,480:waittimer 14009 blt 0,0,640,480,0, 2147,640,480:waittimer 14016 blt 0,0,640,480,0, 2148,640,480:waittimer 14023 blt 0,0,640,480,0, 2149,640,480:waittimer 14029 blt 0,0,640,480,0, 2150,640,480:waittimer 14036 blt 0,0,640,480,0, 2151,640,480:waittimer 14042 blt 0,0,640,480,0, 2152,640,480:waittimer 14049 blt 0,0,640,480,0, 2153,640,480:waittimer 14055 blt 0,0,640,480,0, 2154,640,480:waittimer 14062 blt 0,0,640,480,0, 2155,640,480:waittimer 14068 blt 0,0,640,480,0, 2156,640,480:waittimer 14075 blt 0,0,640,480,0, 2157,640,480:waittimer 14081 blt 0,0,640,480,0, 2158,640,480:waittimer 14088 blt 0,0,640,480,0, 2159,640,480:waittimer 14094 blt 0,0,640,480,0, 2160,640,480:waittimer 14101 blt 0,0,640,480,0, 2161,640,480:waittimer 14107 blt 0,0,640,480,0, 2162,640,480:waittimer 14114 blt 0,0,640,480,0, 2163,640,480:waittimer 14120 blt 0,0,640,480,0, 2164,640,480:waittimer 14127 blt 0,0,640,480,0, 2165,640,480:waittimer 14134 blt 0,0,640,480,0, 2166,640,480:waittimer 14140 blt 0,0,640,480,0, 2167,640,480:waittimer 14147 blt 0,0,640,480,0, 2168,640,480:waittimer 14153 blt 0,0,640,480,0, 2169,640,480:waittimer 14160 blt 0,0,640,480,0, 2170,640,480:waittimer 14166 blt 0,0,640,480,0, 2171,640,480:waittimer 14173 blt 0,0,640,480,0, 2172,640,480:waittimer 14179 blt 0,0,640,480,0, 2173,640,480:waittimer 14186 blt 0,0,640,480,0, 2174,640,480:waittimer 14192 blt 0,0,640,480,0, 2175,640,480:waittimer 14199 blt 0,0,640,480,0, 2176,640,480:waittimer 14205 blt 0,0,640,480,0, 2177,640,480:waittimer 14212 blt 0,0,640,480,0, 2178,640,480:waittimer 14218 blt 0,0,640,480,0, 2179,640,480:waittimer 14225 blt 0,0,640,480,0, 2180,640,480:waittimer 14231 blt 0,0,640,480,0, 2181,640,480:waittimer 14238 blt 0,0,640,480,0, 2182,640,480:waittimer 14245 blt 0,0,640,480,0, 2183,640,480:waittimer 14251 blt 0,0,640,480,0, 2184,640,480:waittimer 14258 blt 0,0,640,480,0, 2185,640,480:waittimer 14264 blt 0,0,640,480,0, 2186,640,480:waittimer 14271 blt 0,0,640,480,0, 2187,640,480:waittimer 14277 blt 0,0,640,480,0, 2188,640,480:waittimer 14284 blt 0,0,640,480,0, 2189,640,480:waittimer 14290 blt 0,0,640,480,0, 2190,640,480:waittimer 14297 blt 0,0,640,480,0, 2191,640,480:waittimer 14303 blt 0,0,640,480,0, 2192,640,480:waittimer 14310 blt 0,0,640,480,0, 2193,640,480:waittimer 14316 blt 0,0,640,480,0, 2194,640,480:waittimer 14323 blt 0,0,640,480,0, 2195,640,480:waittimer 14329 blt 0,0,640,480,0, 2196,640,480:waittimer 14336 blt 0,0,640,480,0, 2197,640,480:waittimer 14342 blt 0,0,640,480,0, 2198,640,480:waittimer 14349 blt 0,0,640,480,0, 2199,640,480:waittimer 14355 blt 0,0,640,480,0, 2200,640,480:waittimer 14362 blt 0,0,640,480,0, 2201,640,480:waittimer 14369 blt 0,0,640,480,0, 2202,640,480:waittimer 14375 blt 0,0,640,480,0, 2203,640,480:waittimer 14382 blt 0,0,640,480,0, 2204,640,480:waittimer 14388 blt 0,0,640,480,0, 2205,640,480:waittimer 14395 blt 0,0,640,480,0, 2206,640,480:waittimer 14401 blt 0,0,640,480,0, 2207,640,480:waittimer 14408 blt 0,0,640,480,0, 2208,640,480:waittimer 14414 blt 0,0,640,480,0, 2209,640,480:waittimer 14421 blt 0,0,640,480,0, 2210,640,480:waittimer 14427 blt 0,0,640,480,0, 2211,640,480:waittimer 14434 blt 0,0,640,480,0, 2212,640,480:waittimer 14440 blt 0,0,640,480,0, 2213,640,480:waittimer 14447 blt 0,0,640,480,0, 2214,640,480:waittimer 14453 blt 0,0,640,480,0, 2215,640,480:waittimer 14460 blt 0,0,640,480,0, 2216,640,480:waittimer 14466 blt 0,0,640,480,0, 2217,640,480:waittimer 14473 blt 0,0,640,480,0, 2218,640,480:waittimer 14480 blt 0,0,640,480,0, 2219,640,480:waittimer 14486 blt 0,0,640,480,0, 2220,640,480:waittimer 14493 blt 0,0,640,480,0, 2221,640,480:waittimer 14499 blt 0,0,640,480,0, 2222,640,480:waittimer 14506 blt 0,0,640,480,0, 2223,640,480:waittimer 14512 blt 0,0,640,480,0, 2224,640,480:waittimer 14519 blt 0,0,640,480,0, 2225,640,480:waittimer 14525 blt 0,0,640,480,0, 2226,640,480:waittimer 14532 blt 0,0,640,480,0, 2227,640,480:waittimer 14538 blt 0,0,640,480,0, 2228,640,480:waittimer 14545 blt 0,0,640,480,0, 2229,640,480:waittimer 14551 blt 0,0,640,480,0, 2230,640,480:waittimer 14558 blt 0,0,640,480,0, 2231,640,480:waittimer 14564 blt 0,0,640,480,0, 2232,640,480:waittimer 14571 blt 0,0,640,480,0, 2233,640,480:waittimer 14577 blt 0,0,640,480,0, 2234,640,480:waittimer 14584 blt 0,0,640,480,0, 2235,640,480:waittimer 14591 blt 0,0,640,480,0, 2236,640,480:waittimer 14597 blt 0,0,640,480,0, 2237,640,480:waittimer 14604 blt 0,0,640,480,0, 2238,640,480:waittimer 14610 blt 0,0,640,480,0, 2239,640,480:waittimer 14617 blt 0,0,640,480,0, 2240,640,480:waittimer 14623 blt 0,0,640,480,0, 2241,640,480:waittimer 14630 blt 0,0,640,480,0, 2242,640,480:waittimer 14636 blt 0,0,640,480,0, 2243,640,480:waittimer 14643 blt 0,0,640,480,0, 2244,640,480:waittimer 14649 blt 0,0,640,480,0, 2245,640,480:waittimer 14656 blt 0,0,640,480,0, 2246,640,480:waittimer 14662 blt 0,0,640,480,0, 2247,640,480:waittimer 14669 blt 0,0,640,480,0, 2248,640,480:waittimer 14675 blt 0,0,640,480,0, 2249,640,480:waittimer 14682 blt 0,0,640,480,0, 2250,640,480:waittimer 14688 blt 0,0,640,480,0, 2251,640,480:waittimer 14695 blt 0,0,640,480,0, 2252,640,480:waittimer 14701 blt 0,0,640,480,0, 2253,640,480:waittimer 14708 blt 0,0,640,480,0, 2254,640,480:waittimer 14715 blt 0,0,640,480,0, 2255,640,480:waittimer 14721 blt 0,0,640,480,0, 2256,640,480:waittimer 14728 blt 0,0,640,480,0, 2257,640,480:waittimer 14734 blt 0,0,640,480,0, 2258,640,480:waittimer 14741 blt 0,0,640,480,0, 2259,640,480:waittimer 14747 blt 0,0,640,480,0, 2260,640,480:waittimer 14754 blt 0,0,640,480,0, 2261,640,480:waittimer 14760 blt 0,0,640,480,0, 2262,640,480:waittimer 14767 blt 0,0,640,480,0, 2263,640,480:waittimer 14773 blt 0,0,640,480,0, 2264,640,480:waittimer 14780 blt 0,0,640,480,0, 2265,640,480:waittimer 14786 blt 0,0,640,480,0, 2266,640,480:waittimer 14793 blt 0,0,640,480,0, 2267,640,480:waittimer 14799 blt 0,0,640,480,0, 2268,640,480:waittimer 14806 blt 0,0,640,480,0, 2269,640,480:waittimer 14812 blt 0,0,640,480,0, 2270,640,480:waittimer 14819 blt 0,0,640,480,0, 2271,640,480:waittimer 14826 blt 0,0,640,480,0, 2272,640,480:waittimer 14832 blt 0,0,640,480,0, 2273,640,480:waittimer 14839 blt 0,0,640,480,0, 2274,640,480:waittimer 14845 blt 0,0,640,480,0, 2275,640,480:waittimer 14852 blt 0,0,640,480,0, 2276,640,480:waittimer 14858 blt 0,0,640,480,0, 2277,640,480:waittimer 14865 blt 0,0,640,480,0, 2278,640,480:waittimer 14871 blt 0,0,640,480,0, 2279,640,480:waittimer 14878 blt 0,0,640,480,0, 2280,640,480:waittimer 14884 blt 0,0,640,480,0, 2281,640,480:waittimer 14891 blt 0,0,640,480,0, 2282,640,480:waittimer 14897 blt 0,0,640,480,0, 2283,640,480:waittimer 14904 blt 0,0,640,480,0, 2284,640,480:waittimer 14910 blt 0,0,640,480,0, 2285,640,480:waittimer 14917 blt 0,0,640,480,0, 2286,640,480:waittimer 14923 blt 0,0,640,480,0, 2287,640,480:waittimer 14930 blt 0,0,640,480,0, 2288,640,480:waittimer 14937 blt 0,0,640,480,0, 2289,640,480:waittimer 14943 blt 0,0,640,480,0, 2290,640,480:waittimer 14950 blt 0,0,640,480,0, 2291,640,480:waittimer 14956 blt 0,0,640,480,0, 2292,640,480:waittimer 14963 blt 0,0,640,480,0, 2293,640,480:waittimer 14969 blt 0,0,640,480,0, 2294,640,480:waittimer 14976 blt 0,0,640,480,0, 2295,640,480:waittimer 14982 blt 0,0,640,480,0, 2296,640,480:waittimer 14989 blt 0,0,640,480,0, 2297,640,480:waittimer 14995 blt 0,0,640,480,0, 2298,640,480:waittimer 15002 blt 0,0,640,480,0, 2299,640,480:waittimer 15008 blt 0,0,640,480,0, 2300,640,480:waittimer 15015 blt 0,0,640,480,0, 2301,640,480:waittimer 15021 blt 0,0,640,480,0, 2302,640,480:waittimer 15028 blt 0,0,640,480,0, 2303,640,480:waittimer 15034 blt 0,0,640,480,0, 2304,640,480:waittimer 15041 blt 0,0,640,480,0, 2305,640,480:waittimer 15048 blt 0,0,640,480,0, 2306,640,480:waittimer 15054 blt 0,0,640,480,0, 2307,640,480:waittimer 15061 blt 0,0,640,480,0, 2308,640,480:waittimer 15067 blt 0,0,640,480,0, 2309,640,480:waittimer 15074 blt 0,0,640,480,0, 2310,640,480:waittimer 15080 blt 0,0,640,480,0, 2311,640,480:waittimer 15087 blt 0,0,640,480,0, 2312,640,480:waittimer 15093 blt 0,0,640,480,0, 2313,640,480:waittimer 15100 blt 0,0,640,480,0, 2314,640,480:waittimer 15106 blt 0,0,640,480,0, 2315,640,480:waittimer 15113 blt 0,0,640,480,0, 2316,640,480:waittimer 15119 blt 0,0,640,480,0, 2317,640,480:waittimer 15126 blt 0,0,640,480,0, 2318,640,480:waittimer 15132 blt 0,0,640,480,0, 2319,640,480:waittimer 15139 blt 0,0,640,480,0, 2320,640,480:waittimer 15145 blt 0,0,640,480,0, 2321,640,480:waittimer 15152 blt 0,0,640,480,0, 2322,640,480:waittimer 15158 blt 0,0,640,480,0, 2323,640,480:waittimer 15165 blt 0,0,640,480,0, 2324,640,480:waittimer 15172 blt 0,0,640,480,0, 2325,640,480:waittimer 15178 blt 0,0,640,480,0, 2326,640,480:waittimer 15185 blt 0,0,640,480,0, 2327,640,480:waittimer 15191 blt 0,0,640,480,0, 2328,640,480:waittimer 15198 blt 0,0,640,480,0, 2329,640,480:waittimer 15204 blt 0,0,640,480,0, 2330,640,480:waittimer 15211 blt 0,0,640,480,0, 2331,640,480:waittimer 15217 blt 0,0,640,480,0, 2332,640,480:waittimer 15224 blt 0,0,640,480,0, 2333,640,480:waittimer 15230 blt 0,0,640,480,0, 2334,640,480:waittimer 15237 blt 0,0,640,480,0, 2335,640,480:waittimer 15243 blt 0,0,640,480,0, 2336,640,480:waittimer 15250 blt 0,0,640,480,0, 2337,640,480:waittimer 15256 blt 0,0,640,480,0, 2338,640,480:waittimer 15263 blt 0,0,640,480,0, 2339,640,480:waittimer 15269 blt 0,0,640,480,0, 2340,640,480:waittimer 15276 blt 0,0,640,480,0, 2341,640,480:waittimer 15283 blt 0,0,640,480,0, 2342,640,480:waittimer 15289 blt 0,0,640,480,0, 2343,640,480:waittimer 15296 blt 0,0,640,480,0, 2344,640,480:waittimer 15302 blt 0,0,640,480,0, 2345,640,480:waittimer 15309 blt 0,0,640,480,0, 2346,640,480:waittimer 15315 blt 0,0,640,480,0, 2347,640,480:waittimer 15322 blt 0,0,640,480,0, 2348,640,480:waittimer 15328 blt 0,0,640,480,0, 2349,640,480:waittimer 15335 blt 0,0,640,480,0, 2350,640,480:waittimer 15341 blt 0,0,640,480,0, 2351,640,480:waittimer 15348 blt 0,0,640,480,0, 2352,640,480:waittimer 15354 blt 0,0,640,480,0, 2353,640,480:waittimer 15361 blt 0,0,640,480,0, 2354,640,480:waittimer 15367 blt 0,0,640,480,0, 2355,640,480:waittimer 15374 blt 0,0,640,480,0, 2356,640,480:waittimer 15380 blt 0,0,640,480,0, 2357,640,480:waittimer 15387 blt 0,0,640,480,0, 2358,640,480:waittimer 15394 blt 0,0,640,480,0, 2359,640,480:waittimer 15400 blt 0,0,640,480,0, 2360,640,480:waittimer 15407 blt 0,0,640,480,0, 2361,640,480:waittimer 15413 blt 0,0,640,480,0, 2362,640,480:waittimer 15420 blt 0,0,640,480,0, 2363,640,480:waittimer 15426 blt 0,0,640,480,0, 2364,640,480:waittimer 15433 blt 0,0,640,480,0, 2365,640,480:waittimer 15439 blt 0,0,640,480,0, 2366,640,480:waittimer 15446 blt 0,0,640,480,0, 2367,640,480:waittimer 15452 blt 0,0,640,480,0, 2368,640,480:waittimer 15459 blt 0,0,640,480,0, 2369,640,480:waittimer 15465 blt 0,0,640,480,0, 2370,640,480:waittimer 15472 blt 0,0,640,480,0, 2371,640,480:waittimer 15478 blt 0,0,640,480,0, 2372,640,480:waittimer 15485 blt 0,0,640,480,0, 2373,640,480:waittimer 15491 blt 0,0,640,480,0, 2374,640,480:waittimer 15498 blt 0,0,640,480,0, 2375,640,480:waittimer 15504 blt 0,0,640,480,0, 2376,640,480:waittimer 15511 blt 0,0,640,480,0, 2377,640,480:waittimer 15518 blt 0,0,640,480,0, 2378,640,480:waittimer 15524 blt 0,0,640,480,0, 2379,640,480:waittimer 15531 blt 0,0,640,480,0, 2380,640,480:waittimer 15537 blt 0,0,640,480,0, 2381,640,480:waittimer 15544 blt 0,0,640,480,0, 2382,640,480:waittimer 15550 blt 0,0,640,480,0, 2383,640,480:waittimer 15557 blt 0,0,640,480,0, 2384,640,480:waittimer 15563 blt 0,0,640,480,0, 2385,640,480:waittimer 15570 blt 0,0,640,480,0, 2386,640,480:waittimer 15576 blt 0,0,640,480,0, 2387,640,480:waittimer 15583 blt 0,0,640,480,0, 2388,640,480:waittimer 15589 blt 0,0,640,480,0, 2389,640,480:waittimer 15596 blt 0,0,640,480,0, 2390,640,480:waittimer 15602 blt 0,0,640,480,0, 2391,640,480:waittimer 15609 blt 0,0,640,480,0, 2392,640,480:waittimer 15615 blt 0,0,640,480,0, 2393,640,480:waittimer 15622 blt 0,0,640,480,0, 2394,640,480:waittimer 15629 blt 0,0,640,480,0, 2395,640,480:waittimer 15635 blt 0,0,640,480,0, 2396,640,480:waittimer 15642 blt 0,0,640,480,0, 2397,640,480:waittimer 15648 blt 0,0,640,480,0, 2398,640,480:waittimer 15655 blt 0,0,640,480,0, 2399,640,480:waittimer 15661 blt 0,0,640,480,0, 2400,640,480:waittimer 15668 blt 0,0,640,480,0, 2401,640,480:waittimer 15674 blt 0,0,640,480,0, 2402,640,480:waittimer 15681 blt 0,0,640,480,0, 2403,640,480:waittimer 15687 blt 0,0,640,480,0, 2404,640,480:waittimer 15694 blt 0,0,640,480,0, 2405,640,480:waittimer 15700 blt 0,0,640,480,0, 2406,640,480:waittimer 15707 blt 0,0,640,480,0, 2407,640,480:waittimer 15713 blt 0,0,640,480,0, 2408,640,480:waittimer 15720 blt 0,0,640,480,0, 2409,640,480:waittimer 15726 blt 0,0,640,480,0, 2410,640,480:waittimer 15733 blt 0,0,640,480,0, 2411,640,480:waittimer 15740 blt 0,0,640,480,0, 2412,640,480:waittimer 15746 blt 0,0,640,480,0, 2413,640,480:waittimer 15753 blt 0,0,640,480,0, 2414,640,480:waittimer 15759 blt 0,0,640,480,0, 2415,640,480:waittimer 15766 blt 0,0,640,480,0, 2416,640,480:waittimer 15772 blt 0,0,640,480,0, 2417,640,480:waittimer 15779 blt 0,0,640,480,0, 2418,640,480:waittimer 15785 blt 0,0,640,480,0, 2419,640,480:waittimer 15792 blt 0,0,640,480,0, 2420,640,480:waittimer 15798 blt 0,0,640,480,0, 2421,640,480:waittimer 15805 blt 0,0,640,480,0, 2422,640,480:waittimer 15811 blt 0,0,640,480,0, 2423,640,480:waittimer 15818 blt 0,0,640,480,0, 2424,640,480:waittimer 15824 blt 0,0,640,480,0, 2425,640,480:waittimer 15831 blt 0,0,640,480,0, 2426,640,480:waittimer 15837 blt 0,0,640,480,0, 2427,640,480:waittimer 15844 blt 0,0,640,480,0, 2428,640,480:waittimer 15850 blt 0,0,640,480,0, 2429,640,480:waittimer 15857 blt 0,0,640,480,0, 2430,640,480:waittimer 15864 blt 0,0,640,480,0, 2431,640,480:waittimer 15870 blt 0,0,640,480,0, 2432,640,480:waittimer 15877 blt 0,0,640,480,0, 2433,640,480:waittimer 15883 blt 0,0,640,480,0, 2434,640,480:waittimer 15890 blt 0,0,640,480,0, 2435,640,480:waittimer 15896 blt 0,0,640,480,0, 2436,640,480:waittimer 15903 blt 0,0,640,480,0, 2437,640,480:waittimer 15909 blt 0,0,640,480,0, 2438,640,480:waittimer 15916 blt 0,0,640,480,0, 2439,640,480:waittimer 15922 blt 0,0,640,480,0, 2440,640,480:waittimer 15929 blt 0,0,640,480,0, 2441,640,480:waittimer 15935 blt 0,0,640,480,0, 2442,640,480:waittimer 15942 blt 0,0,640,480,0, 2443,640,480:waittimer 15948 blt 0,0,640,480,0, 2444,640,480:waittimer 15955 blt 0,0,640,480,0, 2445,640,480:waittimer 15961 blt 0,0,640,480,0, 2446,640,480:waittimer 15968 blt 0,0,640,480,0, 2447,640,480:waittimer 15975 blt 0,0,640,480,0, 2448,640,480:waittimer 15981 blt 0,0,640,480,0, 2449,640,480:waittimer 15988 blt 0,0,640,480,0, 2450,640,480:waittimer 15994 blt 0,0,640,480,0, 2451,640,480:waittimer 16001 blt 0,0,640,480,0, 2452,640,480:waittimer 16007 blt 0,0,640,480,0, 2453,640,480:waittimer 16014 blt 0,0,640,480,0, 2454,640,480:waittimer 16020 blt 0,0,640,480,0, 2455,640,480:waittimer 16027 blt 0,0,640,480,0, 2456,640,480:waittimer 16033 blt 0,0,640,480,0, 2457,640,480:waittimer 16040 blt 0,0,640,480,0, 2458,640,480:waittimer 16046 blt 0,0,640,480,0, 2459,640,480:waittimer 16053 blt 0,0,640,480,0, 2460,640,480:waittimer 16059 blt 0,0,640,480,0, 2461,640,480:waittimer 16066 blt 0,0,640,480,0, 2462,640,480:waittimer 16072 blt 0,0,640,480,0, 2463,640,480:waittimer 16079 blt 0,0,640,480,0, 2464,640,480:waittimer 16086 blt 0,0,640,480,0, 2465,640,480:waittimer 16092 blt 0,0,640,480,0, 2466,640,480:waittimer 16099 blt 0,0,640,480,0, 2467,640,480:waittimer 16105 blt 0,0,640,480,0, 2468,640,480:waittimer 16112 blt 0,0,640,480,0, 2469,640,480:waittimer 16118 blt 0,0,640,480,0, 2470,640,480:waittimer 16125 blt 0,0,640,480,0, 2471,640,480:waittimer 16131 blt 0,0,640,480,0, 2472,640,480:waittimer 16138 blt 0,0,640,480,0, 2473,640,480:waittimer 16144 blt 0,0,640,480,0, 2474,640,480:waittimer 16151 blt 0,0,640,480,0, 2475,640,480:waittimer 16157 blt 0,0,640,480,0, 2476,640,480:waittimer 16164 blt 0,0,640,480,0, 2477,640,480:waittimer 16170 blt 0,0,640,480,0, 2478,640,480:waittimer 16177 blt 0,0,640,480,0, 2479,640,480:waittimer 16183 blt 0,0,640,480,0, 2480,640,480:waittimer 16190 blt 0,0,640,480,0, 2481,640,480:waittimer 16197 blt 0,0,640,480,0, 2482,640,480:waittimer 16203 blt 0,0,640,480,0, 2483,640,480:waittimer 16210 blt 0,0,640,480,0, 2484,640,480:waittimer 16216 blt 0,0,640,480,0, 2485,640,480:waittimer 16223 blt 0,0,640,480,0, 2486,640,480:waittimer 16229 blt 0,0,640,480,0, 2487,640,480:waittimer 16236 blt 0,0,640,480,0, 2488,640,480:waittimer 16242 blt 0,0,640,480,0, 2489,640,480:waittimer 16249 blt 0,0,640,480,0, 2490,640,480:waittimer 16255 blt 0,0,640,480,0, 2491,640,480:waittimer 16262 blt 0,0,640,480,0, 2492,640,480:waittimer 16268 blt 0,0,640,480,0, 2493,640,480:waittimer 16275 blt 0,0,640,480,0, 2494,640,480:waittimer 16281 blt 0,0,640,480,0, 2495,640,480:waittimer 16288 blt 0,0,640,480,0, 2496,640,480:waittimer 16294 blt 0,0,640,480,0, 2497,640,480:waittimer 16301 blt 0,0,640,480,0, 2498,640,480:waittimer 16307 blt 0,0,640,480,0, 2499,640,480:waittimer 16314 blt 0,0,640,480,0, 2500,640,480:waittimer 16321 blt 0,0,640,480,0, 2501,640,480:waittimer 16327 blt 0,0,640,480,0, 2502,640,480:waittimer 16334 blt 0,0,640,480,0, 2503,640,480:waittimer 16340 blt 0,0,640,480,0, 2504,640,480:waittimer 16347 blt 0,0,640,480,0, 2505,640,480:waittimer 16353 blt 0,0,640,480,0, 2506,640,480:waittimer 16360 blt 0,0,640,480,0, 2507,640,480:waittimer 16366 blt 0,0,640,480,0, 2508,640,480:waittimer 16373 blt 0,0,640,480,0, 2509,640,480:waittimer 16379 blt 0,0,640,480,0, 2510,640,480:waittimer 16386 blt 0,0,640,480,0, 2511,640,480:waittimer 16392 blt 0,0,640,480,0, 2512,640,480:waittimer 16399 blt 0,0,640,480,0, 2513,640,480:waittimer 16405 blt 0,0,640,480,0, 2514,640,480:waittimer 16412 blt 0,0,640,480,0, 2515,640,480:waittimer 16418 blt 0,0,640,480,0, 2516,640,480:waittimer 16425 blt 0,0,640,480,0, 2517,640,480:waittimer 16432 blt 0,0,640,480,0, 2518,640,480:waittimer 16438 blt 0,0,640,480,0, 2519,640,480:waittimer 16445 blt 0,0,640,480,0, 2520,640,480:waittimer 16451 blt 0,0,640,480,0, 2521,640,480:waittimer 16458 blt 0,0,640,480,0, 2522,640,480:waittimer 16464 blt 0,0,640,480,0, 2523,640,480:waittimer 16471 blt 0,0,640,480,0, 2524,640,480:waittimer 16477 blt 0,0,640,480,0, 2525,640,480:waittimer 16484 blt 0,0,640,480,0, 2526,640,480:waittimer 16490 blt 0,0,640,480,0, 2527,640,480:waittimer 16497 blt 0,0,640,480,0, 2528,640,480:waittimer 16503 blt 0,0,640,480,0, 2529,640,480:waittimer 16510 blt 0,0,640,480,0, 2530,640,480:waittimer 16516 blt 0,0,640,480,0, 2531,640,480:waittimer 16523 blt 0,0,640,480,0, 2532,640,480:waittimer 16529 blt 0,0,640,480,0, 2533,640,480:waittimer 16536 blt 0,0,640,480,0, 2534,640,480:waittimer 16543 blt 0,0,640,480,0, 2535,640,480:waittimer 16549 blt 0,0,640,480,0, 2536,640,480:waittimer 16556 blt 0,0,640,480,0, 2537,640,480:waittimer 16562 blt 0,0,640,480,0, 2538,640,480:waittimer 16569 blt 0,0,640,480,0, 2539,640,480:waittimer 16575 blt 0,0,640,480,0, 2540,640,480:waittimer 16582 blt 0,0,640,480,0, 2541,640,480:waittimer 16588 blt 0,0,640,480,0, 2542,640,480:waittimer 16595 blt 0,0,640,480,0, 2543,640,480:waittimer 16601 blt 0,0,640,480,0, 2544,640,480:waittimer 16608 blt 0,0,640,480,0, 2545,640,480:waittimer 16614 blt 0,0,640,480,0, 2546,640,480:waittimer 16621 blt 0,0,640,480,0, 2547,640,480:waittimer 16627 blt 0,0,640,480,0, 2548,640,480:waittimer 16634 blt 0,0,640,480,0, 2549,640,480:waittimer 16640 blt 0,0,640,480,0, 2550,640,480:waittimer 16647 blt 0,0,640,480,0, 2551,640,480:waittimer 16653 blt 0,0,640,480,0, 2552,640,480:waittimer 16660 blt 0,0,640,480,0, 2553,640,480:waittimer 16667 blt 0,0,640,480,0, 2554,640,480:waittimer 16673 blt 0,0,640,480,0, 2555,640,480:waittimer 16680 blt 0,0,640,480,0, 2556,640,480:waittimer 16686 blt 0,0,640,480,0, 2557,640,480:waittimer 16693 blt 0,0,640,480,0, 2558,640,480:waittimer 16699 blt 0,0,640,480,0, 2559,640,480:waittimer 16706 blt 0,0,640,480,0, 2560,640,480:waittimer 16712 blt 0,0,640,480,0, 2561,640,480:waittimer 16719 blt 0,0,640,480,0, 2562,640,480:waittimer 16725 blt 0,0,640,480,0, 2563,640,480:waittimer 16732 blt 0,0,640,480,0, 2564,640,480:waittimer 16738 blt 0,0,640,480,0, 2565,640,480:waittimer 16745 blt 0,0,640,480,0, 2566,640,480:waittimer 16751 blt 0,0,640,480,0, 2567,640,480:waittimer 16758 blt 0,0,640,480,0, 2568,640,480:waittimer 16764 blt 0,0,640,480,0, 2569,640,480:waittimer 16771 blt 0,0,640,480,0, 2570,640,480:waittimer 16778 blt 0,0,640,480,0, 2571,640,480:waittimer 16784 blt 0,0,640,480,0, 2572,640,480:waittimer 16791 blt 0,0,640,480,0, 2573,640,480:waittimer 16797 blt 0,0,640,480,0, 2574,640,480:waittimer 16804 blt 0,0,640,480,0, 2575,640,480:waittimer 16810 blt 0,0,640,480,0, 2576,640,480:waittimer 16817 blt 0,0,640,480,0, 2577,640,480:waittimer 16823 blt 0,0,640,480,0, 2578,640,480:waittimer 16830 blt 0,0,640,480,0, 2579,640,480:waittimer 16836 blt 0,0,640,480,0, 2580,640,480:waittimer 16843 blt 0,0,640,480,0, 2581,640,480:waittimer 16849 blt 0,0,640,480,0, 2582,640,480:waittimer 16856 blt 0,0,640,480,0, 2583,640,480:waittimer 16862 blt 0,0,640,480,0, 2584,640,480:waittimer 16869 blt 0,0,640,480,0, 2585,640,480:waittimer 16875 blt 0,0,640,480,0, 2586,640,480:waittimer 16882 blt 0,0,640,480,0, 2587,640,480:waittimer 16889 blt 0,0,640,480,0, 2588,640,480:waittimer 16895 blt 0,0,640,480,0, 2589,640,480:waittimer 16902 blt 0,0,640,480,0, 2590,640,480:waittimer 16908 blt 0,0,640,480,0, 2591,640,480:waittimer 16915 blt 0,0,640,480,0, 2592,640,480:waittimer 16921 blt 0,0,640,480,0, 2593,640,480:waittimer 16928 blt 0,0,640,480,0, 2594,640,480:waittimer 16934 blt 0,0,640,480,0, 2595,640,480:waittimer 16941 blt 0,0,640,480,0, 2596,640,480:waittimer 16947 blt 0,0,640,480,0, 2597,640,480:waittimer 16954 blt 0,0,640,480,0, 2598,640,480:waittimer 16960 blt 0,0,640,480,0, 2599,640,480:waittimer 16967 blt 0,0,640,480,0, 2600,640,480:waittimer 16973 blt 0,0,640,480,0, 2601,640,480:waittimer 16980 blt 0,0,640,480,0, 2602,640,480:waittimer 16986 blt 0,0,640,480,0, 2603,640,480:waittimer 16993 blt 0,0,640,480,0, 2604,640,480:waittimer 16999 blt 0,0,640,480,0, 2605,640,480:waittimer 17006 blt 0,0,640,480,0, 2606,640,480:waittimer 17013 blt 0,0,640,480,0, 2607,640,480:waittimer 17019 blt 0,0,640,480,0, 2608,640,480:waittimer 17026 blt 0,0,640,480,0, 2609,640,480:waittimer 17032 blt 0,0,640,480,0, 2610,640,480:waittimer 17039 blt 0,0,640,480,0, 2611,640,480:waittimer 17045 blt 0,0,640,480,0, 2612,640,480:waittimer 17052 blt 0,0,640,480,0, 2613,640,480:waittimer 17058 blt 0,0,640,480,0, 2614,640,480:waittimer 17065 blt 0,0,640,480,0, 2615,640,480:waittimer 17071 blt 0,0,640,480,0, 2616,640,480:waittimer 17078 blt 0,0,640,480,0, 2617,640,480:waittimer 17084 blt 0,0,640,480,0, 2618,640,480:waittimer 17091 blt 0,0,640,480,0, 2619,640,480:waittimer 17097 blt 0,0,640,480,0, 2620,640,480:waittimer 17104 blt 0,0,640,480,0, 2621,640,480:waittimer 17110 blt 0,0,640,480,0, 2622,640,480:waittimer 17117 blt 0,0,640,480,0, 2623,640,480:waittimer 17124 blt 0,0,640,480,0, 2624,640,480:waittimer 17130 blt 0,0,640,480,0, 2625,640,480:waittimer 17137 blt 0,0,640,480,0, 2626,640,480:waittimer 17143 blt 0,0,640,480,0, 2627,640,480:waittimer 17150 blt 0,0,640,480,0, 2628,640,480:waittimer 17156 blt 0,0,640,480,0, 2629,640,480:waittimer 17163 blt 0,0,640,480,0, 2630,640,480:waittimer 17169 blt 0,0,640,480,0, 2631,640,480:waittimer 17176 blt 0,0,640,480,0, 2632,640,480:waittimer 17182 blt 0,0,640,480,0, 2633,640,480:waittimer 17189 blt 0,0,640,480,0, 2634,640,480:waittimer 17195 blt 0,0,640,480,0, 2635,640,480:waittimer 17202 blt 0,0,640,480,0, 2636,640,480:waittimer 17208 blt 0,0,640,480,0, 2637,640,480:waittimer 17215 blt 0,0,640,480,0, 2638,640,480:waittimer 17221 blt 0,0,640,480,0, 2639,640,480:waittimer 17228 blt 0,0,640,480,0, 2640,640,480:waittimer 17235 blt 0,0,640,480,0, 2641,640,480:waittimer 17241 blt 0,0,640,480,0, 2642,640,480:waittimer 17248 blt 0,0,640,480,0, 2643,640,480:waittimer 17254 blt 0,0,640,480,0, 2644,640,480:waittimer 17261 blt 0,0,640,480,0, 2645,640,480:waittimer 17267 blt 0,0,640,480,0, 2646,640,480:waittimer 17274 blt 0,0,640,480,0, 2647,640,480:waittimer 17280 blt 0,0,640,480,0, 2648,640,480:waittimer 17287 blt 0,0,640,480,0, 2649,640,480:waittimer 17293 blt 0,0,640,480,0, 2650,640,480:waittimer 17300 blt 0,0,640,480,0, 2651,640,480:waittimer 17306 blt 0,0,640,480,0, 2652,640,480:waittimer 17313 blt 0,0,640,480,0, 2653,640,480:waittimer 17319 blt 0,0,640,480,0, 2654,640,480:waittimer 17326 blt 0,0,640,480,0, 2655,640,480:waittimer 17332 blt 0,0,640,480,0, 2656,640,480:waittimer 17339 blt 0,0,640,480,0, 2657,640,480:waittimer 17346 blt 0,0,640,480,0, 2658,640,480:waittimer 17352 blt 0,0,640,480,0, 2659,640,480:waittimer 17359 blt 0,0,640,480,0, 2660,640,480:waittimer 17365 blt 0,0,640,480,0, 2661,640,480:waittimer 17372 blt 0,0,640,480,0, 2662,640,480:waittimer 17378 blt 0,0,640,480,0, 2663,640,480:waittimer 17385 blt 0,0,640,480,0, 2664,640,480:waittimer 17391 blt 0,0,640,480,0, 2665,640,480:waittimer 17398 blt 0,0,640,480,0, 2666,640,480:waittimer 17404 blt 0,0,640,480,0, 2667,640,480:waittimer 17411 blt 0,0,640,480,0, 2668,640,480:waittimer 17417 blt 0,0,640,480,0, 2669,640,480:waittimer 17424 blt 0,0,640,480,0, 2670,640,480:waittimer 17430 blt 0,0,640,480,0, 2671,640,480:waittimer 17437 blt 0,0,640,480,0, 2672,640,480:waittimer 17443 blt 0,0,640,480,0, 2673,640,480:waittimer 17450 blt 0,0,640,480,0, 2674,640,480:waittimer 17456 blt 0,0,640,480,0, 2675,640,480:waittimer 17463 blt 0,0,640,480,0, 2676,640,480:waittimer 17470 blt 0,0,640,480,0, 2677,640,480:waittimer 17476 blt 0,0,640,480,0, 2678,640,480:waittimer 17483 blt 0,0,640,480,0, 2679,640,480:waittimer 17489 blt 0,0,640,480,0, 2680,640,480:waittimer 17496 blt 0,0,640,480,0, 2681,640,480:waittimer 17502 blt 0,0,640,480,0, 2682,640,480:waittimer 17509 blt 0,0,640,480,0, 2683,640,480:waittimer 17515 blt 0,0,640,480,0, 2684,640,480:waittimer 17522 blt 0,0,640,480,0, 2685,640,480:waittimer 17528 blt 0,0,640,480,0, 2686,640,480:waittimer 17535 blt 0,0,640,480,0, 2687,640,480:waittimer 17541 blt 0,0,640,480,0, 2688,640,480:waittimer 17548 blt 0,0,640,480,0, 2689,640,480:waittimer 17554 blt 0,0,640,480,0, 2690,640,480:waittimer 17561 blt 0,0,640,480,0, 2691,640,480:waittimer 17567 blt 0,0,640,480,0, 2692,640,480:waittimer 17574 blt 0,0,640,480,0, 2693,640,480:waittimer 17581 blt 0,0,640,480,0, 2694,640,480:waittimer 17587 blt 0,0,640,480,0, 2695,640,480:waittimer 17594 blt 0,0,640,480,0, 2696,640,480:waittimer 17600 blt 0,0,640,480,0, 2697,640,480:waittimer 17607 blt 0,0,640,480,0, 2698,640,480:waittimer 17613 blt 0,0,640,480,0, 2699,640,480:waittimer 17620 blt 0,0,640,480,0, 2700,640,480:waittimer 17626 blt 0,0,640,480,0, 2701,640,480:waittimer 17633 blt 0,0,640,480,0, 2702,640,480:waittimer 17639 blt 0,0,640,480,0, 2703,640,480:waittimer 17646 blt 0,0,640,480,0, 2704,640,480:waittimer 17652 blt 0,0,640,480,0, 2705,640,480:waittimer 17659 blt 0,0,640,480,0, 2706,640,480:waittimer 17665 blt 0,0,640,480,0, 2707,640,480:waittimer 17672 blt 0,0,640,480,0, 2708,640,480:waittimer 17678 blt 0,0,640,480,0, 2709,640,480:waittimer 17685 blt 0,0,640,480,0, 2710,640,480:waittimer 17692 blt 0,0,640,480,0, 2711,640,480:waittimer 17698 blt 0,0,640,480,0, 2712,640,480:waittimer 17705 blt 0,0,640,480,0, 2713,640,480:waittimer 17711 blt 0,0,640,480,0, 2714,640,480:waittimer 17718 blt 0,0,640,480,0, 2715,640,480:waittimer 17724 blt 0,0,640,480,0, 2716,640,480:waittimer 17731 blt 0,0,640,480,0, 2717,640,480:waittimer 17737 blt 0,0,640,480,0, 2718,640,480:waittimer 17744 blt 0,0,640,480,0, 2719,640,480:waittimer 17750 blt 0,0,640,480,0, 2720,640,480:waittimer 17757 blt 0,0,640,480,0, 2721,640,480:waittimer 17763 blt 0,0,640,480,0, 2722,640,480:waittimer 17770 blt 0,0,640,480,0, 2723,640,480:waittimer 17776 blt 0,0,640,480,0, 2724,640,480:waittimer 17783 blt 0,0,640,480,0, 2725,640,480:waittimer 17789 blt 0,0,640,480,0, 2726,640,480:waittimer 17796 blt 0,0,640,480,0, 2727,640,480:waittimer 17802 blt 0,0,640,480,0, 2728,640,480:waittimer 17809 blt 0,0,640,480,0, 2729,640,480:waittimer 17816 blt 0,0,640,480,0, 2730,640,480:waittimer 17822 blt 0,0,640,480,0, 2731,640,480:waittimer 17829 blt 0,0,640,480,0, 2732,640,480:waittimer 17835 blt 0,0,640,480,0, 2733,640,480:waittimer 17842 blt 0,0,640,480,0, 2734,640,480:waittimer 17848 blt 0,0,640,480,0, 2735,640,480:waittimer 17855 blt 0,0,640,480,0, 2736,640,480:waittimer 17861 blt 0,0,640,480,0, 2737,640,480:waittimer 17868 blt 0,0,640,480,0, 2738,640,480:waittimer 17874 blt 0,0,640,480,0, 2739,640,480:waittimer 17881 blt 0,0,640,480,0, 2740,640,480:waittimer 17887 blt 0,0,640,480,0, 2741,640,480:waittimer 17894 blt 0,0,640,480,0, 2742,640,480:waittimer 17900 blt 0,0,640,480,0, 2743,640,480:waittimer 17907 blt 0,0,640,480,0, 2744,640,480:waittimer 17913 blt 0,0,640,480,0, 2745,640,480:waittimer 17920 blt 0,0,640,480,0, 2746,640,480:waittimer 17927 blt 0,0,640,480,0, 2747,640,480:waittimer 17933 blt 0,0,640,480,0, 2748,640,480:waittimer 17940 blt 0,0,640,480,0, 2749,640,480:waittimer 17946 blt 0,0,640,480,0, 2750,640,480:waittimer 17953 blt 0,0,640,480,0, 2751,640,480:waittimer 17959 blt 0,0,640,480,0, 2752,640,480:waittimer 17966 blt 0,0,640,480,0, 2753,640,480:waittimer 17972 blt 0,0,640,480,0, 2754,640,480:waittimer 17979 blt 0,0,640,480,0, 2755,640,480:waittimer 17985 blt 0,0,640,480,0, 2756,640,480:waittimer 17992 blt 0,0,640,480,0, 2757,640,480:waittimer 17998 blt 0,0,640,480,0, 2758,640,480:waittimer 18005 blt 0,0,640,480,0, 2759,640,480:waittimer 18011 blt 0,0,640,480,0, 2760,640,480:waittimer 18018 blt 0,0,640,480,0, 2761,640,480:waittimer 18024 blt 0,0,640,480,0, 2762,640,480:waittimer 18031 blt 0,0,640,480,0, 2763,640,480:waittimer 18038 blt 0,0,640,480,0, 2764,640,480:waittimer 18044 blt 0,0,640,480,0, 2765,640,480:waittimer 18051 blt 0,0,640,480,0, 2766,640,480:waittimer 18057 blt 0,0,640,480,0, 2767,640,480:waittimer 18064 blt 0,0,640,480,0, 2768,640,480:waittimer 18070 blt 0,0,640,480,0, 2769,640,480:waittimer 18077 blt 0,0,640,480,0, 2770,640,480:waittimer 18083 blt 0,0,640,480,0, 2771,640,480:waittimer 18090 blt 0,0,640,480,0, 2772,640,480:waittimer 18096 blt 0,0,640,480,0, 2773,640,480:waittimer 18103 blt 0,0,640,480,0, 2774,640,480:waittimer 18109 blt 0,0,640,480,0, 2775,640,480:waittimer 18116 blt 0,0,640,480,0, 2776,640,480:waittimer 18122 blt 0,0,640,480,0, 2777,640,480:waittimer 18129 blt 0,0,640,480,0, 2778,640,480:waittimer 18135 blt 0,0,640,480,0, 2779,640,480:waittimer 18142 blt 0,0,640,480,0, 2780,640,480:waittimer 18149 blt 0,0,640,480,0, 2781,640,480:waittimer 18155 blt 0,0,640,480,0, 2782,640,480:waittimer 18162 blt 0,0,640,480,0, 2783,640,480:waittimer 18168 blt 0,0,640,480,0, 2784,640,480:waittimer 18175 blt 0,0,640,480,0, 2785,640,480:waittimer 18181 blt 0,0,640,480,0, 2786,640,480:waittimer 18188 blt 0,0,640,480,0, 2787,640,480:waittimer 18194 blt 0,0,640,480,0, 2788,640,480:waittimer 18201 blt 0,0,640,480,0, 2789,640,480:waittimer 18207 blt 0,0,640,480,0, 2790,640,480:waittimer 18214 blt 0,0,640,480,0, 2791,640,480:waittimer 18220 blt 0,0,640,480,0, 2792,640,480:waittimer 18227 blt 0,0,640,480,0, 2793,640,480:waittimer 18233 blt 0,0,640,480,0, 2794,640,480:waittimer 18240 blt 0,0,640,480,0, 2795,640,480:waittimer 18246 blt 0,0,640,480,0, 2796,640,480:waittimer 18253 blt 0,0,640,480,0, 2797,640,480:waittimer 18259 blt 0,0,640,480,0, 2798,640,480:waittimer 18266 blt 0,0,640,480,0, 2799,640,480:waittimer 18273 blt 0,0,640,480,0, 2800,640,480:waittimer 18279 blt 0,0,640,480,0, 2801,640,480:waittimer 18286 blt 0,0,640,480,0, 2802,640,480:waittimer 18292 blt 0,0,640,480,0, 2803,640,480:waittimer 18299 blt 0,0,640,480,0, 2804,640,480:waittimer 18305 blt 0,0,640,480,0, 2805,640,480:waittimer 18312 blt 0,0,640,480,0, 2806,640,480:waittimer 18318 blt 0,0,640,480,0, 2807,640,480:waittimer 18325 blt 0,0,640,480,0, 2808,640,480:waittimer 18331 blt 0,0,640,480,0, 2809,640,480:waittimer 18338 blt 0,0,640,480,0, 2810,640,480:waittimer 18344 blt 0,0,640,480,0, 2811,640,480:waittimer 18351 blt 0,0,640,480,0, 2812,640,480:waittimer 18357 blt 0,0,640,480,0, 2813,640,480:waittimer 18364 blt 0,0,640,480,0, 2814,640,480:waittimer 18370 blt 0,0,640,480,0, 2815,640,480:waittimer 18377 blt 0,0,640,480,0, 2816,640,480:waittimer 18384 blt 0,0,640,480,0, 2817,640,480:waittimer 18390 blt 0,0,640,480,0, 2818,640,480:waittimer 18397 blt 0,0,640,480,0, 2819,640,480:waittimer 18403 blt 0,0,640,480,0, 2820,640,480:waittimer 18410 blt 0,0,640,480,0, 2821,640,480:waittimer 18416 blt 0,0,640,480,0, 2822,640,480:waittimer 18423 blt 0,0,640,480,0, 2823,640,480:waittimer 18429 blt 0,0,640,480,0, 2824,640,480:waittimer 18436 blt 0,0,640,480,0, 2825,640,480:waittimer 18442 blt 0,0,640,480,0, 2826,640,480:waittimer 18449 blt 0,0,640,480,0, 2827,640,480:waittimer 18455 blt 0,0,640,480,0, 2828,640,480:waittimer 18462 blt 0,0,640,480,0, 2829,640,480:waittimer 18468 blt 0,0,640,480,0, 2830,640,480:waittimer 18475 blt 0,0,640,480,0, 2831,640,480:waittimer 18481 blt 0,0,640,480,0, 2832,640,480:waittimer 18488 blt 0,0,640,480,0, 2833,640,480:waittimer 18495 blt 0,0,640,480,0, 2834,640,480:waittimer 18501 blt 0,0,640,480,0, 2835,640,480:waittimer 18508 blt 0,0,640,480,0, 2836,640,480:waittimer 18514 blt 0,0,640,480,0, 2837,640,480:waittimer 18521 blt 0,0,640,480,0, 2838,640,480:waittimer 18527 blt 0,0,640,480,0, 2839,640,480:waittimer 18534 blt 0,0,640,480,0, 2840,640,480:waittimer 18540 blt 0,0,640,480,0, 2841,640,480:waittimer 18547 blt 0,0,640,480,0, 2842,640,480:waittimer 18553 blt 0,0,640,480,0, 2843,640,480:waittimer 18560 blt 0,0,640,480,0, 2844,640,480:waittimer 18566 blt 0,0,640,480,0, 2845,640,480:waittimer 18573 blt 0,0,640,480,0, 2846,640,480:waittimer 18579 blt 0,0,640,480,0, 2847,640,480:waittimer 18586 blt 0,0,640,480,0, 2848,640,480:waittimer 18592 blt 0,0,640,480,0, 2849,640,480:waittimer 18599 blt 0,0,640,480,0, 2850,640,480:waittimer 18605 blt 0,0,640,480,0, 2851,640,480:waittimer 18612 blt 0,0,640,480,0, 2852,640,480:waittimer 18619 blt 0,0,640,480,0, 2853,640,480:waittimer 18625 blt 0,0,640,480,0, 2854,640,480:waittimer 18632 blt 0,0,640,480,0, 2855,640,480:waittimer 18638 blt 0,0,640,480,0, 2856,640,480:waittimer 18645 blt 0,0,640,480,0, 2857,640,480:waittimer 18651 blt 0,0,640,480,0, 2858,640,480:waittimer 18658 blt 0,0,640,480,0, 2859,640,480:waittimer 18664 blt 0,0,640,480,0, 2860,640,480:waittimer 18671 blt 0,0,640,480,0, 2861,640,480:waittimer 18677 blt 0,0,640,480,0, 2862,640,480:waittimer 18684 blt 0,0,640,480,0, 2863,640,480:waittimer 18690 blt 0,0,640,480,0, 2864,640,480:waittimer 18697 blt 0,0,640,480,0, 2865,640,480:waittimer 18703 blt 0,0,640,480,0, 2866,640,480:waittimer 18710 blt 0,0,640,480,0, 2867,640,480:waittimer 18716 blt 0,0,640,480,0, 2868,640,480:waittimer 18723 blt 0,0,640,480,0, 2869,640,480:waittimer 18730 blt 0,0,640,480,0, 2870,640,480:waittimer 18736 blt 0,0,640,480,0, 2871,640,480:waittimer 18743 blt 0,0,640,480,0, 2872,640,480:waittimer 18749 blt 0,0,640,480,0, 2873,640,480:waittimer 18756 blt 0,0,640,480,0, 2874,640,480:waittimer 18762 blt 0,0,640,480,0, 2875,640,480:waittimer 18769 blt 0,0,640,480,0, 2876,640,480:waittimer 18775 blt 0,0,640,480,0, 2877,640,480:waittimer 18782 blt 0,0,640,480,0, 2878,640,480:waittimer 18788 blt 0,0,640,480,0, 2879,640,480:waittimer 18795 blt 0,0,640,480,0, 2880,640,480:waittimer 18801 blt 0,0,640,480,0, 2881,640,480:waittimer 18808 blt 0,0,640,480,0, 2882,640,480:waittimer 18814 blt 0,0,640,480,0, 2883,640,480:waittimer 18821 blt 0,0,640,480,0, 2884,640,480:waittimer 18827 blt 0,0,640,480,0, 2885,640,480:waittimer 18834 blt 0,0,640,480,0, 2886,640,480:waittimer 18841 blt 0,0,640,480,0, 2887,640,480:waittimer 18847 blt 0,0,640,480,0, 2888,640,480:waittimer 18854 blt 0,0,640,480,0, 2889,640,480:waittimer 18860 blt 0,0,640,480,0, 2890,640,480:waittimer 18867 blt 0,0,640,480,0, 2891,640,480:waittimer 18873 blt 0,0,640,480,0, 2892,640,480:waittimer 18880 blt 0,0,640,480,0, 2893,640,480:waittimer 18886 blt 0,0,640,480,0, 2894,640,480:waittimer 18893 blt 0,0,640,480,0, 2895,640,480:waittimer 18899 blt 0,0,640,480,0, 2896,640,480:waittimer 18906 blt 0,0,640,480,0, 2897,640,480:waittimer 18912 blt 0,0,640,480,0, 2898,640,480:waittimer 18919 blt 0,0,640,480,0, 2899,640,480:waittimer 18925 blt 0,0,640,480,0, 2900,640,480:waittimer 18932 blt 0,0,640,480,0, 2901,640,480:waittimer 18938 blt 0,0,640,480,0, 2902,640,480:waittimer 18945 blt 0,0,640,480,0, 2903,640,480:waittimer 18951 blt 0,0,640,480,0, 2904,640,480:waittimer 18958 blt 0,0,640,480,0, 2905,640,480:waittimer 18965 blt 0,0,640,480,0, 2906,640,480:waittimer 18971 blt 0,0,640,480,0, 2907,640,480:waittimer 18978 blt 0,0,640,480,0, 2908,640,480:waittimer 18984 blt 0,0,640,480,0, 2909,640,480:waittimer 18991 blt 0,0,640,480,0, 2910,640,480:waittimer 18997 blt 0,0,640,480,0, 2911,640,480:waittimer 19004 blt 0,0,640,480,0, 2912,640,480:waittimer 19010 blt 0,0,640,480,0, 2913,640,480:waittimer 19017 blt 0,0,640,480,0, 2914,640,480:waittimer 19023 blt 0,0,640,480,0, 2915,640,480:waittimer 19030 blt 0,0,640,480,0, 2916,640,480:waittimer 19036 blt 0,0,640,480,0, 2917,640,480:waittimer 19043 blt 0,0,640,480,0, 2918,640,480:waittimer 19049 blt 0,0,640,480,0, 2919,640,480:waittimer 19056 blt 0,0,640,480,0, 2920,640,480:waittimer 19062 blt 0,0,640,480,0, 2921,640,480:waittimer 19069 blt 0,0,640,480,0, 2922,640,480:waittimer 19076 blt 0,0,640,480,0, 2923,640,480:waittimer 19082 blt 0,0,640,480,0, 2924,640,480:waittimer 19089 blt 0,0,640,480,0, 2925,640,480:waittimer 19095 blt 0,0,640,480,0, 2926,640,480:waittimer 19102 blt 0,0,640,480,0, 2927,640,480:waittimer 19108 blt 0,0,640,480,0, 2928,640,480:waittimer 19115 blt 0,0,640,480,0, 2929,640,480:waittimer 19121 blt 0,0,640,480,0, 2930,640,480:waittimer 19128 blt 0,0,640,480,0, 2931,640,480:waittimer 19134 blt 0,0,640,480,0, 2932,640,480:waittimer 19141 blt 0,0,640,480,0, 2933,640,480:waittimer 19147 blt 0,0,640,480,0, 2934,640,480:waittimer 19154 blt 0,0,640,480,0, 2935,640,480:waittimer 19160 blt 0,0,640,480,0, 2936,640,480:waittimer 19167 blt 0,0,640,480,0, 2937,640,480:waittimer 19173 blt 0,0,640,480,0, 2938,640,480:waittimer 19180 blt 0,0,640,480,0, 2939,640,480:waittimer 19187 blt 0,0,640,480,0, 2940,640,480:waittimer 19193 blt 0,0,640,480,0, 2941,640,480:waittimer 19200 blt 0,0,640,480,0, 2942,640,480:waittimer 19206 blt 0,0,640,480,0, 2943,640,480:waittimer 19213 blt 0,0,640,480,0, 2944,640,480:waittimer 19219 blt 0,0,640,480,0, 2945,640,480:waittimer 19226 blt 0,0,640,480,0, 2946,640,480:waittimer 19232 blt 0,0,640,480,0, 2947,640,480:waittimer 19239 blt 0,0,640,480,0, 2948,640,480:waittimer 19245 blt 0,0,640,480,0, 2949,640,480:waittimer 19252 blt 0,0,640,480,0, 2950,640,480:waittimer 19258 blt 0,0,640,480,0, 2951,640,480:waittimer 19265 blt 0,0,640,480,0, 2952,640,480:waittimer 19271 blt 0,0,640,480,0, 2953,640,480:waittimer 19278 blt 0,0,640,480,0, 2954,640,480:waittimer 19284 blt 0,0,640,480,0, 2955,640,480:waittimer 19291 blt 0,0,640,480,0, 2956,640,480:waittimer 19298 blt 0,0,640,480,0, 2957,640,480:waittimer 19304 blt 0,0,640,480,0, 2958,640,480:waittimer 19311 blt 0,0,640,480,0, 2959,640,480:waittimer 19317 blt 0,0,640,480,0, 2960,640,480:waittimer 19324 blt 0,0,640,480,0, 2961,640,480:waittimer 19330 blt 0,0,640,480,0, 2962,640,480:waittimer 19337 blt 0,0,640,480,0, 2963,640,480:waittimer 19343 blt 0,0,640,480,0, 2964,640,480:waittimer 19350 blt 0,0,640,480,0, 2965,640,480:waittimer 19356 blt 0,0,640,480,0, 2966,640,480:waittimer 19363 blt 0,0,640,480,0, 2967,640,480:waittimer 19369 blt 0,0,640,480,0, 2968,640,480:waittimer 19376 blt 0,0,640,480,0, 2969,640,480:waittimer 19382 blt 0,0,640,480,0, 2970,640,480:waittimer 19389 blt 0,0,640,480,0, 2971,640,480:waittimer 19395 blt 0,0,640,480,0, 2972,640,480:waittimer 19402 blt 0,0,640,480,0, 2973,640,480:waittimer 19408 blt 0,0,640,480,0, 2974,640,480:waittimer 19415 blt 0,0,640,480,0, 2975,640,480:waittimer 19422 blt 0,0,640,480,0, 2976,640,480:waittimer 19428 blt 0,0,640,480,0, 2977,640,480:waittimer 19435 blt 0,0,640,480,0, 2978,640,480:waittimer 19441 blt 0,0,640,480,0, 2979,640,480:waittimer 19448 blt 0,0,640,480,0, 2980,640,480:waittimer 19454 blt 0,0,640,480,0, 2981,640,480:waittimer 19461 blt 0,0,640,480,0, 2982,640,480:waittimer 19467 blt 0,0,640,480,0, 2983,640,480:waittimer 19474 blt 0,0,640,480,0, 2984,640,480:waittimer 19480 blt 0,0,640,480,0, 2985,640,480:waittimer 19487 blt 0,0,640,480,0, 2986,640,480:waittimer 19493 blt 0,0,640,480,0, 2987,640,480:waittimer 19500 blt 0,0,640,480,0, 2988,640,480:waittimer 19506 blt 0,0,640,480,0, 2989,640,480:waittimer 19513 blt 0,0,640,480,0, 2990,640,480:waittimer 19519 blt 0,0,640,480,0, 2991,640,480:waittimer 19526 blt 0,0,640,480,0, 2992,640,480:waittimer 19533 blt 0,0,640,480,0, 2993,640,480:waittimer 19539 blt 0,0,640,480,0, 2994,640,480:waittimer 19546 blt 0,0,640,480,0, 2995,640,480:waittimer 19552 blt 0,0,640,480,0, 2996,640,480:waittimer 19559 blt 0,0,640,480,0, 2997,640,480:waittimer 19565 blt 0,0,640,480,0, 2998,640,480:waittimer 19572 blt 0,0,640,480,0, 2999,640,480:waittimer 19578 blt 0,0,640,480,0, 3000,640,480:waittimer 19585 blt 0,0,640,480,0, 3001,640,480:waittimer 19591 blt 0,0,640,480,0, 3002,640,480:waittimer 19598 blt 0,0,640,480,0, 3003,640,480:waittimer 19604 blt 0,0,640,480,0, 3004,640,480:waittimer 19611 blt 0,0,640,480,0, 3005,640,480:waittimer 19617 blt 0,0,640,480,0, 3006,640,480:waittimer 19624 blt 0,0,640,480,0, 3007,640,480:waittimer 19630 blt 0,0,640,480,0, 3008,640,480:waittimer 19637 blt 0,0,640,480,0, 3009,640,480:waittimer 19644 blt 0,0,640,480,0, 3010,640,480:waittimer 19650 blt 0,0,640,480,0, 3011,640,480:waittimer 19657 blt 0,0,640,480,0, 3012,640,480:waittimer 19663 blt 0,0,640,480,0, 3013,640,480:waittimer 19670 blt 0,0,640,480,0, 3014,640,480:waittimer 19676 blt 0,0,640,480,0, 3015,640,480:waittimer 19683 blt 0,0,640,480,0, 3016,640,480:waittimer 19689 blt 0,0,640,480,0, 3017,640,480:waittimer 19696 blt 0,0,640,480,0, 3018,640,480:waittimer 19702 blt 0,0,640,480,0, 3019,640,480:waittimer 19709 blt 0,0,640,480,0, 3020,640,480:waittimer 19715 blt 0,0,640,480,0, 3021,640,480:waittimer 19722 blt 0,0,640,480,0, 3022,640,480:waittimer 19728 blt 0,0,640,480,0, 3023,640,480:waittimer 19735 blt 0,0,640,480,0, 3024,640,480:waittimer 19741 blt 0,0,640,480,0, 3025,640,480:waittimer 19748 blt 0,0,640,480,0, 3026,640,480:waittimer 19754 blt 0,0,640,480,0, 3027,640,480:waittimer 19761 blt 0,0,640,480,0, 3028,640,480:waittimer 19768 blt 0,0,640,480,0, 3029,640,480:waittimer 19774 blt 0,0,640,480,0, 3030,640,480:waittimer 19781 blt 0,0,640,480,0, 3031,640,480:waittimer 19787 blt 0,0,640,480,0, 3032,640,480:waittimer 19794 blt 0,0,640,480,0, 3033,640,480:waittimer 19800 blt 0,0,640,480,0, 3034,640,480:waittimer 19807 blt 0,0,640,480,0, 3035,640,480:waittimer 19813 blt 0,0,640,480,0, 3036,640,480:waittimer 19820 blt 0,0,640,480,0, 3037,640,480:waittimer 19826 blt 0,0,640,480,0, 3038,640,480:waittimer 19833 blt 0,0,640,480,0, 3039,640,480:waittimer 19839 blt 0,0,640,480,0, 3040,640,480:waittimer 19846 blt 0,0,640,480,0, 3041,640,480:waittimer 19852 blt 0,0,640,480,0, 3042,640,480:waittimer 19859 blt 0,0,640,480,0, 3043,640,480:waittimer 19865 blt 0,0,640,480,0, 3044,640,480:waittimer 19872 blt 0,0,640,480,0, 3045,640,480:waittimer 19879 blt 0,0,640,480,0, 3046,640,480:waittimer 19885 blt 0,0,640,480,0, 3047,640,480:waittimer 19892 blt 0,0,640,480,0, 3048,640,480:waittimer 19898 blt 0,0,640,480,0, 3049,640,480:waittimer 19905 blt 0,0,640,480,0, 3050,640,480:waittimer 19911 blt 0,0,640,480,0, 3051,640,480:waittimer 19918 blt 0,0,640,480,0, 3052,640,480:waittimer 19924 blt 0,0,640,480,0, 3053,640,480:waittimer 19931 blt 0,0,640,480,0, 3054,640,480:waittimer 19937 blt 0,0,640,480,0, 3055,640,480:waittimer 19944 blt 0,0,640,480,0, 3056,640,480:waittimer 19950 blt 0,0,640,480,0, 3057,640,480:waittimer 19957 blt 0,0,640,480,0, 3058,640,480:waittimer 19963 blt 0,0,640,480,0, 3059,640,480:waittimer 19970 blt 0,0,640,480,0, 3060,640,480:waittimer 19976 blt 0,0,640,480,0, 3061,640,480:waittimer 19983 blt 0,0,640,480,0, 3062,640,480:waittimer 19990 blt 0,0,640,480,0, 3063,640,480:waittimer 19996 blt 0,0,640,480,0, 3064,640,480:waittimer 20003 blt 0,0,640,480,0, 3065,640,480:waittimer 20009 blt 0,0,640,480,0, 3066,640,480:waittimer 20016 blt 0,0,640,480,0, 3067,640,480:waittimer 20022 blt 0,0,640,480,0, 3068,640,480:waittimer 20029 blt 0,0,640,480,0, 3069,640,480:waittimer 20035 blt 0,0,640,480,0, 3070,640,480:waittimer 20042 blt 0,0,640,480,0, 3071,640,480:waittimer 20048 blt 0,0,640,480,0, 3072,640,480:waittimer 20055 blt 0,0,640,480,0, 3073,640,480:waittimer 20061 blt 0,0,640,480,0, 3074,640,480:waittimer 20068 blt 0,0,640,480,0, 3075,640,480:waittimer 20074 blt 0,0,640,480,0, 3076,640,480:waittimer 20081 blt 0,0,640,480,0, 3077,640,480:waittimer 20087 blt 0,0,640,480,0, 3078,640,480:waittimer 20094 blt 0,0,640,480,0, 3079,640,480:waittimer 20100 blt 0,0,640,480,0, 3080,640,480:waittimer 20107 blt 0,0,640,480,0, 3081,640,480:waittimer 20114 blt 0,0,640,480,0, 3082,640,480:waittimer 20120 blt 0,0,640,480,0, 3083,640,480:waittimer 20127 blt 0,0,640,480,0, 3084,640,480:waittimer 20133 blt 0,0,640,480,0, 3085,640,480:waittimer 20140 blt 0,0,640,480,0, 3086,640,480:waittimer 20146 blt 0,0,640,480,0, 3087,640,480:waittimer 20153 blt 0,0,640,480,0, 3088,640,480:waittimer 20159 blt 0,0,640,480,0, 3089,640,480:waittimer 20166 blt 0,0,640,480,0, 3090,640,480:waittimer 20172 blt 0,0,640,480,0, 3091,640,480:waittimer 20179 blt 0,0,640,480,0, 3092,640,480:waittimer 20185 blt 0,0,640,480,0, 3093,640,480:waittimer 20192 blt 0,0,640,480,0, 3094,640,480:waittimer 20198 blt 0,0,640,480,0, 3095,640,480:waittimer 20205 blt 0,0,640,480,0, 3096,640,480:waittimer 20211 blt 0,0,640,480,0, 3097,640,480:waittimer 20218 blt 0,0,640,480,0, 3098,640,480:waittimer 20225 blt 0,0,640,480,0, 3099,640,480:waittimer 20231 blt 0,0,640,480,0, 3100,640,480:waittimer 20238 blt 0,0,640,480,0, 3101,640,480:waittimer 20244 blt 0,0,640,480,0, 3102,640,480:waittimer 20251 blt 0,0,640,480,0, 3103,640,480:waittimer 20257 blt 0,0,640,480,0, 3104,640,480:waittimer 20264 blt 0,0,640,480,0, 3105,640,480:waittimer 20270 blt 0,0,640,480,0, 3106,640,480:waittimer 20277 blt 0,0,640,480,0, 3107,640,480:waittimer 20283 blt 0,0,640,480,0, 3108,640,480:waittimer 20290 blt 0,0,640,480,0, 3109,640,480:waittimer 20296 blt 0,0,640,480,0, 3110,640,480:waittimer 20303 blt 0,0,640,480,0, 3111,640,480:waittimer 20309 blt 0,0,640,480,0, 3112,640,480:waittimer 20316 blt 0,0,640,480,0, 3113,640,480:waittimer 20322 blt 0,0,640,480,0, 3114,640,480:waittimer 20329 blt 0,0,640,480,0, 3115,640,480:waittimer 20336 blt 0,0,640,480,0, 3116,640,480:waittimer 20342 blt 0,0,640,480,0, 3117,640,480:waittimer 20349 blt 0,0,640,480,0, 3118,640,480:waittimer 20355 blt 0,0,640,480,0, 3119,640,480:waittimer 20362 blt 0,0,640,480,0, 3120,640,480:waittimer 20368 blt 0,0,640,480,0, 3121,640,480:waittimer 20375 blt 0,0,640,480,0, 3122,640,480:waittimer 20381 blt 0,0,640,480,0, 3123,640,480:waittimer 20388 blt 0,0,640,480,0, 3124,640,480:waittimer 20394 blt 0,0,640,480,0, 3125,640,480:waittimer 20401 blt 0,0,640,480,0, 3126,640,480:waittimer 20407 blt 0,0,640,480,0, 3127,640,480:waittimer 20414 blt 0,0,640,480,0, 3128,640,480:waittimer 20420 blt 0,0,640,480,0, 3129,640,480:waittimer 20427 blt 0,0,640,480,0, 3130,640,480:waittimer 20433 blt 0,0,640,480,0, 3131,640,480:waittimer 20440 blt 0,0,640,480,0, 3132,640,480:waittimer 20447 blt 0,0,640,480,0, 3133,640,480:waittimer 20453 blt 0,0,640,480,0, 3134,640,480:waittimer 20460 blt 0,0,640,480,0, 3135,640,480:waittimer 20466 blt 0,0,640,480,0, 3136,640,480:waittimer 20473 blt 0,0,640,480,0, 3137,640,480:waittimer 20479 blt 0,0,640,480,0, 3138,640,480:waittimer 20486 blt 0,0,640,480,0, 3139,640,480:waittimer 20492 blt 0,0,640,480,0, 3140,640,480:waittimer 20499 blt 0,0,640,480,0, 3141,640,480:waittimer 20505 blt 0,0,640,480,0, 3142,640,480:waittimer 20512 blt 0,0,640,480,0, 3143,640,480:waittimer 20518 blt 0,0,640,480,0, 3144,640,480:waittimer 20525 blt 0,0,640,480,0, 3145,640,480:waittimer 20531 blt 0,0,640,480,0, 3146,640,480:waittimer 20538 blt 0,0,640,480,0, 3147,640,480:waittimer 20544 blt 0,0,640,480,0, 3148,640,480:waittimer 20551 blt 0,0,640,480,0, 3149,640,480:waittimer 20557 blt 0,0,640,480,0, 3150,640,480:waittimer 20564 blt 0,0,640,480,0, 3151,640,480:waittimer 20571 blt 0,0,640,480,0, 3152,640,480:waittimer 20577 blt 0,0,640,480,0, 3153,640,480:waittimer 20584 blt 0,0,640,480,0, 3154,640,480:waittimer 20590 blt 0,0,640,480,0, 3155,640,480:waittimer 20597 blt 0,0,640,480,0, 3156,640,480:waittimer 20603 blt 0,0,640,480,0, 3157,640,480:waittimer 20610 blt 0,0,640,480,0, 3158,640,480:waittimer 20616 blt 0,0,640,480,0, 3159,640,480:waittimer 20623 blt 0,0,640,480,0, 3160,640,480:waittimer 20629 blt 0,0,640,480,0, 3161,640,480:waittimer 20636 blt 0,0,640,480,0, 3162,640,480:waittimer 20642 blt 0,0,640,480,0, 3163,640,480:waittimer 20649 blt 0,0,640,480,0, 3164,640,480:waittimer 20655 blt 0,0,640,480,0, 3165,640,480:waittimer 20662 blt 0,0,640,480,0, 3166,640,480:waittimer 20668 blt 0,0,640,480,0, 3167,640,480:waittimer 20675 blt 0,0,640,480,0, 3168,640,480:waittimer 20682 blt 0,0,640,480,0, 3169,640,480:waittimer 20688 blt 0,0,640,480,0, 3170,640,480:waittimer 20695 blt 0,0,640,480,0, 3171,640,480:waittimer 20701 blt 0,0,640,480,0, 3172,640,480:waittimer 20708 blt 0,0,640,480,0, 3173,640,480:waittimer 20714 blt 0,0,640,480,0, 3174,640,480:waittimer 20721 blt 0,0,640,480,0, 3175,640,480:waittimer 20727 blt 0,0,640,480,0, 3176,640,480:waittimer 20734 blt 0,0,640,480,0, 3177,640,480:waittimer 20740 blt 0,0,640,480,0, 3178,640,480:waittimer 20747 blt 0,0,640,480,0, 3179,640,480:waittimer 20753 blt 0,0,640,480,0, 3180,640,480:waittimer 20760 blt 0,0,640,480,0, 3181,640,480:waittimer 20766 blt 0,0,640,480,0, 3182,640,480:waittimer 20773 blt 0,0,640,480,0, 3183,640,480:waittimer 20779 blt 0,0,640,480,0, 3184,640,480:waittimer 20786 blt 0,0,640,480,0, 3185,640,480:waittimer 20793 blt 0,0,640,480,0, 3186,640,480:waittimer 20799 blt 0,0,640,480,0, 3187,640,480:waittimer 20806 blt 0,0,640,480,0, 3188,640,480:waittimer 20812 blt 0,0,640,480,0, 3189,640,480:waittimer 20819 blt 0,0,640,480,0, 3190,640,480:waittimer 20825 blt 0,0,640,480,0, 3191,640,480:waittimer 20832 blt 0,0,640,480,0, 3192,640,480:waittimer 20838 blt 0,0,640,480,0, 3193,640,480:waittimer 20845 blt 0,0,640,480,0, 3194,640,480:waittimer 20851 blt 0,0,640,480,0, 3195,640,480:waittimer 20858 blt 0,0,640,480,0, 3196,640,480:waittimer 20864 blt 0,0,640,480,0, 3197,640,480:waittimer 20871 blt 0,0,640,480,0, 3198,640,480:waittimer 20877 blt 0,0,640,480,0, 3199,640,480:waittimer 20884 blt 0,0,640,480,0, 3200,640,480:waittimer 20890 blt 0,0,640,480,0, 3201,640,480:waittimer 20897 blt 0,0,640,480,0, 3202,640,480:waittimer 20903 blt 0,0,640,480,0, 3203,640,480:waittimer 20910 blt 0,0,640,480,0, 3204,640,480:waittimer 20917 blt 0,0,640,480,0, 3205,640,480:waittimer 20923 blt 0,0,640,480,0, 3206,640,480:waittimer 20930 blt 0,0,640,480,0, 3207,640,480:waittimer 20936 blt 0,0,640,480,0, 3208,640,480:waittimer 20943 blt 0,0,640,480,0, 3209,640,480:waittimer 20949 blt 0,0,640,480,0, 3210,640,480:waittimer 20956 blt 0,0,640,480,0, 3211,640,480:waittimer 20962 blt 0,0,640,480,0, 3212,640,480:waittimer 20969 blt 0,0,640,480,0, 3213,640,480:waittimer 20975 blt 0,0,640,480,0, 3214,640,480:waittimer 20982 blt 0,0,640,480,0, 3215,640,480:waittimer 20988 blt 0,0,640,480,0, 3216,640,480:waittimer 20995 blt 0,0,640,480,0, 3217,640,480:waittimer 21001 blt 0,0,640,480,0, 3218,640,480:waittimer 21008 blt 0,0,640,480,0, 3219,640,480:waittimer 21014 blt 0,0,640,480,0, 3220,640,480:waittimer 21021 blt 0,0,640,480,0, 3221,640,480:waittimer 21028 blt 0,0,640,480,0, 3222,640,480:waittimer 21034 blt 0,0,640,480,0, 3223,640,480:waittimer 21041 blt 0,0,640,480,0, 3224,640,480:waittimer 21047 blt 0,0,640,480,0, 3225,640,480:waittimer 21054 blt 0,0,640,480,0, 3226,640,480:waittimer 21060 blt 0,0,640,480,0, 3227,640,480:waittimer 21067 blt 0,0,640,480,0, 3228,640,480:waittimer 21073 blt 0,0,640,480,0, 3229,640,480:waittimer 21080 blt 0,0,640,480,0, 3230,640,480:waittimer 21086 blt 0,0,640,480,0, 3231,640,480:waittimer 21093 blt 0,0,640,480,0, 3232,640,480:waittimer 21099 blt 0,0,640,480,0, 3233,640,480:waittimer 21106 blt 0,0,640,480,0, 3234,640,480:waittimer 21112 blt 0,0,640,480,0, 3235,640,480:waittimer 21119 blt 0,0,640,480,0, 3236,640,480:waittimer 21125 blt 0,0,640,480,0, 3237,640,480:waittimer 21132 blt 0,0,640,480,0, 3238,640,480:waittimer 21139 blt 0,0,640,480,0, 3239,640,480:waittimer 21145 blt 0,0,640,480,0, 3240,640,480:waittimer 21152 blt 0,0,640,480,0, 3241,640,480:waittimer 21158 blt 0,0,640,480,0, 3242,640,480:waittimer 21165 blt 0,0,640,480,0, 3243,640,480:waittimer 21171 blt 0,0,640,480,0, 3244,640,480:waittimer 21178 blt 0,0,640,480,0, 3245,640,480:waittimer 21184 blt 0,0,640,480,0, 3246,640,480:waittimer 21191 blt 0,0,640,480,0, 3247,640,480:waittimer 21197 blt 0,0,640,480,0, 3248,640,480:waittimer 21204 blt 0,0,640,480,0, 3249,640,480:waittimer 21210 blt 0,0,640,480,0, 3250,640,480:waittimer 21217 blt 0,0,640,480,0, 3251,640,480:waittimer 21223 blt 0,0,640,480,0, 3252,640,480:waittimer 21230 blt 0,0,640,480,0, 3253,640,480:waittimer 21236 blt 0,0,640,480,0, 3254,640,480:waittimer 21243 blt 0,0,640,480,0, 3255,640,480:waittimer 21249 blt 0,0,640,480,0, 3256,640,480:waittimer 21256 blt 0,0,640,480,0, 3257,640,480:waittimer 21263 blt 0,0,640,480,0, 3258,640,480:waittimer 21269 blt 0,0,640,480,0, 3259,640,480:waittimer 21276 blt 0,0,640,480,0, 3260,640,480:waittimer 21282 blt 0,0,640,480,0, 3261,640,480:waittimer 21289 blt 0,0,640,480,0, 3262,640,480:waittimer 21295 blt 0,0,640,480,0, 3263,640,480:waittimer 21302 blt 0,0,640,480,0, 3264,640,480:waittimer 21308 blt 0,0,640,480,0, 3265,640,480:waittimer 21315 blt 0,0,640,480,0, 3266,640,480:waittimer 21321 blt 0,0,640,480,0, 3267,640,480:waittimer 21328 blt 0,0,640,480,0, 3268,640,480:waittimer 21334 blt 0,0,640,480,0, 3269,640,480:waittimer 21341 blt 0,0,640,480,0, 3270,640,480:waittimer 21347 blt 0,0,640,480,0, 3271,640,480:waittimer 21354 blt 0,0,640,480,0, 3272,640,480:waittimer 21360 blt 0,0,640,480,0, 3273,640,480:waittimer 21367 blt 0,0,640,480,0, 3274,640,480:waittimer 21374 blt 0,0,640,480,0, 3275,640,480:waittimer 21380 blt 0,0,640,480,0, 3276,640,480:waittimer 21387 blt 0,0,640,480,0, 3277,640,480:waittimer 21393 blt 0,0,640,480,0, 3278,640,480:waittimer 21400 blt 0,0,640,480,0, 3279,640,480:waittimer 21406 blt 0,0,640,480,0, 3280,640,480:waittimer 21413 blt 0,0,640,480,0, 3281,640,480:waittimer 21419 blt 0,0,640,480,0, 3282,640,480:waittimer 21426 blt 0,0,640,480,0, 3283,640,480:waittimer 21432 blt 0,0,640,480,0, 3284,640,480:waittimer 21439 blt 0,0,640,480,0, 3285,640,480:waittimer 21445 blt 0,0,640,480,0, 3286,640,480:waittimer 21452 blt 0,0,640,480,0, 3287,640,480:waittimer 21458 blt 0,0,640,480,0, 3288,640,480:waittimer 21465 blt 0,0,640,480,0, 3289,640,480:waittimer 21471 blt 0,0,640,480,0, 3290,640,480:waittimer 21478 blt 0,0,640,480,0, 3291,640,480:waittimer 21485 blt 0,0,640,480,0, 3292,640,480:waittimer 21491 blt 0,0,640,480,0, 3293,640,480:waittimer 21498 blt 0,0,640,480,0, 3294,640,480:waittimer 21504 blt 0,0,640,480,0, 3295,640,480:waittimer 21511 blt 0,0,640,480,0, 3296,640,480:waittimer 21517 blt 0,0,640,480,0, 3297,640,480:waittimer 21524 blt 0,0,640,480,0, 3298,640,480:waittimer 21530 blt 0,0,640,480,0, 3299,640,480:waittimer 21537 blt 0,0,640,480,0, 3300,640,480:waittimer 21543 blt 0,0,640,480,0, 3301,640,480:waittimer 21550 blt 0,0,640,480,0, 3302,640,480:waittimer 21556 blt 0,0,640,480,0, 3303,640,480:waittimer 21563 blt 0,0,640,480,0, 3304,640,480:waittimer 21569 blt 0,0,640,480,0, 3305,640,480:waittimer 21576 blt 0,0,640,480,0, 3306,640,480:waittimer 21582 blt 0,0,640,480,0, 3307,640,480:waittimer 21589 blt 0,0,640,480,0, 3308,640,480:waittimer 21596 blt 0,0,640,480,0, 3309,640,480:waittimer 21602 blt 0,0,640,480,0, 3310,640,480:waittimer 21609 blt 0,0,640,480,0, 3311,640,480:waittimer 21615 blt 0,0,640,480,0, 3312,640,480:waittimer 21622 blt 0,0,640,480,0, 3313,640,480:waittimer 21628 blt 0,0,640,480,0, 3314,640,480:waittimer 21635 blt 0,0,640,480,0, 3315,640,480:waittimer 21641 blt 0,0,640,480,0, 3316,640,480:waittimer 21648 blt 0,0,640,480,0, 3317,640,480:waittimer 21654 blt 0,0,640,480,0, 3318,640,480:waittimer 21661 blt 0,0,640,480,0, 3319,640,480:waittimer 21667 blt 0,0,640,480,0, 3320,640,480:waittimer 21674 blt 0,0,640,480,0, 3321,640,480:waittimer 21680 blt 0,0,640,480,0, 3322,640,480:waittimer 21687 blt 0,0,640,480,0, 3323,640,480:waittimer 21693 blt 0,0,640,480,0, 3324,640,480:waittimer 21700 blt 0,0,640,480,0, 3325,640,480:waittimer 21706 blt 0,0,640,480,0, 3326,640,480:waittimer 21713 blt 0,0,640,480,0, 3327,640,480:waittimer 21720 blt 0,0,640,480,0, 3328,640,480:waittimer 21726 blt 0,0,640,480,0, 3329,640,480:waittimer 21733 blt 0,0,640,480,0, 3330,640,480:waittimer 21739 blt 0,0,640,480,0, 3331,640,480:waittimer 21746 blt 0,0,640,480,0, 3332,640,480:waittimer 21752 blt 0,0,640,480,0, 3333,640,480:waittimer 21759 blt 0,0,640,480,0, 3334,640,480:waittimer 21765 blt 0,0,640,480,0, 3335,640,480:waittimer 21772 blt 0,0,640,480,0, 3336,640,480:waittimer 21778 blt 0,0,640,480,0, 3337,640,480:waittimer 21785 blt 0,0,640,480,0, 3338,640,480:waittimer 21791 blt 0,0,640,480,0, 3339,640,480:waittimer 21798 blt 0,0,640,480,0, 3340,640,480:waittimer 21804 blt 0,0,640,480,0, 3341,640,480:waittimer 21811 blt 0,0,640,480,0, 3342,640,480:waittimer 21817 blt 0,0,640,480,0, 3343,640,480:waittimer 21824 blt 0,0,640,480,0, 3344,640,480:waittimer 21831 blt 0,0,640,480,0, 3345,640,480:waittimer 21837 blt 0,0,640,480,0, 3346,640,480:waittimer 21844 blt 0,0,640,480,0, 3347,640,480:waittimer 21850 blt 0,0,640,480,0, 3348,640,480:waittimer 21857 blt 0,0,640,480,0, 3349,640,480:waittimer 21863 blt 0,0,640,480,0, 3350,640,480:waittimer 21870 blt 0,0,640,480,0, 3351,640,480:waittimer 21876 blt 0,0,640,480,0, 3352,640,480:waittimer 21883 blt 0,0,640,480,0, 3353,640,480:waittimer 21889 blt 0,0,640,480,0, 3354,640,480:waittimer 21896 blt 0,0,640,480,0, 3355,640,480:waittimer 21902 blt 0,0,640,480,0, 3356,640,480:waittimer 21909 blt 0,0,640,480,0, 3357,640,480:waittimer 21915 blt 0,0,640,480,0, 3358,640,480:waittimer 21922 blt 0,0,640,480,0, 3359,640,480:waittimer 21928 blt 0,0,640,480,0, 3360,640,480:waittimer 21935 blt 0,0,640,480,0, 3361,640,480:waittimer 21942 blt 0,0,640,480,0, 3362,640,480:waittimer 21948 blt 0,0,640,480,0, 3363,640,480:waittimer 21955 blt 0,0,640,480,0, 3364,640,480:waittimer 21961 blt 0,0,640,480,0, 3365,640,480:waittimer 21968 blt 0,0,640,480,0, 3366,640,480:waittimer 21974 blt 0,0,640,480,0, 3367,640,480:waittimer 21981 blt 0,0,640,480,0, 3368,640,480:waittimer 21987 blt 0,0,640,480,0, 3369,640,480:waittimer 21994 blt 0,0,640,480,0, 3370,640,480:waittimer 22000 blt 0,0,640,480,0, 3371,640,480:waittimer 22007 blt 0,0,640,480,0, 3372,640,480:waittimer 22013 blt 0,0,640,480,0, 3373,640,480:waittimer 22020 blt 0,0,640,480,0, 3374,640,480:waittimer 22026 blt 0,0,640,480,0, 3375,640,480:waittimer 22033 blt 0,0,640,480,0, 3376,640,480:waittimer 22039 blt 0,0,640,480,0, 3377,640,480:waittimer 22046 blt 0,0,640,480,0, 3378,640,480:waittimer 22052 blt 0,0,640,480,0, 3379,640,480:waittimer 22059 blt 0,0,640,480,0, 3380,640,480:waittimer 22066 blt 0,0,640,480,0, 3381,640,480:waittimer 22072 blt 0,0,640,480,0, 3382,640,480:waittimer 22079 blt 0,0,640,480,0, 3383,640,480:waittimer 22085 blt 0,0,640,480,0, 3384,640,480:waittimer 22092 blt 0,0,640,480,0, 3385,640,480:waittimer 22098 blt 0,0,640,480,0, 3386,640,480:waittimer 22105 blt 0,0,640,480,0, 3387,640,480:waittimer 22111 blt 0,0,640,480,0, 3388,640,480:waittimer 22118 blt 0,0,640,480,0, 3389,640,480:waittimer 22124 blt 0,0,640,480,0, 3390,640,480:waittimer 22131 blt 0,0,640,480,0, 3391,640,480:waittimer 22137 blt 0,0,640,480,0, 3392,640,480:waittimer 22144 blt 0,0,640,480,0, 3393,640,480:waittimer 22150 blt 0,0,640,480,0, 3394,640,480:waittimer 22157 blt 0,0,640,480,0, 3395,640,480:waittimer 22163 blt 0,0,640,480,0, 3396,640,480:waittimer 22170 blt 0,0,640,480,0, 3397,640,480:waittimer 22177 blt 0,0,640,480,0, 3398,640,480:waittimer 22183 blt 0,0,640,480,0, 3399,640,480:waittimer 22190 blt 0,0,640,480,0, 3400,640,480:waittimer 22196 blt 0,0,640,480,0, 3401,640,480:waittimer 22203 blt 0,0,640,480,0, 3402,640,480:waittimer 22209 blt 0,0,640,480,0, 3403,640,480:waittimer 22216 blt 0,0,640,480,0, 3404,640,480:waittimer 22222 blt 0,0,640,480,0, 3405,640,480:waittimer 22229 blt 0,0,640,480,0, 3406,640,480:waittimer 22235 blt 0,0,640,480,0, 3407,640,480:waittimer 22242 blt 0,0,640,480,0, 3408,640,480:waittimer 22248 blt 0,0,640,480,0, 3409,640,480:waittimer 22255 blt 0,0,640,480,0, 3410,640,480:waittimer 22261 blt 0,0,640,480,0, 3411,640,480:waittimer 22268 blt 0,0,640,480,0, 3412,640,480:waittimer 22274 blt 0,0,640,480,0, 3413,640,480:waittimer 22281 blt 0,0,640,480,0, 3414,640,480:waittimer 22288 blt 0,0,640,480,0, 3415,640,480:waittimer 22294 blt 0,0,640,480,0, 3416,640,480:waittimer 22301 blt 0,0,640,480,0, 3417,640,480:waittimer 22307 blt 0,0,640,480,0, 3418,640,480:waittimer 22314 blt 0,0,640,480,0, 3419,640,480:waittimer 22320 blt 0,0,640,480,0, 3420,640,480:waittimer 22327 blt 0,0,640,480,0, 3421,640,480:waittimer 22333 blt 0,0,640,480,0, 3422,640,480:waittimer 22340 blt 0,0,640,480,0, 3423,640,480:waittimer 22346 blt 0,0,640,480,0, 3424,640,480:waittimer 22353 blt 0,0,640,480,0, 3425,640,480:waittimer 22359 blt 0,0,640,480,0, 3426,640,480:waittimer 22366 blt 0,0,640,480,0, 3427,640,480:waittimer 22372 blt 0,0,640,480,0, 3428,640,480:waittimer 22379 blt 0,0,640,480,0, 3429,640,480:waittimer 22385 blt 0,0,640,480,0, 3430,640,480:waittimer 22392 blt 0,0,640,480,0, 3431,640,480:waittimer 22399 blt 0,0,640,480,0, 3432,640,480:waittimer 22405 blt 0,0,640,480,0, 3433,640,480:waittimer 22412 blt 0,0,640,480,0, 3434,640,480:waittimer 22418 blt 0,0,640,480,0, 3435,640,480:waittimer 22425 blt 0,0,640,480,0, 3436,640,480:waittimer 22431 blt 0,0,640,480,0, 3437,640,480:waittimer 22438 blt 0,0,640,480,0, 3438,640,480:waittimer 22444 blt 0,0,640,480,0, 3439,640,480:waittimer 22451 blt 0,0,640,480,0, 3440,640,480:waittimer 22457 blt 0,0,640,480,0, 3441,640,480:waittimer 22464 blt 0,0,640,480,0, 3442,640,480:waittimer 22470 blt 0,0,640,480,0, 3443,640,480:waittimer 22477 blt 0,0,640,480,0, 3444,640,480:waittimer 22483 blt 0,0,640,480,0, 3445,640,480:waittimer 22490 blt 0,0,640,480,0, 3446,640,480:waittimer 22496 blt 0,0,640,480,0, 3447,640,480:waittimer 22503 blt 0,0,640,480,0, 3448,640,480:waittimer 22509 blt 0,0,640,480,0, 3449,640,480:waittimer 22516 blt 0,0,640,480,0, 3450,640,480:waittimer 22523 blt 0,0,640,480,0, 3451,640,480:waittimer 22529 blt 0,0,640,480,0, 3452,640,480:waittimer 22536 blt 0,0,640,480,0, 3453,640,480:waittimer 22542 blt 0,0,640,480,0, 3454,640,480:waittimer 22549 blt 0,0,640,480,0, 3455,640,480:waittimer 22555 blt 0,0,640,480,0, 3456,640,480:waittimer 22562 blt 0,0,640,480,0, 3457,640,480:waittimer 22568 blt 0,0,640,480,0, 3458,640,480:waittimer 22575 blt 0,0,640,480,0, 3459,640,480:waittimer 22581 blt 0,0,640,480,0, 3460,640,480:waittimer 22588 blt 0,0,640,480,0, 3461,640,480:waittimer 22594 blt 0,0,640,480,0, 3462,640,480:waittimer 22601 blt 0,0,640,480,0, 3463,640,480:waittimer 22607 blt 0,0,640,480,0, 3464,640,480:waittimer 22614 blt 0,0,640,480,0, 3465,640,480:waittimer 22620 blt 0,0,640,480,0, 3466,640,480:waittimer 22627 blt 0,0,640,480,0, 3467,640,480:waittimer 22634 blt 0,0,640,480,0, 3468,640,480:waittimer 22640 blt 0,0,640,480,0, 3469,640,480:waittimer 22647 blt 0,0,640,480,0, 3470,640,480:waittimer 22653 blt 0,0,640,480,0, 3471,640,480:waittimer 22660 blt 0,0,640,480,0, 3472,640,480:waittimer 22666 blt 0,0,640,480,0, 3473,640,480:waittimer 22673 blt 0,0,640,480,0, 3474,640,480:waittimer 22679 blt 0,0,640,480,0, 3475,640,480:waittimer 22686 blt 0,0,640,480,0, 3476,640,480:waittimer 22692 blt 0,0,640,480,0, 3477,640,480:waittimer 22699 blt 0,0,640,480,0, 3478,640,480:waittimer 22705 blt 0,0,640,480,0, 3479,640,480:waittimer 22712 blt 0,0,640,480,0, 3480,640,480:waittimer 22718 blt 0,0,640,480,0, 3481,640,480:waittimer 22725 blt 0,0,640,480,0, 3482,640,480:waittimer 22731 blt 0,0,640,480,0, 3483,640,480:waittimer 22738 blt 0,0,640,480,0, 3484,640,480:waittimer 22745 blt 0,0,640,480,0, 3485,640,480:waittimer 22751 blt 0,0,640,480,0, 3486,640,480:waittimer 22758 blt 0,0,640,480,0, 3487,640,480:waittimer 22764 blt 0,0,640,480,0, 3488,640,480:waittimer 22771 blt 0,0,640,480,0, 3489,640,480:waittimer 22777 blt 0,0,640,480,0, 3490,640,480:waittimer 22784 blt 0,0,640,480,0, 3491,640,480:waittimer 22790 blt 0,0,640,480,0, 3492,640,480:waittimer 22797 blt 0,0,640,480,0, 3493,640,480:waittimer 22803 blt 0,0,640,480,0, 3494,640,480:waittimer 22810 blt 0,0,640,480,0, 3495,640,480:waittimer 22816 blt 0,0,640,480,0, 3496,640,480:waittimer 22823 blt 0,0,640,480,0, 3497,640,480:waittimer 22829 blt 0,0,640,480,0, 3498,640,480:waittimer 22836 blt 0,0,640,480,0, 3499,640,480:waittimer 22842 blt 0,0,640,480,0, 3500,640,480:waittimer 22849 blt 0,0,640,480,0, 3501,640,480:waittimer 22855 blt 0,0,640,480,0, 3502,640,480:waittimer 22862 blt 0,0,640,480,0, 3503,640,480:waittimer 22869 blt 0,0,640,480,0, 3504,640,480:waittimer 22875 blt 0,0,640,480,0, 3505,640,480:waittimer 22882 blt 0,0,640,480,0, 3506,640,480:waittimer 22888 blt 0,0,640,480,0, 3507,640,480:waittimer 22895 blt 0,0,640,480,0, 3508,640,480:waittimer 22901 blt 0,0,640,480,0, 3509,640,480:waittimer 22908 blt 0,0,640,480,0, 3510,640,480:waittimer 22914 blt 0,0,640,480,0, 3511,640,480:waittimer 22921 blt 0,0,640,480,0, 3512,640,480:waittimer 22927 blt 0,0,640,480,0, 3513,640,480:waittimer 22934 blt 0,0,640,480,0, 3514,640,480:waittimer 22940 blt 0,0,640,480,0, 3515,640,480:waittimer 22947 blt 0,0,640,480,0, 3516,640,480:waittimer 22953 blt 0,0,640,480,0, 3517,640,480:waittimer 22960 blt 0,0,640,480,0, 3518,640,480:waittimer 22966 blt 0,0,640,480,0, 3519,640,480:waittimer 22973 blt 0,0,640,480,0, 3520,640,480:waittimer 22980 blt 0,0,640,480,0, 3521,640,480:waittimer 22986 blt 0,0,640,480,0, 3522,640,480:waittimer 22993 blt 0,0,640,480,0, 3523,640,480:waittimer 22999 blt 0,0,640,480,0, 3524,640,480:waittimer 23006 blt 0,0,640,480,0, 3525,640,480:waittimer 23012 blt 0,0,640,480,0, 3526,640,480:waittimer 23019 blt 0,0,640,480,0, 3527,640,480:waittimer 23025 blt 0,0,640,480,0, 3528,640,480:waittimer 23032 blt 0,0,640,480,0, 3529,640,480:waittimer 23038 blt 0,0,640,480,0, 3530,640,480:waittimer 23045 blt 0,0,640,480,0, 3531,640,480:waittimer 23051 blt 0,0,640,480,0, 3532,640,480:waittimer 23058 blt 0,0,640,480,0, 3533,640,480:waittimer 23064 blt 0,0,640,480,0, 3534,640,480:waittimer 23071 blt 0,0,640,480,0, 3535,640,480:waittimer 23077 blt 0,0,640,480,0, 3536,640,480:waittimer 23084 blt 0,0,640,480,0, 3537,640,480:waittimer 23091 blt 0,0,640,480,0, 3538,640,480:waittimer 23097 blt 0,0,640,480,0, 3539,640,480:waittimer 23104 blt 0,0,640,480,0, 3540,640,480:waittimer 23110 blt 0,0,640,480,0, 3541,640,480:waittimer 23117 blt 0,0,640,480,0, 3542,640,480:waittimer 23123 blt 0,0,640,480,0, 3543,640,480:waittimer 23130 blt 0,0,640,480,0, 3544,640,480:waittimer 23136 blt 0,0,640,480,0, 3545,640,480:waittimer 23143 blt 0,0,640,480,0, 3546,640,480:waittimer 23149 blt 0,0,640,480,0, 3547,640,480:waittimer 23156 blt 0,0,640,480,0, 3548,640,480:waittimer 23162 blt 0,0,640,480,0, 3549,640,480:waittimer 23169 blt 0,0,640,480,0, 3550,640,480:waittimer 23175 blt 0,0,640,480,0, 3551,640,480:waittimer 23182 blt 0,0,640,480,0, 3552,640,480:waittimer 23188 blt 0,0,640,480,0, 3553,640,480:waittimer 23195 blt 0,0,640,480,0, 3554,640,480:waittimer 23201 blt 0,0,640,480,0, 3555,640,480:waittimer 23208 blt 0,0,640,480,0, 3556,640,480:waittimer 23215 blt 0,0,640,480,0, 3557,640,480:waittimer 23221 blt 0,0,640,480,0, 3558,640,480:waittimer 23228 blt 0,0,640,480,0, 3559,640,480:waittimer 23234 blt 0,0,640,480,0, 3560,640,480:waittimer 23241 blt 0,0,640,480,0, 3561,640,480:waittimer 23247 blt 0,0,640,480,0, 3562,640,480:waittimer 23254 blt 0,0,640,480,0, 3563,640,480:waittimer 23260 blt 0,0,640,480,0, 3564,640,480:waittimer 23267 blt 0,0,640,480,0, 3565,640,480:waittimer 23273 blt 0,0,640,480,0, 3566,640,480:waittimer 23280 blt 0,0,640,480,0, 3567,640,480:waittimer 23286 blt 0,0,640,480,0, 3568,640,480:waittimer 23293 blt 0,0,640,480,0, 3569,640,480:waittimer 23299 blt 0,0,640,480,0, 3570,640,480:waittimer 23306 blt 0,0,640,480,0, 3571,640,480:waittimer 23312 blt 0,0,640,480,0, 3572,640,480:waittimer 23319 blt 0,0,640,480,0, 3573,640,480:waittimer 23326 blt 0,0,640,480,0, 3574,640,480:waittimer 23332 blt 0,0,640,480,0, 3575,640,480:waittimer 23339 blt 0,0,640,480,0, 3576,640,480:waittimer 23345 blt 0,0,640,480,0, 3577,640,480:waittimer 23352 blt 0,0,640,480,0, 3578,640,480:waittimer 23358 blt 0,0,640,480,0, 3579,640,480:waittimer 23365 blt 0,0,640,480,0, 3580,640,480:waittimer 23371 blt 0,0,640,480,0, 3581,640,480:waittimer 23378 blt 0,0,640,480,0, 3582,640,480:waittimer 23384 blt 0,0,640,480,0, 3583,640,480:waittimer 23391 blt 0,0,640,480,0, 3584,640,480:waittimer 23397 blt 0,0,640,480,0, 3585,640,480:waittimer 23404 blt 0,0,640,480,0, 3586,640,480:waittimer 23410 blt 0,0,640,480,0, 3587,640,480:waittimer 23417 blt 0,0,640,480,0, 3588,640,480:waittimer 23423 blt 0,0,640,480,0, 3589,640,480:waittimer 23430 blt 0,0,640,480,0, 3590,640,480:waittimer 23437 blt 0,0,640,480,0, 3591,640,480:waittimer 23443 blt 0,0,640,480,0, 3592,640,480:waittimer 23450 blt 0,0,640,480,0, 3593,640,480:waittimer 23456 blt 0,0,640,480,0, 3594,640,480:waittimer 23463 blt 0,0,640,480,0, 3595,640,480:waittimer 23469 blt 0,0,640,480,0, 3596,640,480:waittimer 23476 blt 0,0,640,480,0, 3597,640,480:waittimer 23482 blt 0,0,640,480,0, 3598,640,480:waittimer 23489 blt 0,0,640,480,0, 3599,640,480:waittimer 23495 blt 0,0,640,480,0, 3600,640,480:waittimer 23502 blt 0,0,640,480,0, 3601,640,480:waittimer 23508 blt 0,0,640,480,0, 3602,640,480:waittimer 23515 blt 0,0,640,480,0, 3603,640,480:waittimer 23521 blt 0,0,640,480,0, 3604,640,480:waittimer 23528 blt 0,0,640,480,0, 3605,640,480:waittimer 23534 blt 0,0,640,480,0, 3606,640,480:waittimer 23541 blt 0,0,640,480,0, 3607,640,480:waittimer 23548 blt 0,0,640,480,0, 3608,640,480:waittimer 23554 blt 0,0,640,480,0, 3609,640,480:waittimer 23561 blt 0,0,640,480,0, 3610,640,480:waittimer 23567 blt 0,0,640,480,0, 3611,640,480:waittimer 23574 blt 0,0,640,480,0, 3612,640,480:waittimer 23580 blt 0,0,640,480,0, 3613,640,480:waittimer 23587 blt 0,0,640,480,0, 3614,640,480:waittimer 23593 blt 0,0,640,480,0, 3615,640,480:waittimer 23600 blt 0,0,640,480,0, 3616,640,480:waittimer 23606 blt 0,0,640,480,0, 3617,640,480:waittimer 23613 blt 0,0,640,480,0, 3618,640,480:waittimer 23619 blt 0,0,640,480,0, 3619,640,480:waittimer 23626 blt 0,0,640,480,0, 3620,640,480:waittimer 23632 blt 0,0,640,480,0, 3621,640,480:waittimer 23639 blt 0,0,640,480,0, 3622,640,480:waittimer 23645 blt 0,0,640,480,0, 3623,640,480:waittimer 23652 blt 0,0,640,480,0, 3624,640,480:waittimer 23658 blt 0,0,640,480,0, 3625,640,480:waittimer 23665 blt 0,0,640,480,0, 3626,640,480:waittimer 23672 blt 0,0,640,480,0, 3627,640,480:waittimer 23678 blt 0,0,640,480,0, 3628,640,480:waittimer 23685 blt 0,0,640,480,0, 3629,640,480:waittimer 23691 blt 0,0,640,480,0, 3630,640,480:waittimer 23698 blt 0,0,640,480,0, 3631,640,480:waittimer 23704 blt 0,0,640,480,0, 3632,640,480:waittimer 23711 blt 0,0,640,480,0, 3633,640,480:waittimer 23717 blt 0,0,640,480,0, 3634,640,480:waittimer 23724 blt 0,0,640,480,0, 3635,640,480:waittimer 23730 blt 0,0,640,480,0, 3636,640,480:waittimer 23737 blt 0,0,640,480,0, 3637,640,480:waittimer 23743 blt 0,0,640,480,0, 3638,640,480:waittimer 23750 blt 0,0,640,480,0, 3639,640,480:waittimer 23756 blt 0,0,640,480,0, 3640,640,480:waittimer 23763 blt 0,0,640,480,0, 3641,640,480:waittimer 23769 blt 0,0,640,480,0, 3642,640,480:waittimer 23776 blt 0,0,640,480,0, 3643,640,480:waittimer 23783 blt 0,0,640,480,0, 3644,640,480:waittimer 23789 blt 0,0,640,480,0, 3645,640,480:waittimer 23796 blt 0,0,640,480,0, 3646,640,480:waittimer 23802 blt 0,0,640,480,0, 3647,640,480:waittimer 23809 blt 0,0,640,480,0, 3648,640,480:waittimer 23815 blt 0,0,640,480,0, 3649,640,480:waittimer 23822 blt 0,0,640,480,0, 3650,640,480:waittimer 23828 blt 0,0,640,480,0, 3651,640,480:waittimer 23835 blt 0,0,640,480,0, 3652,640,480:waittimer 23841 blt 0,0,640,480,0, 3653,640,480:waittimer 23848 blt 0,0,640,480,0, 3654,640,480:waittimer 23854 blt 0,0,640,480,0, 3655,640,480:waittimer 23861 blt 0,0,640,480,0, 3656,640,480:waittimer 23867 blt 0,0,640,480,0, 3657,640,480:waittimer 23874 blt 0,0,640,480,0, 3658,640,480:waittimer 23880 blt 0,0,640,480,0, 3659,640,480:waittimer 23887 blt 0,0,640,480,0, 3660,640,480:waittimer 23894 blt 0,0,640,480,0, 3661,640,480:waittimer 23900 blt 0,0,640,480,0, 3662,640,480:waittimer 23907 blt 0,0,640,480,0, 3663,640,480:waittimer 23913 blt 0,0,640,480,0, 3664,640,480:waittimer 23920 blt 0,0,640,480,0, 3665,640,480:waittimer 23926 blt 0,0,640,480,0, 3666,640,480:waittimer 23933 blt 0,0,640,480,0, 3667,640,480:waittimer 23939 blt 0,0,640,480,0, 3668,640,480:waittimer 23946 blt 0,0,640,480,0, 3669,640,480:waittimer 23952 blt 0,0,640,480,0, 3670,640,480:waittimer 23959 blt 0,0,640,480,0, 3671,640,480:waittimer 23965 blt 0,0,640,480,0, 3672,640,480:waittimer 23972 blt 0,0,640,480,0, 3673,640,480:waittimer 23978 blt 0,0,640,480,0, 3674,640,480:waittimer 23985 blt 0,0,640,480,0, 3675,640,480:waittimer 23991 blt 0,0,640,480,0, 3676,640,480:waittimer 23998 blt 0,0,640,480,0, 3677,640,480:waittimer 24004 blt 0,0,640,480,0, 3678,640,480:waittimer 24011 blt 0,0,640,480,0, 3679,640,480:waittimer 24018 blt 0,0,640,480,0, 3680,640,480:waittimer 24024 blt 0,0,640,480,0, 3681,640,480:waittimer 24031 blt 0,0,640,480,0, 3682,640,480:waittimer 24037 blt 0,0,640,480,0, 3683,640,480:waittimer 24044 blt 0,0,640,480,0, 3684,640,480:waittimer 24050 blt 0,0,640,480,0, 3685,640,480:waittimer 24057 blt 0,0,640,480,0, 3686,640,480:waittimer 24063 blt 0,0,640,480,0, 3687,640,480:waittimer 24070 blt 0,0,640,480,0, 3688,640,480:waittimer 24076 blt 0,0,640,480,0, 3689,640,480:waittimer 24083 blt 0,0,640,480,0, 3690,640,480:waittimer 24089 blt 0,0,640,480,0, 3691,640,480:waittimer 24096 blt 0,0,640,480,0, 3692,640,480:waittimer 24102 blt 0,0,640,480,0, 3693,640,480:waittimer 24109 blt 0,0,640,480,0, 3694,640,480:waittimer 24115 blt 0,0,640,480,0, 3695,640,480:waittimer 24122 blt 0,0,640,480,0, 3696,640,480:waittimer 24129 blt 0,0,640,480,0, 3697,640,480:waittimer 24135 blt 0,0,640,480,0, 3698,640,480:waittimer 24142 blt 0,0,640,480,0, 3699,640,480:waittimer 24148 blt 0,0,640,480,0, 3700,640,480:waittimer 24155 blt 0,0,640,480,0, 3701,640,480:waittimer 24161 blt 0,0,640,480,0, 3702,640,480:waittimer 24168 blt 0,0,640,480,0, 3703,640,480:waittimer 24174 blt 0,0,640,480,0, 3704,640,480:waittimer 24181 blt 0,0,640,480,0, 3705,640,480:waittimer 24187 blt 0,0,640,480,0, 3706,640,480:waittimer 24194 blt 0,0,640,480,0, 3707,640,480:waittimer 24200 blt 0,0,640,480,0, 3708,640,480:waittimer 24207 blt 0,0,640,480,0, 3709,640,480:waittimer 24213 blt 0,0,640,480,0, 3710,640,480:waittimer 24220 blt 0,0,640,480,0, 3711,640,480:waittimer 24226 blt 0,0,640,480,0, 3712,640,480:waittimer 24233 blt 0,0,640,480,0, 3713,640,480:waittimer 24240 blt 0,0,640,480,0, 3714,640,480:waittimer 24246 blt 0,0,640,480,0, 3715,640,480:waittimer 24253 blt 0,0,640,480,0, 3716,640,480:waittimer 24259 blt 0,0,640,480,0, 3717,640,480:waittimer 24266 blt 0,0,640,480,0, 3718,640,480:waittimer 24272 blt 0,0,640,480,0, 3719,640,480:waittimer 24279 blt 0,0,640,480,0, 3720,640,480:waittimer 24285 blt 0,0,640,480,0, 3721,640,480:waittimer 24292 blt 0,0,640,480,0, 3722,640,480:waittimer 24298 blt 0,0,640,480,0, 3723,640,480:waittimer 24305 blt 0,0,640,480,0, 3724,640,480:waittimer 24311 blt 0,0,640,480,0, 3725,640,480:waittimer 24318 blt 0,0,640,480,0, 3726,640,480:waittimer 24324 blt 0,0,640,480,0, 3727,640,480:waittimer 24331 blt 0,0,640,480,0, 3728,640,480:waittimer 24337 blt 0,0,640,480,0, 3729,640,480:waittimer 24344 blt 0,0,640,480,0, 3730,640,480:waittimer 24350 blt 0,0,640,480,0, 3731,640,480:waittimer 24357 blt 0,0,640,480,0, 3732,640,480:waittimer 24364 blt 0,0,640,480,0, 3733,640,480:waittimer 24370 blt 0,0,640,480,0, 3734,640,480:waittimer 24377 blt 0,0,640,480,0, 3735,640,480:waittimer 24383 blt 0,0,640,480,0, 3736,640,480:waittimer 24390 blt 0,0,640,480,0, 3737,640,480:waittimer 24396 blt 0,0,640,480,0, 3738,640,480:waittimer 24403 blt 0,0,640,480,0, 3739,640,480:waittimer 24409 blt 0,0,640,480,0, 3740,640,480:waittimer 24416 blt 0,0,640,480,0, 3741,640,480:waittimer 24422 blt 0,0,640,480,0, 3742,640,480:waittimer 24429 blt 0,0,640,480,0, 3743,640,480:waittimer 24435 blt 0,0,640,480,0, 3744,640,480:waittimer 24442 blt 0,0,640,480,0, 3745,640,480:waittimer 24448 blt 0,0,640,480,0, 3746,640,480:waittimer 24455 blt 0,0,640,480,0, 3747,640,480:waittimer 24461 blt 0,0,640,480,0, 3748,640,480:waittimer 24468 blt 0,0,640,480,0, 3749,640,480:waittimer 24475 blt 0,0,640,480,0, 3750,640,480:waittimer 24481 blt 0,0,640,480,0, 3751,640,480:waittimer 24488 blt 0,0,640,480,0, 3752,640,480:waittimer 24494 blt 0,0,640,480,0, 3753,640,480:waittimer 24501 blt 0,0,640,480,0, 3754,640,480:waittimer 24507 blt 0,0,640,480,0, 3755,640,480:waittimer 24514 blt 0,0,640,480,0, 3756,640,480:waittimer 24520 blt 0,0,640,480,0, 3757,640,480:waittimer 24527 blt 0,0,640,480,0, 3758,640,480:waittimer 24533 blt 0,0,640,480,0, 3759,640,480:waittimer 24540 blt 0,0,640,480,0, 3760,640,480:waittimer 24546 blt 0,0,640,480,0, 3761,640,480:waittimer 24553 blt 0,0,640,480,0, 3762,640,480:waittimer 24559 blt 0,0,640,480,0, 3763,640,480:waittimer 24566 blt 0,0,640,480,0, 3764,640,480:waittimer 24572 blt 0,0,640,480,0, 3765,640,480:waittimer 24579 blt 0,0,640,480,0, 3766,640,480:waittimer 24586 blt 0,0,640,480,0, 3767,640,480:waittimer 24592 blt 0,0,640,480,0, 3768,640,480:waittimer 24599 blt 0,0,640,480,0, 3769,640,480:waittimer 24605 blt 0,0,640,480,0, 3770,640,480:waittimer 24612 blt 0,0,640,480,0, 3771,640,480:waittimer 24618 blt 0,0,640,480,0, 3772,640,480:waittimer 24625 blt 0,0,640,480,0, 3773,640,480:waittimer 24631 blt 0,0,640,480,0, 3774,640,480:waittimer 24638 blt 0,0,640,480,0, 3775,640,480:waittimer 24644 blt 0,0,640,480,0, 3776,640,480:waittimer 24651 blt 0,0,640,480,0, 3777,640,480:waittimer 24657 blt 0,0,640,480,0, 3778,640,480:waittimer 24664 blt 0,0,640,480,0, 3779,640,480:waittimer 24670 blt 0,0,640,480,0, 3780,640,480:waittimer 24677 blt 0,0,640,480,0, 3781,640,480:waittimer 24683 blt 0,0,640,480,0, 3782,640,480:waittimer 24690 blt 0,0,640,480,0, 3783,640,480:waittimer 24697 blt 0,0,640,480,0, 3784,640,480:waittimer 24703 blt 0,0,640,480,0, 3785,640,480:waittimer 24710 blt 0,0,640,480,0, 3786,640,480:waittimer 24716 blt 0,0,640,480,0, 3787,640,480:waittimer 24723 blt 0,0,640,480,0, 3788,640,480:waittimer 24729 blt 0,0,640,480,0, 3789,640,480:waittimer 24736 blt 0,0,640,480,0, 3790,640,480:waittimer 24742 blt 0,0,640,480,0, 3791,640,480:waittimer 24749 blt 0,0,640,480,0, 3792,640,480:waittimer 24755 blt 0,0,640,480,0, 3793,640,480:waittimer 24762 blt 0,0,640,480,0, 3794,640,480:waittimer 24768 blt 0,0,640,480,0, 3795,640,480:waittimer 24775 blt 0,0,640,480,0, 3796,640,480:waittimer 24781 blt 0,0,640,480,0, 3797,640,480:waittimer 24788 blt 0,0,640,480,0, 3798,640,480:waittimer 24794 blt 0,0,640,480,0, 3799,640,480:waittimer 24801 blt 0,0,640,480,0, 3800,640,480:waittimer 24807 blt 0,0,640,480,0, 3801,640,480:waittimer 24814 blt 0,0,640,480,0, 3802,640,480:waittimer 24821 blt 0,0,640,480,0, 3803,640,480:waittimer 24827 blt 0,0,640,480,0, 3804,640,480:waittimer 24834 blt 0,0,640,480,0, 3805,640,480:waittimer 24840 blt 0,0,640,480,0, 3806,640,480:waittimer 24847 blt 0,0,640,480,0, 3807,640,480:waittimer 24853 blt 0,0,640,480,0, 3808,640,480:waittimer 24860 blt 0,0,640,480,0, 3809,640,480:waittimer 24866 blt 0,0,640,480,0, 3810,640,480:waittimer 24873 blt 0,0,640,480,0, 3811,640,480:waittimer 24879 blt 0,0,640,480,0, 3812,640,480:waittimer 24886 blt 0,0,640,480,0, 3813,640,480:waittimer 24892 blt 0,0,640,480,0, 3814,640,480:waittimer 24899 blt 0,0,640,480,0, 3815,640,480:waittimer 24905 blt 0,0,640,480,0, 3816,640,480:waittimer 24912 blt 0,0,640,480,0, 3817,640,480:waittimer 24918 blt 0,0,640,480,0, 3818,640,480:waittimer 24925 blt 0,0,640,480,0, 3819,640,480:waittimer 24932 blt 0,0,640,480,0, 3820,640,480:waittimer 24938 blt 0,0,640,480,0, 3821,640,480:waittimer 24945 blt 0,0,640,480,0, 3822,640,480:waittimer 24951 blt 0,0,640,480,0, 3823,640,480:waittimer 24958 blt 0,0,640,480,0, 3824,640,480:waittimer 24964 blt 0,0,640,480,0, 3825,640,480:waittimer 24971 blt 0,0,640,480,0, 3826,640,480:waittimer 24977 blt 0,0,640,480,0, 3827,640,480:waittimer 24984 blt 0,0,640,480,0, 3828,640,480:waittimer 24990 blt 0,0,640,480,0, 3829,640,480:waittimer 24997 blt 0,0,640,480,0, 3830,640,480:waittimer 25003 blt 0,0,640,480,0, 3831,640,480:waittimer 25010 blt 0,0,640,480,0, 3832,640,480:waittimer 25016 blt 0,0,640,480,0, 3833,640,480:waittimer 25023 blt 0,0,640,480,0, 3834,640,480:waittimer 25029 blt 0,0,640,480,0, 3835,640,480:waittimer 25036 blt 0,0,640,480,0, 3836,640,480:waittimer 25043 blt 0,0,640,480,0, 3837,640,480:waittimer 25049 blt 0,0,640,480,0, 3838,640,480:waittimer 25056 blt 0,0,640,480,0, 3839,640,480:waittimer 25062 blt 0,0,640,480,0, 3840,640,480:waittimer 25069 blt 0,0,640,480,0, 3841,640,480:waittimer 25075 blt 0,0,640,480,0, 3842,640,480:waittimer 25082 blt 0,0,640,480,0, 3843,640,480:waittimer 25088 blt 0,0,640,480,0, 3844,640,480:waittimer 25095 blt 0,0,640,480,0, 3845,640,480:waittimer 25101 blt 0,0,640,480,0, 3846,640,480:waittimer 25108 blt 0,0,640,480,0, 3847,640,480:waittimer 25114 blt 0,0,640,480,0, 3848,640,480:waittimer 25121 blt 0,0,640,480,0, 3849,640,480:waittimer 25127 blt 0,0,640,480,0, 3850,640,480:waittimer 25134 blt 0,0,640,480,0, 3851,640,480:waittimer 25140 blt 0,0,640,480,0, 3852,640,480:waittimer 25147 blt 0,0,640,480,0, 3853,640,480:waittimer 25153 blt 0,0,640,480,0, 3854,640,480:waittimer 25160 blt 0,0,640,480,0, 3855,640,480:waittimer 25167 blt 0,0,640,480,0, 3856,640,480:waittimer 25173 blt 0,0,640,480,0, 3857,640,480:waittimer 25180 blt 0,0,640,480,0, 3858,640,480:waittimer 25186 blt 0,0,640,480,0, 3859,640,480:waittimer 25193 blt 0,0,640,480,0, 3860,640,480:waittimer 25199 blt 0,0,640,480,0, 3861,640,480:waittimer 25206 blt 0,0,640,480,0, 3862,640,480:waittimer 25212 blt 0,0,640,480,0, 3863,640,480:waittimer 25219 blt 0,0,640,480,0, 3864,640,480:waittimer 25225 blt 0,0,640,480,0, 3865,640,480:waittimer 25232 blt 0,0,640,480,0, 3866,640,480:waittimer 25238 blt 0,0,640,480,0, 3867,640,480:waittimer 25245 blt 0,0,640,480,0, 3868,640,480:waittimer 25251 blt 0,0,640,480,0, 3869,640,480:waittimer 25258 blt 0,0,640,480,0, 3870,640,480:waittimer 25264 blt 0,0,640,480,0, 3871,640,480:waittimer 25271 blt 0,0,640,480,0, 3872,640,480:waittimer 25278 blt 0,0,640,480,0, 3873,640,480:waittimer 25284 blt 0,0,640,480,0, 3874,640,480:waittimer 25291 blt 0,0,640,480,0, 3875,640,480:waittimer 25297 blt 0,0,640,480,0, 3876,640,480:waittimer 25304 blt 0,0,640,480,0, 3877,640,480:waittimer 25310 blt 0,0,640,480,0, 3878,640,480:waittimer 25317 blt 0,0,640,480,0, 3879,640,480:waittimer 25323 blt 0,0,640,480,0, 3880,640,480:waittimer 25330 blt 0,0,640,480,0, 3881,640,480:waittimer 25336 blt 0,0,640,480,0, 3882,640,480:waittimer 25343 blt 0,0,640,480,0, 3883,640,480:waittimer 25349 blt 0,0,640,480,0, 3884,640,480:waittimer 25356 blt 0,0,640,480,0, 3885,640,480:waittimer 25362 blt 0,0,640,480,0, 3886,640,480:waittimer 25369 blt 0,0,640,480,0, 3887,640,480:waittimer 25375 blt 0,0,640,480,0, 3888,640,480:waittimer 25382 blt 0,0,640,480,0, 3889,640,480:waittimer 25389 blt 0,0,640,480,0, 3890,640,480:waittimer 25395 blt 0,0,640,480,0, 3891,640,480:waittimer 25402 blt 0,0,640,480,0, 3892,640,480:waittimer 25408 blt 0,0,640,480,0, 3893,640,480:waittimer 25415 blt 0,0,640,480,0, 3894,640,480:waittimer 25421 blt 0,0,640,480,0, 3895,640,480:waittimer 25428 blt 0,0,640,480,0, 3896,640,480:waittimer 25434 blt 0,0,640,480,0, 3897,640,480:waittimer 25441 blt 0,0,640,480,0, 3898,640,480:waittimer 25447 blt 0,0,640,480,0, 3899,640,480:waittimer 25454 blt 0,0,640,480,0, 3900,640,480:waittimer 25460 blt 0,0,640,480,0, 3901,640,480:waittimer 25467 blt 0,0,640,480,0, 3902,640,480:waittimer 25473 blt 0,0,640,480,0, 3903,640,480:waittimer 25480 blt 0,0,640,480,0, 3904,640,480:waittimer 25486 blt 0,0,640,480,0, 3905,640,480:waittimer 25493 blt 0,0,640,480,0, 3906,640,480:waittimer 25499 blt 0,0,640,480,0, 3907,640,480:waittimer 25506 blt 0,0,640,480,0, 3908,640,480:waittimer 25513 blt 0,0,640,480,0, 3909,640,480:waittimer 25519 blt 0,0,640,480,0, 3910,640,480:waittimer 25526 blt 0,0,640,480,0, 3911,640,480:waittimer 25532 blt 0,0,640,480,0, 3912,640,480:waittimer 25539 blt 0,0,640,480,0, 3913,640,480:waittimer 25545 blt 0,0,640,480,0, 3914,640,480:waittimer 25552 blt 0,0,640,480,0, 3915,640,480:waittimer 25558 blt 0,0,640,480,0, 3916,640,480:waittimer 25565 blt 0,0,640,480,0, 3917,640,480:waittimer 25571 blt 0,0,640,480,0, 3918,640,480:waittimer 25578 blt 0,0,640,480,0, 3919,640,480:waittimer 25584 blt 0,0,640,480,0, 3920,640,480:waittimer 25591 blt 0,0,640,480,0, 3921,640,480:waittimer 25597 blt 0,0,640,480,0, 3922,640,480:waittimer 25604 blt 0,0,640,480,0, 3923,640,480:waittimer 25610 blt 0,0,640,480,0, 3924,640,480:waittimer 25617 blt 0,0,640,480,0, 3925,640,480:waittimer 25624 blt 0,0,640,480,0, 3926,640,480:waittimer 25630 blt 0,0,640,480,0, 3927,640,480:waittimer 25637 blt 0,0,640,480,0, 3928,640,480:waittimer 25643 blt 0,0,640,480,0, 3929,640,480:waittimer 25650 blt 0,0,640,480,0, 3930,640,480:waittimer 25656 blt 0,0,640,480,0, 3931,640,480:waittimer 25663 blt 0,0,640,480,0, 3932,640,480:waittimer 25669 blt 0,0,640,480,0, 3933,640,480:waittimer 25676 blt 0,0,640,480,0, 3934,640,480:waittimer 25682 blt 0,0,640,480,0, 3935,640,480:waittimer 25689 blt 0,0,640,480,0, 3936,640,480:waittimer 25695 blt 0,0,640,480,0, 3937,640,480:waittimer 25702 blt 0,0,640,480,0, 3938,640,480:waittimer 25708 blt 0,0,640,480,0, 3939,640,480:waittimer 25715 blt 0,0,640,480,0, 3940,640,480:waittimer 25721 blt 0,0,640,480,0, 3941,640,480:waittimer 25728 blt 0,0,640,480,0, 3942,640,480:waittimer 25735 blt 0,0,640,480,0, 3943,640,480:waittimer 25741 blt 0,0,640,480,0, 3944,640,480:waittimer 25748 blt 0,0,640,480,0, 3945,640,480:waittimer 25754 blt 0,0,640,480,0, 3946,640,480:waittimer 25761 blt 0,0,640,480,0, 3947,640,480:waittimer 25767 blt 0,0,640,480,0, 3948,640,480:waittimer 25774 blt 0,0,640,480,0, 3949,640,480:waittimer 25780 blt 0,0,640,480,0, 3950,640,480:waittimer 25787 blt 0,0,640,480,0, 3951,640,480:waittimer 25793 blt 0,0,640,480,0, 3952,640,480:waittimer 25800 blt 0,0,640,480,0, 3953,640,480:waittimer 25806 blt 0,0,640,480,0, 3954,640,480:waittimer 25813 blt 0,0,640,480,0, 3955,640,480:waittimer 25819 blt 0,0,640,480,0, 3956,640,480:waittimer 25826 blt 0,0,640,480,0, 3957,640,480:waittimer 25832 blt 0,0,640,480,0, 3958,640,480:waittimer 25839 blt 0,0,640,480,0, 3959,640,480:waittimer 25846 blt 0,0,640,480,0, 3960,640,480:waittimer 25852 blt 0,0,640,480,0, 3961,640,480:waittimer 25859 blt 0,0,640,480,0, 3962,640,480:waittimer 25865 blt 0,0,640,480,0, 3963,640,480:waittimer 25872 blt 0,0,640,480,0, 3964,640,480:waittimer 25878 blt 0,0,640,480,0, 3965,640,480:waittimer 25885 blt 0,0,640,480,0, 3966,640,480:waittimer 25891 blt 0,0,640,480,0, 3967,640,480:waittimer 25898 blt 0,0,640,480,0, 3968,640,480:waittimer 25904 blt 0,0,640,480,0, 3969,640,480:waittimer 25911 blt 0,0,640,480,0, 3970,640,480:waittimer 25917 blt 0,0,640,480,0, 3971,640,480:waittimer 25924 blt 0,0,640,480,0, 3972,640,480:waittimer 25930 blt 0,0,640,480,0, 3973,640,480:waittimer 25937 blt 0,0,640,480,0, 3974,640,480:waittimer 25943 blt 0,0,640,480,0, 3975,640,480:waittimer 25950 blt 0,0,640,480,0, 3976,640,480:waittimer 25956 blt 0,0,640,480,0, 3977,640,480:waittimer 25963 blt 0,0,640,480,0, 3978,640,480:waittimer 25970 blt 0,0,640,480,0, 3979,640,480:waittimer 25976 blt 0,0,640,480,0, 3980,640,480:waittimer 25983 blt 0,0,640,480,0, 3981,640,480:waittimer 25989 blt 0,0,640,480,0, 3982,640,480:waittimer 25996 blt 0,0,640,480,0, 3983,640,480:waittimer 26002 blt 0,0,640,480,0, 3984,640,480:waittimer 26009 blt 0,0,640,480,0, 3985,640,480:waittimer 26015 blt 0,0,640,480,0, 3986,640,480:waittimer 26022 blt 0,0,640,480,0, 3987,640,480:waittimer 26028 blt 0,0,640,480,0, 3988,640,480:waittimer 26035 blt 0,0,640,480,0, 3989,640,480:waittimer 26041 blt 0,0,640,480,0, 3990,640,480:waittimer 26048 blt 0,0,640,480,0, 3991,640,480:waittimer 26054 blt 0,0,640,480,0, 3992,640,480:waittimer 26061 blt 0,0,640,480,0, 3993,640,480:waittimer 26067 blt 0,0,640,480,0, 3994,640,480:waittimer 26074 blt 0,0,640,480,0, 3995,640,480:waittimer 26081 blt 0,0,640,480,0, 3996,640,480:waittimer 26087 blt 0,0,640,480,0, 3997,640,480:waittimer 26094 blt 0,0,640,480,0, 3998,640,480:waittimer 26100 blt 0,0,640,480,0, 3999,640,480:waittimer 26107 blt 0,0,640,480,0, 4000,640,480:waittimer 26113 blt 0,0,640,480,0, 4001,640,480:waittimer 26120 blt 0,0,640,480,0, 4002,640,480:waittimer 26126 blt 0,0,640,480,0, 4003,640,480:waittimer 26133 blt 0,0,640,480,0, 4004,640,480:waittimer 26139 blt 0,0,640,480,0, 4005,640,480:waittimer 26146 blt 0,0,640,480,0, 4006,640,480:waittimer 26152 blt 0,0,640,480,0, 4007,640,480:waittimer 26159 blt 0,0,640,480,0, 4008,640,480:waittimer 26165 blt 0,0,640,480,0, 4009,640,480:waittimer 26172 blt 0,0,640,480,0, 4010,640,480:waittimer 26178 blt 0,0,640,480,0, 4011,640,480:waittimer 26185 blt 0,0,640,480,0, 4012,640,480:waittimer 26192 blt 0,0,640,480,0, 4013,640,480:waittimer 26198 blt 0,0,640,480,0, 4014,640,480:waittimer 26205 blt 0,0,640,480,0, 4015,640,480:waittimer 26211 blt 0,0,640,480,0, 4016,640,480:waittimer 26218 blt 0,0,640,480,0, 4017,640,480:waittimer 26224 blt 0,0,640,480,0, 4018,640,480:waittimer 26231 blt 0,0,640,480,0, 4019,640,480:waittimer 26237 blt 0,0,640,480,0, 4020,640,480:waittimer 26244 blt 0,0,640,480,0, 4021,640,480:waittimer 26250 blt 0,0,640,480,0, 4022,640,480:waittimer 26257 blt 0,0,640,480,0, 4023,640,480:waittimer 26263 blt 0,0,640,480,0, 4024,640,480:waittimer 26270 blt 0,0,640,480,0, 4025,640,480:waittimer 26276 blt 0,0,640,480,0, 4026,640,480:waittimer 26283 blt 0,0,640,480,0, 4027,640,480:waittimer 26289 blt 0,0,640,480,0, 4028,640,480:waittimer 26296 blt 0,0,640,480,0, 4029,640,480:waittimer 26302 blt 0,0,640,480,0, 4030,640,480:waittimer 26309 blt 0,0,640,480,0, 4031,640,480:waittimer 26316 blt 0,0,640,480,0, 4032,640,480:waittimer 26322 blt 0,0,640,480,0, 4033,640,480:waittimer 26329 blt 0,0,640,480,0, 4034,640,480:waittimer 26335 blt 0,0,640,480,0, 4035,640,480:waittimer 26342 blt 0,0,640,480,0, 4036,640,480:waittimer 26348 blt 0,0,640,480,0, 4037,640,480:waittimer 26355 blt 0,0,640,480,0, 4038,640,480:waittimer 26361 blt 0,0,640,480,0, 4039,640,480:waittimer 26368 blt 0,0,640,480,0, 4040,640,480:waittimer 26374 blt 0,0,640,480,0, 4041,640,480:waittimer 26381 blt 0,0,640,480,0, 4042,640,480:waittimer 26387 blt 0,0,640,480,0, 4043,640,480:waittimer 26394 blt 0,0,640,480,0, 4044,640,480:waittimer 26400 blt 0,0,640,480,0, 4045,640,480:waittimer 26407 blt 0,0,640,480,0, 4046,640,480:waittimer 26413 blt 0,0,640,480,0, 4047,640,480:waittimer 26420 blt 0,0,640,480,0, 4048,640,480:waittimer 26427 blt 0,0,640,480,0, 4049,640,480:waittimer 26433 blt 0,0,640,480,0, 4050,640,480:waittimer 26440 blt 0,0,640,480,0, 4051,640,480:waittimer 26446 blt 0,0,640,480,0, 4052,640,480:waittimer 26453 blt 0,0,640,480,0, 4053,640,480:waittimer 26459 blt 0,0,640,480,0, 4054,640,480:waittimer 26466 blt 0,0,640,480,0, 4055,640,480:waittimer 26472 blt 0,0,640,480,0, 4056,640,480:waittimer 26479 blt 0,0,640,480,0, 4057,640,480:waittimer 26485 blt 0,0,640,480,0, 4058,640,480:waittimer 26492 blt 0,0,640,480,0, 4059,640,480:waittimer 26498 blt 0,0,640,480,0, 4060,640,480:waittimer 26505 blt 0,0,640,480,0, 4061,640,480:waittimer 26511 blt 0,0,640,480,0, 4062,640,480:waittimer 26518 blt 0,0,640,480,0, 4063,640,480:waittimer 26524 blt 0,0,640,480,0, 4064,640,480:waittimer 26531 blt 0,0,640,480,0, 4065,640,480:waittimer 26538 blt 0,0,640,480,0, 4066,640,480:waittimer 26544 blt 0,0,640,480,0, 4067,640,480:waittimer 26551 blt 0,0,640,480,0, 4068,640,480:waittimer 26557 blt 0,0,640,480,0, 4069,640,480:waittimer 26564 blt 0,0,640,480,0, 4070,640,480:waittimer 26570 blt 0,0,640,480,0, 4071,640,480:waittimer 26577 blt 0,0,640,480,0, 4072,640,480:waittimer 26583 blt 0,0,640,480,0, 4073,640,480:waittimer 26590 blt 0,0,640,480,0, 4074,640,480:waittimer 26596 blt 0,0,640,480,0, 4075,640,480:waittimer 26603 blt 0,0,640,480,0, 4076,640,480:waittimer 26609 blt 0,0,640,480,0, 4077,640,480:waittimer 26616 blt 0,0,640,480,0, 4078,640,480:waittimer 26622 blt 0,0,640,480,0, 4079,640,480:waittimer 26629 blt 0,0,640,480,0, 4080,640,480:waittimer 26635 blt 0,0,640,480,0, 4081,640,480:waittimer 26642 blt 0,0,640,480,0, 4082,640,480:waittimer 26649 blt 0,0,640,480,0, 4083,640,480:waittimer 26655 blt 0,0,640,480,0, 4084,640,480:waittimer 26662 blt 0,0,640,480,0, 4085,640,480:waittimer 26668 blt 0,0,640,480,0, 4086,640,480:waittimer 26675 blt 0,0,640,480,0, 4087,640,480:waittimer 26681 blt 0,0,640,480,0, 4088,640,480:waittimer 26688 blt 0,0,640,480,0, 4089,640,480:waittimer 26694 blt 0,0,640,480,0, 4090,640,480:waittimer 26701 blt 0,0,640,480,0, 4091,640,480:waittimer 26707 blt 0,0,640,480,0, 4092,640,480:waittimer 26714 blt 0,0,640,480,0, 4093,640,480:waittimer 26720 blt 0,0,640,480,0, 4094,640,480:waittimer 26727 blt 0,0,640,480,0, 4095,640,480:waittimer 26733 blt 0,0,640,480,0, 4096,640,480:waittimer 26740 blt 0,0,640,480,0, 4097,640,480:waittimer 26746 blt 0,0,640,480,0, 4098,640,480:waittimer 26753 blt 0,0,640,480,0, 4099,640,480:waittimer 26759 blt 0,0,640,480,0, 4100,640,480:waittimer 26766 blt 0,0,640,480,0, 4101,640,480:waittimer 26773 blt 0,0,640,480,0, 4102,640,480:waittimer 26779 blt 0,0,640,480,0, 4103,640,480:waittimer 26786 blt 0,0,640,480,0, 4104,640,480:waittimer 26792 blt 0,0,640,480,0, 4105,640,480:waittimer 26799 blt 0,0,640,480,0, 4106,640,480:waittimer 26805 blt 0,0,640,480,0, 4107,640,480:waittimer 26812 blt 0,0,640,480,0, 4108,640,480:waittimer 26818 blt 0,0,640,480,0, 4109,640,480:waittimer 26825 blt 0,0,640,480,0, 4110,640,480:waittimer 26831 blt 0,0,640,480,0, 4111,640,480:waittimer 26838 blt 0,0,640,480,0, 4112,640,480:waittimer 26844 blt 0,0,640,480,0, 4113,640,480:waittimer 26851 blt 0,0,640,480,0, 4114,640,480:waittimer 26857 blt 0,0,640,480,0, 4115,640,480:waittimer 26864 blt 0,0,640,480,0, 4116,640,480:waittimer 26870 blt 0,0,640,480,0, 4117,640,480:waittimer 26877 blt 0,0,640,480,0, 4118,640,480:waittimer 26884 blt 0,0,640,480,0, 4119,640,480:waittimer 26890 blt 0,0,640,480,0, 4120,640,480:waittimer 26897 blt 0,0,640,480,0, 4121,640,480:waittimer 26903 blt 0,0,640,480,0, 4122,640,480:waittimer 26910 blt 0,0,640,480,0, 4123,640,480:waittimer 26916 blt 0,0,640,480,0, 4124,640,480:waittimer 26923 blt 0,0,640,480,0, 4125,640,480:waittimer 26929 blt 0,0,640,480,0, 4126,640,480:waittimer 26936 blt 0,0,640,480,0, 4127,640,480:waittimer 26942 blt 0,0,640,480,0, 4128,640,480:waittimer 26949 blt 0,0,640,480,0, 4129,640,480:waittimer 26955 blt 0,0,640,480,0, 4130,640,480:waittimer 26962 blt 0,0,640,480,0, 4131,640,480:waittimer 26968 blt 0,0,640,480,0, 4132,640,480:waittimer 26975 blt 0,0,640,480,0, 4133,640,480:waittimer 26981 blt 0,0,640,480,0, 4134,640,480:waittimer 26988 blt 0,0,640,480,0, 4135,640,480:waittimer 26995 blt 0,0,640,480,0, 4136,640,480:waittimer 27001 blt 0,0,640,480,0, 4137,640,480:waittimer 27008 blt 0,0,640,480,0, 4138,640,480:waittimer 27014 blt 0,0,640,480,0, 4139,640,480:waittimer 27021 blt 0,0,640,480,0, 4140,640,480:waittimer 27027 blt 0,0,640,480,0, 4141,640,480:waittimer 27034 blt 0,0,640,480,0, 4142,640,480:waittimer 27040 blt 0,0,640,480,0, 4143,640,480:waittimer 27047 blt 0,0,640,480,0, 4144,640,480:waittimer 27053 blt 0,0,640,480,0, 4145,640,480:waittimer 27060 blt 0,0,640,480,0, 4146,640,480:waittimer 27066 blt 0,0,640,480,0, 4147,640,480:waittimer 27073 blt 0,0,640,480,0, 4148,640,480:waittimer 27079 blt 0,0,640,480,0, 4149,640,480:waittimer 27086 blt 0,0,640,480,0, 4150,640,480:waittimer 27092 blt 0,0,640,480,0, 4151,640,480:waittimer 27099 blt 0,0,640,480,0, 4152,640,480:waittimer 27105 blt 0,0,640,480,0, 4153,640,480:waittimer 27112 blt 0,0,640,480,0, 4154,640,480:waittimer 27119 blt 0,0,640,480,0, 4155,640,480:waittimer 27125 blt 0,0,640,480,0, 4156,640,480:waittimer 27132 blt 0,0,640,480,0, 4157,640,480:waittimer 27138 blt 0,0,640,480,0, 4158,640,480:waittimer 27145 blt 0,0,640,480,0, 4159,640,480:waittimer 27151 blt 0,0,640,480,0, 4160,640,480:waittimer 27158 blt 0,0,640,480,0, 4161,640,480:waittimer 27164 blt 0,0,640,480,0, 4162,640,480:waittimer 27171 blt 0,0,640,480,0, 4163,640,480:waittimer 27177 blt 0,0,640,480,0, 4164,640,480:waittimer 27184 blt 0,0,640,480,0, 4165,640,480:waittimer 27190 blt 0,0,640,480,0, 4166,640,480:waittimer 27197 blt 0,0,640,480,0, 4167,640,480:waittimer 27203 blt 0,0,640,480,0, 4168,640,480:waittimer 27210 blt 0,0,640,480,0, 4169,640,480:waittimer 27216 blt 0,0,640,480,0, 4170,640,480:waittimer 27223 blt 0,0,640,480,0, 4171,640,480:waittimer 27230 blt 0,0,640,480,0, 4172,640,480:waittimer 27236 blt 0,0,640,480,0, 4173,640,480:waittimer 27243 blt 0,0,640,480,0, 4174,640,480:waittimer 27249 blt 0,0,640,480,0, 4175,640,480:waittimer 27256 blt 0,0,640,480,0, 4176,640,480:waittimer 27262 blt 0,0,640,480,0, 4177,640,480:waittimer 27269 blt 0,0,640,480,0, 4178,640,480:waittimer 27275 blt 0,0,640,480,0, 4179,640,480:waittimer 27282 blt 0,0,640,480,0, 4180,640,480:waittimer 27288 blt 0,0,640,480,0, 4181,640,480:waittimer 27295 blt 0,0,640,480,0, 4182,640,480:waittimer 27301 blt 0,0,640,480,0, 4183,640,480:waittimer 27308 blt 0,0,640,480,0, 4184,640,480:waittimer 27314 blt 0,0,640,480,0, 4185,640,480:waittimer 27321 blt 0,0,640,480,0, 4186,640,480:waittimer 27327 blt 0,0,640,480,0, 4187,640,480:waittimer 27334 blt 0,0,640,480,0, 4188,640,480:waittimer 27341 blt 0,0,640,480,0, 4189,640,480:waittimer 27347 blt 0,0,640,480,0, 4190,640,480:waittimer 27354 blt 0,0,640,480,0, 4191,640,480:waittimer 27360 blt 0,0,640,480,0, 4192,640,480:waittimer 27367 blt 0,0,640,480,0, 4193,640,480:waittimer 27373 blt 0,0,640,480,0, 4194,640,480:waittimer 27380 blt 0,0,640,480,0, 4195,640,480:waittimer 27386 blt 0,0,640,480,0, 4196,640,480:waittimer 27393 blt 0,0,640,480,0, 4197,640,480:waittimer 27399 blt 0,0,640,480,0, 4198,640,480:waittimer 27406 blt 0,0,640,480,0, 4199,640,480:waittimer 27412 blt 0,0,640,480,0, 4200,640,480:waittimer 27419 blt 0,0,640,480,0, 4201,640,480:waittimer 27425 blt 0,0,640,480,0, 4202,640,480:waittimer 27432 blt 0,0,640,480,0, 4203,640,480:waittimer 27438 blt 0,0,640,480,0, 4204,640,480:waittimer 27445 blt 0,0,640,480,0, 4205,640,480:waittimer 27451 blt 0,0,640,480,0, 4206,640,480:waittimer 27458 blt 0,0,640,480,0, 4207,640,480:waittimer 27465 blt 0,0,640,480,0, 4208,640,480:waittimer 27471 blt 0,0,640,480,0, 4209,640,480:waittimer 27478 blt 0,0,640,480,0, 4210,640,480:waittimer 27484 blt 0,0,640,480,0, 4211,640,480:waittimer 27491 blt 0,0,640,480,0, 4212,640,480:waittimer 27497 blt 0,0,640,480,0, 4213,640,480:waittimer 27504 blt 0,0,640,480,0, 4214,640,480:waittimer 27510 blt 0,0,640,480,0, 4215,640,480:waittimer 27517 blt 0,0,640,480,0, 4216,640,480:waittimer 27523 blt 0,0,640,480,0, 4217,640,480:waittimer 27530 blt 0,0,640,480,0, 4218,640,480:waittimer 27536 blt 0,0,640,480,0, 4219,640,480:waittimer 27543 blt 0,0,640,480,0, 4220,640,480:waittimer 27549 blt 0,0,640,480,0, 4221,640,480:waittimer 27556 blt 0,0,640,480,0, 4222,640,480:waittimer 27562 blt 0,0,640,480,0, 4223,640,480:waittimer 27569 blt 0,0,640,480,0, 4224,640,480:waittimer 27576 blt 0,0,640,480,0, 4225,640,480:waittimer 27582 blt 0,0,640,480,0, 4226,640,480:waittimer 27589 blt 0,0,640,480,0, 4227,640,480:waittimer 27595 blt 0,0,640,480,0, 4228,640,480:waittimer 27602 blt 0,0,640,480,0, 4229,640,480:waittimer 27608 blt 0,0,640,480,0, 4230,640,480:waittimer 27615 blt 0,0,640,480,0, 4231,640,480:waittimer 27621 blt 0,0,640,480,0, 4232,640,480:waittimer 27628 blt 0,0,640,480,0, 4233,640,480:waittimer 27634 blt 0,0,640,480,0, 4234,640,480:waittimer 27641 blt 0,0,640,480,0, 4235,640,480:waittimer 27647 blt 0,0,640,480,0, 4236,640,480:waittimer 27654 blt 0,0,640,480,0, 4237,640,480:waittimer 27660 blt 0,0,640,480,0, 4238,640,480:waittimer 27667 blt 0,0,640,480,0, 4239,640,480:waittimer 27673 blt 0,0,640,480,0, 4240,640,480:waittimer 27680 blt 0,0,640,480,0, 4241,640,480:waittimer 27687 blt 0,0,640,480,0, 4242,640,480:waittimer 27693 blt 0,0,640,480,0, 4243,640,480:waittimer 27700 blt 0,0,640,480,0, 4244,640,480:waittimer 27706 blt 0,0,640,480,0, 4245,640,480:waittimer 27713 blt 0,0,640,480,0, 4246,640,480:waittimer 27719 blt 0,0,640,480,0, 4247,640,480:waittimer 27726 blt 0,0,640,480,0, 4248,640,480:waittimer 27732 blt 0,0,640,480,0, 4249,640,480:waittimer 27739 blt 0,0,640,480,0, 4250,640,480:waittimer 27745 blt 0,0,640,480,0, 4251,640,480:waittimer 27752 blt 0,0,640,480,0, 4252,640,480:waittimer 27758 blt 0,0,640,480,0, 4253,640,480:waittimer 27765 blt 0,0,640,480,0, 4254,640,480:waittimer 27771 blt 0,0,640,480,0, 4255,640,480:waittimer 27778 blt 0,0,640,480,0, 4256,640,480:waittimer 27784 blt 0,0,640,480,0, 4257,640,480:waittimer 27791 blt 0,0,640,480,0, 4258,640,480:waittimer 27798 blt 0,0,640,480,0, 4259,640,480:waittimer 27804 blt 0,0,640,480,0, 4260,640,480:waittimer 27811 blt 0,0,640,480,0, 4261,640,480:waittimer 27817 blt 0,0,640,480,0, 4262,640,480:waittimer 27824 blt 0,0,640,480,0, 4263,640,480:waittimer 27830 blt 0,0,640,480,0, 4264,640,480:waittimer 27837 blt 0,0,640,480,0, 4265,640,480:waittimer 27843 blt 0,0,640,480,0, 4266,640,480:waittimer 27850 blt 0,0,640,480,0, 4267,640,480:waittimer 27856 blt 0,0,640,480,0, 4268,640,480:waittimer 27863 blt 0,0,640,480,0, 4269,640,480:waittimer 27869 blt 0,0,640,480,0, 4270,640,480:waittimer 27876 blt 0,0,640,480,0, 4271,640,480:waittimer 27882 blt 0,0,640,480,0, 4272,640,480:waittimer 27889 blt 0,0,640,480,0, 4273,640,480:waittimer 27895 blt 0,0,640,480,0, 4274,640,480:waittimer 27902 blt 0,0,640,480,0, 4275,640,480:waittimer 27908 blt 0,0,640,480,0, 4276,640,480:waittimer 27915 blt 0,0,640,480,0, 4277,640,480:waittimer 27922 blt 0,0,640,480,0, 4278,640,480:waittimer 27928 blt 0,0,640,480,0, 4279,640,480:waittimer 27935 blt 0,0,640,480,0, 4280,640,480:waittimer 27941 blt 0,0,640,480,0, 4281,640,480:waittimer 27948 blt 0,0,640,480,0, 4282,640,480:waittimer 27954 blt 0,0,640,480,0, 4283,640,480:waittimer 27961 blt 0,0,640,480,0, 4284,640,480:waittimer 27967 blt 0,0,640,480,0, 4285,640,480:waittimer 27974 blt 0,0,640,480,0, 4286,640,480:waittimer 27980 blt 0,0,640,480,0, 4287,640,480:waittimer 27987 blt 0,0,640,480,0, 4288,640,480:waittimer 27993 blt 0,0,640,480,0, 4289,640,480:waittimer 28000 blt 0,0,640,480,0, 4290,640,480:waittimer 28006 blt 0,0,640,480,0, 4291,640,480:waittimer 28013 blt 0,0,640,480,0, 4292,640,480:waittimer 28019 blt 0,0,640,480,0, 4293,640,480:waittimer 28026 blt 0,0,640,480,0, 4294,640,480:waittimer 28033 blt 0,0,640,480,0, 4295,640,480:waittimer 28039 blt 0,0,640,480,0, 4296,640,480:waittimer 28046 blt 0,0,640,480,0, 4297,640,480:waittimer 28052 blt 0,0,640,480,0, 4298,640,480:waittimer 28059 blt 0,0,640,480,0, 4299,640,480:waittimer 28065 blt 0,0,640,480,0, 4300,640,480:waittimer 28072 blt 0,0,640,480,0, 4301,640,480:waittimer 28078 blt 0,0,640,480,0, 4302,640,480:waittimer 28085 blt 0,0,640,480,0, 4303,640,480:waittimer 28091 blt 0,0,640,480,0, 4304,640,480:waittimer 28098 blt 0,0,640,480,0, 4305,640,480:waittimer 28104 blt 0,0,640,480,0, 4306,640,480:waittimer 28111 blt 0,0,640,480,0, 4307,640,480:waittimer 28117 blt 0,0,640,480,0, 4308,640,480:waittimer 28124 blt 0,0,640,480,0, 4309,640,480:waittimer 28130 blt 0,0,640,480,0, 4310,640,480:waittimer 28137 blt 0,0,640,480,0, 4311,640,480:waittimer 28144 blt 0,0,640,480,0, 4312,640,480:waittimer 28150 blt 0,0,640,480,0, 4313,640,480:waittimer 28157 blt 0,0,640,480,0, 4314,640,480:waittimer 28163 blt 0,0,640,480,0, 4315,640,480:waittimer 28170 blt 0,0,640,480,0, 4316,640,480:waittimer 28176 blt 0,0,640,480,0, 4317,640,480:waittimer 28183 blt 0,0,640,480,0, 4318,640,480:waittimer 28189 blt 0,0,640,480,0, 4319,640,480:waittimer 28196 blt 0,0,640,480,0, 4320,640,480:waittimer 28202 blt 0,0,640,480,0, 4321,640,480:waittimer 28209 blt 0,0,640,480,0, 4322,640,480:waittimer 28215 blt 0,0,640,480,0, 4323,640,480:waittimer 28222 blt 0,0,640,480,0, 4324,640,480:waittimer 28228 blt 0,0,640,480,0, 4325,640,480:waittimer 28235 blt 0,0,640,480,0, 4326,640,480:waittimer 28241 blt 0,0,640,480,0, 4327,640,480:waittimer 28248 blt 0,0,640,480,0, 4328,640,480:waittimer 28254 blt 0,0,640,480,0, 4329,640,480:waittimer 28261 blt 0,0,640,480,0, 4330,640,480:waittimer 28268 blt 0,0,640,480,0, 4331,640,480:waittimer 28274 blt 0,0,640,480,0, 4332,640,480:waittimer 28281 blt 0,0,640,480,0, 4333,640,480:waittimer 28287 blt 0,0,640,480,0, 4334,640,480:waittimer 28294 blt 0,0,640,480,0, 4335,640,480:waittimer 28300 blt 0,0,640,480,0, 4336,640,480:waittimer 28307 blt 0,0,640,480,0, 4337,640,480:waittimer 28313 blt 0,0,640,480,0, 4338,640,480:waittimer 28320 blt 0,0,640,480,0, 4339,640,480:waittimer 28326 blt 0,0,640,480,0, 4340,640,480:waittimer 28333 blt 0,0,640,480,0, 4341,640,480:waittimer 28339 blt 0,0,640,480,0, 4342,640,480:waittimer 28346 blt 0,0,640,480,0, 4343,640,480:waittimer 28352 blt 0,0,640,480,0, 4344,640,480:waittimer 28359 blt 0,0,640,480,0, 4345,640,480:waittimer 28365 blt 0,0,640,480,0, 4346,640,480:waittimer 28372 blt 0,0,640,480,0, 4347,640,480:waittimer 28379 blt 0,0,640,480,0, 4348,640,480:waittimer 28385 blt 0,0,640,480,0, 4349,640,480:waittimer 28392 blt 0,0,640,480,0, 4350,640,480:waittimer 28398 blt 0,0,640,480,0, 4351,640,480:waittimer 28405 blt 0,0,640,480,0, 4352,640,480:waittimer 28411 blt 0,0,640,480,0, 4353,640,480:waittimer 28418 blt 0,0,640,480,0, 4354,640,480:waittimer 28424 blt 0,0,640,480,0, 4355,640,480:waittimer 28431 blt 0,0,640,480,0, 4356,640,480:waittimer 28437 blt 0,0,640,480,0, 4357,640,480:waittimer 28444 blt 0,0,640,480,0, 4358,640,480:waittimer 28450 blt 0,0,640,480,0, 4359,640,480:waittimer 28457 blt 0,0,640,480,0, 4360,640,480:waittimer 28463 blt 0,0,640,480,0, 4361,640,480:waittimer 28470 blt 0,0,640,480,0, 4362,640,480:waittimer 28476 blt 0,0,640,480,0, 4363,640,480:waittimer 28483 blt 0,0,640,480,0, 4364,640,480:waittimer 28490 blt 0,0,640,480,0, 4365,640,480:waittimer 28496 blt 0,0,640,480,0, 4366,640,480:waittimer 28503 blt 0,0,640,480,0, 4367,640,480:waittimer 28509 blt 0,0,640,480,0, 4368,640,480:waittimer 28516 blt 0,0,640,480,0, 4369,640,480:waittimer 28522 blt 0,0,640,480,0, 4370,640,480:waittimer 28529 blt 0,0,640,480,0, 4371,640,480:waittimer 28535 blt 0,0,640,480,0, 4372,640,480:waittimer 28542 blt 0,0,640,480,0, 4373,640,480:waittimer 28548 blt 0,0,640,480,0, 4374,640,480:waittimer 28555 blt 0,0,640,480,0, 4375,640,480:waittimer 28561 blt 0,0,640,480,0, 4376,640,480:waittimer 28568 blt 0,0,640,480,0, 4377,640,480:waittimer 28574 blt 0,0,640,480,0, 4378,640,480:waittimer 28581 blt 0,0,640,480,0, 4379,640,480:waittimer 28587 blt 0,0,640,480,0, 4380,640,480:waittimer 28594 blt 0,0,640,480,0, 4381,640,480:waittimer 28600 blt 0,0,640,480,0, 4382,640,480:waittimer 28607 blt 0,0,640,480,0, 4383,640,480:waittimer 28614 blt 0,0,640,480,0, 4384,640,480:waittimer 28620 blt 0,0,640,480,0, 4385,640,480:waittimer 28627 blt 0,0,640,480,0, 4386,640,480:waittimer 28633 blt 0,0,640,480,0, 4387,640,480:waittimer 28640 blt 0,0,640,480,0, 4388,640,480:waittimer 28646 blt 0,0,640,480,0, 4389,640,480:waittimer 28653 blt 0,0,640,480,0, 4390,640,480:waittimer 28659 blt 0,0,640,480,0, 4391,640,480:waittimer 28666 blt 0,0,640,480,0, 4392,640,480:waittimer 28672 blt 0,0,640,480,0, 4393,640,480:waittimer 28679 blt 0,0,640,480,0, 4394,640,480:waittimer 28685 blt 0,0,640,480,0, 4395,640,480:waittimer 28692 blt 0,0,640,480,0, 4396,640,480:waittimer 28698 blt 0,0,640,480,0, 4397,640,480:waittimer 28705 blt 0,0,640,480,0, 4398,640,480:waittimer 28711 blt 0,0,640,480,0, 4399,640,480:waittimer 28718 blt 0,0,640,480,0, 4400,640,480:waittimer 28725 blt 0,0,640,480,0, 4401,640,480:waittimer 28731 blt 0,0,640,480,0, 4402,640,480:waittimer 28738 blt 0,0,640,480,0, 4403,640,480:waittimer 28744 blt 0,0,640,480,0, 4404,640,480:waittimer 28751 blt 0,0,640,480,0, 4405,640,480:waittimer 28757 blt 0,0,640,480,0, 4406,640,480:waittimer 28764 blt 0,0,640,480,0, 4407,640,480:waittimer 28770 blt 0,0,640,480,0, 4408,640,480:waittimer 28777 blt 0,0,640,480,0, 4409,640,480:waittimer 28783 blt 0,0,640,480,0, 4410,640,480:waittimer 28790 blt 0,0,640,480,0, 4411,640,480:waittimer 28796 blt 0,0,640,480,0, 4412,640,480:waittimer 28803 blt 0,0,640,480,0, 4413,640,480:waittimer 28809 blt 0,0,640,480,0, 4414,640,480:waittimer 28816 blt 0,0,640,480,0, 4415,640,480:waittimer 28822 blt 0,0,640,480,0, 4416,640,480:waittimer 28829 blt 0,0,640,480,0, 4417,640,480:waittimer 28836 blt 0,0,640,480,0, 4418,640,480:waittimer 28842 blt 0,0,640,480,0, 4419,640,480:waittimer 28849 blt 0,0,640,480,0, 4420,640,480:waittimer 28855 blt 0,0,640,480,0, 4421,640,480:waittimer 28862 blt 0,0,640,480,0, 4422,640,480:waittimer 28868 blt 0,0,640,480,0, 4423,640,480:waittimer 28875 blt 0,0,640,480,0, 4424,640,480:waittimer 28881 blt 0,0,640,480,0, 4425,640,480:waittimer 28888 blt 0,0,640,480,0, 4426,640,480:waittimer 28894 blt 0,0,640,480,0, 4427,640,480:waittimer 28901 blt 0,0,640,480,0, 4428,640,480:waittimer 28907 blt 0,0,640,480,0, 4429,640,480:waittimer 28914 blt 0,0,640,480,0, 4430,640,480:waittimer 28920 blt 0,0,640,480,0, 4431,640,480:waittimer 28927 blt 0,0,640,480,0, 4432,640,480:waittimer 28933 blt 0,0,640,480,0, 4433,640,480:waittimer 28940 blt 0,0,640,480,0, 4434,640,480:waittimer 28947 blt 0,0,640,480,0, 4435,640,480:waittimer 28953 blt 0,0,640,480,0, 4436,640,480:waittimer 28960 blt 0,0,640,480,0, 4437,640,480:waittimer 28966 blt 0,0,640,480,0, 4438,640,480:waittimer 28973 blt 0,0,640,480,0, 4439,640,480:waittimer 28979 blt 0,0,640,480,0, 4440,640,480:waittimer 28986 blt 0,0,640,480,0, 4441,640,480:waittimer 28992 blt 0,0,640,480,0, 4442,640,480:waittimer 28999 blt 0,0,640,480,0, 4443,640,480:waittimer 29005 blt 0,0,640,480,0, 4444,640,480:waittimer 29012 blt 0,0,640,480,0, 4445,640,480:waittimer 29018 blt 0,0,640,480,0, 4446,640,480:waittimer 29025 blt 0,0,640,480,0, 4447,640,480:waittimer 29031 blt 0,0,640,480,0, 4448,640,480:waittimer 29038 blt 0,0,640,480,0, 4449,640,480:waittimer 29044 blt 0,0,640,480,0, 4450,640,480:waittimer 29051 blt 0,0,640,480,0, 4451,640,480:waittimer 29057 blt 0,0,640,480,0, 4452,640,480:waittimer 29064 blt 0,0,640,480,0, 4453,640,480:waittimer 29071 blt 0,0,640,480,0, 4454,640,480:waittimer 29077 blt 0,0,640,480,0, 4455,640,480:waittimer 29084 blt 0,0,640,480,0, 4456,640,480:waittimer 29090 blt 0,0,640,480,0, 4457,640,480:waittimer 29097 blt 0,0,640,480,0, 4458,640,480:waittimer 29103 blt 0,0,640,480,0, 4459,640,480:waittimer 29110 blt 0,0,640,480,0, 4460,640,480:waittimer 29116 blt 0,0,640,480,0, 4461,640,480:waittimer 29123 blt 0,0,640,480,0, 4462,640,480:waittimer 29129 blt 0,0,640,480,0, 4463,640,480:waittimer 29136 blt 0,0,640,480,0, 4464,640,480:waittimer 29142 blt 0,0,640,480,0, 4465,640,480:waittimer 29149 blt 0,0,640,480,0, 4466,640,480:waittimer 29155 blt 0,0,640,480,0, 4467,640,480:waittimer 29162 blt 0,0,640,480,0, 4468,640,480:waittimer 29168 blt 0,0,640,480,0, 4469,640,480:waittimer 29175 blt 0,0,640,480,0, 4470,640,480:waittimer 29182 blt 0,0,640,480,0, 4471,640,480:waittimer 29188 blt 0,0,640,480,0, 4472,640,480:waittimer 29195 blt 0,0,640,480,0, 4473,640,480:waittimer 29201 blt 0,0,640,480,0, 4474,640,480:waittimer 29208 blt 0,0,640,480,0, 4475,640,480:waittimer 29214 blt 0,0,640,480,0, 4476,640,480:waittimer 29221 blt 0,0,640,480,0, 4477,640,480:waittimer 29227 blt 0,0,640,480,0, 4478,640,480:waittimer 29234 blt 0,0,640,480,0, 4479,640,480:waittimer 29240 blt 0,0,640,480,0, 4480,640,480:waittimer 29247 blt 0,0,640,480,0, 4481,640,480:waittimer 29253 blt 0,0,640,480,0, 4482,640,480:waittimer 29260 blt 0,0,640,480,0, 4483,640,480:waittimer 29266 blt 0,0,640,480,0, 4484,640,480:waittimer 29273 blt 0,0,640,480,0, 4485,640,480:waittimer 29279 blt 0,0,640,480,0, 4486,640,480:waittimer 29286 blt 0,0,640,480,0, 4487,640,480:waittimer 29293 blt 0,0,640,480,0, 4488,640,480:waittimer 29299 blt 0,0,640,480,0, 4489,640,480:waittimer 29306 blt 0,0,640,480,0, 4490,640,480:waittimer 29312 blt 0,0,640,480,0, 4491,640,480:waittimer 29319 blt 0,0,640,480,0, 4492,640,480:waittimer 29325 blt 0,0,640,480,0, 4493,640,480:waittimer 29332 blt 0,0,640,480,0, 4494,640,480:waittimer 29338 blt 0,0,640,480,0, 4495,640,480:waittimer 29345 blt 0,0,640,480,0, 4496,640,480:waittimer 29351 blt 0,0,640,480,0, 4497,640,480:waittimer 29358 blt 0,0,640,480,0, 4498,640,480:waittimer 29364 blt 0,0,640,480,0, 4499,640,480:waittimer 29371 blt 0,0,640,480,0, 4500,640,480:waittimer 29377 blt 0,0,640,480,0, 4501,640,480:waittimer 29384 blt 0,0,640,480,0, 4502,640,480:waittimer 29390 blt 0,0,640,480,0, 4503,640,480:waittimer 29397 blt 0,0,640,480,0, 4504,640,480:waittimer 29403 blt 0,0,640,480,0, 4505,640,480:waittimer 29410 blt 0,0,640,480,0, 4506,640,480:waittimer 29417 blt 0,0,640,480,0, 4507,640,480:waittimer 29423 blt 0,0,640,480,0, 4508,640,480:waittimer 29430 blt 0,0,640,480,0, 4509,640,480:waittimer 29436 blt 0,0,640,480,0, 4510,640,480:waittimer 29443 blt 0,0,640,480,0, 4511,640,480:waittimer 29449 blt 0,0,640,480,0, 4512,640,480:waittimer 29456 blt 0,0,640,480,0, 4513,640,480:waittimer 29462 blt 0,0,640,480,0, 4514,640,480:waittimer 29469 blt 0,0,640,480,0, 4515,640,480:waittimer 29475 blt 0,0,640,480,0, 4516,640,480:waittimer 29482 blt 0,0,640,480,0, 4517,640,480:waittimer 29488 blt 0,0,640,480,0, 4518,640,480:waittimer 29495 blt 0,0,640,480,0, 4519,640,480:waittimer 29501 blt 0,0,640,480,0, 4520,640,480:waittimer 29508 blt 0,0,640,480,0, 4521,640,480:waittimer 29514 blt 0,0,640,480,0, 4522,640,480:waittimer 29521 blt 0,0,640,480,0, 4523,640,480:waittimer 29528 blt 0,0,640,480,0, 4524,640,480:waittimer 29534 blt 0,0,640,480,0, 4525,640,480:waittimer 29541 blt 0,0,640,480,0, 4526,640,480:waittimer 29547 blt 0,0,640,480,0, 4527,640,480:waittimer 29554 blt 0,0,640,480,0, 4528,640,480:waittimer 29560 blt 0,0,640,480,0, 4529,640,480:waittimer 29567 blt 0,0,640,480,0, 4530,640,480:waittimer 29573 blt 0,0,640,480,0, 4531,640,480:waittimer 29580 blt 0,0,640,480,0, 4532,640,480:waittimer 29586 blt 0,0,640,480,0, 4533,640,480:waittimer 29593 blt 0,0,640,480,0, 4534,640,480:waittimer 29599 blt 0,0,640,480,0, 4535,640,480:waittimer 29606 blt 0,0,640,480,0, 4536,640,480:waittimer 29612 blt 0,0,640,480,0, 4537,640,480:waittimer 29619 blt 0,0,640,480,0, 4538,640,480:waittimer 29625 blt 0,0,640,480,0, 4539,640,480:waittimer 29632 blt 0,0,640,480,0, 4540,640,480:waittimer 29639 blt 0,0,640,480,0, 4541,640,480:waittimer 29645 blt 0,0,640,480,0, 4542,640,480:waittimer 29652 blt 0,0,640,480,0, 4543,640,480:waittimer 29658 blt 0,0,640,480,0, 4544,640,480:waittimer 29665 blt 0,0,640,480,0, 4545,640,480:waittimer 29671 blt 0,0,640,480,0, 4546,640,480:waittimer 29678 blt 0,0,640,480,0, 4547,640,480:waittimer 29684 blt 0,0,640,480,0, 4548,640,480:waittimer 29691 blt 0,0,640,480,0, 4549,640,480:waittimer 29697 blt 0,0,640,480,0, 4550,640,480:waittimer 29704 blt 0,0,640,480,0, 4551,640,480:waittimer 29710 blt 0,0,640,480,0, 4552,640,480:waittimer 29717 blt 0,0,640,480,0, 4553,640,480:waittimer 29723 blt 0,0,640,480,0, 4554,640,480:waittimer 29730 blt 0,0,640,480,0, 4555,640,480:waittimer 29736 blt 0,0,640,480,0, 4556,640,480:waittimer 29743 blt 0,0,640,480,0, 4557,640,480:waittimer 29749 blt 0,0,640,480,0, 4558,640,480:waittimer 29756 blt 0,0,640,480,0, 4559,640,480:waittimer 29763 blt 0,0,640,480,0, 4560,640,480:waittimer 29769 blt 0,0,640,480,0, 4561,640,480:waittimer 29776 blt 0,0,640,480,0, 4562,640,480:waittimer 29782 blt 0,0,640,480,0, 4563,640,480:waittimer 29789 blt 0,0,640,480,0, 4564,640,480:waittimer 29795 blt 0,0,640,480,0, 4565,640,480:waittimer 29802 blt 0,0,640,480,0, 4566,640,480:waittimer 29808 blt 0,0,640,480,0, 4567,640,480:waittimer 29815 blt 0,0,640,480,0, 4568,640,480:waittimer 29821 blt 0,0,640,480,0, 4569,640,480:waittimer 29828 blt 0,0,640,480,0, 4570,640,480:waittimer 29834 blt 0,0,640,480,0, 4571,640,480:waittimer 29841 blt 0,0,640,480,0, 4572,640,480:waittimer 29847 blt 0,0,640,480,0, 4573,640,480:waittimer 29854 blt 0,0,640,480,0, 4574,640,480:waittimer 29860 blt 0,0,640,480,0, 4575,640,480:waittimer 29867 blt 0,0,640,480,0, 4576,640,480:waittimer 29874 blt 0,0,640,480,0, 4577,640,480:waittimer 29880 blt 0,0,640,480,0, 4578,640,480:waittimer 29887 blt 0,0,640,480,0, 4579,640,480:waittimer 29893 blt 0,0,640,480,0, 4580,640,480:waittimer 29900 blt 0,0,640,480,0, 4581,640,480:waittimer 29906 blt 0,0,640,480,0, 4582,640,480:waittimer 29913 blt 0,0,640,480,0, 4583,640,480:waittimer 29919 blt 0,0,640,480,0, 4584,640,480:waittimer 29926 blt 0,0,640,480,0, 4585,640,480:waittimer 29932 blt 0,0,640,480,0, 4586,640,480:waittimer 29939 blt 0,0,640,480,0, 4587,640,480:waittimer 29945 blt 0,0,640,480,0, 4588,640,480:waittimer 29952 blt 0,0,640,480,0, 4589,640,480:waittimer 29958 blt 0,0,640,480,0, 4590,640,480:waittimer 29965 blt 0,0,640,480,0, 4591,640,480:waittimer 29971 blt 0,0,640,480,0, 4592,640,480:waittimer 29978 blt 0,0,640,480,0, 4593,640,480:waittimer 29985 blt 0,0,640,480,0, 4594,640,480:waittimer 29991 blt 0,0,640,480,0, 4595,640,480:waittimer 29998 blt 0,0,640,480,0, 4596,640,480:waittimer 30004 blt 0,0,640,480,0, 4597,640,480:waittimer 30011 blt 0,0,640,480,0, 4598,640,480:waittimer 30017 blt 0,0,640,480,0, 4599,640,480:waittimer 30024 blt 0,0,640,480,0, 4600,640,480:waittimer 30030 blt 0,0,640,480,0, 4601,640,480:waittimer 30037 blt 0,0,640,480,0, 4602,640,480:waittimer 30043 blt 0,0,640,480,0, 4603,640,480:waittimer 30050 blt 0,0,640,480,0, 4604,640,480:waittimer 30056 blt 0,0,640,480,0, 4605,640,480:waittimer 30063 blt 0,0,640,480,0, 4606,640,480:waittimer 30069 blt 0,0,640,480,0, 4607,640,480:waittimer 30076 blt 0,0,640,480,0, 4608,640,480:waittimer 30082 blt 0,0,640,480,0, 4609,640,480:waittimer 30089 blt 0,0,640,480,0, 4610,640,480:waittimer 30096 blt 0,0,640,480,0, 4611,640,480:waittimer 30102 blt 0,0,640,480,0, 4612,640,480:waittimer 30109 blt 0,0,640,480,0, 4613,640,480:waittimer 30115 blt 0,0,640,480,0, 4614,640,480:waittimer 30122 blt 0,0,640,480,0, 4615,640,480:waittimer 30128 blt 0,0,640,480,0, 4616,640,480:waittimer 30135 blt 0,0,640,480,0, 4617,640,480:waittimer 30141 blt 0,0,640,480,0, 4618,640,480:waittimer 30148 blt 0,0,640,480,0, 4619,640,480:waittimer 30154 blt 0,0,640,480,0, 4620,640,480:waittimer 30161 blt 0,0,640,480,0, 4621,640,480:waittimer 30167 blt 0,0,640,480,0, 4622,640,480:waittimer 30174 blt 0,0,640,480,0, 4623,640,480:waittimer 30180 blt 0,0,640,480,0, 4624,640,480:waittimer 30187 blt 0,0,640,480,0, 4625,640,480:waittimer 30193 blt 0,0,640,480,0, 4626,640,480:waittimer 30200 blt 0,0,640,480,0, 4627,640,480:waittimer 30206 blt 0,0,640,480,0, 4628,640,480:waittimer 30213 blt 0,0,640,480,0, 4629,640,480:waittimer 30220 blt 0,0,640,480,0, 4630,640,480:waittimer 30226 blt 0,0,640,480,0, 4631,640,480:waittimer 30233 blt 0,0,640,480,0, 4632,640,480:waittimer 30239 blt 0,0,640,480,0, 4633,640,480:waittimer 30246 blt 0,0,640,480,0, 4634,640,480:waittimer 30252 blt 0,0,640,480,0, 4635,640,480:waittimer 30259 blt 0,0,640,480,0, 4636,640,480:waittimer 30265 blt 0,0,640,480,0, 4637,640,480:waittimer 30272 blt 0,0,640,480,0, 4638,640,480:waittimer 30278 blt 0,0,640,480,0, 4639,640,480:waittimer 30285 blt 0,0,640,480,0, 4640,640,480:waittimer 30291 blt 0,0,640,480,0, 4641,640,480:waittimer 30298 blt 0,0,640,480,0, 4642,640,480:waittimer 30304 blt 0,0,640,480,0, 4643,640,480:waittimer 30311 blt 0,0,640,480,0, 4644,640,480:waittimer 30317 blt 0,0,640,480,0, 4645,640,480:waittimer 30324 blt 0,0,640,480,0, 4646,640,480:waittimer 30331 blt 0,0,640,480,0, 4647,640,480:waittimer 30337 blt 0,0,640,480,0, 4648,640,480:waittimer 30344 blt 0,0,640,480,0, 4649,640,480:waittimer 30350 blt 0,0,640,480,0, 4650,640,480:waittimer 30357 blt 0,0,640,480,0, 4651,640,480:waittimer 30363 blt 0,0,640,480,0, 4652,640,480:waittimer 30370 blt 0,0,640,480,0, 4653,640,480:waittimer 30376 blt 0,0,640,480,0, 4654,640,480:waittimer 30383 blt 0,0,640,480,0, 4655,640,480:waittimer 30389 blt 0,0,640,480,0, 4656,640,480:waittimer 30396 blt 0,0,640,480,0, 4657,640,480:waittimer 30402 blt 0,0,640,480,0, 4658,640,480:waittimer 30409 blt 0,0,640,480,0, 4659,640,480:waittimer 30415 blt 0,0,640,480,0, 4660,640,480:waittimer 30422 blt 0,0,640,480,0, 4661,640,480:waittimer 30428 blt 0,0,640,480,0, 4662,640,480:waittimer 30435 blt 0,0,640,480,0, 4663,640,480:waittimer 30442 blt 0,0,640,480,0, 4664,640,480:waittimer 30448 blt 0,0,640,480,0, 4665,640,480:waittimer 30455 blt 0,0,640,480,0, 4666,640,480:waittimer 30461 blt 0,0,640,480,0, 4667,640,480:waittimer 30468 blt 0,0,640,480,0, 4668,640,480:waittimer 30474 blt 0,0,640,480,0, 4669,640,480:waittimer 30481 blt 0,0,640,480,0, 4670,640,480:waittimer 30487 blt 0,0,640,480,0, 4671,640,480:waittimer 30494 blt 0,0,640,480,0, 4672,640,480:waittimer 30500 blt 0,0,640,480,0, 4673,640,480:waittimer 30507 blt 0,0,640,480,0, 4674,640,480:waittimer 30513 blt 0,0,640,480,0, 4675,640,480:waittimer 30520 blt 0,0,640,480,0, 4676,640,480:waittimer 30526 blt 0,0,640,480,0, 4677,640,480:waittimer 30533 blt 0,0,640,480,0, 4678,640,480:waittimer 30539 blt 0,0,640,480,0, 4679,640,480:waittimer 30546 blt 0,0,640,480,0, 4680,640,480:waittimer 30552 blt 0,0,640,480,0, 4681,640,480:waittimer 30559 blt 0,0,640,480,0, 4682,640,480:waittimer 30566 blt 0,0,640,480,0, 4683,640,480:waittimer 30572 blt 0,0,640,480,0, 4684,640,480:waittimer 30579 blt 0,0,640,480,0, 4685,640,480:waittimer 30585 blt 0,0,640,480,0, 4686,640,480:waittimer 30592 blt 0,0,640,480,0, 4687,640,480:waittimer 30598 blt 0,0,640,480,0, 4688,640,480:waittimer 30605 blt 0,0,640,480,0, 4689,640,480:waittimer 30611 blt 0,0,640,480,0, 4690,640,480:waittimer 30618 blt 0,0,640,480,0, 4691,640,480:waittimer 30624 blt 0,0,640,480,0, 4692,640,480:waittimer 30631 blt 0,0,640,480,0, 4693,640,480:waittimer 30637 blt 0,0,640,480,0, 4694,640,480:waittimer 30644 blt 0,0,640,480,0, 4695,640,480:waittimer 30650 blt 0,0,640,480,0, 4696,640,480:waittimer 30657 blt 0,0,640,480,0, 4697,640,480:waittimer 30663 blt 0,0,640,480,0, 4698,640,480:waittimer 30670 blt 0,0,640,480,0, 4699,640,480:waittimer 30677 blt 0,0,640,480,0, 4700,640,480:waittimer 30683 blt 0,0,640,480,0, 4701,640,480:waittimer 30690 blt 0,0,640,480,0, 4702,640,480:waittimer 30696 blt 0,0,640,480,0, 4703,640,480:waittimer 30703 blt 0,0,640,480,0, 4704,640,480:waittimer 30709 blt 0,0,640,480,0, 4705,640,480:waittimer 30716 blt 0,0,640,480,0, 4706,640,480:waittimer 30722 blt 0,0,640,480,0, 4707,640,480:waittimer 30729 blt 0,0,640,480,0, 4708,640,480:waittimer 30735 blt 0,0,640,480,0, 4709,640,480:waittimer 30742 blt 0,0,640,480,0, 4710,640,480:waittimer 30748 blt 0,0,640,480,0, 4711,640,480:waittimer 30755 blt 0,0,640,480,0, 4712,640,480:waittimer 30761 blt 0,0,640,480,0, 4713,640,480:waittimer 30768 blt 0,0,640,480,0, 4714,640,480:waittimer 30774 blt 0,0,640,480,0, 4715,640,480:waittimer 30781 blt 0,0,640,480,0, 4716,640,480:waittimer 30788 blt 0,0,640,480,0, 4717,640,480:waittimer 30794 blt 0,0,640,480,0, 4718,640,480:waittimer 30801 blt 0,0,640,480,0, 4719,640,480:waittimer 30807 blt 0,0,640,480,0, 4720,640,480:waittimer 30814 blt 0,0,640,480,0, 4721,640,480:waittimer 30820 blt 0,0,640,480,0, 4722,640,480:waittimer 30827 blt 0,0,640,480,0, 4723,640,480:waittimer 30833 blt 0,0,640,480,0, 4724,640,480:waittimer 30840 blt 0,0,640,480,0, 4725,640,480:waittimer 30846 blt 0,0,640,480,0, 4726,640,480:waittimer 30853 blt 0,0,640,480,0, 4727,640,480:waittimer 30859 blt 0,0,640,480,0, 4728,640,480:waittimer 30866 blt 0,0,640,480,0, 4729,640,480:waittimer 30872 blt 0,0,640,480,0, 4730,640,480:waittimer 30879 blt 0,0,640,480,0, 4731,640,480:waittimer 30885 blt 0,0,640,480,0, 4732,640,480:waittimer 30892 blt 0,0,640,480,0, 4733,640,480:waittimer 30899 blt 0,0,640,480,0, 4734,640,480:waittimer 30905 blt 0,0,640,480,0, 4735,640,480:waittimer 30912 blt 0,0,640,480,0, 4736,640,480:waittimer 30918 blt 0,0,640,480,0, 4737,640,480:waittimer 30925 blt 0,0,640,480,0, 4738,640,480:waittimer 30931 blt 0,0,640,480,0, 4739,640,480:waittimer 30938 blt 0,0,640,480,0, 4740,640,480:waittimer 30944 blt 0,0,640,480,0, 4741,640,480:waittimer 30951 blt 0,0,640,480,0, 4742,640,480:waittimer 30957 blt 0,0,640,480,0, 4743,640,480:waittimer 30964 blt 0,0,640,480,0, 4744,640,480:waittimer 30970 blt 0,0,640,480,0, 4745,640,480:waittimer 30977 blt 0,0,640,480,0, 4746,640,480:waittimer 30983 blt 0,0,640,480,0, 4747,640,480:waittimer 30990 blt 0,0,640,480,0, 4748,640,480:waittimer 30996 blt 0,0,640,480,0, 4749,640,480:waittimer 31003 blt 0,0,640,480,0, 4750,640,480:waittimer 31009 blt 0,0,640,480,0, 4751,640,480:waittimer 31016 blt 0,0,640,480,0, 4752,640,480:waittimer 31023 blt 0,0,640,480,0, 4753,640,480:waittimer 31029 blt 0,0,640,480,0, 4754,640,480:waittimer 31036 blt 0,0,640,480,0, 4755,640,480:waittimer 31042 blt 0,0,640,480,0, 4756,640,480:waittimer 31049 blt 0,0,640,480,0, 4757,640,480:waittimer 31055 blt 0,0,640,480,0, 4758,640,480:waittimer 31062 blt 0,0,640,480,0, 4759,640,480:waittimer 31068 blt 0,0,640,480,0, 4760,640,480:waittimer 31075 blt 0,0,640,480,0, 4761,640,480:waittimer 31081 blt 0,0,640,480,0, 4762,640,480:waittimer 31088 blt 0,0,640,480,0, 4763,640,480:waittimer 31094 blt 0,0,640,480,0, 4764,640,480:waittimer 31101 blt 0,0,640,480,0, 4765,640,480:waittimer 31107 blt 0,0,640,480,0, 4766,640,480:waittimer 31114 blt 0,0,640,480,0, 4767,640,480:waittimer 31120 blt 0,0,640,480,0, 4768,640,480:waittimer 31127 blt 0,0,640,480,0, 4769,640,480:waittimer 31134 blt 0,0,640,480,0, 4770,640,480:waittimer 31140 blt 0,0,640,480,0, 4771,640,480:waittimer 31147 blt 0,0,640,480,0, 4772,640,480:waittimer 31153 blt 0,0,640,480,0, 4773,640,480:waittimer 31160 blt 0,0,640,480,0, 4774,640,480:waittimer 31166 blt 0,0,640,480,0, 4775,640,480:waittimer 31173 blt 0,0,640,480,0, 4776,640,480:waittimer 31179 blt 0,0,640,480,0, 4777,640,480:waittimer 31186 blt 0,0,640,480,0, 4778,640,480:waittimer 31192 blt 0,0,640,480,0, 4779,640,480:waittimer 31199 blt 0,0,640,480,0, 4780,640,480:waittimer 31205 blt 0,0,640,480,0, 4781,640,480:waittimer 31212 blt 0,0,640,480,0, 4782,640,480:waittimer 31218 blt 0,0,640,480,0, 4783,640,480:waittimer 31225 blt 0,0,640,480,0, 4784,640,480:waittimer 31231 blt 0,0,640,480,0, 4785,640,480:waittimer 31238 blt 0,0,640,480,0, 4786,640,480:waittimer 31245 blt 0,0,640,480,0, 4787,640,480:waittimer 31251 blt 0,0,640,480,0, 4788,640,480:waittimer 31258 blt 0,0,640,480,0, 4789,640,480:waittimer 31264 blt 0,0,640,480,0, 4790,640,480:waittimer 31271 blt 0,0,640,480,0, 4791,640,480:waittimer 31277 blt 0,0,640,480,0, 4792,640,480:waittimer 31284 blt 0,0,640,480,0, 4793,640,480:waittimer 31290 blt 0,0,640,480,0, 4794,640,480:waittimer 31297 blt 0,0,640,480,0, 4795,640,480:waittimer 31303 blt 0,0,640,480,0, 4796,640,480:waittimer 31310 blt 0,0,640,480,0, 4797,640,480:waittimer 31316 blt 0,0,640,480,0, 4798,640,480:waittimer 31323 blt 0,0,640,480,0, 4799,640,480:waittimer 31329 blt 0,0,640,480,0, 4800,640,480:waittimer 31336 blt 0,0,640,480,0, 4801,640,480:waittimer 31342 blt 0,0,640,480,0, 4802,640,480:waittimer 31349 blt 0,0,640,480,0, 4803,640,480:waittimer 31355 blt 0,0,640,480,0, 4804,640,480:waittimer 31362 blt 0,0,640,480,0, 4805,640,480:waittimer 31369 blt 0,0,640,480,0, 4806,640,480:waittimer 31375 blt 0,0,640,480,0, 4807,640,480:waittimer 31382 blt 0,0,640,480,0, 4808,640,480:waittimer 31388 blt 0,0,640,480,0, 4809,640,480:waittimer 31395 blt 0,0,640,480,0, 4810,640,480:waittimer 31401 blt 0,0,640,480,0, 4811,640,480:waittimer 31408 blt 0,0,640,480,0, 4812,640,480:waittimer 31414 blt 0,0,640,480,0, 4813,640,480:waittimer 31421 blt 0,0,640,480,0, 4814,640,480:waittimer 31427 blt 0,0,640,480,0, 4815,640,480:waittimer 31434 blt 0,0,640,480,0, 4816,640,480:waittimer 31440 blt 0,0,640,480,0, 4817,640,480:waittimer 31447 blt 0,0,640,480,0, 4818,640,480:waittimer 31453 blt 0,0,640,480,0, 4819,640,480:waittimer 31460 blt 0,0,640,480,0, 4820,640,480:waittimer 31466 blt 0,0,640,480,0, 4821,640,480:waittimer 31473 blt 0,0,640,480,0, 4822,640,480:waittimer 31480 blt 0,0,640,480,0, 4823,640,480:waittimer 31486 blt 0,0,640,480,0, 4824,640,480:waittimer 31493 blt 0,0,640,480,0, 4825,640,480:waittimer 31499 blt 0,0,640,480,0, 4826,640,480:waittimer 31506 blt 0,0,640,480,0, 4827,640,480:waittimer 31512 blt 0,0,640,480,0, 4828,640,480:waittimer 31519 blt 0,0,640,480,0, 4829,640,480:waittimer 31525 blt 0,0,640,480,0, 4830,640,480:waittimer 31532 blt 0,0,640,480,0, 4831,640,480:waittimer 31538 blt 0,0,640,480,0, 4832,640,480:waittimer 31545 blt 0,0,640,480,0, 4833,640,480:waittimer 31551 blt 0,0,640,480,0, 4834,640,480:waittimer 31558 blt 0,0,640,480,0, 4835,640,480:waittimer 31564 blt 0,0,640,480,0, 4836,640,480:waittimer 31571 blt 0,0,640,480,0, 4837,640,480:waittimer 31577 blt 0,0,640,480,0, 4838,640,480:waittimer 31584 blt 0,0,640,480,0, 4839,640,480:waittimer 31591 blt 0,0,640,480,0, 4840,640,480:waittimer 31597 blt 0,0,640,480,0, 4841,640,480:waittimer 31604 blt 0,0,640,480,0, 4842,640,480:waittimer 31610 blt 0,0,640,480,0, 4843,640,480:waittimer 31617 blt 0,0,640,480,0, 4844,640,480:waittimer 31623 blt 0,0,640,480,0, 4845,640,480:waittimer 31630 blt 0,0,640,480,0, 4846,640,480:waittimer 31636 blt 0,0,640,480,0, 4847,640,480:waittimer 31643 blt 0,0,640,480,0, 4848,640,480:waittimer 31649 blt 0,0,640,480,0, 4849,640,480:waittimer 31656 blt 0,0,640,480,0, 4850,640,480:waittimer 31662 blt 0,0,640,480,0, 4851,640,480:waittimer 31669 blt 0,0,640,480,0, 4852,640,480:waittimer 31675 blt 0,0,640,480,0, 4853,640,480:waittimer 31682 blt 0,0,640,480,0, 4854,640,480:waittimer 31688 blt 0,0,640,480,0, 4855,640,480:waittimer 31695 blt 0,0,640,480,0, 4856,640,480:waittimer 31701 blt 0,0,640,480,0, 4857,640,480:waittimer 31708 blt 0,0,640,480,0, 4858,640,480:waittimer 31715 blt 0,0,640,480,0, 4859,640,480:waittimer 31721 blt 0,0,640,480,0, 4860,640,480:waittimer 31728 blt 0,0,640,480,0, 4861,640,480:waittimer 31734 blt 0,0,640,480,0, 4862,640,480:waittimer 31741 blt 0,0,640,480,0, 4863,640,480:waittimer 31747 blt 0,0,640,480,0, 4864,640,480:waittimer 31754 blt 0,0,640,480,0, 4865,640,480:waittimer 31760 blt 0,0,640,480,0, 4866,640,480:waittimer 31767 blt 0,0,640,480,0, 4867,640,480:waittimer 31773 blt 0,0,640,480,0, 4868,640,480:waittimer 31780 blt 0,0,640,480,0, 4869,640,480:waittimer 31786 blt 0,0,640,480,0, 4870,640,480:waittimer 31793 blt 0,0,640,480,0, 4871,640,480:waittimer 31799 blt 0,0,640,480,0, 4872,640,480:waittimer 31806 blt 0,0,640,480,0, 4873,640,480:waittimer 31812 blt 0,0,640,480,0, 4874,640,480:waittimer 31819 blt 0,0,640,480,0, 4875,640,480:waittimer 31826 blt 0,0,640,480,0, 4876,640,480:waittimer 31832 blt 0,0,640,480,0, 4877,640,480:waittimer 31839 blt 0,0,640,480,0, 4878,640,480:waittimer 31845 blt 0,0,640,480,0, 4879,640,480:waittimer 31852 blt 0,0,640,480,0, 4880,640,480:waittimer 31858 blt 0,0,640,480,0, 4881,640,480:waittimer 31865 blt 0,0,640,480,0, 4882,640,480:waittimer 31871 blt 0,0,640,480,0, 4883,640,480:waittimer 31878 blt 0,0,640,480,0, 4884,640,480:waittimer 31884 blt 0,0,640,480,0, 4885,640,480:waittimer 31891 blt 0,0,640,480,0, 4886,640,480:waittimer 31897 blt 0,0,640,480,0, 4887,640,480:waittimer 31904 blt 0,0,640,480,0, 4888,640,480:waittimer 31910 blt 0,0,640,480,0, 4889,640,480:waittimer 31917 blt 0,0,640,480,0, 4890,640,480:waittimer 31923 blt 0,0,640,480,0, 4891,640,480:waittimer 31930 blt 0,0,640,480,0, 4892,640,480:waittimer 31937 blt 0,0,640,480,0, 4893,640,480:waittimer 31943 blt 0,0,640,480,0, 4894,640,480:waittimer 31950 blt 0,0,640,480,0, 4895,640,480:waittimer 31956 blt 0,0,640,480,0, 4896,640,480:waittimer 31963 blt 0,0,640,480,0, 4897,640,480:waittimer 31969 blt 0,0,640,480,0, 4898,640,480:waittimer 31976 blt 0,0,640,480,0, 4899,640,480:waittimer 31982 blt 0,0,640,480,0, 4900,640,480:waittimer 31989 blt 0,0,640,480,0, 4901,640,480:waittimer 31995 blt 0,0,640,480,0, 4902,640,480:waittimer 32002 blt 0,0,640,480,0, 4903,640,480:waittimer 32008 blt 0,0,640,480,0, 4904,640,480:waittimer 32015 blt 0,0,640,480,0, 4905,640,480:waittimer 32021 blt 0,0,640,480,0, 4906,640,480:waittimer 32028 blt 0,0,640,480,0, 4907,640,480:waittimer 32034 blt 0,0,640,480,0, 4908,640,480:waittimer 32041 blt 0,0,640,480,0, 4909,640,480:waittimer 32048 blt 0,0,640,480,0, 4910,640,480:waittimer 32054 blt 0,0,640,480,0, 4911,640,480:waittimer 32061 blt 0,0,640,480,0, 4912,640,480:waittimer 32067 blt 0,0,640,480,0, 4913,640,480:waittimer 32074 blt 0,0,640,480,0, 4914,640,480:waittimer 32080 blt 0,0,640,480,0, 4915,640,480:waittimer 32087 blt 0,0,640,480,0, 4916,640,480:waittimer 32093 blt 0,0,640,480,0, 4917,640,480:waittimer 32100 blt 0,0,640,480,0, 4918,640,480:waittimer 32106 blt 0,0,640,480,0, 4919,640,480:waittimer 32113 blt 0,0,640,480,0, 4920,640,480:waittimer 32119 blt 0,0,640,480,0, 4921,640,480:waittimer 32126 blt 0,0,640,480,0, 4922,640,480:waittimer 32132 blt 0,0,640,480,0, 4923,640,480:waittimer 32139 blt 0,0,640,480,0, 4924,640,480:waittimer 32145 blt 0,0,640,480,0, 4925,640,480:waittimer 32152 blt 0,0,640,480,0, 4926,640,480:waittimer 32158 blt 0,0,640,480,0, 4927,640,480:waittimer 32165 blt 0,0,640,480,0, 4928,640,480:waittimer 32172 blt 0,0,640,480,0, 4929,640,480:waittimer 32178 blt 0,0,640,480,0, 4930,640,480:waittimer 32185 blt 0,0,640,480,0, 4931,640,480:waittimer 32191 blt 0,0,640,480,0, 4932,640,480:waittimer 32198 blt 0,0,640,480,0, 4933,640,480:waittimer 32204 blt 0,0,640,480,0, 4934,640,480:waittimer 32211 blt 0,0,640,480,0, 4935,640,480:waittimer 32217 blt 0,0,640,480,0, 4936,640,480:waittimer 32224 blt 0,0,640,480,0, 4937,640,480:waittimer 32230 blt 0,0,640,480,0, 4938,640,480:waittimer 32237 blt 0,0,640,480,0, 4939,640,480:waittimer 32243 blt 0,0,640,480,0, 4940,640,480:waittimer 32250 blt 0,0,640,480,0, 4941,640,480:waittimer 32256 blt 0,0,640,480,0, 4942,640,480:waittimer 32263 blt 0,0,640,480,0, 4943,640,480:waittimer 32269 blt 0,0,640,480,0, 4944,640,480:waittimer 32276 blt 0,0,640,480,0, 4945,640,480:waittimer 32283 blt 0,0,640,480,0, 4946,640,480:waittimer 32289 blt 0,0,640,480,0, 4947,640,480:waittimer 32296 blt 0,0,640,480,0, 4948,640,480:waittimer 32302 blt 0,0,640,480,0, 4949,640,480:waittimer 32309 blt 0,0,640,480,0, 4950,640,480:waittimer 32315 blt 0,0,640,480,0, 4951,640,480:waittimer 32322 blt 0,0,640,480,0, 4952,640,480:waittimer 32328 blt 0,0,640,480,0, 4953,640,480:waittimer 32335 blt 0,0,640,480,0, 4954,640,480:waittimer 32341 blt 0,0,640,480,0, 4955,640,480:waittimer 32348 blt 0,0,640,480,0, 4956,640,480:waittimer 32354 blt 0,0,640,480,0, 4957,640,480:waittimer 32361 blt 0,0,640,480,0, 4958,640,480:waittimer 32367 blt 0,0,640,480,0, 4959,640,480:waittimer 32374 blt 0,0,640,480,0, 4960,640,480:waittimer 32380 blt 0,0,640,480,0, 4961,640,480:waittimer 32387 blt 0,0,640,480,0, 4962,640,480:waittimer 32394 blt 0,0,640,480,0, 4963,640,480:waittimer 32400 blt 0,0,640,480,0, 4964,640,480:waittimer 32407 blt 0,0,640,480,0, 4965,640,480:waittimer 32413 blt 0,0,640,480,0, 4966,640,480:waittimer 32420 blt 0,0,640,480,0, 4967,640,480:waittimer 32426 blt 0,0,640,480,0, 4968,640,480:waittimer 32433 blt 0,0,640,480,0, 4969,640,480:waittimer 32439 blt 0,0,640,480,0, 4970,640,480:waittimer 32446 blt 0,0,640,480,0, 4971,640,480:waittimer 32452 blt 0,0,640,480,0, 4972,640,480:waittimer 32459 blt 0,0,640,480,0, 4973,640,480:waittimer 32465 blt 0,0,640,480,0, 4974,640,480:waittimer 32472 blt 0,0,640,480,0, 4975,640,480:waittimer 32478 blt 0,0,640,480,0, 4976,640,480:waittimer 32485 blt 0,0,640,480,0, 4977,640,480:waittimer 32491 blt 0,0,640,480,0, 4978,640,480:waittimer 32498 blt 0,0,640,480,0, 4979,640,480:waittimer 32504 blt 0,0,640,480,0, 4980,640,480:waittimer 32511 blt 0,0,640,480,0, 4981,640,480:waittimer 32518 blt 0,0,640,480,0, 4982,640,480:waittimer 32524 blt 0,0,640,480,0, 4983,640,480:waittimer 32531 blt 0,0,640,480,0, 4984,640,480:waittimer 32537 blt 0,0,640,480,0, 4985,640,480:waittimer 32544 blt 0,0,640,480,0, 4986,640,480:waittimer 32550 blt 0,0,640,480,0, 4987,640,480:waittimer 32557 blt 0,0,640,480,0, 4988,640,480:waittimer 32563 blt 0,0,640,480,0, 4989,640,480:waittimer 32570 blt 0,0,640,480,0, 4990,640,480:waittimer 32576 blt 0,0,640,480,0, 4991,640,480:waittimer 32583 blt 0,0,640,480,0, 4992,640,480:waittimer 32589 blt 0,0,640,480,0, 4993,640,480:waittimer 32596 blt 0,0,640,480,0, 4994,640,480:waittimer 32602 blt 0,0,640,480,0, 4995,640,480:waittimer 32609 blt 0,0,640,480,0, 4996,640,480:waittimer 32615 blt 0,0,640,480,0, 4997,640,480:waittimer 32622 blt 0,0,640,480,0, 4998,640,480:waittimer 32629 blt 0,0,640,480,0, 4999,640,480:waittimer 32635 blt 0,0,640,480,0, 5000,640,480:waittimer 32642 blt 0,0,640,480,0, 5001,640,480:waittimer 32648 blt 0,0,640,480,0, 5002,640,480:waittimer 32655 blt 0,0,640,480,0, 5003,640,480:waittimer 32661 blt 0,0,640,480,0, 5004,640,480:waittimer 32668 blt 0,0,640,480,0, 5005,640,480:waittimer 32674 blt 0,0,640,480,0, 5006,640,480:waittimer 32681 blt 0,0,640,480,0, 5007,640,480:waittimer 32687 blt 0,0,640,480,0, 5008,640,480:waittimer 32694 blt 0,0,640,480,0, 5009,640,480:waittimer 32700 blt 0,0,640,480,0, 5010,640,480:waittimer 32707 blt 0,0,640,480,0, 5011,640,480:waittimer 32713 blt 0,0,640,480,0, 5012,640,480:waittimer 32720 blt 0,0,640,480,0, 5013,640,480:waittimer 32726 blt 0,0,640,480,0, 5014,640,480:waittimer 32733 blt 0,0,640,480,0, 5015,640,480:waittimer 32740 blt 0,0,640,480,0, 5016,640,480:waittimer 32746 blt 0,0,640,480,0, 5017,640,480:waittimer 32753 blt 0,0,640,480,0, 5018,640,480:waittimer 32759 blt 0,0,640,480,0, 5019,640,480:waittimer 32766 blt 0,0,640,480,0, 5020,640,480:waittimer 32772 blt 0,0,640,480,0, 5021,640,480:waittimer 32779 blt 0,0,640,480,0, 5022,640,480:waittimer 32785 blt 0,0,640,480,0, 5023,640,480:waittimer 32792 blt 0,0,640,480,0, 5024,640,480:waittimer 32798 blt 0,0,640,480,0, 5025,640,480:waittimer 32805 blt 0,0,640,480,0, 5026,640,480:waittimer 32811 blt 0,0,640,480,0, 5027,640,480:waittimer 32818 blt 0,0,640,480,0, 5028,640,480:waittimer 32824 blt 0,0,640,480,0, 5029,640,480:waittimer 32831 blt 0,0,640,480,0, 5030,640,480:waittimer 32837 blt 0,0,640,480,0, 5031,640,480:waittimer 32844 blt 0,0,640,480,0, 5032,640,480:waittimer 32850 blt 0,0,640,480,0, 5033,640,480:waittimer 32857 blt 0,0,640,480,0, 5034,640,480:waittimer 32864 blt 0,0,640,480,0, 5035,640,480:waittimer 32870 blt 0,0,640,480,0, 5036,640,480:waittimer 32877 blt 0,0,640,480,0, 5037,640,480:waittimer 32883 blt 0,0,640,480,0, 5038,640,480:waittimer 32890 blt 0,0,640,480,0, 5039,640,480:waittimer 32896 blt 0,0,640,480,0, 5040,640,480:waittimer 32903 blt 0,0,640,480,0, 5041,640,480:waittimer 32909 blt 0,0,640,480,0, 5042,640,480:waittimer 32916 blt 0,0,640,480,0, 5043,640,480:waittimer 32922 blt 0,0,640,480,0, 5044,640,480:waittimer 32929 blt 0,0,640,480,0, 5045,640,480:waittimer 32935 blt 0,0,640,480,0, 5046,640,480:waittimer 32942 blt 0,0,640,480,0, 5047,640,480:waittimer 32948 blt 0,0,640,480,0, 5048,640,480:waittimer 32955 blt 0,0,640,480,0, 5049,640,480:waittimer 32961 blt 0,0,640,480,0, 5050,640,480:waittimer 32968 blt 0,0,640,480,0, 5051,640,480:waittimer 32975 blt 0,0,640,480,0, 5052,640,480:waittimer 32981 blt 0,0,640,480,0, 5053,640,480:waittimer 32988 blt 0,0,640,480,0, 5054,640,480:waittimer 32994 blt 0,0,640,480,0, 5055,640,480:waittimer 33001 blt 0,0,640,480,0, 5056,640,480:waittimer 33007 blt 0,0,640,480,0, 5057,640,480:waittimer 33014 blt 0,0,640,480,0, 5058,640,480:waittimer 33020 blt 0,0,640,480,0, 5059,640,480:waittimer 33027 blt 0,0,640,480,0, 5060,640,480:waittimer 33033 blt 0,0,640,480,0, 5061,640,480:waittimer 33040 blt 0,0,640,480,0, 5062,640,480:waittimer 33046 blt 0,0,640,480,0, 5063,640,480:waittimer 33053 blt 0,0,640,480,0, 5064,640,480:waittimer 33059 blt 0,0,640,480,0, 5065,640,480:waittimer 33066 blt 0,0,640,480,0, 5066,640,480:waittimer 33072 blt 0,0,640,480,0, 5067,640,480:waittimer 33079 blt 0,0,640,480,0, 5068,640,480:waittimer 33086 blt 0,0,640,480,0, 5069,640,480:waittimer 33092 blt 0,0,640,480,0, 5070,640,480:waittimer 33099 blt 0,0,640,480,0, 5071,640,480:waittimer 33105 blt 0,0,640,480,0, 5072,640,480:waittimer 33112 blt 0,0,640,480,0, 5073,640,480:waittimer 33118 blt 0,0,640,480,0, 5074,640,480:waittimer 33125 blt 0,0,640,480,0, 5075,640,480:waittimer 33131 blt 0,0,640,480,0, 5076,640,480:waittimer 33138 blt 0,0,640,480,0, 5077,640,480:waittimer 33144 blt 0,0,640,480,0, 5078,640,480:waittimer 33151 blt 0,0,640,480,0, 5079,640,480:waittimer 33157 blt 0,0,640,480,0, 5080,640,480:waittimer 33164 blt 0,0,640,480,0, 5081,640,480:waittimer 33170 blt 0,0,640,480,0, 5082,640,480:waittimer 33177 blt 0,0,640,480,0, 5083,640,480:waittimer 33183 blt 0,0,640,480,0, 5084,640,480:waittimer 33190 blt 0,0,640,480,0, 5085,640,480:waittimer 33197 blt 0,0,640,480,0, 5086,640,480:waittimer 33203 blt 0,0,640,480,0, 5087,640,480:waittimer 33210 blt 0,0,640,480,0, 5088,640,480:waittimer 33216 blt 0,0,640,480,0, 5089,640,480:waittimer 33223 blt 0,0,640,480,0, 5090,640,480:waittimer 33229 blt 0,0,640,480,0, 5091,640,480:waittimer 33236 blt 0,0,640,480,0, 5092,640,480:waittimer 33242 blt 0,0,640,480,0, 5093,640,480:waittimer 33249 blt 0,0,640,480,0, 5094,640,480:waittimer 33255 blt 0,0,640,480,0, 5095,640,480:waittimer 33262 blt 0,0,640,480,0, 5096,640,480:waittimer 33268 blt 0,0,640,480,0, 5097,640,480:waittimer 33275 blt 0,0,640,480,0, 5098,640,480:waittimer 33281 blt 0,0,640,480,0, 5099,640,480:waittimer 33288 blt 0,0,640,480,0, 5100,640,480:waittimer 33294 blt 0,0,640,480,0, 5101,640,480:waittimer 33301 blt 0,0,640,480,0, 5102,640,480:waittimer 33307 blt 0,0,640,480,0, 5103,640,480:waittimer 33314 blt 0,0,640,480,0, 5104,640,480:waittimer 33321 blt 0,0,640,480,0, 5105,640,480:waittimer 33327 blt 0,0,640,480,0, 5106,640,480:waittimer 33334 blt 0,0,640,480,0, 5107,640,480:waittimer 33340 blt 0,0,640,480,0, 5108,640,480:waittimer 33347 blt 0,0,640,480,0, 5109,640,480:waittimer 33353 blt 0,0,640,480,0, 5110,640,480:waittimer 33360 blt 0,0,640,480,0, 5111,640,480:waittimer 33366 blt 0,0,640,480,0, 5112,640,480:waittimer 33373 blt 0,0,640,480,0, 5113,640,480:waittimer 33379 blt 0,0,640,480,0, 5114,640,480:waittimer 33386 blt 0,0,640,480,0, 5115,640,480:waittimer 33392 blt 0,0,640,480,0, 5116,640,480:waittimer 33399 blt 0,0,640,480,0, 5117,640,480:waittimer 33405 blt 0,0,640,480,0, 5118,640,480:waittimer 33412 blt 0,0,640,480,0, 5119,640,480:waittimer 33418 blt 0,0,640,480,0, 5120,640,480:waittimer 33425 blt 0,0,640,480,0, 5121,640,480:waittimer 33432 blt 0,0,640,480,0, 5122,640,480:waittimer 33438 blt 0,0,640,480,0, 5123,640,480:waittimer 33445 blt 0,0,640,480,0, 5124,640,480:waittimer 33451 blt 0,0,640,480,0, 5125,640,480:waittimer 33458 blt 0,0,640,480,0, 5126,640,480:waittimer 33464 blt 0,0,640,480,0, 5127,640,480:waittimer 33471 blt 0,0,640,480,0, 5128,640,480:waittimer 33477 blt 0,0,640,480,0, 5129,640,480:waittimer 33484 blt 0,0,640,480,0, 5130,640,480:waittimer 33490 blt 0,0,640,480,0, 5131,640,480:waittimer 33497 blt 0,0,640,480,0, 5132,640,480:waittimer 33503 blt 0,0,640,480,0, 5133,640,480:waittimer 33510 blt 0,0,640,480,0, 5134,640,480:waittimer 33516 blt 0,0,640,480,0, 5135,640,480:waittimer 33523 blt 0,0,640,480,0, 5136,640,480:waittimer 33529 blt 0,0,640,480,0, 5137,640,480:waittimer 33536 blt 0,0,640,480,0, 5138,640,480:waittimer 33543 blt 0,0,640,480,0, 5139,640,480:waittimer 33549 blt 0,0,640,480,0, 5140,640,480:waittimer 33556 blt 0,0,640,480,0, 5141,640,480:waittimer 33562 blt 0,0,640,480,0, 5142,640,480:waittimer 33569 blt 0,0,640,480,0, 5143,640,480:waittimer 33575 blt 0,0,640,480,0, 5144,640,480:waittimer 33582 blt 0,0,640,480,0, 5145,640,480:waittimer 33588 blt 0,0,640,480,0, 5146,640,480:waittimer 33595 blt 0,0,640,480,0, 5147,640,480:waittimer 33601 blt 0,0,640,480,0, 5148,640,480:waittimer 33608 blt 0,0,640,480,0, 5149,640,480:waittimer 33614 blt 0,0,640,480,0, 5150,640,480:waittimer 33621 blt 0,0,640,480,0, 5151,640,480:waittimer 33627 blt 0,0,640,480,0, 5152,640,480:waittimer 33634 blt 0,0,640,480,0, 5153,640,480:waittimer 33640 blt 0,0,640,480,0, 5154,640,480:waittimer 33647 blt 0,0,640,480,0, 5155,640,480:waittimer 33653 blt 0,0,640,480,0, 5156,640,480:waittimer 33660 blt 0,0,640,480,0, 5157,640,480:waittimer 33667 blt 0,0,640,480,0, 5158,640,480:waittimer 33673 blt 0,0,640,480,0, 5159,640,480:waittimer 33680 blt 0,0,640,480,0, 5160,640,480:waittimer 33686 blt 0,0,640,480,0, 5161,640,480:waittimer 33693 blt 0,0,640,480,0, 5162,640,480:waittimer 33699 blt 0,0,640,480,0, 5163,640,480:waittimer 33706 blt 0,0,640,480,0, 5164,640,480:waittimer 33712 blt 0,0,640,480,0, 5165,640,480:waittimer 33719 blt 0,0,640,480,0, 5166,640,480:waittimer 33725 blt 0,0,640,480,0, 5167,640,480:waittimer 33732 blt 0,0,640,480,0, 5168,640,480:waittimer 33738 blt 0,0,640,480,0, 5169,640,480:waittimer 33745 blt 0,0,640,480,0, 5170,640,480:waittimer 33751 blt 0,0,640,480,0, 5171,640,480:waittimer 33758 blt 0,0,640,480,0, 5172,640,480:waittimer 33764 blt 0,0,640,480,0, 5173,640,480:waittimer 33771 blt 0,0,640,480,0, 5174,640,480:waittimer 33778 blt 0,0,640,480,0, 5175,640,480:waittimer 33784 blt 0,0,640,480,0, 5176,640,480:waittimer 33791 blt 0,0,640,480,0, 5177,640,480:waittimer 33797 blt 0,0,640,480,0, 5178,640,480:waittimer 33804 blt 0,0,640,480,0, 5179,640,480:waittimer 33810 blt 0,0,640,480,0, 5180,640,480:waittimer 33817 blt 0,0,640,480,0, 5181,640,480:waittimer 33823 blt 0,0,640,480,0, 5182,640,480:waittimer 33830 blt 0,0,640,480,0, 5183,640,480:waittimer 33836 blt 0,0,640,480,0, 5184,640,480:waittimer 33843 blt 0,0,640,480,0, 5185,640,480:waittimer 33849 blt 0,0,640,480,0, 5186,640,480:waittimer 33856 blt 0,0,640,480,0, 5187,640,480:waittimer 33862 blt 0,0,640,480,0, 5188,640,480:waittimer 33869 blt 0,0,640,480,0, 5189,640,480:waittimer 33875 blt 0,0,640,480,0, 5190,640,480:waittimer 33882 blt 0,0,640,480,0, 5191,640,480:waittimer 33889 blt 0,0,640,480,0, 5192,640,480:waittimer 33895 blt 0,0,640,480,0, 5193,640,480:waittimer 33902 blt 0,0,640,480,0, 5194,640,480:waittimer 33908 blt 0,0,640,480,0, 5195,640,480:waittimer 33915 blt 0,0,640,480,0, 5196,640,480:waittimer 33921 blt 0,0,640,480,0, 5197,640,480:waittimer 33928 blt 0,0,640,480,0, 5198,640,480:waittimer 33934 blt 0,0,640,480,0, 5199,640,480:waittimer 33941 blt 0,0,640,480,0, 5200,640,480:waittimer 33947 blt 0,0,640,480,0, 5201,640,480:waittimer 33954 blt 0,0,640,480,0, 5202,640,480:waittimer 33960 blt 0,0,640,480,0, 5203,640,480:waittimer 33967 blt 0,0,640,480,0, 5204,640,480:waittimer 33973 blt 0,0,640,480,0, 5205,640,480:waittimer 33980 blt 0,0,640,480,0, 5206,640,480:waittimer 33986 blt 0,0,640,480,0, 5207,640,480:waittimer 33993 blt 0,0,640,480,0, 5208,640,480:waittimer 33999 blt 0,0,640,480,0, 5209,640,480:waittimer 34006 blt 0,0,640,480,0, 5210,640,480:waittimer 34013 blt 0,0,640,480,0, 5211,640,480:waittimer 34019 blt 0,0,640,480,0, 5212,640,480:waittimer 34026 blt 0,0,640,480,0, 5213,640,480:waittimer 34032 blt 0,0,640,480,0, 5214,640,480:waittimer 34039 blt 0,0,640,480,0, 5215,640,480:waittimer 34045 blt 0,0,640,480,0, 5216,640,480:waittimer 34052 blt 0,0,640,480,0, 5217,640,480:waittimer 34058 blt 0,0,640,480,0, 5218,640,480:waittimer 34065 blt 0,0,640,480,0, 5219,640,480:waittimer 34071 blt 0,0,640,480,0, 5220,640,480:waittimer 34078 blt 0,0,640,480,0, 5221,640,480:waittimer 34084 blt 0,0,640,480,0, 5222,640,480:waittimer 34091 blt 0,0,640,480,0, 5223,640,480:waittimer 34097 blt 0,0,640,480,0, 5224,640,480:waittimer 34104 blt 0,0,640,480,0, 5225,640,480:waittimer 34110 blt 0,0,640,480,0, 5226,640,480:waittimer 34117 blt 0,0,640,480,0, 5227,640,480:waittimer 34124 blt 0,0,640,480,0, 5228,640,480:waittimer 34130 blt 0,0,640,480,0, 5229,640,480:waittimer 34137 blt 0,0,640,480,0, 5230,640,480:waittimer 34143 blt 0,0,640,480,0, 5231,640,480:waittimer 34150 blt 0,0,640,480,0, 5232,640,480:waittimer 34156 blt 0,0,640,480,0, 5233,640,480:waittimer 34163 blt 0,0,640,480,0, 5234,640,480:waittimer 34169 blt 0,0,640,480,0, 5235,640,480:waittimer 34176 blt 0,0,640,480,0, 5236,640,480:waittimer 34182 blt 0,0,640,480,0, 5237,640,480:waittimer 34189 blt 0,0,640,480,0, 5238,640,480:waittimer 34195 blt 0,0,640,480,0, 5239,640,480:waittimer 34202 blt 0,0,640,480,0, 5240,640,480:waittimer 34208 blt 0,0,640,480,0, 5241,640,480:waittimer 34215 blt 0,0,640,480,0, 5242,640,480:waittimer 34221 blt 0,0,640,480,0, 5243,640,480:waittimer 34228 blt 0,0,640,480,0, 5244,640,480:waittimer 34235 blt 0,0,640,480,0, 5245,640,480:waittimer 34241 blt 0,0,640,480,0, 5246,640,480:waittimer 34248 blt 0,0,640,480,0, 5247,640,480:waittimer 34254 blt 0,0,640,480,0, 5248,640,480:waittimer 34261 blt 0,0,640,480,0, 5249,640,480:waittimer 34267 blt 0,0,640,480,0, 5250,640,480:waittimer 34274 blt 0,0,640,480,0, 5251,640,480:waittimer 34280 blt 0,0,640,480,0, 5252,640,480:waittimer 34287 blt 0,0,640,480,0, 5253,640,480:waittimer 34293 blt 0,0,640,480,0, 5254,640,480:waittimer 34300 blt 0,0,640,480,0, 5255,640,480:waittimer 34306 blt 0,0,640,480,0, 5256,640,480:waittimer 34313 blt 0,0,640,480,0, 5257,640,480:waittimer 34319 blt 0,0,640,480,0, 5258,640,480:waittimer 34326 blt 0,0,640,480,0, 5259,640,480:waittimer 34332 blt 0,0,640,480,0, 5260,640,480:waittimer 34339 blt 0,0,640,480,0, 5261,640,480:waittimer 34346 blt 0,0,640,480,0, 5262,640,480:waittimer 34352 blt 0,0,640,480,0, 5263,640,480:waittimer 34359 blt 0,0,640,480,0, 5264,640,480:waittimer 34365 blt 0,0,640,480,0, 5265,640,480:waittimer 34372 blt 0,0,640,480,0, 5266,640,480:waittimer 34378 blt 0,0,640,480,0, 5267,640,480:waittimer 34385 blt 0,0,640,480,0, 5268,640,480:waittimer 34391 blt 0,0,640,480,0, 5269,640,480:waittimer 34398 blt 0,0,640,480,0, 5270,640,480:waittimer 34404 blt 0,0,640,480,0, 5271,640,480:waittimer 34411 blt 0,0,640,480,0, 5272,640,480:waittimer 34417 blt 0,0,640,480,0, 5273,640,480:waittimer 34424 blt 0,0,640,480,0, 5274,640,480:waittimer 34430 blt 0,0,640,480,0, 5275,640,480:waittimer 34437 blt 0,0,640,480,0, 5276,640,480:waittimer 34443 blt 0,0,640,480,0, 5277,640,480:waittimer 34450 blt 0,0,640,480,0, 5278,640,480:waittimer 34456 blt 0,0,640,480,0, 5279,640,480:waittimer 34463 blt 0,0,640,480,0, 5280,640,480:waittimer 34470 blt 0,0,640,480,0, 5281,640,480:waittimer 34476 blt 0,0,640,480,0, 5282,640,480:waittimer 34483 blt 0,0,640,480,0, 5283,640,480:waittimer 34489 blt 0,0,640,480,0, 5284,640,480:waittimer 34496 blt 0,0,640,480,0, 5285,640,480:waittimer 34502 blt 0,0,640,480,0, 5286,640,480:waittimer 34509 blt 0,0,640,480,0, 5287,640,480:waittimer 34515 blt 0,0,640,480,0, 5288,640,480:waittimer 34522 blt 0,0,640,480,0, 5289,640,480:waittimer 34528 blt 0,0,640,480,0, 5290,640,480:waittimer 34535 blt 0,0,640,480,0, 5291,640,480:waittimer 34541 blt 0,0,640,480,0, 5292,640,480:waittimer 34548 blt 0,0,640,480,0, 5293,640,480:waittimer 34554 blt 0,0,640,480,0, 5294,640,480:waittimer 34561 blt 0,0,640,480,0, 5295,640,480:waittimer 34567 blt 0,0,640,480,0, 5296,640,480:waittimer 34574 blt 0,0,640,480,0, 5297,640,480:waittimer 34581 blt 0,0,640,480,0, 5298,640,480:waittimer 34587 blt 0,0,640,480,0, 5299,640,480:waittimer 34594 blt 0,0,640,480,0, 5300,640,480:waittimer 34600 blt 0,0,640,480,0, 5301,640,480:waittimer 34607 blt 0,0,640,480,0, 5302,640,480:waittimer 34613 blt 0,0,640,480,0, 5303,640,480:waittimer 34620 blt 0,0,640,480,0, 5304,640,480:waittimer 34626 blt 0,0,640,480,0, 5305,640,480:waittimer 34633 blt 0,0,640,480,0, 5306,640,480:waittimer 34639 blt 0,0,640,480,0, 5307,640,480:waittimer 34646 blt 0,0,640,480,0, 5308,640,480:waittimer 34652 blt 0,0,640,480,0, 5309,640,480:waittimer 34659 blt 0,0,640,480,0, 5310,640,480:waittimer 34665 blt 0,0,640,480,0, 5311,640,480:waittimer 34672 blt 0,0,640,480,0, 5312,640,480:waittimer 34678 blt 0,0,640,480,0, 5313,640,480:waittimer 34685 blt 0,0,640,480,0, 5314,640,480:waittimer 34692 blt 0,0,640,480,0, 5315,640,480:waittimer 34698 blt 0,0,640,480,0, 5316,640,480:waittimer 34705 blt 0,0,640,480,0, 5317,640,480:waittimer 34711 blt 0,0,640,480,0, 5318,640,480:waittimer 34718 blt 0,0,640,480,0, 5319,640,480:waittimer 34724 blt 0,0,640,480,0, 5320,640,480:waittimer 34731 blt 0,0,640,480,0, 5321,640,480:waittimer 34737 blt 0,0,640,480,0, 5322,640,480:waittimer 34744 blt 0,0,640,480,0, 5323,640,480:waittimer 34750 blt 0,0,640,480,0, 5324,640,480:waittimer 34757 blt 0,0,640,480,0, 5325,640,480:waittimer 34763 blt 0,0,640,480,0, 5326,640,480:waittimer 34770 blt 0,0,640,480,0, 5327,640,480:waittimer 34776 blt 0,0,640,480,0, 5328,640,480:waittimer 34783 blt 0,0,640,480,0, 5329,640,480:waittimer 34789 blt 0,0,640,480,0, 5330,640,480:waittimer 34796 blt 0,0,640,480,0, 5331,640,480:waittimer 34802 blt 0,0,640,480,0, 5332,640,480:waittimer 34809 blt 0,0,640,480,0, 5333,640,480:waittimer 34816 blt 0,0,640,480,0, 5334,640,480:waittimer 34822 blt 0,0,640,480,0, 5335,640,480:waittimer 34829 blt 0,0,640,480,0, 5336,640,480:waittimer 34835 blt 0,0,640,480,0, 5337,640,480:waittimer 34842 blt 0,0,640,480,0, 5338,640,480:waittimer 34848 blt 0,0,640,480,0, 5339,640,480:waittimer 34855 blt 0,0,640,480,0, 5340,640,480:waittimer 34861 blt 0,0,640,480,0, 5341,640,480:waittimer 34868 blt 0,0,640,480,0, 5342,640,480:waittimer 34874 blt 0,0,640,480,0, 5343,640,480:waittimer 34881 blt 0,0,640,480,0, 5344,640,480:waittimer 34887 blt 0,0,640,480,0, 5345,640,480:waittimer 34894 blt 0,0,640,480,0, 5346,640,480:waittimer 34900 blt 0,0,640,480,0, 5347,640,480:waittimer 34907 blt 0,0,640,480,0, 5348,640,480:waittimer 34913 blt 0,0,640,480,0, 5349,640,480:waittimer 34920 blt 0,0,640,480,0, 5350,640,480:waittimer 34927 blt 0,0,640,480,0, 5351,640,480:waittimer 34933 blt 0,0,640,480,0, 5352,640,480:waittimer 34940 blt 0,0,640,480,0, 5353,640,480:waittimer 34946 blt 0,0,640,480,0, 5354,640,480:waittimer 34953 blt 0,0,640,480,0, 5355,640,480:waittimer 34959 blt 0,0,640,480,0, 5356,640,480:waittimer 34966 blt 0,0,640,480,0, 5357,640,480:waittimer 34972 blt 0,0,640,480,0, 5358,640,480:waittimer 34979 blt 0,0,640,480,0, 5359,640,480:waittimer 34985 blt 0,0,640,480,0, 5360,640,480:waittimer 34992 blt 0,0,640,480,0, 5361,640,480:waittimer 34998 blt 0,0,640,480,0, 5362,640,480:waittimer 35005 blt 0,0,640,480,0, 5363,640,480:waittimer 35011 blt 0,0,640,480,0, 5364,640,480:waittimer 35018 blt 0,0,640,480,0, 5365,640,480:waittimer 35024 blt 0,0,640,480,0, 5366,640,480:waittimer 35031 blt 0,0,640,480,0, 5367,640,480:waittimer 35038 blt 0,0,640,480,0, 5368,640,480:waittimer 35044 blt 0,0,640,480,0, 5369,640,480:waittimer 35051 blt 0,0,640,480,0, 5370,640,480:waittimer 35057 blt 0,0,640,480,0, 5371,640,480:waittimer 35064 blt 0,0,640,480,0, 5372,640,480:waittimer 35070 blt 0,0,640,480,0, 5373,640,480:waittimer 35077 blt 0,0,640,480,0, 5374,640,480:waittimer 35083 blt 0,0,640,480,0, 5375,640,480:waittimer 35090 blt 0,0,640,480,0, 5376,640,480:waittimer 35096 blt 0,0,640,480,0, 5377,640,480:waittimer 35103 blt 0,0,640,480,0, 5378,640,480:waittimer 35109 blt 0,0,640,480,0, 5379,640,480:waittimer 35116 blt 0,0,640,480,0, 5380,640,480:waittimer 35122 blt 0,0,640,480,0, 5381,640,480:waittimer 35129 blt 0,0,640,480,0, 5382,640,480:waittimer 35135 blt 0,0,640,480,0, 5383,640,480:waittimer 35142 blt 0,0,640,480,0, 5384,640,480:waittimer 35149 blt 0,0,640,480,0, 5385,640,480:waittimer 35155 blt 0,0,640,480,0, 5386,640,480:waittimer 35162 blt 0,0,640,480,0, 5387,640,480:waittimer 35168 blt 0,0,640,480,0, 5388,640,480:waittimer 35175 blt 0,0,640,480,0, 5389,640,480:waittimer 35181 blt 0,0,640,480,0, 5390,640,480:waittimer 35188 blt 0,0,640,480,0, 5391,640,480:waittimer 35194 blt 0,0,640,480,0, 5392,640,480:waittimer 35201 blt 0,0,640,480,0, 5393,640,480:waittimer 35207 blt 0,0,640,480,0, 5394,640,480:waittimer 35214 blt 0,0,640,480,0, 5395,640,480:waittimer 35220 blt 0,0,640,480,0, 5396,640,480:waittimer 35227 blt 0,0,640,480,0, 5397,640,480:waittimer 35233 blt 0,0,640,480,0, 5398,640,480:waittimer 35240 blt 0,0,640,480,0, 5399,640,480:waittimer 35246 blt 0,0,640,480,0, 5400,640,480:waittimer 35253 blt 0,0,640,480,0, 5401,640,480:waittimer 35259 blt 0,0,640,480,0, 5402,640,480:waittimer 35266 blt 0,0,640,480,0, 5403,640,480:waittimer 35273 blt 0,0,640,480,0, 5404,640,480:waittimer 35279 blt 0,0,640,480,0, 5405,640,480:waittimer 35286 blt 0,0,640,480,0, 5406,640,480:waittimer 35292 blt 0,0,640,480,0, 5407,640,480:waittimer 35299 blt 0,0,640,480,0, 5408,640,480:waittimer 35305 blt 0,0,640,480,0, 5409,640,480:waittimer 35312 blt 0,0,640,480,0, 5410,640,480:waittimer 35318 blt 0,0,640,480,0, 5411,640,480:waittimer 35325 blt 0,0,640,480,0, 5412,640,480:waittimer 35331 blt 0,0,640,480,0, 5413,640,480:waittimer 35338 blt 0,0,640,480,0, 5414,640,480:waittimer 35344 blt 0,0,640,480,0, 5415,640,480:waittimer 35351 blt 0,0,640,480,0, 5416,640,480:waittimer 35357 blt 0,0,640,480,0, 5417,640,480:waittimer 35364 blt 0,0,640,480,0, 5418,640,480:waittimer 35370 blt 0,0,640,480,0, 5419,640,480:waittimer 35377 blt 0,0,640,480,0, 5420,640,480:waittimer 35384 blt 0,0,640,480,0, 5421,640,480:waittimer 35390 blt 0,0,640,480,0, 5422,640,480:waittimer 35397 blt 0,0,640,480,0, 5423,640,480:waittimer 35403 blt 0,0,640,480,0, 5424,640,480:waittimer 35410 blt 0,0,640,480,0, 5425,640,480:waittimer 35416 blt 0,0,640,480,0, 5426,640,480:waittimer 35423 blt 0,0,640,480,0, 5427,640,480:waittimer 35429 blt 0,0,640,480,0, 5428,640,480:waittimer 35436 blt 0,0,640,480,0, 5429,640,480:waittimer 35442 blt 0,0,640,480,0, 5430,640,480:waittimer 35449 blt 0,0,640,480,0, 5431,640,480:waittimer 35455 blt 0,0,640,480,0, 5432,640,480:waittimer 35462 blt 0,0,640,480,0, 5433,640,480:waittimer 35468 blt 0,0,640,480,0, 5434,640,480:waittimer 35475 blt 0,0,640,480,0, 5435,640,480:waittimer 35481 blt 0,0,640,480,0, 5436,640,480:waittimer 35488 blt 0,0,640,480,0, 5437,640,480:waittimer 35495 blt 0,0,640,480,0, 5438,640,480:waittimer 35501 blt 0,0,640,480,0, 5439,640,480:waittimer 35508 blt 0,0,640,480,0, 5440,640,480:waittimer 35514 blt 0,0,640,480,0, 5441,640,480:waittimer 35521 blt 0,0,640,480,0, 5442,640,480:waittimer 35527 blt 0,0,640,480,0, 5443,640,480:waittimer 35534 blt 0,0,640,480,0, 5444,640,480:waittimer 35540 blt 0,0,640,480,0, 5445,640,480:waittimer 35547 blt 0,0,640,480,0, 5446,640,480:waittimer 35553 blt 0,0,640,480,0, 5447,640,480:waittimer 35560 blt 0,0,640,480,0, 5448,640,480:waittimer 35566 blt 0,0,640,480,0, 5449,640,480:waittimer 35573 blt 0,0,640,480,0, 5450,640,480:waittimer 35579 blt 0,0,640,480,0, 5451,640,480:waittimer 35586 blt 0,0,640,480,0, 5452,640,480:waittimer 35592 blt 0,0,640,480,0, 5453,640,480:waittimer 35599 blt 0,0,640,480,0, 5454,640,480:waittimer 35605 blt 0,0,640,480,0, 5455,640,480:waittimer 35612 blt 0,0,640,480,0, 5456,640,480:waittimer 35619 blt 0,0,640,480,0, 5457,640,480:waittimer 35625 blt 0,0,640,480,0, 5458,640,480:waittimer 35632 blt 0,0,640,480,0, 5459,640,480:waittimer 35638 blt 0,0,640,480,0, 5460,640,480:waittimer 35645 blt 0,0,640,480,0, 5461,640,480:waittimer 35651 blt 0,0,640,480,0, 5462,640,480:waittimer 35658 blt 0,0,640,480,0, 5463,640,480:waittimer 35664 blt 0,0,640,480,0, 5464,640,480:waittimer 35671 blt 0,0,640,480,0, 5465,640,480:waittimer 35677 blt 0,0,640,480,0, 5466,640,480:waittimer 35684 blt 0,0,640,480,0, 5467,640,480:waittimer 35690 blt 0,0,640,480,0, 5468,640,480:waittimer 35697 blt 0,0,640,480,0, 5469,640,480:waittimer 35703 blt 0,0,640,480,0, 5470,640,480:waittimer 35710 blt 0,0,640,480,0, 5471,640,480:waittimer 35716 blt 0,0,640,480,0, 5472,640,480:waittimer 35723 blt 0,0,640,480,0, 5473,640,480:waittimer 35730 blt 0,0,640,480,0, 5474,640,480:waittimer 35736 blt 0,0,640,480,0, 5475,640,480:waittimer 35743 blt 0,0,640,480,0, 5476,640,480:waittimer 35749 blt 0,0,640,480,0, 5477,640,480:waittimer 35756 blt 0,0,640,480,0, 5478,640,480:waittimer 35762 blt 0,0,640,480,0, 5479,640,480:waittimer 35769 blt 0,0,640,480,0, 5480,640,480:waittimer 35775 blt 0,0,640,480,0, 5481,640,480:waittimer 35782 blt 0,0,640,480,0, 5482,640,480:waittimer 35788 blt 0,0,640,480,0, 5483,640,480:waittimer 35795 blt 0,0,640,480,0, 5484,640,480:waittimer 35801 blt 0,0,640,480,0, 5485,640,480:waittimer 35808 blt 0,0,640,480,0, 5486,640,480:waittimer 35814 blt 0,0,640,480,0, 5487,640,480:waittimer 35821 blt 0,0,640,480,0, 5488,640,480:waittimer 35827 blt 0,0,640,480,0, 5489,640,480:waittimer 35834 blt 0,0,640,480,0, 5490,640,480:waittimer 35841 blt 0,0,640,480,0, 5491,640,480:waittimer 35847 blt 0,0,640,480,0, 5492,640,480:waittimer 35854 blt 0,0,640,480,0, 5493,640,480:waittimer 35860 blt 0,0,640,480,0, 5494,640,480:waittimer 35867 blt 0,0,640,480,0, 5495,640,480:waittimer 35873 blt 0,0,640,480,0, 5496,640,480:waittimer 35880 blt 0,0,640,480,0, 5497,640,480:waittimer 35886 blt 0,0,640,480,0, 5498,640,480:waittimer 35893 blt 0,0,640,480,0, 5499,640,480:waittimer 35899 blt 0,0,640,480,0, 5500,640,480:waittimer 35906 blt 0,0,640,480,0, 5501,640,480:waittimer 35912 blt 0,0,640,480,0, 5502,640,480:waittimer 35919 blt 0,0,640,480,0, 5503,640,480:waittimer 35925 blt 0,0,640,480,0, 5504,640,480:waittimer 35932 blt 0,0,640,480,0, 5505,640,480:waittimer 35938 blt 0,0,640,480,0, 5506,640,480:waittimer 35945 blt 0,0,640,480,0, 5507,640,480:waittimer 35951 blt 0,0,640,480,0, 5508,640,480:waittimer 35958 blt 0,0,640,480,0, 5509,640,480:waittimer 35965 blt 0,0,640,480,0, 5510,640,480:waittimer 35971 blt 0,0,640,480,0, 5511,640,480:waittimer 35978 blt 0,0,640,480,0, 5512,640,480:waittimer 35984 blt 0,0,640,480,0, 5513,640,480:waittimer 35991 blt 0,0,640,480,0, 5514,640,480:waittimer 35997 blt 0,0,640,480,0, 5515,640,480:waittimer 36004 blt 0,0,640,480,0, 5516,640,480:waittimer 36010 blt 0,0,640,480,0, 5517,640,480:waittimer 36017 blt 0,0,640,480,0, 5518,640,480:waittimer 36023 blt 0,0,640,480,0, 5519,640,480:waittimer 36030 blt 0,0,640,480,0, 5520,640,480:waittimer 36036 blt 0,0,640,480,0, 5521,640,480:waittimer 36043 blt 0,0,640,480,0, 5522,640,480:waittimer 36049 blt 0,0,640,480,0, 5523,640,480:waittimer 36056 blt 0,0,640,480,0, 5524,640,480:waittimer 36062 blt 0,0,640,480,0, 5525,640,480:waittimer 36069 blt 0,0,640,480,0, 5526,640,480:waittimer 36076 blt 0,0,640,480,0, 5527,640,480:waittimer 36082 blt 0,0,640,480,0, 5528,640,480:waittimer 36089 blt 0,0,640,480,0, 5529,640,480:waittimer 36095 blt 0,0,640,480,0, 5530,640,480:waittimer 36102 blt 0,0,640,480,0, 5531,640,480:waittimer 36108 blt 0,0,640,480,0, 5532,640,480:waittimer 36115 blt 0,0,640,480,0, 5533,640,480:waittimer 36121 blt 0,0,640,480,0, 5534,640,480:waittimer 36128 blt 0,0,640,480,0, 5535,640,480:waittimer 36134 blt 0,0,640,480,0, 5536,640,480:waittimer 36141 blt 0,0,640,480,0, 5537,640,480:waittimer 36147 blt 0,0,640,480,0, 5538,640,480:waittimer 36154 blt 0,0,640,480,0, 5539,640,480:waittimer 36160 blt 0,0,640,480,0, 5540,640,480:waittimer 36167 blt 0,0,640,480,0, 5541,640,480:waittimer 36173 blt 0,0,640,480,0, 5542,640,480:waittimer 36180 blt 0,0,640,480,0, 5543,640,480:waittimer 36187 blt 0,0,640,480,0, 5544,640,480:waittimer 36193 blt 0,0,640,480,0, 5545,640,480:waittimer 36200 blt 0,0,640,480,0, 5546,640,480:waittimer 36206 blt 0,0,640,480,0, 5547,640,480:waittimer 36213 blt 0,0,640,480,0, 5548,640,480:waittimer 36219 blt 0,0,640,480,0, 5549,640,480:waittimer 36226 blt 0,0,640,480,0, 5550,640,480:waittimer 36232 blt 0,0,640,480,0, 5551,640,480:waittimer 36239 blt 0,0,640,480,0, 5552,640,480:waittimer 36245 blt 0,0,640,480,0, 5553,640,480:waittimer 36252 blt 0,0,640,480,0, 5554,640,480:waittimer 36258 blt 0,0,640,480,0, 5555,640,480:waittimer 36265 blt 0,0,640,480,0, 5556,640,480:waittimer 36271 blt 0,0,640,480,0, 5557,640,480:waittimer 36278 blt 0,0,640,480,0, 5558,640,480:waittimer 36284 blt 0,0,640,480,0, 5559,640,480:waittimer 36291 blt 0,0,640,480,0, 5560,640,480:waittimer 36298 blt 0,0,640,480,0, 5561,640,480:waittimer 36304 blt 0,0,640,480,0, 5562,640,480:waittimer 36311 blt 0,0,640,480,0, 5563,640,480:waittimer 36317 blt 0,0,640,480,0, 5564,640,480:waittimer 36324 blt 0,0,640,480,0, 5565,640,480:waittimer 36330 blt 0,0,640,480,0, 5566,640,480:waittimer 36337 blt 0,0,640,480,0, 5567,640,480:waittimer 36343 blt 0,0,640,480,0, 5568,640,480:waittimer 36350 blt 0,0,640,480,0, 5569,640,480:waittimer 36356 blt 0,0,640,480,0, 5570,640,480:waittimer 36363 blt 0,0,640,480,0, 5571,640,480:waittimer 36369 blt 0,0,640,480,0, 5572,640,480:waittimer 36376 blt 0,0,640,480,0, 5573,640,480:waittimer 36382 blt 0,0,640,480,0, 5574,640,480:waittimer 36389 blt 0,0,640,480,0, 5575,640,480:waittimer 36395 blt 0,0,640,480,0, 5576,640,480:waittimer 36402 blt 0,0,640,480,0, 5577,640,480:waittimer 36408 blt 0,0,640,480,0, 5578,640,480:waittimer 36415 blt 0,0,640,480,0, 5579,640,480:waittimer 36422 blt 0,0,640,480,0, 5580,640,480:waittimer 36428 blt 0,0,640,480,0, 5581,640,480:waittimer 36435 blt 0,0,640,480,0, 5582,640,480:waittimer 36441 blt 0,0,640,480,0, 5583,640,480:waittimer 36448 blt 0,0,640,480,0, 5584,640,480:waittimer 36454 blt 0,0,640,480,0, 5585,640,480:waittimer 36461 blt 0,0,640,480,0, 5586,640,480:waittimer 36467 blt 0,0,640,480,0, 5587,640,480:waittimer 36474 blt 0,0,640,480,0, 5588,640,480:waittimer 36480 blt 0,0,640,480,0, 5589,640,480:waittimer 36487 blt 0,0,640,480,0, 5590,640,480:waittimer 36493 blt 0,0,640,480,0, 5591,640,480:waittimer 36500 blt 0,0,640,480,0, 5592,640,480:waittimer 36506 blt 0,0,640,480,0, 5593,640,480:waittimer 36513 blt 0,0,640,480,0, 5594,640,480:waittimer 36519 blt 0,0,640,480,0, 5595,640,480:waittimer 36526 blt 0,0,640,480,0, 5596,640,480:waittimer 36533 blt 0,0,640,480,0, 5597,640,480:waittimer 36539 blt 0,0,640,480,0, 5598,640,480:waittimer 36546 blt 0,0,640,480,0, 5599,640,480:waittimer 36552 blt 0,0,640,480,0, 5600,640,480:waittimer 36559 blt 0,0,640,480,0, 5601,640,480:waittimer 36565 blt 0,0,640,480,0, 5602,640,480:waittimer 36572 blt 0,0,640,480,0, 5603,640,480:waittimer 36578 blt 0,0,640,480,0, 5604,640,480:waittimer 36585 blt 0,0,640,480,0, 5605,640,480:waittimer 36591 blt 0,0,640,480,0, 5606,640,480:waittimer 36598 blt 0,0,640,480,0, 5607,640,480:waittimer 36604 blt 0,0,640,480,0, 5608,640,480:waittimer 36611 blt 0,0,640,480,0, 5609,640,480:waittimer 36617 blt 0,0,640,480,0, 5610,640,480:waittimer 36624 blt 0,0,640,480,0, 5611,640,480:waittimer 36630 blt 0,0,640,480,0, 5612,640,480:waittimer 36637 blt 0,0,640,480,0, 5613,640,480:waittimer 36644 blt 0,0,640,480,0, 5614,640,480:waittimer 36650 blt 0,0,640,480,0, 5615,640,480:waittimer 36657 blt 0,0,640,480,0, 5616,640,480:waittimer 36663 blt 0,0,640,480,0, 5617,640,480:waittimer 36670 blt 0,0,640,480,0, 5618,640,480:waittimer 36676 blt 0,0,640,480,0, 5619,640,480:waittimer 36683 blt 0,0,640,480,0, 5620,640,480:waittimer 36689 blt 0,0,640,480,0, 5621,640,480:waittimer 36696 blt 0,0,640,480,0, 5622,640,480:waittimer 36702 blt 0,0,640,480,0, 5623,640,480:waittimer 36709 blt 0,0,640,480,0, 5624,640,480:waittimer 36715 blt 0,0,640,480,0, 5625,640,480:waittimer 36722 blt 0,0,640,480,0, 5626,640,480:waittimer 36728 blt 0,0,640,480,0, 5627,640,480:waittimer 36735 blt 0,0,640,480,0, 5628,640,480:waittimer 36741 blt 0,0,640,480,0, 5629,640,480:waittimer 36748 blt 0,0,640,480,0, 5630,640,480:waittimer 36754 blt 0,0,640,480,0, 5631,640,480:waittimer 36761 blt 0,0,640,480,0, 5632,640,480:waittimer 36768 blt 0,0,640,480,0, 5633,640,480:waittimer 36774 blt 0,0,640,480,0, 5634,640,480:waittimer 36781 blt 0,0,640,480,0, 5635,640,480:waittimer 36787 blt 0,0,640,480,0, 5636,640,480:waittimer 36794 blt 0,0,640,480,0, 5637,640,480:waittimer 36800 blt 0,0,640,480,0, 5638,640,480:waittimer 36807 blt 0,0,640,480,0, 5639,640,480:waittimer 36813 blt 0,0,640,480,0, 5640,640,480:waittimer 36820 blt 0,0,640,480,0, 5641,640,480:waittimer 36826 blt 0,0,640,480,0, 5642,640,480:waittimer 36833 blt 0,0,640,480,0, 5643,640,480:waittimer 36839 blt 0,0,640,480,0, 5644,640,480:waittimer 36846 blt 0,0,640,480,0, 5645,640,480:waittimer 36852 blt 0,0,640,480,0, 5646,640,480:waittimer 36859 blt 0,0,640,480,0, 5647,640,480:waittimer 36865 blt 0,0,640,480,0, 5648,640,480:waittimer 36872 blt 0,0,640,480,0, 5649,640,480:waittimer 36879 blt 0,0,640,480,0, 5650,640,480:waittimer 36885 blt 0,0,640,480,0, 5651,640,480:waittimer 36892 blt 0,0,640,480,0, 5652,640,480:waittimer 36898 blt 0,0,640,480,0, 5653,640,480:waittimer 36905 blt 0,0,640,480,0, 5654,640,480:waittimer 36911 blt 0,0,640,480,0, 5655,640,480:waittimer 36918 blt 0,0,640,480,0, 5656,640,480:waittimer 36924 blt 0,0,640,480,0, 5657,640,480:waittimer 36931 blt 0,0,640,480,0, 5658,640,480:waittimer 36937 blt 0,0,640,480,0, 5659,640,480:waittimer 36944 blt 0,0,640,480,0, 5660,640,480:waittimer 36950 blt 0,0,640,480,0, 5661,640,480:waittimer 36957 blt 0,0,640,480,0, 5662,640,480:waittimer 36963 blt 0,0,640,480,0, 5663,640,480:waittimer 36970 blt 0,0,640,480,0, 5664,640,480:waittimer 36976 blt 0,0,640,480,0, 5665,640,480:waittimer 36983 blt 0,0,640,480,0, 5666,640,480:waittimer 36990 blt 0,0,640,480,0, 5667,640,480:waittimer 36996 blt 0,0,640,480,0, 5668,640,480:waittimer 37003 blt 0,0,640,480,0, 5669,640,480:waittimer 37009 blt 0,0,640,480,0, 5670,640,480:waittimer 37016 blt 0,0,640,480,0, 5671,640,480:waittimer 37022 blt 0,0,640,480,0, 5672,640,480:waittimer 37029 blt 0,0,640,480,0, 5673,640,480:waittimer 37035 blt 0,0,640,480,0, 5674,640,480:waittimer 37042 blt 0,0,640,480,0, 5675,640,480:waittimer 37048 blt 0,0,640,480,0, 5676,640,480:waittimer 37055 blt 0,0,640,480,0, 5677,640,480:waittimer 37061 blt 0,0,640,480,0, 5678,640,480:waittimer 37068 blt 0,0,640,480,0, 5679,640,480:waittimer 37074 blt 0,0,640,480,0, 5680,640,480:waittimer 37081 blt 0,0,640,480,0, 5681,640,480:waittimer 37087 blt 0,0,640,480,0, 5682,640,480:waittimer 37094 blt 0,0,640,480,0, 5683,640,480:waittimer 37100 blt 0,0,640,480,0, 5684,640,480:waittimer 37107 blt 0,0,640,480,0, 5685,640,480:waittimer 37114 blt 0,0,640,480,0, 5686,640,480:waittimer 37120 blt 0,0,640,480,0, 5687,640,480:waittimer 37127 blt 0,0,640,480,0, 5688,640,480:waittimer 37133 blt 0,0,640,480,0, 5689,640,480:waittimer 37140 blt 0,0,640,480,0, 5690,640,480:waittimer 37146 blt 0,0,640,480,0, 5691,640,480:waittimer 37153 blt 0,0,640,480,0, 5692,640,480:waittimer 37159 blt 0,0,640,480,0, 5693,640,480:waittimer 37166 blt 0,0,640,480,0, 5694,640,480:waittimer 37172 blt 0,0,640,480,0, 5695,640,480:waittimer 37179 blt 0,0,640,480,0, 5696,640,480:waittimer 37185 blt 0,0,640,480,0, 5697,640,480:waittimer 37192 blt 0,0,640,480,0, 5698,640,480:waittimer 37198 blt 0,0,640,480,0, 5699,640,480:waittimer 37205 blt 0,0,640,480,0, 5700,640,480:waittimer 37211 blt 0,0,640,480,0, 5701,640,480:waittimer 37218 blt 0,0,640,480,0, 5702,640,480:waittimer 37225 blt 0,0,640,480,0, 5703,640,480:waittimer 37231 blt 0,0,640,480,0, 5704,640,480:waittimer 37238 blt 0,0,640,480,0, 5705,640,480:waittimer 37244 blt 0,0,640,480,0, 5706,640,480:waittimer 37251 blt 0,0,640,480,0, 5707,640,480:waittimer 37257 blt 0,0,640,480,0, 5708,640,480:waittimer 37264 blt 0,0,640,480,0, 5709,640,480:waittimer 37270 blt 0,0,640,480,0, 5710,640,480:waittimer 37277 blt 0,0,640,480,0, 5711,640,480:waittimer 37283 blt 0,0,640,480,0, 5712,640,480:waittimer 37290 blt 0,0,640,480,0, 5713,640,480:waittimer 37296 blt 0,0,640,480,0, 5714,640,480:waittimer 37303 blt 0,0,640,480,0, 5715,640,480:waittimer 37309 blt 0,0,640,480,0, 5716,640,480:waittimer 37316 blt 0,0,640,480,0, 5717,640,480:waittimer 37322 blt 0,0,640,480,0, 5718,640,480:waittimer 37329 blt 0,0,640,480,0, 5719,640,480:waittimer 37336 blt 0,0,640,480,0, 5720,640,480:waittimer 37342 blt 0,0,640,480,0, 5721,640,480:waittimer 37349 blt 0,0,640,480,0, 5722,640,480:waittimer 37355 blt 0,0,640,480,0, 5723,640,480:waittimer 37362 blt 0,0,640,480,0, 5724,640,480:waittimer 37368 blt 0,0,640,480,0, 5725,640,480:waittimer 37375 blt 0,0,640,480,0, 5726,640,480:waittimer 37381 blt 0,0,640,480,0, 5727,640,480:waittimer 37388 blt 0,0,640,480,0, 5728,640,480:waittimer 37394 blt 0,0,640,480,0, 5729,640,480:waittimer 37401 blt 0,0,640,480,0, 5730,640,480:waittimer 37407 blt 0,0,640,480,0, 5731,640,480:waittimer 37414 blt 0,0,640,480,0, 5732,640,480:waittimer 37420 blt 0,0,640,480,0, 5733,640,480:waittimer 37427 blt 0,0,640,480,0, 5734,640,480:waittimer 37433 blt 0,0,640,480,0, 5735,640,480:waittimer 37440 blt 0,0,640,480,0, 5736,640,480:waittimer 37447 blt 0,0,640,480,0, 5737,640,480:waittimer 37453 blt 0,0,640,480,0, 5738,640,480:waittimer 37460 blt 0,0,640,480,0, 5739,640,480:waittimer 37466 blt 0,0,640,480,0, 5740,640,480:waittimer 37473 blt 0,0,640,480,0, 5741,640,480:waittimer 37479 blt 0,0,640,480,0, 5742,640,480:waittimer 37486 blt 0,0,640,480,0, 5743,640,480:waittimer 37492 blt 0,0,640,480,0, 5744,640,480:waittimer 37499 blt 0,0,640,480,0, 5745,640,480:waittimer 37505 blt 0,0,640,480,0, 5746,640,480:waittimer 37512 blt 0,0,640,480,0, 5747,640,480:waittimer 37518 blt 0,0,640,480,0, 5748,640,480:waittimer 37525 blt 0,0,640,480,0, 5749,640,480:waittimer 37531 blt 0,0,640,480,0, 5750,640,480:waittimer 37538 blt 0,0,640,480,0, 5751,640,480:waittimer 37544 blt 0,0,640,480,0, 5752,640,480:waittimer 37551 blt 0,0,640,480,0, 5753,640,480:waittimer 37557 blt 0,0,640,480,0, 5754,640,480:waittimer 37564 blt 0,0,640,480,0, 5755,640,480:waittimer 37571 blt 0,0,640,480,0, 5756,640,480:waittimer 37577 blt 0,0,640,480,0, 5757,640,480:waittimer 37584 blt 0,0,640,480,0, 5758,640,480:waittimer 37590 blt 0,0,640,480,0, 5759,640,480:waittimer 37597 blt 0,0,640,480,0, 5760,640,480:waittimer 37603 blt 0,0,640,480,0, 5761,640,480:waittimer 37610 blt 0,0,640,480,0, 5762,640,480:waittimer 37616 blt 0,0,640,480,0, 5763,640,480:waittimer 37623 blt 0,0,640,480,0, 5764,640,480:waittimer 37629 blt 0,0,640,480,0, 5765,640,480:waittimer 37636 blt 0,0,640,480,0, 5766,640,480:waittimer 37642 blt 0,0,640,480,0, 5767,640,480:waittimer 37649 blt 0,0,640,480,0, 5768,640,480:waittimer 37655 blt 0,0,640,480,0, 5769,640,480:waittimer 37662 blt 0,0,640,480,0, 5770,640,480:waittimer 37668 blt 0,0,640,480,0, 5771,640,480:waittimer 37675 blt 0,0,640,480,0, 5772,640,480:waittimer 37682 blt 0,0,640,480,0, 5773,640,480:waittimer 37688 blt 0,0,640,480,0, 5774,640,480:waittimer 37695 blt 0,0,640,480,0, 5775,640,480:waittimer 37701 blt 0,0,640,480,0, 5776,640,480:waittimer 37708 blt 0,0,640,480,0, 5777,640,480:waittimer 37714 blt 0,0,640,480,0, 5778,640,480:waittimer 37721 blt 0,0,640,480,0, 5779,640,480:waittimer 37727 blt 0,0,640,480,0, 5780,640,480:waittimer 37734 blt 0,0,640,480,0, 5781,640,480:waittimer 37740 blt 0,0,640,480,0, 5782,640,480:waittimer 37747 blt 0,0,640,480,0, 5783,640,480:waittimer 37753 blt 0,0,640,480,0, 5784,640,480:waittimer 37760 blt 0,0,640,480,0, 5785,640,480:waittimer 37766 blt 0,0,640,480,0, 5786,640,480:waittimer 37773 blt 0,0,640,480,0, 5787,640,480:waittimer 37779 blt 0,0,640,480,0, 5788,640,480:waittimer 37786 blt 0,0,640,480,0, 5789,640,480:waittimer 37793 blt 0,0,640,480,0, 5790,640,480:waittimer 37799 blt 0,0,640,480,0, 5791,640,480:waittimer 37806 blt 0,0,640,480,0, 5792,640,480:waittimer 37812 blt 0,0,640,480,0, 5793,640,480:waittimer 37819 blt 0,0,640,480,0, 5794,640,480:waittimer 37825 blt 0,0,640,480,0, 5795,640,480:waittimer 37832 blt 0,0,640,480,0, 5796,640,480:waittimer 37838 blt 0,0,640,480,0, 5797,640,480:waittimer 37845 blt 0,0,640,480,0, 5798,640,480:waittimer 37851 blt 0,0,640,480,0, 5799,640,480:waittimer 37858 blt 0,0,640,480,0, 5800,640,480:waittimer 37864 blt 0,0,640,480,0, 5801,640,480:waittimer 37871 blt 0,0,640,480,0, 5802,640,480:waittimer 37877 blt 0,0,640,480,0, 5803,640,480:waittimer 37884 blt 0,0,640,480,0, 5804,640,480:waittimer 37890 blt 0,0,640,480,0, 5805,640,480:waittimer 37897 blt 0,0,640,480,0, 5806,640,480:waittimer 37903 blt 0,0,640,480,0, 5807,640,480:waittimer 37910 blt 0,0,640,480,0, 5808,640,480:waittimer 37917 blt 0,0,640,480,0, 5809,640,480:waittimer 37923 blt 0,0,640,480,0, 5810,640,480:waittimer 37930 blt 0,0,640,480,0, 5811,640,480:waittimer 37936 blt 0,0,640,480,0, 5812,640,480:waittimer 37943 blt 0,0,640,480,0, 5813,640,480:waittimer 37949 blt 0,0,640,480,0, 5814,640,480:waittimer 37956 blt 0,0,640,480,0, 5815,640,480:waittimer 37962 blt 0,0,640,480,0, 5816,640,480:waittimer 37969 blt 0,0,640,480,0, 5817,640,480:waittimer 37975 blt 0,0,640,480,0, 5818,640,480:waittimer 37982 blt 0,0,640,480,0, 5819,640,480:waittimer 37988 blt 0,0,640,480,0, 5820,640,480:waittimer 37995 blt 0,0,640,480,0, 5821,640,480:waittimer 38001 blt 0,0,640,480,0, 5822,640,480:waittimer 38008 blt 0,0,640,480,0, 5823,640,480:waittimer 38014 blt 0,0,640,480,0, 5824,640,480:waittimer 38021 blt 0,0,640,480,0, 5825,640,480:waittimer 38028 blt 0,0,640,480,0, 5826,640,480:waittimer 38034 blt 0,0,640,480,0, 5827,640,480:waittimer 38041 blt 0,0,640,480,0, 5828,640,480:waittimer 38047 blt 0,0,640,480,0, 5829,640,480:waittimer 38054 blt 0,0,640,480,0, 5830,640,480:waittimer 38060 blt 0,0,640,480,0, 5831,640,480:waittimer 38067 blt 0,0,640,480,0, 5832,640,480:waittimer 38073 blt 0,0,640,480,0, 5833,640,480:waittimer 38080 blt 0,0,640,480,0, 5834,640,480:waittimer 38086 blt 0,0,640,480,0, 5835,640,480:waittimer 38093 blt 0,0,640,480,0, 5836,640,480:waittimer 38099 blt 0,0,640,480,0, 5837,640,480:waittimer 38106 blt 0,0,640,480,0, 5838,640,480:waittimer 38112 blt 0,0,640,480,0, 5839,640,480:waittimer 38119 blt 0,0,640,480,0, 5840,640,480:waittimer 38125 blt 0,0,640,480,0, 5841,640,480:waittimer 38132 blt 0,0,640,480,0, 5842,640,480:waittimer 38139 blt 0,0,640,480,0, 5843,640,480:waittimer 38145 blt 0,0,640,480,0, 5844,640,480:waittimer 38152 blt 0,0,640,480,0, 5845,640,480:waittimer 38158 blt 0,0,640,480,0, 5846,640,480:waittimer 38165 blt 0,0,640,480,0, 5847,640,480:waittimer 38171 blt 0,0,640,480,0, 5848,640,480:waittimer 38178 blt 0,0,640,480,0, 5849,640,480:waittimer 38184 blt 0,0,640,480,0, 5850,640,480:waittimer 38191 blt 0,0,640,480,0, 5851,640,480:waittimer 38197 blt 0,0,640,480,0, 5852,640,480:waittimer 38204 blt 0,0,640,480,0, 5853,640,480:waittimer 38210 blt 0,0,640,480,0, 5854,640,480:waittimer 38217 blt 0,0,640,480,0, 5855,640,480:waittimer 38223 blt 0,0,640,480,0, 5856,640,480:waittimer 38230 blt 0,0,640,480,0, 5857,640,480:waittimer 38236 blt 0,0,640,480,0, 5858,640,480:waittimer 38243 blt 0,0,640,480,0, 5859,640,480:waittimer 38249 blt 0,0,640,480,0, 5860,640,480:waittimer 38256 blt 0,0,640,480,0, 5861,640,480:waittimer 38263 blt 0,0,640,480,0, 5862,640,480:waittimer 38269 blt 0,0,640,480,0, 5863,640,480:waittimer 38276 blt 0,0,640,480,0, 5864,640,480:waittimer 38282 blt 0,0,640,480,0, 5865,640,480:waittimer 38289 blt 0,0,640,480,0, 5866,640,480:waittimer 38295 blt 0,0,640,480,0, 5867,640,480:waittimer 38302 blt 0,0,640,480,0, 5868,640,480:waittimer 38308 blt 0,0,640,480,0, 5869,640,480:waittimer 38315 blt 0,0,640,480,0, 5870,640,480:waittimer 38321 blt 0,0,640,480,0, 5871,640,480:waittimer 38328 blt 0,0,640,480,0, 5872,640,480:waittimer 38334 blt 0,0,640,480,0, 5873,640,480:waittimer 38341 blt 0,0,640,480,0, 5874,640,480:waittimer 38347 blt 0,0,640,480,0, 5875,640,480:waittimer 38354 blt 0,0,640,480,0, 5876,640,480:waittimer 38360 blt 0,0,640,480,0, 5877,640,480:waittimer 38367 blt 0,0,640,480,0, 5878,640,480:waittimer 38374 blt 0,0,640,480,0, 5879,640,480:waittimer 38380 blt 0,0,640,480,0, 5880,640,480:waittimer 38387 blt 0,0,640,480,0, 5881,640,480:waittimer 38393 blt 0,0,640,480,0, 5882,640,480:waittimer 38400 blt 0,0,640,480,0, 5883,640,480:waittimer 38406 blt 0,0,640,480,0, 5884,640,480:waittimer 38413 blt 0,0,640,480,0, 5885,640,480:waittimer 38419 blt 0,0,640,480,0, 5886,640,480:waittimer 38426 blt 0,0,640,480,0, 5887,640,480:waittimer 38432 blt 0,0,640,480,0, 5888,640,480:waittimer 38439 blt 0,0,640,480,0, 5889,640,480:waittimer 38445 blt 0,0,640,480,0, 5890,640,480:waittimer 38452 blt 0,0,640,480,0, 5891,640,480:waittimer 38458 blt 0,0,640,480,0, 5892,640,480:waittimer 38465 blt 0,0,640,480,0, 5893,640,480:waittimer 38471 blt 0,0,640,480,0, 5894,640,480:waittimer 38478 blt 0,0,640,480,0, 5895,640,480:waittimer 38485 blt 0,0,640,480,0, 5896,640,480:waittimer 38491 blt 0,0,640,480,0, 5897,640,480:waittimer 38498 blt 0,0,640,480,0, 5898,640,480:waittimer 38504 blt 0,0,640,480,0, 5899,640,480:waittimer 38511 blt 0,0,640,480,0, 5900,640,480:waittimer 38517 blt 0,0,640,480,0, 5901,640,480:waittimer 38524 blt 0,0,640,480,0, 5902,640,480:waittimer 38530 blt 0,0,640,480,0, 5903,640,480:waittimer 38537 blt 0,0,640,480,0, 5904,640,480:waittimer 38543 blt 0,0,640,480,0, 5905,640,480:waittimer 38550 blt 0,0,640,480,0, 5906,640,480:waittimer 38556 blt 0,0,640,480,0, 5907,640,480:waittimer 38563 blt 0,0,640,480,0, 5908,640,480:waittimer 38569 blt 0,0,640,480,0, 5909,640,480:waittimer 38576 blt 0,0,640,480,0, 5910,640,480:waittimer 38582 blt 0,0,640,480,0, 5911,640,480:waittimer 38589 blt 0,0,640,480,0, 5912,640,480:waittimer 38596 blt 0,0,640,480,0, 5913,640,480:waittimer 38602 blt 0,0,640,480,0, 5914,640,480:waittimer 38609 blt 0,0,640,480,0, 5915,640,480:waittimer 38615 blt 0,0,640,480,0, 5916,640,480:waittimer 38622 blt 0,0,640,480,0, 5917,640,480:waittimer 38628 blt 0,0,640,480,0, 5918,640,480:waittimer 38635 blt 0,0,640,480,0, 5919,640,480:waittimer 38641 blt 0,0,640,480,0, 5920,640,480:waittimer 38648 blt 0,0,640,480,0, 5921,640,480:waittimer 38654 blt 0,0,640,480,0, 5922,640,480:waittimer 38661 blt 0,0,640,480,0, 5923,640,480:waittimer 38667 blt 0,0,640,480,0, 5924,640,480:waittimer 38674 blt 0,0,640,480,0, 5925,640,480:waittimer 38680 blt 0,0,640,480,0, 5926,640,480:waittimer 38687 blt 0,0,640,480,0, 5927,640,480:waittimer 38693 blt 0,0,640,480,0, 5928,640,480:waittimer 38700 blt 0,0,640,480,0, 5929,640,480:waittimer 38706 blt 0,0,640,480,0, 5930,640,480:waittimer 38713 blt 0,0,640,480,0, 5931,640,480:waittimer 38720 blt 0,0,640,480,0, 5932,640,480:waittimer 38726 blt 0,0,640,480,0, 5933,640,480:waittimer 38733 blt 0,0,640,480,0, 5934,640,480:waittimer 38739 blt 0,0,640,480,0, 5935,640,480:waittimer 38746 blt 0,0,640,480,0, 5936,640,480:waittimer 38752 blt 0,0,640,480,0, 5937,640,480:waittimer 38759 blt 0,0,640,480,0, 5938,640,480:waittimer 38765 blt 0,0,640,480,0, 5939,640,480:waittimer 38772 blt 0,0,640,480,0, 5940,640,480:waittimer 38778 blt 0,0,640,480,0, 5941,640,480:waittimer 38785 blt 0,0,640,480,0, 5942,640,480:waittimer 38791 blt 0,0,640,480,0, 5943,640,480:waittimer 38798 blt 0,0,640,480,0, 5944,640,480:waittimer 38804 blt 0,0,640,480,0, 5945,640,480:waittimer 38811 blt 0,0,640,480,0, 5946,640,480:waittimer 38817 blt 0,0,640,480,0, 5947,640,480:waittimer 38824 blt 0,0,640,480,0, 5948,640,480:waittimer 38831 blt 0,0,640,480,0, 5949,640,480:waittimer 38837 blt 0,0,640,480,0, 5950,640,480:waittimer 38844 blt 0,0,640,480,0, 5951,640,480:waittimer 38850 blt 0,0,640,480,0, 5952,640,480:waittimer 38857 blt 0,0,640,480,0, 5953,640,480:waittimer 38863 blt 0,0,640,480,0, 5954,640,480:waittimer 38870 blt 0,0,640,480,0, 5955,640,480:waittimer 38876 blt 0,0,640,480,0, 5956,640,480:waittimer 38883 blt 0,0,640,480,0, 5957,640,480:waittimer 38889 blt 0,0,640,480,0, 5958,640,480:waittimer 38896 blt 0,0,640,480,0, 5959,640,480:waittimer 38902 blt 0,0,640,480,0, 5960,640,480:waittimer 38909 blt 0,0,640,480,0, 5961,640,480:waittimer 38915 blt 0,0,640,480,0, 5962,640,480:waittimer 38922 blt 0,0,640,480,0, 5963,640,480:waittimer 38928 blt 0,0,640,480,0, 5964,640,480:waittimer 38935 blt 0,0,640,480,0, 5965,640,480:waittimer 38942 blt 0,0,640,480,0, 5966,640,480:waittimer 38948 blt 0,0,640,480,0, 5967,640,480:waittimer 38955 blt 0,0,640,480,0, 5968,640,480:waittimer 38961 blt 0,0,640,480,0, 5969,640,480:waittimer 38968 blt 0,0,640,480,0, 5970,640,480:waittimer 38974 blt 0,0,640,480,0, 5971,640,480:waittimer 38981 blt 0,0,640,480,0, 5972,640,480:waittimer 38987 blt 0,0,640,480,0, 5973,640,480:waittimer 38994 blt 0,0,640,480,0, 5974,640,480:waittimer 39000 blt 0,0,640,480,0, 5975,640,480:waittimer 39007 blt 0,0,640,480,0, 5976,640,480:waittimer 39013 blt 0,0,640,480,0, 5977,640,480:waittimer 39020 blt 0,0,640,480,0, 5978,640,480:waittimer 39026 blt 0,0,640,480,0, 5979,640,480:waittimer 39033 blt 0,0,640,480,0, 5980,640,480:waittimer 39039 blt 0,0,640,480,0, 5981,640,480:waittimer 39046 blt 0,0,640,480,0, 5982,640,480:waittimer 39052 blt 0,0,640,480,0, 5983,640,480:waittimer 39059 blt 0,0,640,480,0, 5984,640,480:waittimer 39066 blt 0,0,640,480,0, 5985,640,480:waittimer 39072 blt 0,0,640,480,0, 5986,640,480:waittimer 39079 blt 0,0,640,480,0, 5987,640,480:waittimer 39085 blt 0,0,640,480,0, 5988,640,480:waittimer 39092 blt 0,0,640,480,0, 5989,640,480:waittimer 39098 blt 0,0,640,480,0, 5990,640,480:waittimer 39105 blt 0,0,640,480,0, 5991,640,480:waittimer 39111 blt 0,0,640,480,0, 5992,640,480:waittimer 39118 blt 0,0,640,480,0, 5993,640,480:waittimer 39124 blt 0,0,640,480,0, 5994,640,480:waittimer 39131 blt 0,0,640,480,0, 5995,640,480:waittimer 39137 blt 0,0,640,480,0, 5996,640,480:waittimer 39144 blt 0,0,640,480,0, 5997,640,480:waittimer 39150 blt 0,0,640,480,0, 5998,640,480:waittimer 39157 blt 0,0,640,480,0, 5999,640,480:waittimer 39163 blt 0,0,640,480,0, 6000,640,480:waittimer 39170 blt 0,0,640,480,0, 6001,640,480:waittimer 39177 blt 0,0,640,480,0, 6002,640,480:waittimer 39183 blt 0,0,640,480,0, 6003,640,480:waittimer 39190 blt 0,0,640,480,0, 6004,640,480:waittimer 39196 blt 0,0,640,480,0, 6005,640,480:waittimer 39203 blt 0,0,640,480,0, 6006,640,480:waittimer 39209 blt 0,0,640,480,0, 6007,640,480:waittimer 39216 blt 0,0,640,480,0, 6008,640,480:waittimer 39222 blt 0,0,640,480,0, 6009,640,480:waittimer 39229 blt 0,0,640,480,0, 6010,640,480:waittimer 39235 blt 0,0,640,480,0, 6011,640,480:waittimer 39242 blt 0,0,640,480,0, 6012,640,480:waittimer 39248 blt 0,0,640,480,0, 6013,640,480:waittimer 39255 blt 0,0,640,480,0, 6014,640,480:waittimer 39261 blt 0,0,640,480,0, 6015,640,480:waittimer 39268 blt 0,0,640,480,0, 6016,640,480:waittimer 39274 blt 0,0,640,480,0, 6017,640,480:waittimer 39281 blt 0,0,640,480,0, 6018,640,480:waittimer 39288 blt 0,0,640,480,0, 6019,640,480:waittimer 39294 blt 0,0,640,480,0, 6020,640,480:waittimer 39301 blt 0,0,640,480,0, 6021,640,480:waittimer 39307 blt 0,0,640,480,0, 6022,640,480:waittimer 39314 blt 0,0,640,480,0, 6023,640,480:waittimer 39320 blt 0,0,640,480,0, 6024,640,480:waittimer 39327 blt 0,0,640,480,0, 6025,640,480:waittimer 39333 blt 0,0,640,480,0, 6026,640,480:waittimer 39340 blt 0,0,640,480,0, 6027,640,480:waittimer 39346 blt 0,0,640,480,0, 6028,640,480:waittimer 39353 blt 0,0,640,480,0, 6029,640,480:waittimer 39359 blt 0,0,640,480,0, 6030,640,480:waittimer 39366 blt 0,0,640,480,0, 6031,640,480:waittimer 39372 blt 0,0,640,480,0, 6032,640,480:waittimer 39379 blt 0,0,640,480,0, 6033,640,480:waittimer 39385 blt 0,0,640,480,0, 6034,640,480:waittimer 39392 blt 0,0,640,480,0, 6035,640,480:waittimer 39399 blt 0,0,640,480,0, 6036,640,480:waittimer 39405 blt 0,0,640,480,0, 6037,640,480:waittimer 39412 blt 0,0,640,480,0, 6038,640,480:waittimer 39418 blt 0,0,640,480,0, 6039,640,480:waittimer 39425 blt 0,0,640,480,0, 6040,640,480:waittimer 39431 blt 0,0,640,480,0, 6041,640,480:waittimer 39438 blt 0,0,640,480,0, 6042,640,480:waittimer 39444 blt 0,0,640,480,0, 6043,640,480:waittimer 39451 blt 0,0,640,480,0, 6044,640,480:waittimer 39457 blt 0,0,640,480,0, 6045,640,480:waittimer 39464 blt 0,0,640,480,0, 6046,640,480:waittimer 39470 blt 0,0,640,480,0, 6047,640,480:waittimer 39477 blt 0,0,640,480,0, 6048,640,480:waittimer 39483 blt 0,0,640,480,0, 6049,640,480:waittimer 39490 blt 0,0,640,480,0, 6050,640,480:waittimer 39496 blt 0,0,640,480,0, 6051,640,480:waittimer 39503 blt 0,0,640,480,0, 6052,640,480:waittimer 39509 blt 0,0,640,480,0, 6053,640,480:waittimer 39516 blt 0,0,640,480,0, 6054,640,480:waittimer 39523 blt 0,0,640,480,0, 6055,640,480:waittimer 39529 blt 0,0,640,480,0, 6056,640,480:waittimer 39536 blt 0,0,640,480,0, 6057,640,480:waittimer 39542 blt 0,0,640,480,0, 6058,640,480:waittimer 39549 blt 0,0,640,480,0, 6059,640,480:waittimer 39555 blt 0,0,640,480,0, 6060,640,480:waittimer 39562 blt 0,0,640,480,0, 6061,640,480:waittimer 39568 blt 0,0,640,480,0, 6062,640,480:waittimer 39575 blt 0,0,640,480,0, 6063,640,480:waittimer 39581 blt 0,0,640,480,0, 6064,640,480:waittimer 39588 blt 0,0,640,480,0, 6065,640,480:waittimer 39594 blt 0,0,640,480,0, 6066,640,480:waittimer 39601 blt 0,0,640,480,0, 6067,640,480:waittimer 39607 blt 0,0,640,480,0, 6068,640,480:waittimer 39614 blt 0,0,640,480,0, 6069,640,480:waittimer 39620 blt 0,0,640,480,0, 6070,640,480:waittimer 39627 blt 0,0,640,480,0, 6071,640,480:waittimer 39634 blt 0,0,640,480,0, 6072,640,480:waittimer 39640 blt 0,0,640,480,0, 6073,640,480:waittimer 39647 blt 0,0,640,480,0, 6074,640,480:waittimer 39653 blt 0,0,640,480,0, 6075,640,480:waittimer 39660 blt 0,0,640,480,0, 6076,640,480:waittimer 39666 blt 0,0,640,480,0, 6077,640,480:waittimer 39673 blt 0,0,640,480,0, 6078,640,480:waittimer 39679 blt 0,0,640,480,0, 6079,640,480:waittimer 39686 blt 0,0,640,480,0, 6080,640,480:waittimer 39692 blt 0,0,640,480,0, 6081,640,480:waittimer 39699 blt 0,0,640,480,0, 6082,640,480:waittimer 39705 blt 0,0,640,480,0, 6083,640,480:waittimer 39712 blt 0,0,640,480,0, 6084,640,480:waittimer 39718 blt 0,0,640,480,0, 6085,640,480:waittimer 39725 blt 0,0,640,480,0, 6086,640,480:waittimer 39731 blt 0,0,640,480,0, 6087,640,480:waittimer 39738 blt 0,0,640,480,0, 6088,640,480:waittimer 39745 blt 0,0,640,480,0, 6089,640,480:waittimer 39751 blt 0,0,640,480,0, 6090,640,480:waittimer 39758 blt 0,0,640,480,0, 6091,640,480:waittimer 39764 blt 0,0,640,480,0, 6092,640,480:waittimer 39771 blt 0,0,640,480,0, 6093,640,480:waittimer 39777 blt 0,0,640,480,0, 6094,640,480:waittimer 39784 blt 0,0,640,480,0, 6095,640,480:waittimer 39790 blt 0,0,640,480,0, 6096,640,480:waittimer 39797 blt 0,0,640,480,0, 6097,640,480:waittimer 39803 blt 0,0,640,480,0, 6098,640,480:waittimer 39810 blt 0,0,640,480,0, 6099,640,480:waittimer 39816 blt 0,0,640,480,0, 6100,640,480:waittimer 39823 blt 0,0,640,480,0, 6101,640,480:waittimer 39829 blt 0,0,640,480,0, 6102,640,480:waittimer 39836 blt 0,0,640,480,0, 6103,640,480:waittimer 39842 blt 0,0,640,480,0, 6104,640,480:waittimer 39849 blt 0,0,640,480,0, 6105,640,480:waittimer 39855 blt 0,0,640,480,0, 6106,640,480:waittimer 39862 blt 0,0,640,480,0, 6107,640,480:waittimer 39869 blt 0,0,640,480,0, 6108,640,480:waittimer 39875 blt 0,0,640,480,0, 6109,640,480:waittimer 39882 blt 0,0,640,480,0, 6110,640,480:waittimer 39888 blt 0,0,640,480,0, 6111,640,480:waittimer 39895 blt 0,0,640,480,0, 6112,640,480:waittimer 39901 blt 0,0,640,480,0, 6113,640,480:waittimer 39908 blt 0,0,640,480,0, 6114,640,480:waittimer 39914 blt 0,0,640,480,0, 6115,640,480:waittimer 39921 blt 0,0,640,480,0, 6116,640,480:waittimer 39927 blt 0,0,640,480,0, 6117,640,480:waittimer 39934 blt 0,0,640,480,0, 6118,640,480:waittimer 39940 blt 0,0,640,480,0, 6119,640,480:waittimer 39947 blt 0,0,640,480,0, 6120,640,480:waittimer 39953 blt 0,0,640,480,0, 6121,640,480:waittimer 39960 blt 0,0,640,480,0, 6122,640,480:waittimer 39966 blt 0,0,640,480,0, 6123,640,480:waittimer 39973 blt 0,0,640,480,0, 6124,640,480:waittimer 39980 blt 0,0,640,480,0, 6125,640,480:waittimer 39986 blt 0,0,640,480,0, 6126,640,480:waittimer 39993 blt 0,0,640,480,0, 6127,640,480:waittimer 39999 blt 0,0,640,480,0, 6128,640,480:waittimer 40006 blt 0,0,640,480,0, 6129,640,480:waittimer 40012 blt 0,0,640,480,0, 6130,640,480:waittimer 40019 blt 0,0,640,480,0, 6131,640,480:waittimer 40025 blt 0,0,640,480,0, 6132,640,480:waittimer 40032 blt 0,0,640,480,0, 6133,640,480:waittimer 40038 blt 0,0,640,480,0, 6134,640,480:waittimer 40045 blt 0,0,640,480,0, 6135,640,480:waittimer 40051 blt 0,0,640,480,0, 6136,640,480:waittimer 40058 blt 0,0,640,480,0, 6137,640,480:waittimer 40064 blt 0,0,640,480,0, 6138,640,480:waittimer 40071 blt 0,0,640,480,0, 6139,640,480:waittimer 40077 blt 0,0,640,480,0, 6140,640,480:waittimer 40084 blt 0,0,640,480,0, 6141,640,480:waittimer 40091 blt 0,0,640,480,0, 6142,640,480:waittimer 40097 blt 0,0,640,480,0, 6143,640,480:waittimer 40104 blt 0,0,640,480,0, 6144,640,480:waittimer 40110 blt 0,0,640,480,0, 6145,640,480:waittimer 40117 blt 0,0,640,480,0, 6146,640,480:waittimer 40123 blt 0,0,640,480,0, 6147,640,480:waittimer 40130 blt 0,0,640,480,0, 6148,640,480:waittimer 40136 blt 0,0,640,480,0, 6149,640,480:waittimer 40143 blt 0,0,640,480,0, 6150,640,480:waittimer 40149 blt 0,0,640,480,0, 6151,640,480:waittimer 40156 blt 0,0,640,480,0, 6152,640,480:waittimer 40162 blt 0,0,640,480,0, 6153,640,480:waittimer 40169 blt 0,0,640,480,0, 6154,640,480:waittimer 40175 blt 0,0,640,480,0, 6155,640,480:waittimer 40182 blt 0,0,640,480,0, 6156,640,480:waittimer 40188 blt 0,0,640,480,0, 6157,640,480:waittimer 40195 blt 0,0,640,480,0, 6158,640,480:waittimer 40201 blt 0,0,640,480,0, 6159,640,480:waittimer 40208 blt 0,0,640,480,0, 6160,640,480:waittimer 40215 blt 0,0,640,480,0, 6161,640,480:waittimer 40221 blt 0,0,640,480,0, 6162,640,480:waittimer 40228 blt 0,0,640,480,0, 6163,640,480:waittimer 40234 blt 0,0,640,480,0, 6164,640,480:waittimer 40241 blt 0,0,640,480,0, 6165,640,480:waittimer 40247 blt 0,0,640,480,0, 6166,640,480:waittimer 40254 blt 0,0,640,480,0, 6167,640,480:waittimer 40260 blt 0,0,640,480,0, 6168,640,480:waittimer 40267 blt 0,0,640,480,0, 6169,640,480:waittimer 40273 blt 0,0,640,480,0, 6170,640,480:waittimer 40280 blt 0,0,640,480,0, 6171,640,480:waittimer 40286 blt 0,0,640,480,0, 6172,640,480:waittimer 40293 blt 0,0,640,480,0, 6173,640,480:waittimer 40299 blt 0,0,640,480,0, 6174,640,480:waittimer 40306 blt 0,0,640,480,0, 6175,640,480:waittimer 40312 blt 0,0,640,480,0, 6176,640,480:waittimer 40319 blt 0,0,640,480,0, 6177,640,480:waittimer 40326 blt 0,0,640,480,0, 6178,640,480:waittimer 40332 blt 0,0,640,480,0, 6179,640,480:waittimer 40339 blt 0,0,640,480,0, 6180,640,480:waittimer 40345 blt 0,0,640,480,0, 6181,640,480:waittimer 40352 blt 0,0,640,480,0, 6182,640,480:waittimer 40358 blt 0,0,640,480,0, 6183,640,480:waittimer 40365 blt 0,0,640,480,0, 6184,640,480:waittimer 40371 blt 0,0,640,480,0, 6185,640,480:waittimer 40378 blt 0,0,640,480,0, 6186,640,480:waittimer 40384 blt 0,0,640,480,0, 6187,640,480:waittimer 40391 blt 0,0,640,480,0, 6188,640,480:waittimer 40397 blt 0,0,640,480,0, 6189,640,480:waittimer 40404 blt 0,0,640,480,0, 6190,640,480:waittimer 40410 blt 0,0,640,480,0, 6191,640,480:waittimer 40417 blt 0,0,640,480,0, 6192,640,480:waittimer 40423 blt 0,0,640,480,0, 6193,640,480:waittimer 40430 blt 0,0,640,480,0, 6194,640,480:waittimer 40437 blt 0,0,640,480,0, 6195,640,480:waittimer 40443 blt 0,0,640,480,0, 6196,640,480:waittimer 40450 blt 0,0,640,480,0, 6197,640,480:waittimer 40456 blt 0,0,640,480,0, 6198,640,480:waittimer 40463 blt 0,0,640,480,0, 6199,640,480:waittimer 40469 blt 0,0,640,480,0, 6200,640,480:waittimer 40476 blt 0,0,640,480,0, 6201,640,480:waittimer 40482 blt 0,0,640,480,0, 6202,640,480:waittimer 40489 blt 0,0,640,480,0, 6203,640,480:waittimer 40495 blt 0,0,640,480,0, 6204,640,480:waittimer 40502 blt 0,0,640,480,0, 6205,640,480:waittimer 40508 blt 0,0,640,480,0, 6206,640,480:waittimer 40515 blt 0,0,640,480,0, 6207,640,480:waittimer 40521 blt 0,0,640,480,0, 6208,640,480:waittimer 40528 blt 0,0,640,480,0, 6209,640,480:waittimer 40534 blt 0,0,640,480,0, 6210,640,480:waittimer 40541 blt 0,0,640,480,0, 6211,640,480:waittimer 40548 blt 0,0,640,480,0, 6212,640,480:waittimer 40554 blt 0,0,640,480,0, 6213,640,480:waittimer 40561 blt 0,0,640,480,0, 6214,640,480:waittimer 40567 blt 0,0,640,480,0, 6215,640,480:waittimer 40574 blt 0,0,640,480,0, 6216,640,480:waittimer 40580 blt 0,0,640,480,0, 6217,640,480:waittimer 40587 blt 0,0,640,480,0, 6218,640,480:waittimer 40593 blt 0,0,640,480,0, 6219,640,480:waittimer 40600 blt 0,0,640,480,0, 6220,640,480:waittimer 40606 blt 0,0,640,480,0, 6221,640,480:waittimer 40613 blt 0,0,640,480,0, 6222,640,480:waittimer 40619 blt 0,0,640,480,0, 6223,640,480:waittimer 40626 blt 0,0,640,480,0, 6224,640,480:waittimer 40632 blt 0,0,640,480,0, 6225,640,480:waittimer 40639 blt 0,0,640,480,0, 6226,640,480:waittimer 40645 blt 0,0,640,480,0, 6227,640,480:waittimer 40652 blt 0,0,640,480,0, 6228,640,480:waittimer 40658 blt 0,0,640,480,0, 6229,640,480:waittimer 40665 blt 0,0,640,480,0, 6230,640,480:waittimer 40672 blt 0,0,640,480,0, 6231,640,480:waittimer 40678 blt 0,0,640,480,0, 6232,640,480:waittimer 40685 blt 0,0,640,480,0, 6233,640,480:waittimer 40691 blt 0,0,640,480,0, 6234,640,480:waittimer 40698 blt 0,0,640,480,0, 6235,640,480:waittimer 40704 blt 0,0,640,480,0, 6236,640,480:waittimer 40711 blt 0,0,640,480,0, 6237,640,480:waittimer 40717 blt 0,0,640,480,0, 6238,640,480:waittimer 40724 blt 0,0,640,480,0, 6239,640,480:waittimer 40730 blt 0,0,640,480,0, 6240,640,480:waittimer 40737 blt 0,0,640,480,0, 6241,640,480:waittimer 40743 blt 0,0,640,480,0, 6242,640,480:waittimer 40750 blt 0,0,640,480,0, 6243,640,480:waittimer 40756 blt 0,0,640,480,0, 6244,640,480:waittimer 40763 blt 0,0,640,480,0, 6245,640,480:waittimer 40769 blt 0,0,640,480,0, 6246,640,480:waittimer 40776 blt 0,0,640,480,0, 6247,640,480:waittimer 40783 blt 0,0,640,480,0, 6248,640,480:waittimer 40789 blt 0,0,640,480,0, 6249,640,480:waittimer 40796 blt 0,0,640,480,0, 6250,640,480:waittimer 40802 blt 0,0,640,480,0, 6251,640,480:waittimer 40809 blt 0,0,640,480,0, 6252,640,480:waittimer 40815 blt 0,0,640,480,0, 6253,640,480:waittimer 40822 blt 0,0,640,480,0, 6254,640,480:waittimer 40828 blt 0,0,640,480,0, 6255,640,480:waittimer 40835 blt 0,0,640,480,0, 6256,640,480:waittimer 40841 blt 0,0,640,480,0, 6257,640,480:waittimer 40848 blt 0,0,640,480,0, 6258,640,480:waittimer 40854 blt 0,0,640,480,0, 6259,640,480:waittimer 40861 blt 0,0,640,480,0, 6260,640,480:waittimer 40867 blt 0,0,640,480,0, 6261,640,480:waittimer 40874 blt 0,0,640,480,0, 6262,640,480:waittimer 40880 blt 0,0,640,480,0, 6263,640,480:waittimer 40887 blt 0,0,640,480,0, 6264,640,480:waittimer 40894 blt 0,0,640,480,0, 6265,640,480:waittimer 40900 blt 0,0,640,480,0, 6266,640,480:waittimer 40907 blt 0,0,640,480,0, 6267,640,480:waittimer 40913 blt 0,0,640,480,0, 6268,640,480:waittimer 40920 blt 0,0,640,480,0, 6269,640,480:waittimer 40926 blt 0,0,640,480,0, 6270,640,480:waittimer 40933 blt 0,0,640,480,0, 6271,640,480:waittimer 40939 blt 0,0,640,480,0, 6272,640,480:waittimer 40946 blt 0,0,640,480,0, 6273,640,480:waittimer 40952 blt 0,0,640,480,0, 6274,640,480:waittimer 40959 blt 0,0,640,480,0, 6275,640,480:waittimer 40965 blt 0,0,640,480,0, 6276,640,480:waittimer 40972 blt 0,0,640,480,0, 6277,640,480:waittimer 40978 blt 0,0,640,480,0, 6278,640,480:waittimer 40985 blt 0,0,640,480,0, 6279,640,480:waittimer 40991 blt 0,0,640,480,0, 6280,640,480:waittimer 40998 blt 0,0,640,480,0, 6281,640,480:waittimer 41004 blt 0,0,640,480,0, 6282,640,480:waittimer 41011 blt 0,0,640,480,0, 6283,640,480:waittimer 41018 blt 0,0,640,480,0, 6284,640,480:waittimer 41024 blt 0,0,640,480,0, 6285,640,480:waittimer 41031 blt 0,0,640,480,0, 6286,640,480:waittimer 41037 blt 0,0,640,480,0, 6287,640,480:waittimer 41044 blt 0,0,640,480,0, 6288,640,480:waittimer 41050 blt 0,0,640,480,0, 6289,640,480:waittimer 41057 blt 0,0,640,480,0, 6290,640,480:waittimer 41063 blt 0,0,640,480,0, 6291,640,480:waittimer 41070 blt 0,0,640,480,0, 6292,640,480:waittimer 41076 blt 0,0,640,480,0, 6293,640,480:waittimer 41083 blt 0,0,640,480,0, 6294,640,480:waittimer 41089 blt 0,0,640,480,0, 6295,640,480:waittimer 41096 blt 0,0,640,480,0, 6296,640,480:waittimer 41102 blt 0,0,640,480,0, 6297,640,480:waittimer 41109 blt 0,0,640,480,0, 6298,640,480:waittimer 41115 blt 0,0,640,480,0, 6299,640,480:waittimer 41122 blt 0,0,640,480,0, 6300,640,480:waittimer 41129 blt 0,0,640,480,0, 6301,640,480:waittimer 41135 blt 0,0,640,480,0, 6302,640,480:waittimer 41142 blt 0,0,640,480,0, 6303,640,480:waittimer 41148 blt 0,0,640,480,0, 6304,640,480:waittimer 41155 blt 0,0,640,480,0, 6305,640,480:waittimer 41161 blt 0,0,640,480,0, 6306,640,480:waittimer 41168 blt 0,0,640,480,0, 6307,640,480:waittimer 41174 blt 0,0,640,480,0, 6308,640,480:waittimer 41181 blt 0,0,640,480,0, 6309,640,480:waittimer 41187 blt 0,0,640,480,0, 6310,640,480:waittimer 41194 blt 0,0,640,480,0, 6311,640,480:waittimer 41200 blt 0,0,640,480,0, 6312,640,480:waittimer 41207 blt 0,0,640,480,0, 6313,640,480:waittimer 41213 blt 0,0,640,480,0, 6314,640,480:waittimer 41220 blt 0,0,640,480,0, 6315,640,480:waittimer 41226 blt 0,0,640,480,0, 6316,640,480:waittimer 41233 blt 0,0,640,480,0, 6317,640,480:waittimer 41240 blt 0,0,640,480,0, 6318,640,480:waittimer 41246 blt 0,0,640,480,0, 6319,640,480:waittimer 41253 blt 0,0,640,480,0, 6320,640,480:waittimer 41259 blt 0,0,640,480,0, 6321,640,480:waittimer 41266 blt 0,0,640,480,0, 6322,640,480:waittimer 41272 blt 0,0,640,480,0, 6323,640,480:waittimer 41279 blt 0,0,640,480,0, 6324,640,480:waittimer 41285 blt 0,0,640,480,0, 6325,640,480:waittimer 41292 blt 0,0,640,480,0, 6326,640,480:waittimer 41298 blt 0,0,640,480,0, 6327,640,480:waittimer 41305 blt 0,0,640,480,0, 6328,640,480:waittimer 41311 blt 0,0,640,480,0, 6329,640,480:waittimer 41318 blt 0,0,640,480,0, 6330,640,480:waittimer 41324 blt 0,0,640,480,0, 6331,640,480:waittimer 41331 blt 0,0,640,480,0, 6332,640,480:waittimer 41337 blt 0,0,640,480,0, 6333,640,480:waittimer 41344 blt 0,0,640,480,0, 6334,640,480:waittimer 41350 blt 0,0,640,480,0, 6335,640,480:waittimer 41357 blt 0,0,640,480,0, 6336,640,480:waittimer 41364 blt 0,0,640,480,0, 6337,640,480:waittimer 41370 blt 0,0,640,480,0, 6338,640,480:waittimer 41377 blt 0,0,640,480,0, 6339,640,480:waittimer 41383 blt 0,0,640,480,0, 6340,640,480:waittimer 41390 blt 0,0,640,480,0, 6341,640,480:waittimer 41396 blt 0,0,640,480,0, 6342,640,480:waittimer 41403 blt 0,0,640,480,0, 6343,640,480:waittimer 41409 blt 0,0,640,480,0, 6344,640,480:waittimer 41416 blt 0,0,640,480,0, 6345,640,480:waittimer 41422 blt 0,0,640,480,0, 6346,640,480:waittimer 41429 blt 0,0,640,480,0, 6347,640,480:waittimer 41435 blt 0,0,640,480,0, 6348,640,480:waittimer 41442 blt 0,0,640,480,0, 6349,640,480:waittimer 41448 blt 0,0,640,480,0, 6350,640,480:waittimer 41455 blt 0,0,640,480,0, 6351,640,480:waittimer 41461 blt 0,0,640,480,0, 6352,640,480:waittimer 41468 blt 0,0,640,480,0, 6353,640,480:waittimer 41475 blt 0,0,640,480,0, 6354,640,480:waittimer 41481 blt 0,0,640,480,0, 6355,640,480:waittimer 41488 blt 0,0,640,480,0, 6356,640,480:waittimer 41494 blt 0,0,640,480,0, 6357,640,480:waittimer 41501 blt 0,0,640,480,0, 6358,640,480:waittimer 41507 blt 0,0,640,480,0, 6359,640,480:waittimer 41514 blt 0,0,640,480,0, 6360,640,480:waittimer 41520 blt 0,0,640,480,0, 6361,640,480:waittimer 41527 blt 0,0,640,480,0, 6362,640,480:waittimer 41533 blt 0,0,640,480,0, 6363,640,480:waittimer 41540 blt 0,0,640,480,0, 6364,640,480:waittimer 41546 blt 0,0,640,480,0, 6365,640,480:waittimer 41553 blt 0,0,640,480,0, 6366,640,480:waittimer 41559 blt 0,0,640,480,0, 6367,640,480:waittimer 41566 blt 0,0,640,480,0, 6368,640,480:waittimer 41572 blt 0,0,640,480,0, 6369,640,480:waittimer 41579 blt 0,0,640,480,0, 6370,640,480:waittimer 41586 blt 0,0,640,480,0, 6371,640,480:waittimer 41592 blt 0,0,640,480,0, 6372,640,480:waittimer 41599 blt 0,0,640,480,0, 6373,640,480:waittimer 41605 blt 0,0,640,480,0, 6374,640,480:waittimer 41612 blt 0,0,640,480,0, 6375,640,480:waittimer 41618 blt 0,0,640,480,0, 6376,640,480:waittimer 41625 blt 0,0,640,480,0, 6377,640,480:waittimer 41631 blt 0,0,640,480,0, 6378,640,480:waittimer 41638 blt 0,0,640,480,0, 6379,640,480:waittimer 41644 blt 0,0,640,480,0, 6380,640,480:waittimer 41651 blt 0,0,640,480,0, 6381,640,480:waittimer 41657 blt 0,0,640,480,0, 6382,640,480:waittimer 41664 blt 0,0,640,480,0, 6383,640,480:waittimer 41670 blt 0,0,640,480,0, 6384,640,480:waittimer 41677 blt 0,0,640,480,0, 6385,640,480:waittimer 41683 blt 0,0,640,480,0, 6386,640,480:waittimer 41690 blt 0,0,640,480,0, 6387,640,480:waittimer 41697 blt 0,0,640,480,0, 6388,640,480:waittimer 41703 blt 0,0,640,480,0, 6389,640,480:waittimer 41710 blt 0,0,640,480,0, 6390,640,480:waittimer 41716 blt 0,0,640,480,0, 6391,640,480:waittimer 41723 blt 0,0,640,480,0, 6392,640,480:waittimer 41729 blt 0,0,640,480,0, 6393,640,480:waittimer 41736 blt 0,0,640,480,0, 6394,640,480:waittimer 41742 blt 0,0,640,480,0, 6395,640,480:waittimer 41749 blt 0,0,640,480,0, 6396,640,480:waittimer 41755 blt 0,0,640,480,0, 6397,640,480:waittimer 41762 blt 0,0,640,480,0, 6398,640,480:waittimer 41768 blt 0,0,640,480,0, 6399,640,480:waittimer 41775 blt 0,0,640,480,0, 6400,640,480:waittimer 41781 blt 0,0,640,480,0, 6401,640,480:waittimer 41788 blt 0,0,640,480,0, 6402,640,480:waittimer 41794 blt 0,0,640,480,0, 6403,640,480:waittimer 41801 blt 0,0,640,480,0, 6404,640,480:waittimer 41807 blt 0,0,640,480,0, 6405,640,480:waittimer 41814 blt 0,0,640,480,0, 6406,640,480:waittimer 41821 blt 0,0,640,480,0, 6407,640,480:waittimer 41827 blt 0,0,640,480,0, 6408,640,480:waittimer 41834 blt 0,0,640,480,0, 6409,640,480:waittimer 41840 blt 0,0,640,480,0, 6410,640,480:waittimer 41847 blt 0,0,640,480,0, 6411,640,480:waittimer 41853 blt 0,0,640,480,0, 6412,640,480:waittimer 41860 blt 0,0,640,480,0, 6413,640,480:waittimer 41866 blt 0,0,640,480,0, 6414,640,480:waittimer 41873 blt 0,0,640,480,0, 6415,640,480:waittimer 41879 blt 0,0,640,480,0, 6416,640,480:waittimer 41886 blt 0,0,640,480,0, 6417,640,480:waittimer 41892 blt 0,0,640,480,0, 6418,640,480:waittimer 41899 blt 0,0,640,480,0, 6419,640,480:waittimer 41905 blt 0,0,640,480,0, 6420,640,480:waittimer 41912 blt 0,0,640,480,0, 6421,640,480:waittimer 41918 blt 0,0,640,480,0, 6422,640,480:waittimer 41925 blt 0,0,640,480,0, 6423,640,480:waittimer 41932 blt 0,0,640,480,0, 6424,640,480:waittimer 41938 blt 0,0,640,480,0, 6425,640,480:waittimer 41945 blt 0,0,640,480,0, 6426,640,480:waittimer 41951 blt 0,0,640,480,0, 6427,640,480:waittimer 41958 blt 0,0,640,480,0, 6428,640,480:waittimer 41964 blt 0,0,640,480,0, 6429,640,480:waittimer 41971 blt 0,0,640,480,0, 6430,640,480:waittimer 41977 blt 0,0,640,480,0, 6431,640,480:waittimer 41984 blt 0,0,640,480,0, 6432,640,480:waittimer 41990 blt 0,0,640,480,0, 6433,640,480:waittimer 41997 blt 0,0,640,480,0, 6434,640,480:waittimer 42003 blt 0,0,640,480,0, 6435,640,480:waittimer 42010 blt 0,0,640,480,0, 6436,640,480:waittimer 42016 blt 0,0,640,480,0, 6437,640,480:waittimer 42023 blt 0,0,640,480,0, 6438,640,480:waittimer 42029 blt 0,0,640,480,0, 6439,640,480:waittimer 42036 blt 0,0,640,480,0, 6440,640,480:waittimer 42043 blt 0,0,640,480,0, 6441,640,480:waittimer 42049 blt 0,0,640,480,0, 6442,640,480:waittimer 42056 blt 0,0,640,480,0, 6443,640,480:waittimer 42062 blt 0,0,640,480,0, 6444,640,480:waittimer 42069 blt 0,0,640,480,0, 6445,640,480:waittimer 42075 blt 0,0,640,480,0, 6446,640,480:waittimer 42082 blt 0,0,640,480,0, 6447,640,480:waittimer 42088 blt 0,0,640,480,0, 6448,640,480:waittimer 42095 blt 0,0,640,480,0, 6449,640,480:waittimer 42101 blt 0,0,640,480,0, 6450,640,480:waittimer 42108 blt 0,0,640,480,0, 6451,640,480:waittimer 42114 blt 0,0,640,480,0, 6452,640,480:waittimer 42121 blt 0,0,640,480,0, 6453,640,480:waittimer 42127 blt 0,0,640,480,0, 6454,640,480:waittimer 42134 blt 0,0,640,480,0, 6455,640,480:waittimer 42140 blt 0,0,640,480,0, 6456,640,480:waittimer 42147 blt 0,0,640,480,0, 6457,640,480:waittimer 42153 blt 0,0,640,480,0, 6458,640,480:waittimer 42160 blt 0,0,640,480,0, 6459,640,480:waittimer 42167 blt 0,0,640,480,0, 6460,640,480:waittimer 42173 blt 0,0,640,480,0, 6461,640,480:waittimer 42180 blt 0,0,640,480,0, 6462,640,480:waittimer 42186 blt 0,0,640,480,0, 6463,640,480:waittimer 42193 blt 0,0,640,480,0, 6464,640,480:waittimer 42199 blt 0,0,640,480,0, 6465,640,480:waittimer 42206 blt 0,0,640,480,0, 6466,640,480:waittimer 42212 blt 0,0,640,480,0, 6467,640,480:waittimer 42219 blt 0,0,640,480,0, 6468,640,480:waittimer 42225 blt 0,0,640,480,0, 6469,640,480:waittimer 42232 blt 0,0,640,480,0, 6470,640,480:waittimer 42238 blt 0,0,640,480,0, 6471,640,480:waittimer 42245 blt 0,0,640,480,0, 6472,640,480:waittimer 42251 blt 0,0,640,480,0, 6473,640,480:waittimer 42258 blt 0,0,640,480,0, 6474,640,480:waittimer 42264 blt 0,0,640,480,0, 6475,640,480:waittimer 42271 blt 0,0,640,480,0, 6476,640,480:waittimer 42278 blt 0,0,640,480,0, 6477,640,480:waittimer 42284 blt 0,0,640,480,0, 6478,640,480:waittimer 42291 blt 0,0,640,480,0, 6479,640,480:waittimer 42297 blt 0,0,640,480,0, 6480,640,480:waittimer 42304 blt 0,0,640,480,0, 6481,640,480:waittimer 42310 blt 0,0,640,480,0, 6482,640,480:waittimer 42317 blt 0,0,640,480,0, 6483,640,480:waittimer 42323 blt 0,0,640,480,0, 6484,640,480:waittimer 42330 blt 0,0,640,480,0, 6485,640,480:waittimer 42336 blt 0,0,640,480,0, 6486,640,480:waittimer 42343 blt 0,0,640,480,0, 6487,640,480:waittimer 42349 blt 0,0,640,480,0, 6488,640,480:waittimer 42356 blt 0,0,640,480,0, 6489,640,480:waittimer 42362 blt 0,0,640,480,0, 6490,640,480:waittimer 42369 blt 0,0,640,480,0, 6491,640,480:waittimer 42375 blt 0,0,640,480,0, 6492,640,480:waittimer 42382 blt 0,0,640,480,0, 6493,640,480:waittimer 42389 blt 0,0,640,480,0, 6494,640,480:waittimer 42395 blt 0,0,640,480,0, 6495,640,480:waittimer 42402 blt 0,0,640,480,0, 6496,640,480:waittimer 42408 blt 0,0,640,480,0, 6497,640,480:waittimer 42415 blt 0,0,640,480,0, 6498,640,480:waittimer 42421 blt 0,0,640,480,0, 6499,640,480:waittimer 42428 blt 0,0,640,480,0, 6500,640,480:waittimer 42434 blt 0,0,640,480,0, 6501,640,480:waittimer 42441 blt 0,0,640,480,0, 6502,640,480:waittimer 42447 blt 0,0,640,480,0, 6503,640,480:waittimer 42454 blt 0,0,640,480,0, 6504,640,480:waittimer 42460 blt 0,0,640,480,0, 6505,640,480:waittimer 42467 blt 0,0,640,480,0, 6506,640,480:waittimer 42473 blt 0,0,640,480,0, 6507,640,480:waittimer 42480 blt 0,0,640,480,0, 6508,640,480:waittimer 42486 blt 0,0,640,480,0, 6509,640,480:waittimer 42493 blt 0,0,640,480,0, 6510,640,480:waittimer 42499 blt 0,0,640,480,0, 6511,640,480:waittimer 42506 blt 0,0,640,480,0, 6512,640,480:waittimer 42513 blt 0,0,640,480,0, 6513,640,480:waittimer 42519 blt 0,0,640,480,0, 6514,640,480:waittimer 42526 blt 0,0,640,480,0, 6515,640,480:waittimer 42532 blt 0,0,640,480,0, 6516,640,480:waittimer 42539 blt 0,0,640,480,0, 6517,640,480:waittimer 42545 blt 0,0,640,480,0, 6518,640,480:waittimer 42552 blt 0,0,640,480,0, 6519,640,480:waittimer 42558 blt 0,0,640,480,0, 6520,640,480:waittimer 42565 blt 0,0,640,480,0, 6521,640,480:waittimer 42571 blt 0,0,640,480,0, 6522,640,480:waittimer 42578 blt 0,0,640,480,0, 6523,640,480:waittimer 42584 blt 0,0,640,480,0, 6524,640,480:waittimer 42591 blt 0,0,640,480,0, 6525,640,480:waittimer 42597 blt 0,0,640,480,0, 6526,640,480:waittimer 42604 blt 0,0,640,480,0, 6527,640,480:waittimer 42610 blt 0,0,640,480,0, 6528,640,480:waittimer 42617 blt 0,0,640,480,0, 6529,640,480:waittimer 42624 blt 0,0,640,480,0, 6530,640,480:waittimer 42630 blt 0,0,640,480,0, 6531,640,480:waittimer 42637 blt 0,0,640,480,0, 6532,640,480:waittimer 42643 blt 0,0,640,480,0, 6533,640,480:waittimer 42650 blt 0,0,640,480,0, 6534,640,480:waittimer 42656 blt 0,0,640,480,0, 6535,640,480:waittimer 42663 blt 0,0,640,480,0, 6536,640,480:waittimer 42669 blt 0,0,640,480,0, 6537,640,480:waittimer 42676 blt 0,0,640,480,0, 6538,640,480:waittimer 42682 blt 0,0,640,480,0, 6539,640,480:waittimer 42689 blt 0,0,640,480,0, 6540,640,480:waittimer 42695 blt 0,0,640,480,0, 6541,640,480:waittimer 42702 blt 0,0,640,480,0, 6542,640,480:waittimer 42708 blt 0,0,640,480,0, 6543,640,480:waittimer 42715 blt 0,0,640,480,0, 6544,640,480:waittimer 42721 blt 0,0,640,480,0, 6545,640,480:waittimer 42728 blt 0,0,640,480,0, 6546,640,480:waittimer 42735 blt 0,0,640,480,0, 6547,640,480:waittimer 42741 blt 0,0,640,480,0, 6548,640,480:waittimer 42748 blt 0,0,640,480,0, 6549,640,480:waittimer 42754 blt 0,0,640,480,0, 6550,640,480:waittimer 42761 blt 0,0,640,480,0, 6551,640,480:waittimer 42767 blt 0,0,640,480,0, 6552,640,480:waittimer 42774 blt 0,0,640,480,0, 6553,640,480:waittimer 42780 blt 0,0,640,480,0, 6554,640,480:waittimer 42787 blt 0,0,640,480,0, 6555,640,480:waittimer 42793 blt 0,0,640,480,0, 6556,640,480:waittimer 42800 blt 0,0,640,480,0, 6557,640,480:waittimer 42806 blt 0,0,640,480,0, 6558,640,480:waittimer 42813 blt 0,0,640,480,0, 6559,640,480:waittimer 42819 blt 0,0,640,480,0, 6560,640,480:waittimer 42826 blt 0,0,640,480,0, 6561,640,480:waittimer 42832 blt 0,0,640,480,0, 6562,640,480:waittimer 42839 blt 0,0,640,480,0, 6563,640,480:waittimer 42846 blt 0,0,640,480,0, 6564,640,480:waittimer 42852 blt 0,0,640,480,0, 6565,640,480:waittimer 42859 blt 0,0,640,480,0, 6566,640,480:waittimer 42865 blt 0,0,640,480,0, 6567,640,480:waittimer 42872 blt 0,0,640,480,0, 6568,640,480:waittimer 42878 blt 0,0,640,480,0, 6569,640,480:waittimer 42885 blt 0,0,640,480,0, 6570,640,480:waittimer 42891 blt 0,0,640,480,0, 6571,640,480:waittimer 42898 blt 0,0,640,480,0, 6572,640,480:waittimer 42904 blt 0,0,640,480,0, 6573,640,480:waittimer 42911 blt 0,0,640,480,0, 6574,640,480:waittimer 42917 blt 0,0,640,480,0, 6575,640,480:waittimer 42924 blt 0,0,640,480,0, 6576,640,480:waittimer 42930 blt 0,0,640,480,0, 6577,640,480:waittimer 42937 blt 0,0,640,480,0, 6578,640,480:waittimer 42943 blt 0,0,640,480,0, 6579,640,480:waittimer 42950 blt 0,0,640,480,0, 6580,640,480:waittimer 42956 blt 0,0,640,480,0, 6581,640,480:waittimer 42963 blt 0,0,640,480,0, 6582,640,480:waittimer 42970 blt 0,0,640,480,0, 6583,640,480:waittimer 42976 blt 0,0,640,480,0, 6584,640,480:waittimer 42983 blt 0,0,640,480,0, 6585,640,480:waittimer 42989 blt 0,0,640,480,0, 6586,640,480:waittimer 42996 blt 0,0,640,480,0, 6587,640,480:waittimer 43002 blt 0,0,640,480,0, 6588,640,480:waittimer 43009 blt 0,0,640,480,0, 6589,640,480:waittimer 43015 blt 0,0,640,480,0, 6590,640,480:waittimer 43022 blt 0,0,640,480,0, 6591,640,480:waittimer 43028 blt 0,0,640,480,0, 6592,640,480:waittimer 43035 blt 0,0,640,480,0, 6593,640,480:waittimer 43041 blt 0,0,640,480,0, 6594,640,480:waittimer 43048 blt 0,0,640,480,0, 6595,640,480:waittimer 43054 blt 0,0,640,480,0, 6596,640,480:waittimer 43061 blt 0,0,640,480,0, 6597,640,480:waittimer 43067 blt 0,0,640,480,0, 6598,640,480:waittimer 43074 blt 0,0,640,480,0, 6599,640,480:waittimer 43081 blt 0,0,640,480,0, 6600,640,480:waittimer 43087 blt 0,0,640,480,0, 6601,640,480:waittimer 43094 blt 0,0,640,480,0, 6602,640,480:waittimer 43100 blt 0,0,640,480,0, 6603,640,480:waittimer 43107 blt 0,0,640,480,0, 6604,640,480:waittimer 43113 blt 0,0,640,480,0, 6605,640,480:waittimer 43120 blt 0,0,640,480,0, 6606,640,480:waittimer 43126 blt 0,0,640,480,0, 6607,640,480:waittimer 43133 blt 0,0,640,480,0, 6608,640,480:waittimer 43139 blt 0,0,640,480,0, 6609,640,480:waittimer 43146 blt 0,0,640,480,0, 6610,640,480:waittimer 43152 blt 0,0,640,480,0, 6611,640,480:waittimer 43159 blt 0,0,640,480,0, 6612,640,480:waittimer 43165 blt 0,0,640,480,0, 6613,640,480:waittimer 43172 blt 0,0,640,480,0, 6614,640,480:waittimer 43178 blt 0,0,640,480,0, 6615,640,480:waittimer 43185 blt 0,0,640,480,0, 6616,640,480:waittimer 43192 blt 0,0,640,480,0, 6617,640,480:waittimer 43198 blt 0,0,640,480,0, 6618,640,480:waittimer 43205 blt 0,0,640,480,0, 6619,640,480:waittimer 43211 blt 0,0,640,480,0, 6620,640,480:waittimer 43218 blt 0,0,640,480,0, 6621,640,480:waittimer 43224 blt 0,0,640,480,0, 6622,640,480:waittimer 43231 blt 0,0,640,480,0, 6623,640,480:waittimer 43237 blt 0,0,640,480,0, 6624,640,480:waittimer 43244 blt 0,0,640,480,0, 6625,640,480:waittimer 43250 blt 0,0,640,480,0, 6626,640,480:waittimer 43257 blt 0,0,640,480,0, 6627,640,480:waittimer 43263 blt 0,0,640,480,0, 6628,640,480:waittimer 43270 blt 0,0,640,480,0, 6629,640,480:waittimer 43276 blt 0,0,640,480,0, 6630,640,480:waittimer 43283 blt 0,0,640,480,0, 6631,640,480:waittimer 43289 blt 0,0,640,480,0, 6632,640,480:waittimer 43296 blt 0,0,640,480,0, 6633,640,480:waittimer 43302 blt 0,0,640,480,0, 6634,640,480:waittimer 43309 blt 0,0,640,480,0, 6635,640,480:waittimer 43316 blt 0,0,640,480,0, 6636,640,480:waittimer 43322 blt 0,0,640,480,0, 6637,640,480:waittimer 43329 blt 0,0,640,480,0, 6638,640,480:waittimer 43335 blt 0,0,640,480,0, 6639,640,480:waittimer 43342 blt 0,0,640,480,0, 6640,640,480:waittimer 43348 blt 0,0,640,480,0, 6641,640,480:waittimer 43355 blt 0,0,640,480,0, 6642,640,480:waittimer 43361 blt 0,0,640,480,0, 6643,640,480:waittimer 43368 blt 0,0,640,480,0, 6644,640,480:waittimer 43374 blt 0,0,640,480,0, 6645,640,480:waittimer 43381 blt 0,0,640,480,0, 6646,640,480:waittimer 43387 blt 0,0,640,480,0, 6647,640,480:waittimer 43394 blt 0,0,640,480,0, 6648,640,480:waittimer 43400 blt 0,0,640,480,0, 6649,640,480:waittimer 43407 blt 0,0,640,480,0, 6650,640,480:waittimer 43413 blt 0,0,640,480,0, 6651,640,480:waittimer 43420 blt 0,0,640,480,0, 6652,640,480:waittimer 43427 blt 0,0,640,480,0, 6653,640,480:waittimer 43433 blt 0,0,640,480,0, 6654,640,480:waittimer 43440 blt 0,0,640,480,0, 6655,640,480:waittimer 43446 blt 0,0,640,480,0, 6656,640,480:waittimer 43453 blt 0,0,640,480,0, 6657,640,480:waittimer 43459 blt 0,0,640,480,0, 6658,640,480:waittimer 43466 blt 0,0,640,480,0, 6659,640,480:waittimer 43472 blt 0,0,640,480,0, 6660,640,480:waittimer 43479 blt 0,0,640,480,0, 6661,640,480:waittimer 43485 blt 0,0,640,480,0, 6662,640,480:waittimer 43492 blt 0,0,640,480,0, 6663,640,480:waittimer 43498 blt 0,0,640,480,0, 6664,640,480:waittimer 43505 blt 0,0,640,480,0, 6665,640,480:waittimer 43511 blt 0,0,640,480,0, 6666,640,480:waittimer 43518 blt 0,0,640,480,0, 6667,640,480:waittimer 43524 blt 0,0,640,480,0, 6668,640,480:waittimer 43531 blt 0,0,640,480,0, 6669,640,480:waittimer 43538 blt 0,0,640,480,0, 6670,640,480:waittimer 43544 blt 0,0,640,480,0, 6671,640,480:waittimer 43551 blt 0,0,640,480,0, 6672,640,480:waittimer 43557 blt 0,0,640,480,0, 6673,640,480:waittimer 43564 blt 0,0,640,480,0, 6674,640,480:waittimer 43570 blt 0,0,640,480,0, 6675,640,480:waittimer 43577 blt 0,0,640,480,0, 6676,640,480:waittimer 43583 blt 0,0,640,480,0, 6677,640,480:waittimer 43590 blt 0,0,640,480,0, 6678,640,480:waittimer 43596 blt 0,0,640,480,0, 6679,640,480:waittimer 43603 blt 0,0,640,480,0, 6680,640,480:waittimer 43609 blt 0,0,640,480,0, 6681,640,480:waittimer 43616 blt 0,0,640,480,0, 6682,640,480:waittimer 43622 blt 0,0,640,480,0, 6683,640,480:waittimer 43629 blt 0,0,640,480,0, 6684,640,480:waittimer 43635 blt 0,0,640,480,0, 6685,640,480:waittimer 43642 blt 0,0,640,480,0, 6686,640,480:waittimer 43648 blt 0,0,640,480,0, 6687,640,480:waittimer 43655 blt 0,0,640,480,0, 6688,640,480:waittimer 43662 blt 0,0,640,480,0, 6689,640,480:waittimer 43668 blt 0,0,640,480,0, 6690,640,480:waittimer 43675 blt 0,0,640,480,0, 6691,640,480:waittimer 43681 blt 0,0,640,480,0, 6692,640,480:waittimer 43688 blt 0,0,640,480,0, 6693,640,480:waittimer 43694 blt 0,0,640,480,0, 6694,640,480:waittimer 43701 blt 0,0,640,480,0, 6695,640,480:waittimer 43707 blt 0,0,640,480,0, 6696,640,480:waittimer 43714 blt 0,0,640,480,0, 6697,640,480:waittimer 43720 blt 0,0,640,480,0, 6698,640,480:waittimer 43727 blt 0,0,640,480,0, 6699,640,480:waittimer 43733 blt 0,0,640,480,0, 6700,640,480:waittimer 43740 blt 0,0,640,480,0, 6701,640,480:waittimer 43746 blt 0,0,640,480,0, 6702,640,480:waittimer 43753 blt 0,0,640,480,0, 6703,640,480:waittimer 43759 blt 0,0,640,480,0, 6704,640,480:waittimer 43766 blt 0,0,640,480,0, 6705,640,480:waittimer 43773 blt 0,0,640,480,0, 6706,640,480:waittimer 43779 blt 0,0,640,480,0, 6707,640,480:waittimer 43786 blt 0,0,640,480,0, 6708,640,480:waittimer 43792 blt 0,0,640,480,0, 6709,640,480:waittimer 43799 blt 0,0,640,480,0, 6710,640,480:waittimer 43805 blt 0,0,640,480,0, 6711,640,480:waittimer 43812 blt 0,0,640,480,0, 6712,640,480:waittimer 43818 blt 0,0,640,480,0, 6713,640,480:waittimer 43825 blt 0,0,640,480,0, 6714,640,480:waittimer 43831 blt 0,0,640,480,0, 6715,640,480:waittimer 43838 blt 0,0,640,480,0, 6716,640,480:waittimer 43844 blt 0,0,640,480,0, 6717,640,480:waittimer 43851 blt 0,0,640,480,0, 6718,640,480:waittimer 43857 blt 0,0,640,480,0, 6719,640,480:waittimer 43864 blt 0,0,640,480,0, 6720,640,480:waittimer 43870 blt 0,0,640,480,0, 6721,640,480:waittimer 43877 blt 0,0,640,480,0, 6722,640,480:waittimer 43884 blt 0,0,640,480,0, 6723,640,480:waittimer 43890 blt 0,0,640,480,0, 6724,640,480:waittimer 43897 blt 0,0,640,480,0, 6725,640,480:waittimer 43903 blt 0,0,640,480,0, 6726,640,480:waittimer 43910 blt 0,0,640,480,0, 6727,640,480:waittimer 43916 blt 0,0,640,480,0, 6728,640,480:waittimer 43923 blt 0,0,640,480,0, 6729,640,480:waittimer 43929 blt 0,0,640,480,0, 6730,640,480:waittimer 43936 blt 0,0,640,480,0, 6731,640,480:waittimer 43942 blt 0,0,640,480,0, 6732,640,480:waittimer 43949 blt 0,0,640,480,0, 6733,640,480:waittimer 43955 blt 0,0,640,480,0, 6734,640,480:waittimer 43962 blt 0,0,640,480,0, 6735,640,480:waittimer 43968 blt 0,0,640,480,0, 6736,640,480:waittimer 43975 blt 0,0,640,480,0, 6737,640,480:waittimer 43981 blt 0,0,640,480,0, 6738,640,480:waittimer 43988 blt 0,0,640,480,0, 6739,640,480:waittimer 43995 blt 0,0,640,480,0, 6740,640,480:waittimer 44001 blt 0,0,640,480,0, 6741,640,480:waittimer 44008 blt 0,0,640,480,0, 6742,640,480:waittimer 44014 blt 0,0,640,480,0, 6743,640,480:waittimer 44021 blt 0,0,640,480,0, 6744,640,480:waittimer 44027 blt 0,0,640,480,0, 6745,640,480:waittimer 44034 blt 0,0,640,480,0, 6746,640,480:waittimer 44040 blt 0,0,640,480,0, 6747,640,480:waittimer 44047 blt 0,0,640,480,0, 6748,640,480:waittimer 44053 blt 0,0,640,480,0, 6749,640,480:waittimer 44060 blt 0,0,640,480,0, 6750,640,480:waittimer 44066 blt 0,0,640,480,0, 6751,640,480:waittimer 44073 blt 0,0,640,480,0, 6752,640,480:waittimer 44079 blt 0,0,640,480,0, 6753,640,480:waittimer 44086 blt 0,0,640,480,0, 6754,640,480:waittimer 44092 blt 0,0,640,480,0, 6755,640,480:waittimer 44099 blt 0,0,640,480,0, 6756,640,480:waittimer 44105 blt 0,0,640,480,0, 6757,640,480:waittimer 44112 blt 0,0,640,480,0, 6758,640,480:waittimer 44119 blt 0,0,640,480,0, 6759,640,480:waittimer 44125 blt 0,0,640,480,0, 6760,640,480:waittimer 44132 blt 0,0,640,480,0, 6761,640,480:waittimer 44138 blt 0,0,640,480,0, 6762,640,480:waittimer 44145 blt 0,0,640,480,0, 6763,640,480:waittimer 44151 blt 0,0,640,480,0, 6764,640,480:waittimer 44158 blt 0,0,640,480,0, 6765,640,480:waittimer 44164 blt 0,0,640,480,0, 6766,640,480:waittimer 44171 blt 0,0,640,480,0, 6767,640,480:waittimer 44177 blt 0,0,640,480,0, 6768,640,480:waittimer 44184 blt 0,0,640,480,0, 6769,640,480:waittimer 44190 blt 0,0,640,480,0, 6770,640,480:waittimer 44197 blt 0,0,640,480,0, 6771,640,480:waittimer 44203 blt 0,0,640,480,0, 6772,640,480:waittimer 44210 blt 0,0,640,480,0, 6773,640,480:waittimer 44216 blt 0,0,640,480,0, 6774,640,480:waittimer 44223 blt 0,0,640,480,0, 6775,640,480:waittimer 44230 blt 0,0,640,480,0, 6776,640,480:waittimer 44236 blt 0,0,640,480,0, 6777,640,480:waittimer 44243 blt 0,0,640,480,0, 6778,640,480:waittimer 44249 blt 0,0,640,480,0, 6779,640,480:waittimer 44256 blt 0,0,640,480,0, 6780,640,480:waittimer 44262 blt 0,0,640,480,0, 6781,640,480:waittimer 44269 blt 0,0,640,480,0, 6782,640,480:waittimer 44275 blt 0,0,640,480,0, 6783,640,480:waittimer 44282 blt 0,0,640,480,0, 6784,640,480:waittimer 44288 blt 0,0,640,480,0, 6785,640,480:waittimer 44295 blt 0,0,640,480,0, 6786,640,480:waittimer 44301 blt 0,0,640,480,0, 6787,640,480:waittimer 44308 blt 0,0,640,480,0, 6788,640,480:waittimer 44314 blt 0,0,640,480,0, 6789,640,480:waittimer 44321 blt 0,0,640,480,0, 6790,640,480:waittimer 44327 blt 0,0,640,480,0, 6791,640,480:waittimer 44334 blt 0,0,640,480,0, 6792,640,480:waittimer 44341 blt 0,0,640,480,0, 6793,640,480:waittimer 44347 blt 0,0,640,480,0, 6794,640,480:waittimer 44354 blt 0,0,640,480,0, 6795,640,480:waittimer 44360 blt 0,0,640,480,0, 6796,640,480:waittimer 44367 blt 0,0,640,480,0, 6797,640,480:waittimer 44373 blt 0,0,640,480,0, 6798,640,480:waittimer 44380 blt 0,0,640,480,0, 6799,640,480:waittimer 44386 blt 0,0,640,480,0, 6800,640,480:waittimer 44393 blt 0,0,640,480,0, 6801,640,480:waittimer 44399 blt 0,0,640,480,0, 6802,640,480:waittimer 44406 blt 0,0,640,480,0, 6803,640,480:waittimer 44412 blt 0,0,640,480,0, 6804,640,480:waittimer 44419 blt 0,0,640,480,0, 6805,640,480:waittimer 44425 blt 0,0,640,480,0, 6806,640,480:waittimer 44432 blt 0,0,640,480,0, 6807,640,480:waittimer 44438 blt 0,0,640,480,0, 6808,640,480:waittimer 44445 blt 0,0,640,480,0, 6809,640,480:waittimer 44451 blt 0,0,640,480,0, 6810,640,480:waittimer 44458 blt 0,0,640,480,0, 6811,640,480:waittimer 44465 blt 0,0,640,480,0, 6812,640,480:waittimer 44471 blt 0,0,640,480,0, 6813,640,480:waittimer 44478 blt 0,0,640,480,0, 6814,640,480:waittimer 44484 blt 0,0,640,480,0, 6815,640,480:waittimer 44491 blt 0,0,640,480,0, 6816,640,480:waittimer 44497 blt 0,0,640,480,0, 6817,640,480:waittimer 44504 blt 0,0,640,480,0, 6818,640,480:waittimer 44510 blt 0,0,640,480,0, 6819,640,480:waittimer 44517 blt 0,0,640,480,0, 6820,640,480:waittimer 44523 blt 0,0,640,480,0, 6821,640,480:waittimer 44530 blt 0,0,640,480,0, 6822,640,480:waittimer 44536 blt 0,0,640,480,0, 6823,640,480:waittimer 44543 blt 0,0,640,480,0, 6824,640,480:waittimer 44549 blt 0,0,640,480,0, 6825,640,480:waittimer 44556 blt 0,0,640,480,0, 6826,640,480:waittimer 44562 blt 0,0,640,480,0, 6827,640,480:waittimer 44569 blt 0,0,640,480,0, 6828,640,480:waittimer 44576 blt 0,0,640,480,0, 6829,640,480:waittimer 44582 blt 0,0,640,480,0, 6830,640,480:waittimer 44589 blt 0,0,640,480,0, 6831,640,480:waittimer 44595 blt 0,0,640,480,0, 6832,640,480:waittimer 44602 blt 0,0,640,480,0, 6833,640,480:waittimer 44608 blt 0,0,640,480,0, 6834,640,480:waittimer 44615 blt 0,0,640,480,0, 6835,640,480:waittimer 44621 blt 0,0,640,480,0, 6836,640,480:waittimer 44628 blt 0,0,640,480,0, 6837,640,480:waittimer 44634 blt 0,0,640,480,0, 6838,640,480:waittimer 44641 blt 0,0,640,480,0, 6839,640,480:waittimer 44647 blt 0,0,640,480,0, 6840,640,480:waittimer 44654 blt 0,0,640,480,0, 6841,640,480:waittimer 44660 blt 0,0,640,480,0, 6842,640,480:waittimer 44667 blt 0,0,640,480,0, 6843,640,480:waittimer 44673 blt 0,0,640,480,0, 6844,640,480:waittimer 44680 blt 0,0,640,480,0, 6845,640,480:waittimer 44687 blt 0,0,640,480,0, 6846,640,480:waittimer 44693 blt 0,0,640,480,0, 6847,640,480:waittimer 44700 blt 0,0,640,480,0, 6848,640,480:waittimer 44706 blt 0,0,640,480,0, 6849,640,480:waittimer 44713 blt 0,0,640,480,0, 6850,640,480:waittimer 44719 blt 0,0,640,480,0, 6851,640,480:waittimer 44726 blt 0,0,640,480,0, 6852,640,480:waittimer 44732 blt 0,0,640,480,0, 6853,640,480:waittimer 44739 blt 0,0,640,480,0, 6854,640,480:waittimer 44745 blt 0,0,640,480,0, 6855,640,480:waittimer 44752 blt 0,0,640,480,0, 6856,640,480:waittimer 44758 blt 0,0,640,480,0, 6857,640,480:waittimer 44765 blt 0,0,640,480,0, 6858,640,480:waittimer 44771 blt 0,0,640,480,0, 6859,640,480:waittimer 44778 blt 0,0,640,480,0, 6860,640,480:waittimer 44784 blt 0,0,640,480,0, 6861,640,480:waittimer 44791 blt 0,0,640,480,0, 6862,640,480:waittimer 44798 blt 0,0,640,480,0, 6863,640,480:waittimer 44804 blt 0,0,640,480,0, 6864,640,480:waittimer 44811 blt 0,0,640,480,0, 6865,640,480:waittimer 44817 blt 0,0,640,480,0, 6866,640,480:waittimer 44824 blt 0,0,640,480,0, 6867,640,480:waittimer 44830 blt 0,0,640,480,0, 6868,640,480:waittimer 44837 blt 0,0,640,480,0, 6869,640,480:waittimer 44843 blt 0,0,640,480,0, 6870,640,480:waittimer 44850 blt 0,0,640,480,0, 6871,640,480:waittimer 44856 blt 0,0,640,480,0, 6872,640,480:waittimer 44863 blt 0,0,640,480,0, 6873,640,480:waittimer 44869 blt 0,0,640,480,0, 6874,640,480:waittimer 44876 blt 0,0,640,480,0, 6875,640,480:waittimer 44882 blt 0,0,640,480,0, 6876,640,480:waittimer 44889 blt 0,0,640,480,0, 6877,640,480:waittimer 44895 blt 0,0,640,480,0, 6878,640,480:waittimer 44902 blt 0,0,640,480,0, 6879,640,480:waittimer 44908 blt 0,0,640,480,0, 6880,640,480:waittimer 44915 blt 0,0,640,480,0, 6881,640,480:waittimer 44922 blt 0,0,640,480,0, 6882,640,480:waittimer 44928 blt 0,0,640,480,0, 6883,640,480:waittimer 44935 blt 0,0,640,480,0, 6884,640,480:waittimer 44941 blt 0,0,640,480,0, 6885,640,480:waittimer 44948 blt 0,0,640,480,0, 6886,640,480:waittimer 44954 blt 0,0,640,480,0, 6887,640,480:waittimer 44961 blt 0,0,640,480,0, 6888,640,480:waittimer 44967 blt 0,0,640,480,0, 6889,640,480:waittimer 44974 blt 0,0,640,480,0, 6890,640,480:waittimer 44980 blt 0,0,640,480,0, 6891,640,480:waittimer 44987 blt 0,0,640,480,0, 6892,640,480:waittimer 44993 blt 0,0,640,480,0, 6893,640,480:waittimer 45000 blt 0,0,640,480,0, 6894,640,480:waittimer 45006 blt 0,0,640,480,0, 6895,640,480:waittimer 45013 blt 0,0,640,480,0, 6896,640,480:waittimer 45019 blt 0,0,640,480,0, 6897,640,480:waittimer 45026 blt 0,0,640,480,0, 6898,640,480:waittimer 45033 blt 0,0,640,480,0, 6899,640,480:waittimer 45039 blt 0,0,640,480,0, 6900,640,480:waittimer 45046 blt 0,0,640,480,0, 6901,640,480:waittimer 45052 blt 0,0,640,480,0, 6902,640,480:waittimer 45059 blt 0,0,640,480,0, 6903,640,480:waittimer 45065 blt 0,0,640,480,0, 6904,640,480:waittimer 45072 blt 0,0,640,480,0, 6905,640,480:waittimer 45078 blt 0,0,640,480,0, 6906,640,480:waittimer 45085 blt 0,0,640,480,0, 6907,640,480:waittimer 45091 blt 0,0,640,480,0, 6908,640,480:waittimer 45098 blt 0,0,640,480,0, 6909,640,480:waittimer 45104 blt 0,0,640,480,0, 6910,640,480:waittimer 45111 blt 0,0,640,480,0, 6911,640,480:waittimer 45117 blt 0,0,640,480,0, 6912,640,480:waittimer 45124 blt 0,0,640,480,0, 6913,640,480:waittimer 45130 blt 0,0,640,480,0, 6914,640,480:waittimer 45137 blt 0,0,640,480,0, 6915,640,480:waittimer 45144 blt 0,0,640,480,0, 6916,640,480:waittimer 45150 blt 0,0,640,480,0, 6917,640,480:waittimer 45157 blt 0,0,640,480,0, 6918,640,480:waittimer 45163 blt 0,0,640,480,0, 6919,640,480:waittimer 45170 blt 0,0,640,480,0, 6920,640,480:waittimer 45176 blt 0,0,640,480,0, 6921,640,480:waittimer 45183 blt 0,0,640,480,0, 6922,640,480:waittimer 45189 blt 0,0,640,480,0, 6923,640,480:waittimer 45196 blt 0,0,640,480,0, 6924,640,480:waittimer 45202 blt 0,0,640,480,0, 6925,640,480:waittimer 45209 blt 0,0,640,480,0, 6926,640,480:waittimer 45215 blt 0,0,640,480,0, 6927,640,480:waittimer 45222 blt 0,0,640,480,0, 6928,640,480:waittimer 45228 blt 0,0,640,480,0, 6929,640,480:waittimer 45235 blt 0,0,640,480,0, 6930,640,480:waittimer 45241 blt 0,0,640,480,0, 6931,640,480:waittimer 45248 blt 0,0,640,480,0, 6932,640,480:waittimer 45254 blt 0,0,640,480,0, 6933,640,480:waittimer 45261 blt 0,0,640,480,0, 6934,640,480:waittimer 45268 blt 0,0,640,480,0, 6935,640,480:waittimer 45274 blt 0,0,640,480,0, 6936,640,480:waittimer 45281 blt 0,0,640,480,0, 6937,640,480:waittimer 45287 blt 0,0,640,480,0, 6938,640,480:waittimer 45294 blt 0,0,640,480,0, 6939,640,480:waittimer 45300 blt 0,0,640,480,0, 6940,640,480:waittimer 45307 blt 0,0,640,480,0, 6941,640,480:waittimer 45313 blt 0,0,640,480,0, 6942,640,480:waittimer 45320 blt 0,0,640,480,0, 6943,640,480:waittimer 45326 blt 0,0,640,480,0, 6944,640,480:waittimer 45333 blt 0,0,640,480,0, 6945,640,480:waittimer 45339 blt 0,0,640,480,0, 6946,640,480:waittimer 45346 blt 0,0,640,480,0, 6947,640,480:waittimer 45352 blt 0,0,640,480,0, 6948,640,480:waittimer 45359 blt 0,0,640,480,0, 6949,640,480:waittimer 45365 blt 0,0,640,480,0, 6950,640,480:waittimer 45372 blt 0,0,640,480,0, 6951,640,480:waittimer 45379 blt 0,0,640,480,0, 6952,640,480:waittimer 45385 blt 0,0,640,480,0, 6953,640,480:waittimer 45392 blt 0,0,640,480,0, 6954,640,480:waittimer 45398 blt 0,0,640,480,0, 6955,640,480:waittimer 45405 blt 0,0,640,480,0, 6956,640,480:waittimer 45411 blt 0,0,640,480,0, 6957,640,480:waittimer 45418 blt 0,0,640,480,0, 6958,640,480:waittimer 45424 blt 0,0,640,480,0, 6959,640,480:waittimer 45431 blt 0,0,640,480,0, 6960,640,480:waittimer 45437 blt 0,0,640,480,0, 6961,640,480:waittimer 45444 blt 0,0,640,480,0, 6962,640,480:waittimer 45450 blt 0,0,640,480,0, 6963,640,480:waittimer 45457 blt 0,0,640,480,0, 6964,640,480:waittimer 45463 blt 0,0,640,480,0, 6965,640,480:waittimer 45470 blt 0,0,640,480,0, 6966,640,480:waittimer 45476 blt 0,0,640,480,0, 6967,640,480:waittimer 45483 blt 0,0,640,480,0, 6968,640,480:waittimer 45490 blt 0,0,640,480,0, 6969,640,480:waittimer 45496 blt 0,0,640,480,0, 6970,640,480:waittimer 45503 blt 0,0,640,480,0, 6971,640,480:waittimer 45509 blt 0,0,640,480,0, 6972,640,480:waittimer 45516 blt 0,0,640,480,0, 6973,640,480:waittimer 45522 blt 0,0,640,480,0, 6974,640,480:waittimer 45529 blt 0,0,640,480,0, 6975,640,480:waittimer 45535 blt 0,0,640,480,0, 6976,640,480:waittimer 45542 blt 0,0,640,480,0, 6977,640,480:waittimer 45548 blt 0,0,640,480,0, 6978,640,480:waittimer 45555 blt 0,0,640,480,0, 6979,640,480:waittimer 45561 blt 0,0,640,480,0, 6980,640,480:waittimer 45568 blt 0,0,640,480,0, 6981,640,480:waittimer 45574 blt 0,0,640,480,0, 6982,640,480:waittimer 45581 blt 0,0,640,480,0, 6983,640,480:waittimer 45587 blt 0,0,640,480,0, 6984,640,480:waittimer 45594 blt 0,0,640,480,0, 6985,640,480:waittimer 45600 blt 0,0,640,480,0, 6986,640,480:waittimer 45607 blt 0,0,640,480,0, 6987,640,480:waittimer 45614 blt 0,0,640,480,0, 6988,640,480:waittimer 45620 blt 0,0,640,480,0, 6989,640,480:waittimer 45627 blt 0,0,640,480,0, 6990,640,480:waittimer 45633 blt 0,0,640,480,0, 6991,640,480:waittimer 45640 blt 0,0,640,480,0, 6992,640,480:waittimer 45646 blt 0,0,640,480,0, 6993,640,480:waittimer 45653 blt 0,0,640,480,0, 6994,640,480:waittimer 45659 blt 0,0,640,480,0, 6995,640,480:waittimer 45666 blt 0,0,640,480,0, 6996,640,480:waittimer 45672 blt 0,0,640,480,0, 6997,640,480:waittimer 45679 blt 0,0,640,480,0, 6998,640,480:waittimer 45685 blt 0,0,640,480,0, 6999,640,480:waittimer 45692 blt 0,0,640,480,0, 7000,640,480:waittimer 45698 blt 0,0,640,480,0, 7001,640,480:waittimer 45705 blt 0,0,640,480,0, 7002,640,480:waittimer 45711 blt 0,0,640,480,0, 7003,640,480:waittimer 45718 blt 0,0,640,480,0, 7004,640,480:waittimer 45725 blt 0,0,640,480,0, 7005,640,480:waittimer 45731 blt 0,0,640,480,0, 7006,640,480:waittimer 45738 blt 0,0,640,480,0, 7007,640,480:waittimer 45744 blt 0,0,640,480,0, 7008,640,480:waittimer 45751 blt 0,0,640,480,0, 7009,640,480:waittimer 45757 blt 0,0,640,480,0, 7010,640,480:waittimer 45764 blt 0,0,640,480,0, 7011,640,480:waittimer 45770 blt 0,0,640,480,0, 7012,640,480:waittimer 45777 blt 0,0,640,480,0, 7013,640,480:waittimer 45783 blt 0,0,640,480,0, 7014,640,480:waittimer 45790 blt 0,0,640,480,0, 7015,640,480:waittimer 45796 blt 0,0,640,480,0, 7016,640,480:waittimer 45803 blt 0,0,640,480,0, 7017,640,480:waittimer 45809 blt 0,0,640,480,0, 7018,640,480:waittimer 45816 blt 0,0,640,480,0, 7019,640,480:waittimer 45822 blt 0,0,640,480,0, 7020,640,480:waittimer 45829 blt 0,0,640,480,0, 7021,640,480:waittimer 45836 blt 0,0,640,480,0, 7022,640,480:waittimer 45842 blt 0,0,640,480,0, 7023,640,480:waittimer 45849 blt 0,0,640,480,0, 7024,640,480:waittimer 45855 blt 0,0,640,480,0, 7025,640,480:waittimer 45862 blt 0,0,640,480,0, 7026,640,480:waittimer 45868 blt 0,0,640,480,0, 7027,640,480:waittimer 45875 blt 0,0,640,480,0, 7028,640,480:waittimer 45881 blt 0,0,640,480,0, 7029,640,480:waittimer 45888 blt 0,0,640,480,0, 7030,640,480:waittimer 45894 blt 0,0,640,480,0, 7031,640,480:waittimer 45901 blt 0,0,640,480,0, 7032,640,480:waittimer 45907 blt 0,0,640,480,0, 7033,640,480:waittimer 45914 blt 0,0,640,480,0, 7034,640,480:waittimer 45920 blt 0,0,640,480,0, 7035,640,480:waittimer 45927 blt 0,0,640,480,0, 7036,640,480:waittimer 45933 blt 0,0,640,480,0, 7037,640,480:waittimer 45940 blt 0,0,640,480,0, 7038,640,480:waittimer 45947 blt 0,0,640,480,0, 7039,640,480:waittimer 45953 blt 0,0,640,480,0, 7040,640,480:waittimer 45960 blt 0,0,640,480,0, 7041,640,480:waittimer 45966 blt 0,0,640,480,0, 7042,640,480:waittimer 45973 blt 0,0,640,480,0, 7043,640,480:waittimer 45979 blt 0,0,640,480,0, 7044,640,480:waittimer 45986 blt 0,0,640,480,0, 7045,640,480:waittimer 45992 blt 0,0,640,480,0, 7046,640,480:waittimer 45999 blt 0,0,640,480,0, 7047,640,480:waittimer 46005 blt 0,0,640,480,0, 7048,640,480:waittimer 46012 blt 0,0,640,480,0, 7049,640,480:waittimer 46018 blt 0,0,640,480,0, 7050,640,480:waittimer 46025 blt 0,0,640,480,0, 7051,640,480:waittimer 46031 blt 0,0,640,480,0, 7052,640,480:waittimer 46038 blt 0,0,640,480,0, 7053,640,480:waittimer 46044 blt 0,0,640,480,0, 7054,640,480:waittimer 46051 blt 0,0,640,480,0, 7055,640,480:waittimer 46057 blt 0,0,640,480,0, 7056,640,480:waittimer 46064 blt 0,0,640,480,0, 7057,640,480:waittimer 46071 blt 0,0,640,480,0, 7058,640,480:waittimer 46077 blt 0,0,640,480,0, 7059,640,480:waittimer 46084 blt 0,0,640,480,0, 7060,640,480:waittimer 46090 blt 0,0,640,480,0, 7061,640,480:waittimer 46097 blt 0,0,640,480,0, 7062,640,480:waittimer 46103 blt 0,0,640,480,0, 7063,640,480:waittimer 46110 blt 0,0,640,480,0, 7064,640,480:waittimer 46116 blt 0,0,640,480,0, 7065,640,480:waittimer 46123 blt 0,0,640,480,0, 7066,640,480:waittimer 46129 blt 0,0,640,480,0, 7067,640,480:waittimer 46136 blt 0,0,640,480,0, 7068,640,480:waittimer 46142 blt 0,0,640,480,0, 7069,640,480:waittimer 46149 blt 0,0,640,480,0, 7070,640,480:waittimer 46155 blt 0,0,640,480,0, 7071,640,480:waittimer 46162 blt 0,0,640,480,0, 7072,640,480:waittimer 46168 blt 0,0,640,480,0, 7073,640,480:waittimer 46175 blt 0,0,640,480,0, 7074,640,480:waittimer 46182 blt 0,0,640,480,0, 7075,640,480:waittimer 46188 blt 0,0,640,480,0, 7076,640,480:waittimer 46195 blt 0,0,640,480,0, 7077,640,480:waittimer 46201 blt 0,0,640,480,0, 7078,640,480:waittimer 46208 blt 0,0,640,480,0, 7079,640,480:waittimer 46214 blt 0,0,640,480,0, 7080,640,480:waittimer 46221 blt 0,0,640,480,0, 7081,640,480:waittimer 46227 blt 0,0,640,480,0, 7082,640,480:waittimer 46234 blt 0,0,640,480,0, 7083,640,480:waittimer 46240 blt 0,0,640,480,0, 7084,640,480:waittimer 46247 blt 0,0,640,480,0, 7085,640,480:waittimer 46253 blt 0,0,640,480,0, 7086,640,480:waittimer 46260 blt 0,0,640,480,0, 7087,640,480:waittimer 46266 blt 0,0,640,480,0, 7088,640,480:waittimer 46273 blt 0,0,640,480,0, 7089,640,480:waittimer 46279 blt 0,0,640,480,0, 7090,640,480:waittimer 46286 blt 0,0,640,480,0, 7091,640,480:waittimer 46293 blt 0,0,640,480,0, 7092,640,480:waittimer 46299 blt 0,0,640,480,0, 7093,640,480:waittimer 46306 blt 0,0,640,480,0, 7094,640,480:waittimer 46312 blt 0,0,640,480,0, 7095,640,480:waittimer 46319 blt 0,0,640,480,0, 7096,640,480:waittimer 46325 blt 0,0,640,480,0, 7097,640,480:waittimer 46332 blt 0,0,640,480,0, 7098,640,480:waittimer 46338 blt 0,0,640,480,0, 7099,640,480:waittimer 46345 blt 0,0,640,480,0, 7100,640,480:waittimer 46351 blt 0,0,640,480,0, 7101,640,480:waittimer 46358 blt 0,0,640,480,0, 7102,640,480:waittimer 46364 blt 0,0,640,480,0, 7103,640,480:waittimer 46371 blt 0,0,640,480,0, 7104,640,480:waittimer 46377 blt 0,0,640,480,0, 7105,640,480:waittimer 46384 blt 0,0,640,480,0, 7106,640,480:waittimer 46390 blt 0,0,640,480,0, 7107,640,480:waittimer 46397 blt 0,0,640,480,0, 7108,640,480:waittimer 46403 blt 0,0,640,480,0, 7109,640,480:waittimer 46410 blt 0,0,640,480,0, 7110,640,480:waittimer 46417 blt 0,0,640,480,0, 7111,640,480:waittimer 46423 blt 0,0,640,480,0, 7112,640,480:waittimer 46430 blt 0,0,640,480,0, 7113,640,480:waittimer 46436 blt 0,0,640,480,0, 7114,640,480:waittimer 46443 blt 0,0,640,480,0, 7115,640,480:waittimer 46449 blt 0,0,640,480,0, 7116,640,480:waittimer 46456 blt 0,0,640,480,0, 7117,640,480:waittimer 46462 blt 0,0,640,480,0, 7118,640,480:waittimer 46469 blt 0,0,640,480,0, 7119,640,480:waittimer 46475 blt 0,0,640,480,0, 7120,640,480:waittimer 46482 blt 0,0,640,480,0, 7121,640,480:waittimer 46488 blt 0,0,640,480,0, 7122,640,480:waittimer 46495 blt 0,0,640,480,0, 7123,640,480:waittimer 46501 blt 0,0,640,480,0, 7124,640,480:waittimer 46508 blt 0,0,640,480,0, 7125,640,480:waittimer 46514 blt 0,0,640,480,0, 7126,640,480:waittimer 46521 blt 0,0,640,480,0, 7127,640,480:waittimer 46528 blt 0,0,640,480,0, 7128,640,480:waittimer 46534 blt 0,0,640,480,0, 7129,640,480:waittimer 46541 blt 0,0,640,480,0, 7130,640,480:waittimer 46547 blt 0,0,640,480,0, 7131,640,480:waittimer 46554 blt 0,0,640,480,0, 7132,640,480:waittimer 46560 blt 0,0,640,480,0, 7133,640,480:waittimer 46567 blt 0,0,640,480,0, 7134,640,480:waittimer 46573 blt 0,0,640,480,0, 7135,640,480:waittimer 46580 blt 0,0,640,480,0, 7136,640,480:waittimer 46586 blt 0,0,640,480,0, 7137,640,480:waittimer 46593 blt 0,0,640,480,0, 7138,640,480:waittimer 46599 blt 0,0,640,480,0, 7139,640,480:waittimer 46606 blt 0,0,640,480,0, 7140,640,480:waittimer 46612 blt 0,0,640,480,0, 7141,640,480:waittimer 46619 blt 0,0,640,480,0, 7142,640,480:waittimer 46625 blt 0,0,640,480,0, 7143,640,480:waittimer 46632 blt 0,0,640,480,0, 7144,640,480:waittimer 46639 blt 0,0,640,480,0, 7145,640,480:waittimer 46645 blt 0,0,640,480,0, 7146,640,480:waittimer 46652 blt 0,0,640,480,0, 7147,640,480:waittimer 46658 blt 0,0,640,480,0, 7148,640,480:waittimer 46665 blt 0,0,640,480,0, 7149,640,480:waittimer 46671 blt 0,0,640,480,0, 7150,640,480:waittimer 46678 blt 0,0,640,480,0, 7151,640,480:waittimer 46684 blt 0,0,640,480,0, 7152,640,480:waittimer 46691 blt 0,0,640,480,0, 7153,640,480:waittimer 46697 blt 0,0,640,480,0, 7154,640,480:waittimer 46704 blt 0,0,640,480,0, 7155,640,480:waittimer 46710 blt 0,0,640,480,0, 7156,640,480:waittimer 46717 blt 0,0,640,480,0, 7157,640,480:waittimer 46723 blt 0,0,640,480,0, 7158,640,480:waittimer 46730 blt 0,0,640,480,0, 7159,640,480:waittimer 46736 blt 0,0,640,480,0, 7160,640,480:waittimer 46743 blt 0,0,640,480,0, 7161,640,480:waittimer 46749 blt 0,0,640,480,0, 7162,640,480:waittimer 46756 blt 0,0,640,480,0, 7163,640,480:waittimer 46763 blt 0,0,640,480,0, 7164,640,480:waittimer 46769 blt 0,0,640,480,0, 7165,640,480:waittimer 46776 blt 0,0,640,480,0, 7166,640,480:waittimer 46782 blt 0,0,640,480,0, 7167,640,480:waittimer 46789 blt 0,0,640,480,0, 7168,640,480:waittimer 46795 blt 0,0,640,480,0, 7169,640,480:waittimer 46802 blt 0,0,640,480,0, 7170,640,480:waittimer 46808 blt 0,0,640,480,0, 7171,640,480:waittimer 46815 blt 0,0,640,480,0, 7172,640,480:waittimer 46821 blt 0,0,640,480,0, 7173,640,480:waittimer 46828 blt 0,0,640,480,0, 7174,640,480:waittimer 46834 blt 0,0,640,480,0, 7175,640,480:waittimer 46841 blt 0,0,640,480,0, 7176,640,480:waittimer 46847 blt 0,0,640,480,0, 7177,640,480:waittimer 46854 blt 0,0,640,480,0, 7178,640,480:waittimer 46860 blt 0,0,640,480,0, 7179,640,480:waittimer 46867 blt 0,0,640,480,0, 7180,640,480:waittimer 46874 blt 0,0,640,480,0, 7181,640,480:waittimer 46880 blt 0,0,640,480,0, 7182,640,480:waittimer 46887 blt 0,0,640,480,0, 7183,640,480:waittimer 46893 blt 0,0,640,480,0, 7184,640,480:waittimer 46900 blt 0,0,640,480,0, 7185,640,480:waittimer 46906 blt 0,0,640,480,0, 7186,640,480:waittimer 46913 blt 0,0,640,480,0, 7187,640,480:waittimer 46919 blt 0,0,640,480,0, 7188,640,480:waittimer 46926 blt 0,0,640,480,0, 7189,640,480:waittimer 46932 blt 0,0,640,480,0, 7190,640,480:waittimer 46939 blt 0,0,640,480,0, 7191,640,480:waittimer 46945 blt 0,0,640,480,0, 7192,640,480:waittimer 46952 blt 0,0,640,480,0, 7193,640,480:waittimer 46958 blt 0,0,640,480,0, 7194,640,480:waittimer 46965 blt 0,0,640,480,0, 7195,640,480:waittimer 46971 blt 0,0,640,480,0, 7196,640,480:waittimer 46978 blt 0,0,640,480,0, 7197,640,480:waittimer 46985 blt 0,0,640,480,0, 7198,640,480:waittimer 46991 blt 0,0,640,480,0, 7199,640,480:waittimer 46998 blt 0,0,640,480,0, 7200,640,480:waittimer 47004 blt 0,0,640,480,0, 7201,640,480:waittimer 47011 blt 0,0,640,480,0, 7202,640,480:waittimer 47017 blt 0,0,640,480,0, 7203,640,480:waittimer 47024 blt 0,0,640,480,0, 7204,640,480:waittimer 47030 blt 0,0,640,480,0, 7205,640,480:waittimer 47037 blt 0,0,640,480,0, 7206,640,480:waittimer 47043 blt 0,0,640,480,0, 7207,640,480:waittimer 47050 blt 0,0,640,480,0, 7208,640,480:waittimer 47056 blt 0,0,640,480,0, 7209,640,480:waittimer 47063 blt 0,0,640,480,0, 7210,640,480:waittimer 47069 blt 0,0,640,480,0, 7211,640,480:waittimer 47076 blt 0,0,640,480,0, 7212,640,480:waittimer 47082 blt 0,0,640,480,0, 7213,640,480:waittimer 47089 blt 0,0,640,480,0, 7214,640,480:waittimer 47096 blt 0,0,640,480,0, 7215,640,480:waittimer 47102 blt 0,0,640,480,0, 7216,640,480:waittimer 47109 blt 0,0,640,480,0, 7217,640,480:waittimer 47115 blt 0,0,640,480,0, 7218,640,480:waittimer 47122 blt 0,0,640,480,0, 7219,640,480:waittimer 47128 blt 0,0,640,480,0, 7220,640,480:waittimer 47135 blt 0,0,640,480,0, 7221,640,480:waittimer 47141 blt 0,0,640,480,0, 7222,640,480:waittimer 47148 blt 0,0,640,480,0, 7223,640,480:waittimer 47154 blt 0,0,640,480,0, 7224,640,480:waittimer 47161 blt 0,0,640,480,0, 7225,640,480:waittimer 47167 blt 0,0,640,480,0, 7226,640,480:waittimer 47174 blt 0,0,640,480,0, 7227,640,480:waittimer 47180 blt 0,0,640,480,0, 7228,640,480:waittimer 47187 blt 0,0,640,480,0, 7229,640,480:waittimer 47193 blt 0,0,640,480,0, 7230,640,480:waittimer 47200 blt 0,0,640,480,0, 7231,640,480:waittimer 47206 blt 0,0,640,480,0, 7232,640,480:waittimer 47213 blt 0,0,640,480,0, 7233,640,480:waittimer 47220 blt 0,0,640,480,0, 7234,640,480:waittimer 47226 blt 0,0,640,480,0, 7235,640,480:waittimer 47233 blt 0,0,640,480,0, 7236,640,480:waittimer 47239 blt 0,0,640,480,0, 7237,640,480:waittimer 47246 blt 0,0,640,480,0, 7238,640,480:waittimer 47252 blt 0,0,640,480,0, 7239,640,480:waittimer 47259 blt 0,0,640,480,0, 7240,640,480:waittimer 47265 blt 0,0,640,480,0, 7241,640,480:waittimer 47272 blt 0,0,640,480,0, 7242,640,480:waittimer 47278 blt 0,0,640,480,0, 7243,640,480:waittimer 47285 blt 0,0,640,480,0, 7244,640,480:waittimer 47291 blt 0,0,640,480,0, 7245,640,480:waittimer 47298 blt 0,0,640,480,0, 7246,640,480:waittimer 47304 blt 0,0,640,480,0, 7247,640,480:waittimer 47311 blt 0,0,640,480,0, 7248,640,480:waittimer 47317 blt 0,0,640,480,0, 7249,640,480:waittimer 47324 blt 0,0,640,480,0, 7250,640,480:waittimer 47331 blt 0,0,640,480,0, 7251,640,480:waittimer 47337 blt 0,0,640,480,0, 7252,640,480:waittimer 47344 blt 0,0,640,480,0, 7253,640,480:waittimer 47350 blt 0,0,640,480,0, 7254,640,480:waittimer 47357 blt 0,0,640,480,0, 7255,640,480:waittimer 47363 blt 0,0,640,480,0, 7256,640,480:waittimer 47370 blt 0,0,640,480,0, 7257,640,480:waittimer 47376 blt 0,0,640,480,0, 7258,640,480:waittimer 47383 blt 0,0,640,480,0, 7259,640,480:waittimer 47389 blt 0,0,640,480,0, 7260,640,480:waittimer 47396 blt 0,0,640,480,0, 7261,640,480:waittimer 47402 blt 0,0,640,480,0, 7262,640,480:waittimer 47409 blt 0,0,640,480,0, 7263,640,480:waittimer 47415 blt 0,0,640,480,0, 7264,640,480:waittimer 47422 blt 0,0,640,480,0, 7265,640,480:waittimer 47428 blt 0,0,640,480,0, 7266,640,480:waittimer 47435 blt 0,0,640,480,0, 7267,640,480:waittimer 47442 blt 0,0,640,480,0, 7268,640,480:waittimer 47448 blt 0,0,640,480,0, 7269,640,480:waittimer 47455 blt 0,0,640,480,0, 7270,640,480:waittimer 47461 blt 0,0,640,480,0, 7271,640,480:waittimer 47468 blt 0,0,640,480,0, 7272,640,480:waittimer 47474 blt 0,0,640,480,0, 7273,640,480:waittimer 47481 blt 0,0,640,480,0, 7274,640,480:waittimer 47487 blt 0,0,640,480,0, 7275,640,480:waittimer 47494 blt 0,0,640,480,0, 7276,640,480:waittimer 47500 blt 0,0,640,480,0, 7277,640,480:waittimer 47507 blt 0,0,640,480,0, 7278,640,480:waittimer 47513 blt 0,0,640,480,0, 7279,640,480:waittimer 47520 blt 0,0,640,480,0, 7280,640,480:waittimer 47526 blt 0,0,640,480,0, 7281,640,480:waittimer 47533 blt 0,0,640,480,0, 7282,640,480:waittimer 47539 blt 0,0,640,480,0, 7283,640,480:waittimer 47546 blt 0,0,640,480,0, 7284,640,480:waittimer 47552 blt 0,0,640,480,0, 7285,640,480:waittimer 47559 blt 0,0,640,480,0, 7286,640,480:waittimer 47566 blt 0,0,640,480,0, 7287,640,480:waittimer 47572 blt 0,0,640,480,0, 7288,640,480:waittimer 47579 blt 0,0,640,480,0, 7289,640,480:waittimer 47585 blt 0,0,640,480,0, 7290,640,480:waittimer 47592 blt 0,0,640,480,0, 7291,640,480:waittimer 47598 blt 0,0,640,480,0, 7292,640,480:waittimer 47605 blt 0,0,640,480,0, 7293,640,480:waittimer 47611 blt 0,0,640,480,0, 7294,640,480:waittimer 47618 blt 0,0,640,480,0, 7295,640,480:waittimer 47624 blt 0,0,640,480,0, 7296,640,480:waittimer 47631 blt 0,0,640,480,0, 7297,640,480:waittimer 47637 blt 0,0,640,480,0, 7298,640,480:waittimer 47644 blt 0,0,640,480,0, 7299,640,480:waittimer 47650 blt 0,0,640,480,0, 7300,640,480:waittimer 47657 blt 0,0,640,480,0, 7301,640,480:waittimer 47663 blt 0,0,640,480,0, 7302,640,480:waittimer 47670 blt 0,0,640,480,0, 7303,640,480:waittimer 47677 blt 0,0,640,480,0, 7304,640,480:waittimer 47683 blt 0,0,640,480,0, 7305,640,480:waittimer 47690 blt 0,0,640,480,0, 7306,640,480:waittimer 47696 blt 0,0,640,480,0, 7307,640,480:waittimer 47703 blt 0,0,640,480,0, 7308,640,480:waittimer 47709 blt 0,0,640,480,0, 7309,640,480:waittimer 47716 blt 0,0,640,480,0, 7310,640,480:waittimer 47722 blt 0,0,640,480,0, 7311,640,480:waittimer 47729 blt 0,0,640,480,0, 7312,640,480:waittimer 47735 blt 0,0,640,480,0, 7313,640,480:waittimer 47742 blt 0,0,640,480,0, 7314,640,480:waittimer 47748 blt 0,0,640,480,0, 7315,640,480:waittimer 47755 blt 0,0,640,480,0, 7316,640,480:waittimer 47761 blt 0,0,640,480,0, 7317,640,480:waittimer 47768 blt 0,0,640,480,0, 7318,640,480:waittimer 47774 blt 0,0,640,480,0, 7319,640,480:waittimer 47781 blt 0,0,640,480,0, 7320,640,480:waittimer 47788 blt 0,0,640,480,0, 7321,640,480:waittimer 47794 blt 0,0,640,480,0, 7322,640,480:waittimer 47801 blt 0,0,640,480,0, 7323,640,480:waittimer 47807 blt 0,0,640,480,0, 7324,640,480:waittimer 47814 blt 0,0,640,480,0, 7325,640,480:waittimer 47820 blt 0,0,640,480,0, 7326,640,480:waittimer 47827 blt 0,0,640,480,0, 7327,640,480:waittimer 47833 blt 0,0,640,480,0, 7328,640,480:waittimer 47840 blt 0,0,640,480,0, 7329,640,480:waittimer 47846 blt 0,0,640,480,0, 7330,640,480:waittimer 47853 blt 0,0,640,480,0, 7331,640,480:waittimer 47859 blt 0,0,640,480,0, 7332,640,480:waittimer 47866 blt 0,0,640,480,0, 7333,640,480:waittimer 47872 blt 0,0,640,480,0, 7334,640,480:waittimer 47879 blt 0,0,640,480,0, 7335,640,480:waittimer 47885 blt 0,0,640,480,0, 7336,640,480:waittimer 47892 blt 0,0,640,480,0, 7337,640,480:waittimer 47898 blt 0,0,640,480,0, 7338,640,480:waittimer 47905 blt 0,0,640,480,0, 7339,640,480:waittimer 47912 blt 0,0,640,480,0, 7340,640,480:waittimer 47918 blt 0,0,640,480,0, 7341,640,480:waittimer 47925 blt 0,0,640,480,0, 7342,640,480:waittimer 47931 blt 0,0,640,480,0, 7343,640,480:waittimer 47938 blt 0,0,640,480,0, 7344,640,480:waittimer 47944 blt 0,0,640,480,0, 7345,640,480:waittimer 47951 blt 0,0,640,480,0, 7346,640,480:waittimer 47957 blt 0,0,640,480,0, 7347,640,480:waittimer 47964 blt 0,0,640,480,0, 7348,640,480:waittimer 47970 blt 0,0,640,480,0, 7349,640,480:waittimer 47977 blt 0,0,640,480,0, 7350,640,480:waittimer 47983 blt 0,0,640,480,0, 7351,640,480:waittimer 47990 blt 0,0,640,480,0, 7352,640,480:waittimer 47996 blt 0,0,640,480,0, 7353,640,480:waittimer 48003 blt 0,0,640,480,0, 7354,640,480:waittimer 48009 blt 0,0,640,480,0, 7355,640,480:waittimer 48016 blt 0,0,640,480,0, 7356,640,480:waittimer 48023 blt 0,0,640,480,0, 7357,640,480:waittimer 48029 blt 0,0,640,480,0, 7358,640,480:waittimer 48036 blt 0,0,640,480,0, 7359,640,480:waittimer 48042 blt 0,0,640,480,0, 7360,640,480:waittimer 48049 blt 0,0,640,480,0, 7361,640,480:waittimer 48055 blt 0,0,640,480,0, 7362,640,480:waittimer 48062 blt 0,0,640,480,0, 7363,640,480:waittimer 48068 blt 0,0,640,480,0, 7364,640,480:waittimer 48075 blt 0,0,640,480,0, 7365,640,480:waittimer 48081 blt 0,0,640,480,0, 7366,640,480:waittimer 48088 blt 0,0,640,480,0, 7367,640,480:waittimer 48094 blt 0,0,640,480,0, 7368,640,480:waittimer 48101 blt 0,0,640,480,0, 7369,640,480:waittimer 48107 blt 0,0,640,480,0, 7370,640,480:waittimer 48114 blt 0,0,640,480,0, 7371,640,480:waittimer 48120 blt 0,0,640,480,0, 7372,640,480:waittimer 48127 blt 0,0,640,480,0, 7373,640,480:waittimer 48134 blt 0,0,640,480,0, 7374,640,480:waittimer 48140 blt 0,0,640,480,0, 7375,640,480:waittimer 48147 blt 0,0,640,480,0, 7376,640,480:waittimer 48153 blt 0,0,640,480,0, 7377,640,480:waittimer 48160 blt 0,0,640,480,0, 7378,640,480:waittimer 48166 blt 0,0,640,480,0, 7379,640,480:waittimer 48173 blt 0,0,640,480,0, 7380,640,480:waittimer 48179 blt 0,0,640,480,0, 7381,640,480:waittimer 48186 blt 0,0,640,480,0, 7382,640,480:waittimer 48192 blt 0,0,640,480,0, 7383,640,480:waittimer 48199 blt 0,0,640,480,0, 7384,640,480:waittimer 48205 blt 0,0,640,480,0, 7385,640,480:waittimer 48212 blt 0,0,640,480,0, 7386,640,480:waittimer 48218 blt 0,0,640,480,0, 7387,640,480:waittimer 48225 blt 0,0,640,480,0, 7388,640,480:waittimer 48231 blt 0,0,640,480,0, 7389,640,480:waittimer 48238 blt 0,0,640,480,0, 7390,640,480:waittimer 48245 blt 0,0,640,480,0, 7391,640,480:waittimer 48251 blt 0,0,640,480,0, 7392,640,480:waittimer 48258 blt 0,0,640,480,0, 7393,640,480:waittimer 48264 blt 0,0,640,480,0, 7394,640,480:waittimer 48271 blt 0,0,640,480,0, 7395,640,480:waittimer 48277 blt 0,0,640,480,0, 7396,640,480:waittimer 48284 blt 0,0,640,480,0, 7397,640,480:waittimer 48290 blt 0,0,640,480,0, 7398,640,480:waittimer 48297 blt 0,0,640,480,0, 7399,640,480:waittimer 48303 blt 0,0,640,480,0, 7400,640,480:waittimer 48310 blt 0,0,640,480,0, 7401,640,480:waittimer 48316 blt 0,0,640,480,0, 7402,640,480:waittimer 48323 blt 0,0,640,480,0, 7403,640,480:waittimer 48329 blt 0,0,640,480,0, 7404,640,480:waittimer 48336 blt 0,0,640,480,0, 7405,640,480:waittimer 48342 blt 0,0,640,480,0, 7406,640,480:waittimer 48349 blt 0,0,640,480,0, 7407,640,480:waittimer 48355 blt 0,0,640,480,0, 7408,640,480:waittimer 48362 blt 0,0,640,480,0, 7409,640,480:waittimer 48369 blt 0,0,640,480,0, 7410,640,480:waittimer 48375 blt 0,0,640,480,0, 7411,640,480:waittimer 48382 blt 0,0,640,480,0, 7412,640,480:waittimer 48388 blt 0,0,640,480,0, 7413,640,480:waittimer 48395 blt 0,0,640,480,0, 7414,640,480:waittimer 48401 blt 0,0,640,480,0, 7415,640,480:waittimer 48408 blt 0,0,640,480,0, 7416,640,480:waittimer 48414 blt 0,0,640,480,0, 7417,640,480:waittimer 48421 blt 0,0,640,480,0, 7418,640,480:waittimer 48427 blt 0,0,640,480,0, 7419,640,480:waittimer 48434 blt 0,0,640,480,0, 7420,640,480:waittimer 48440 blt 0,0,640,480,0, 7421,640,480:waittimer 48447 blt 0,0,640,480,0, 7422,640,480:waittimer 48453 blt 0,0,640,480,0, 7423,640,480:waittimer 48460 blt 0,0,640,480,0, 7424,640,480:waittimer 48466 blt 0,0,640,480,0, 7425,640,480:waittimer 48473 blt 0,0,640,480,0, 7426,640,480:waittimer 48480 blt 0,0,640,480,0, 7427,640,480:waittimer 48486 blt 0,0,640,480,0, 7428,640,480:waittimer 48493 blt 0,0,640,480,0, 7429,640,480:waittimer 48499 blt 0,0,640,480,0, 7430,640,480:waittimer 48506 blt 0,0,640,480,0, 7431,640,480:waittimer 48512 blt 0,0,640,480,0, 7432,640,480:waittimer 48519 blt 0,0,640,480,0, 7433,640,480:waittimer 48525 blt 0,0,640,480,0, 7434,640,480:waittimer 48532 blt 0,0,640,480,0, 7435,640,480:waittimer 48538 blt 0,0,640,480,0, 7436,640,480:waittimer 48545 blt 0,0,640,480,0, 7437,640,480:waittimer 48551 blt 0,0,640,480,0, 7438,640,480:waittimer 48558 blt 0,0,640,480,0, 7439,640,480:waittimer 48564 blt 0,0,640,480,0, 7440,640,480:waittimer 48571 blt 0,0,640,480,0, 7441,640,480:waittimer 48577 blt 0,0,640,480,0, 7442,640,480:waittimer 48584 blt 0,0,640,480,0, 7443,640,480:waittimer 48591 blt 0,0,640,480,0, 7444,640,480:waittimer 48597 blt 0,0,640,480,0, 7445,640,480:waittimer 48604 blt 0,0,640,480,0, 7446,640,480:waittimer 48610 blt 0,0,640,480,0, 7447,640,480:waittimer 48617 blt 0,0,640,480,0, 7448,640,480:waittimer 48623 blt 0,0,640,480,0, 7449,640,480:waittimer 48630 blt 0,0,640,480,0, 7450,640,480:waittimer 48636 blt 0,0,640,480,0, 7451,640,480:waittimer 48643 blt 0,0,640,480,0, 7452,640,480:waittimer 48649 blt 0,0,640,480,0, 7453,640,480:waittimer 48656 blt 0,0,640,480,0, 7454,640,480:waittimer 48662 blt 0,0,640,480,0, 7455,640,480:waittimer 48669 blt 0,0,640,480,0, 7456,640,480:waittimer 48675 blt 0,0,640,480,0, 7457,640,480:waittimer 48682 blt 0,0,640,480,0, 7458,640,480:waittimer 48688 blt 0,0,640,480,0, 7459,640,480:waittimer 48695 blt 0,0,640,480,0, 7460,640,480:waittimer 48701 blt 0,0,640,480,0, 7461,640,480:waittimer 48708 blt 0,0,640,480,0, 7462,640,480:waittimer 48715 blt 0,0,640,480,0, 7463,640,480:waittimer 48721 blt 0,0,640,480,0, 7464,640,480:waittimer 48728 blt 0,0,640,480,0, 7465,640,480:waittimer 48734 blt 0,0,640,480,0, 7466,640,480:waittimer 48741 blt 0,0,640,480,0, 7467,640,480:waittimer 48747 blt 0,0,640,480,0, 7468,640,480:waittimer 48754 blt 0,0,640,480,0, 7469,640,480:waittimer 48760 blt 0,0,640,480,0, 7470,640,480:waittimer 48767 blt 0,0,640,480,0, 7471,640,480:waittimer 48773 blt 0,0,640,480,0, 7472,640,480:waittimer 48780 blt 0,0,640,480,0, 7473,640,480:waittimer 48786 blt 0,0,640,480,0, 7474,640,480:waittimer 48793 blt 0,0,640,480,0, 7475,640,480:waittimer 48799 blt 0,0,640,480,0, 7476,640,480:waittimer 48806 blt 0,0,640,480,0, 7477,640,480:waittimer 48812 blt 0,0,640,480,0, 7478,640,480:waittimer 48819 blt 0,0,640,480,0, 7479,640,480:waittimer 48826 blt 0,0,640,480,0, 7480,640,480:waittimer 48832 blt 0,0,640,480,0, 7481,640,480:waittimer 48839 blt 0,0,640,480,0, 7482,640,480:waittimer 48845 blt 0,0,640,480,0, 7483,640,480:waittimer 48852 blt 0,0,640,480,0, 7484,640,480:waittimer 48858 blt 0,0,640,480,0, 7485,640,480:waittimer 48865 blt 0,0,640,480,0, 7486,640,480:waittimer 48871 blt 0,0,640,480,0, 7487,640,480:waittimer 48878 blt 0,0,640,480,0, 7488,640,480:waittimer 48884 blt 0,0,640,480,0, 7489,640,480:waittimer 48891 blt 0,0,640,480,0, 7490,640,480:waittimer 48897 blt 0,0,640,480,0, 7491,640,480:waittimer 48904 blt 0,0,640,480,0, 7492,640,480:waittimer 48910 blt 0,0,640,480,0, 7493,640,480:waittimer 48917 blt 0,0,640,480,0, 7494,640,480:waittimer 48923 blt 0,0,640,480,0, 7495,640,480:waittimer 48930 blt 0,0,640,480,0, 7496,640,480:waittimer 48937 blt 0,0,640,480,0, 7497,640,480:waittimer 48943 blt 0,0,640,480,0, 7498,640,480:waittimer 48950 blt 0,0,640,480,0, 7499,640,480:waittimer 48956 blt 0,0,640,480,0, 7500,640,480:waittimer 48963 blt 0,0,640,480,0, 7501,640,480:waittimer 48969 blt 0,0,640,480,0, 7502,640,480:waittimer 48976 blt 0,0,640,480,0, 7503,640,480:waittimer 48982 blt 0,0,640,480,0, 7504,640,480:waittimer 48989 blt 0,0,640,480,0, 7505,640,480:waittimer 48995 blt 0,0,640,480,0, 7506,640,480:waittimer 49002 blt 0,0,640,480,0, 7507,640,480:waittimer 49008 blt 0,0,640,480,0, 7508,640,480:waittimer 49015 blt 0,0,640,480,0, 7509,640,480:waittimer 49021 blt 0,0,640,480,0, 7510,640,480:waittimer 49028 blt 0,0,640,480,0, 7511,640,480:waittimer 49034 blt 0,0,640,480,0, 7512,640,480:waittimer 49041 blt 0,0,640,480,0, 7513,640,480:waittimer 49048 blt 0,0,640,480,0, 7514,640,480:waittimer 49054 blt 0,0,640,480,0, 7515,640,480:waittimer 49061 blt 0,0,640,480,0, 7516,640,480:waittimer 49067 blt 0,0,640,480,0, 7517,640,480:waittimer 49074 blt 0,0,640,480,0, 7518,640,480:waittimer 49080 blt 0,0,640,480,0, 7519,640,480:waittimer 49087 blt 0,0,640,480,0, 7520,640,480:waittimer 49093 blt 0,0,640,480,0, 7521,640,480:waittimer 49100 blt 0,0,640,480,0, 7522,640,480:waittimer 49106 blt 0,0,640,480,0, 7523,640,480:waittimer 49113 blt 0,0,640,480,0, 7524,640,480:waittimer 49119 blt 0,0,640,480,0, 7525,640,480:waittimer 49126 blt 0,0,640,480,0, 7526,640,480:waittimer 49132 blt 0,0,640,480,0, 7527,640,480:waittimer 49139 blt 0,0,640,480,0, 7528,640,480:waittimer 49145 blt 0,0,640,480,0, 7529,640,480:waittimer 49152 blt 0,0,640,480,0, 7530,640,480:waittimer 49158 blt 0,0,640,480,0, 7531,640,480:waittimer 49165 blt 0,0,640,480,0, 7532,640,480:waittimer 49172 blt 0,0,640,480,0, 7533,640,480:waittimer 49178 blt 0,0,640,480,0, 7534,640,480:waittimer 49185 blt 0,0,640,480,0, 7535,640,480:waittimer 49191 blt 0,0,640,480,0, 7536,640,480:waittimer 49198 blt 0,0,640,480,0, 7537,640,480:waittimer 49204 blt 0,0,640,480,0, 7538,640,480:waittimer 49211 blt 0,0,640,480,0, 7539,640,480:waittimer 49217 blt 0,0,640,480,0, 7540,640,480:waittimer 49224 blt 0,0,640,480,0, 7541,640,480:waittimer 49230 blt 0,0,640,480,0, 7542,640,480:waittimer 49237 blt 0,0,640,480,0, 7543,640,480:waittimer 49243 blt 0,0,640,480,0, 7544,640,480:waittimer 49250 blt 0,0,640,480,0, 7545,640,480:waittimer 49256 blt 0,0,640,480,0, 7546,640,480:waittimer 49263 blt 0,0,640,480,0, 7547,640,480:waittimer 49269 blt 0,0,640,480,0, 7548,640,480:waittimer 49276 blt 0,0,640,480,0, 7549,640,480:waittimer 49283 blt 0,0,640,480,0, 7550,640,480:waittimer 49289 blt 0,0,640,480,0, 7551,640,480:waittimer 49296 blt 0,0,640,480,0, 7552,640,480:waittimer 49302 blt 0,0,640,480,0, 7553,640,480:waittimer 49309 blt 0,0,640,480,0, 7554,640,480:waittimer 49315 blt 0,0,640,480,0, 7555,640,480:waittimer 49322 blt 0,0,640,480,0, 7556,640,480:waittimer 49328 blt 0,0,640,480,0, 7557,640,480:waittimer 49335 blt 0,0,640,480,0, 7558,640,480:waittimer 49341 blt 0,0,640,480,0, 7559,640,480:waittimer 49348 blt 0,0,640,480,0, 7560,640,480:waittimer 49354 blt 0,0,640,480,0, 7561,640,480:waittimer 49361 blt 0,0,640,480,0, 7562,640,480:waittimer 49367 blt 0,0,640,480,0, 7563,640,480:waittimer 49374 blt 0,0,640,480,0, 7564,640,480:waittimer 49380 blt 0,0,640,480,0, 7565,640,480:waittimer 49387 blt 0,0,640,480,0, 7566,640,480:waittimer 49394 blt 0,0,640,480,0, 7567,640,480:waittimer 49400 blt 0,0,640,480,0, 7568,640,480:waittimer 49407 blt 0,0,640,480,0, 7569,640,480:waittimer 49413 blt 0,0,640,480,0, 7570,640,480:waittimer 49420 blt 0,0,640,480,0, 7571,640,480:waittimer 49426 blt 0,0,640,480,0, 7572,640,480:waittimer 49433 blt 0,0,640,480,0, 7573,640,480:waittimer 49439 blt 0,0,640,480,0, 7574,640,480:waittimer 49446 blt 0,0,640,480,0, 7575,640,480:waittimer 49452 blt 0,0,640,480,0, 7576,640,480:waittimer 49459 blt 0,0,640,480,0, 7577,640,480:waittimer 49465 blt 0,0,640,480,0, 7578,640,480:waittimer 49472 blt 0,0,640,480,0, 7579,640,480:waittimer 49478 blt 0,0,640,480,0, 7580,640,480:waittimer 49485 blt 0,0,640,480,0, 7581,640,480:waittimer 49491 blt 0,0,640,480,0, 7582,640,480:waittimer 49498 blt 0,0,640,480,0, 7583,640,480:waittimer 49504 blt 0,0,640,480,0, 7584,640,480:waittimer 49511 blt 0,0,640,480,0, 7585,640,480:waittimer 49518 blt 0,0,640,480,0, 7586,640,480:waittimer 49524 blt 0,0,640,480,0, 7587,640,480:waittimer 49531 blt 0,0,640,480,0, 7588,640,480:waittimer 49537 blt 0,0,640,480,0, 7589,640,480:waittimer 49544 blt 0,0,640,480,0, 7590,640,480:waittimer 49550 blt 0,0,640,480,0, 7591,640,480:waittimer 49557 blt 0,0,640,480,0, 7592,640,480:waittimer 49563 blt 0,0,640,480,0, 7593,640,480:waittimer 49570 blt 0,0,640,480,0, 7594,640,480:waittimer 49576 blt 0,0,640,480,0, 7595,640,480:waittimer 49583 blt 0,0,640,480,0, 7596,640,480:waittimer 49589 blt 0,0,640,480,0, 7597,640,480:waittimer 49596 blt 0,0,640,480,0, 7598,640,480:waittimer 49602 blt 0,0,640,480,0, 7599,640,480:waittimer 49609 blt 0,0,640,480,0, 7600,640,480:waittimer 49615 blt 0,0,640,480,0, 7601,640,480:waittimer 49622 blt 0,0,640,480,0, 7602,640,480:waittimer 49629 blt 0,0,640,480,0, 7603,640,480:waittimer 49635 blt 0,0,640,480,0, 7604,640,480:waittimer 49642 blt 0,0,640,480,0, 7605,640,480:waittimer 49648 blt 0,0,640,480,0, 7606,640,480:waittimer 49655 blt 0,0,640,480,0, 7607,640,480:waittimer 49661 blt 0,0,640,480,0, 7608,640,480:waittimer 49668 blt 0,0,640,480,0, 7609,640,480:waittimer 49674 blt 0,0,640,480,0, 7610,640,480:waittimer 49681 blt 0,0,640,480,0, 7611,640,480:waittimer 49687 blt 0,0,640,480,0, 7612,640,480:waittimer 49694 blt 0,0,640,480,0, 7613,640,480:waittimer 49700 blt 0,0,640,480,0, 7614,640,480:waittimer 49707 blt 0,0,640,480,0, 7615,640,480:waittimer 49713 blt 0,0,640,480,0, 7616,640,480:waittimer 49720 blt 0,0,640,480,0, 7617,640,480:waittimer 49726 blt 0,0,640,480,0, 7618,640,480:waittimer 49733 blt 0,0,640,480,0, 7619,640,480:waittimer 49740 blt 0,0,640,480,0, 7620,640,480:waittimer 49746 blt 0,0,640,480,0, 7621,640,480:waittimer 49753 blt 0,0,640,480,0, 7622,640,480:waittimer 49759 blt 0,0,640,480,0, 7623,640,480:waittimer 49766 blt 0,0,640,480,0, 7624,640,480:waittimer 49772 blt 0,0,640,480,0, 7625,640,480:waittimer 49779 blt 0,0,640,480,0, 7626,640,480:waittimer 49785 blt 0,0,640,480,0, 7627,640,480:waittimer 49792 blt 0,0,640,480,0, 7628,640,480:waittimer 49798 blt 0,0,640,480,0, 7629,640,480:waittimer 49805 blt 0,0,640,480,0, 7630,640,480:waittimer 49811 blt 0,0,640,480,0, 7631,640,480:waittimer 49818 blt 0,0,640,480,0, 7632,640,480:waittimer 49824 blt 0,0,640,480,0, 7633,640,480:waittimer 49831 blt 0,0,640,480,0, 7634,640,480:waittimer 49837 blt 0,0,640,480,0, 7635,640,480:waittimer 49844 blt 0,0,640,480,0, 7636,640,480:waittimer 49850 blt 0,0,640,480,0, 7637,640,480:waittimer 49857 blt 0,0,640,480,0, 7638,640,480:waittimer 49864 blt 0,0,640,480,0, 7639,640,480:waittimer 49870 blt 0,0,640,480,0, 7640,640,480:waittimer 49877 blt 0,0,640,480,0, 7641,640,480:waittimer 49883 blt 0,0,640,480,0, 7642,640,480:waittimer 49890 blt 0,0,640,480,0, 7643,640,480:waittimer 49896 blt 0,0,640,480,0, 7644,640,480:waittimer 49903 blt 0,0,640,480,0, 7645,640,480:waittimer 49909 blt 0,0,640,480,0, 7646,640,480:waittimer 49916 blt 0,0,640,480,0, 7647,640,480:waittimer 49922 blt 0,0,640,480,0, 7648,640,480:waittimer 49929 blt 0,0,640,480,0, 7649,640,480:waittimer 49935 blt 0,0,640,480,0, 7650,640,480:waittimer 49942 blt 0,0,640,480,0, 7651,640,480:waittimer 49948 blt 0,0,640,480,0, 7652,640,480:waittimer 49955 blt 0,0,640,480,0, 7653,640,480:waittimer 49961 blt 0,0,640,480,0, 7654,640,480:waittimer 49968 blt 0,0,640,480,0, 7655,640,480:waittimer 49975 blt 0,0,640,480,0, 7656,640,480:waittimer 49981 blt 0,0,640,480,0, 7657,640,480:waittimer 49988 blt 0,0,640,480,0, 7658,640,480:waittimer 49994 blt 0,0,640,480,0, 7659,640,480:waittimer 50001 blt 0,0,640,480,0, 7660,640,480:waittimer 50007 blt 0,0,640,480,0, 7661,640,480:waittimer 50014 blt 0,0,640,480,0, 7662,640,480:waittimer 50020 blt 0,0,640,480,0, 7663,640,480:waittimer 50027 blt 0,0,640,480,0, 7664,640,480:waittimer 50033 blt 0,0,640,480,0, 7665,640,480:waittimer 50040 blt 0,0,640,480,0, 7666,640,480:waittimer 50046 blt 0,0,640,480,0, 7667,640,480:waittimer 50053 blt 0,0,640,480,0, 7668,640,480:waittimer 50059 blt 0,0,640,480,0, 7669,640,480:waittimer 50066 blt 0,0,640,480,0, 7670,640,480:waittimer 50072 blt 0,0,640,480,0, 7671,640,480:waittimer 50079 blt 0,0,640,480,0, 7672,640,480:waittimer 50086 blt 0,0,640,480,0, 7673,640,480:waittimer 50092 blt 0,0,640,480,0, 7674,640,480:waittimer 50099 blt 0,0,640,480,0, 7675,640,480:waittimer 50105 blt 0,0,640,480,0, 7676,640,480:waittimer 50112 blt 0,0,640,480,0, 7677,640,480:waittimer 50118 blt 0,0,640,480,0, 7678,640,480:waittimer 50125 blt 0,0,640,480,0, 7679,640,480:waittimer 50131 blt 0,0,640,480,0, 7680,640,480:waittimer 50138 blt 0,0,640,480,0, 7681,640,480:waittimer 50144 blt 0,0,640,480,0, 7682,640,480:waittimer 50151 blt 0,0,640,480,0, 7683,640,480:waittimer 50157 blt 0,0,640,480,0, 7684,640,480:waittimer 50164 blt 0,0,640,480,0, 7685,640,480:waittimer 50170 blt 0,0,640,480,0, 7686,640,480:waittimer 50177 blt 0,0,640,480,0, 7687,640,480:waittimer 50183 blt 0,0,640,480,0, 7688,640,480:waittimer 50190 blt 0,0,640,480,0, 7689,640,480:waittimer 50197 blt 0,0,640,480,0, 7690,640,480:waittimer 50203 blt 0,0,640,480,0, 7691,640,480:waittimer 50210 blt 0,0,640,480,0, 7692,640,480:waittimer 50216 blt 0,0,640,480,0, 7693,640,480:waittimer 50223 blt 0,0,640,480,0, 7694,640,480:waittimer 50229 blt 0,0,640,480,0, 7695,640,480:waittimer 50236 blt 0,0,640,480,0, 7696,640,480:waittimer 50242 blt 0,0,640,480,0, 7697,640,480:waittimer 50249 blt 0,0,640,480,0, 7698,640,480:waittimer 50255 blt 0,0,640,480,0, 7699,640,480:waittimer 50262 blt 0,0,640,480,0, 7700,640,480:waittimer 50268 blt 0,0,640,480,0, 7701,640,480:waittimer 50275 blt 0,0,640,480,0, 7702,640,480:waittimer 50281 blt 0,0,640,480,0, 7703,640,480:waittimer 50288 blt 0,0,640,480,0, 7704,640,480:waittimer 50294 blt 0,0,640,480,0, 7705,640,480:waittimer 50301 blt 0,0,640,480,0, 7706,640,480:waittimer 50307 blt 0,0,640,480,0, 7707,640,480:waittimer 50314 blt 0,0,640,480,0, 7708,640,480:waittimer 50321 blt 0,0,640,480,0, 7709,640,480:waittimer 50327 blt 0,0,640,480,0, 7710,640,480:waittimer 50334 blt 0,0,640,480,0, 7711,640,480:waittimer 50340 blt 0,0,640,480,0, 7712,640,480:waittimer 50347 blt 0,0,640,480,0, 7713,640,480:waittimer 50353 blt 0,0,640,480,0, 7714,640,480:waittimer 50360 blt 0,0,640,480,0, 7715,640,480:waittimer 50366 blt 0,0,640,480,0, 7716,640,480:waittimer 50373 blt 0,0,640,480,0, 7717,640,480:waittimer 50379 blt 0,0,640,480,0, 7718,640,480:waittimer 50386 blt 0,0,640,480,0, 7719,640,480:waittimer 50392 blt 0,0,640,480,0, 7720,640,480:waittimer 50399 blt 0,0,640,480,0, 7721,640,480:waittimer 50405 blt 0,0,640,480,0, 7722,640,480:waittimer 50412 blt 0,0,640,480,0, 7723,640,480:waittimer 50418 blt 0,0,640,480,0, 7724,640,480:waittimer 50425 blt 0,0,640,480,0, 7725,640,480:waittimer 50432 blt 0,0,640,480,0, 7726,640,480:waittimer 50438 blt 0,0,640,480,0, 7727,640,480:waittimer 50445 blt 0,0,640,480,0, 7728,640,480:waittimer 50451 blt 0,0,640,480,0, 7729,640,480:waittimer 50458 blt 0,0,640,480,0, 7730,640,480:waittimer 50464 blt 0,0,640,480,0, 7731,640,480:waittimer 50471 blt 0,0,640,480,0, 7732,640,480:waittimer 50477 blt 0,0,640,480,0, 7733,640,480:waittimer 50484 blt 0,0,640,480,0, 7734,640,480:waittimer 50490 blt 0,0,640,480,0, 7735,640,480:waittimer 50497 blt 0,0,640,480,0, 7736,640,480:waittimer 50503 blt 0,0,640,480,0, 7737,640,480:waittimer 50510 blt 0,0,640,480,0, 7738,640,480:waittimer 50516 blt 0,0,640,480,0, 7739,640,480:waittimer 50523 blt 0,0,640,480,0, 7740,640,480:waittimer 50529 blt 0,0,640,480,0, 7741,640,480:waittimer 50536 blt 0,0,640,480,0, 7742,640,480:waittimer 50543 blt 0,0,640,480,0, 7743,640,480:waittimer 50549 blt 0,0,640,480,0, 7744,640,480:waittimer 50556 blt 0,0,640,480,0, 7745,640,480:waittimer 50562 blt 0,0,640,480,0, 7746,640,480:waittimer 50569 blt 0,0,640,480,0, 7747,640,480:waittimer 50575 blt 0,0,640,480,0, 7748,640,480:waittimer 50582 blt 0,0,640,480,0, 7749,640,480:waittimer 50588 blt 0,0,640,480,0, 7750,640,480:waittimer 50595 blt 0,0,640,480,0, 7751,640,480:waittimer 50601 blt 0,0,640,480,0, 7752,640,480:waittimer 50608 blt 0,0,640,480,0, 7753,640,480:waittimer 50614 blt 0,0,640,480,0, 7754,640,480:waittimer 50621 blt 0,0,640,480,0, 7755,640,480:waittimer 50627 blt 0,0,640,480,0, 7756,640,480:waittimer 50634 blt 0,0,640,480,0, 7757,640,480:waittimer 50640 blt 0,0,640,480,0, 7758,640,480:waittimer 50647 blt 0,0,640,480,0, 7759,640,480:waittimer 50653 blt 0,0,640,480,0, 7760,640,480:waittimer 50660 blt 0,0,640,480,0, 7761,640,480:waittimer 50667 blt 0,0,640,480,0, 7762,640,480:waittimer 50673 blt 0,0,640,480,0, 7763,640,480:waittimer 50680 blt 0,0,640,480,0, 7764,640,480:waittimer 50686 blt 0,0,640,480,0, 7765,640,480:waittimer 50693 blt 0,0,640,480,0, 7766,640,480:waittimer 50699 blt 0,0,640,480,0, 7767,640,480:waittimer 50706 blt 0,0,640,480,0, 7768,640,480:waittimer 50712 blt 0,0,640,480,0, 7769,640,480:waittimer 50719 blt 0,0,640,480,0, 7770,640,480:waittimer 50725 blt 0,0,640,480,0, 7771,640,480:waittimer 50732 blt 0,0,640,480,0, 7772,640,480:waittimer 50738 blt 0,0,640,480,0, 7773,640,480:waittimer 50745 blt 0,0,640,480,0, 7774,640,480:waittimer 50751 blt 0,0,640,480,0, 7775,640,480:waittimer 50758 blt 0,0,640,480,0, 7776,640,480:waittimer 50764 blt 0,0,640,480,0, 7777,640,480:waittimer 50771 blt 0,0,640,480,0, 7778,640,480:waittimer 50778 blt 0,0,640,480,0, 7779,640,480:waittimer 50784 blt 0,0,640,480,0, 7780,640,480:waittimer 50791 blt 0,0,640,480,0, 7781,640,480:waittimer 50797 blt 0,0,640,480,0, 7782,640,480:waittimer 50804 blt 0,0,640,480,0, 7783,640,480:waittimer 50810 blt 0,0,640,480,0, 7784,640,480:waittimer 50817 blt 0,0,640,480,0, 7785,640,480:waittimer 50823 blt 0,0,640,480,0, 7786,640,480:waittimer 50830 blt 0,0,640,480,0, 7787,640,480:waittimer 50836 blt 0,0,640,480,0, 7788,640,480:waittimer 50843 blt 0,0,640,480,0, 7789,640,480:waittimer 50849 blt 0,0,640,480,0, 7790,640,480:waittimer 50856 blt 0,0,640,480,0, 7791,640,480:waittimer 50862 blt 0,0,640,480,0, 7792,640,480:waittimer 50869 blt 0,0,640,480,0, 7793,640,480:waittimer 50875 blt 0,0,640,480,0, 7794,640,480:waittimer 50882 blt 0,0,640,480,0, 7795,640,480:waittimer 50889 blt 0,0,640,480,0, 7796,640,480:waittimer 50895 blt 0,0,640,480,0, 7797,640,480:waittimer 50902 blt 0,0,640,480,0, 7798,640,480:waittimer 50908 blt 0,0,640,480,0, 7799,640,480:waittimer 50915 blt 0,0,640,480,0, 7800,640,480:waittimer 50921 blt 0,0,640,480,0, 7801,640,480:waittimer 50928 blt 0,0,640,480,0, 7802,640,480:waittimer 50934 blt 0,0,640,480,0, 7803,640,480:waittimer 50941 blt 0,0,640,480,0, 7804,640,480:waittimer 50947 blt 0,0,640,480,0, 7805,640,480:waittimer 50954 blt 0,0,640,480,0, 7806,640,480:waittimer 50960 blt 0,0,640,480,0, 7807,640,480:waittimer 50967 blt 0,0,640,480,0, 7808,640,480:waittimer 50973 blt 0,0,640,480,0, 7809,640,480:waittimer 50980 blt 0,0,640,480,0, 7810,640,480:waittimer 50986 blt 0,0,640,480,0, 7811,640,480:waittimer 50993 blt 0,0,640,480,0, 7812,640,480:waittimer 50999 blt 0,0,640,480,0, 7813,640,480:waittimer 51006 blt 0,0,640,480,0, 7814,640,480:waittimer 51013 blt 0,0,640,480,0, 7815,640,480:waittimer 51019 blt 0,0,640,480,0, 7816,640,480:waittimer 51026 blt 0,0,640,480,0, 7817,640,480:waittimer 51032 blt 0,0,640,480,0, 7818,640,480:waittimer 51039 blt 0,0,640,480,0, 7819,640,480:waittimer 51045 blt 0,0,640,480,0, 7820,640,480:waittimer 51052 blt 0,0,640,480,0, 7821,640,480:waittimer 51058 blt 0,0,640,480,0, 7822,640,480:waittimer 51065 blt 0,0,640,480,0, 7823,640,480:waittimer 51071 blt 0,0,640,480,0, 7824,640,480:waittimer 51078 blt 0,0,640,480,0, 7825,640,480:waittimer 51084 blt 0,0,640,480,0, 7826,640,480:waittimer 51091 blt 0,0,640,480,0, 7827,640,480:waittimer 51097 blt 0,0,640,480,0, 7828,640,480:waittimer 51104 blt 0,0,640,480,0, 7829,640,480:waittimer 51110 blt 0,0,640,480,0, 7830,640,480:waittimer 51117 blt 0,0,640,480,0, 7831,640,480:waittimer 51124 blt 0,0,640,480,0, 7832,640,480:waittimer 51130 blt 0,0,640,480,0, 7833,640,480:waittimer 51137 blt 0,0,640,480,0, 7834,640,480:waittimer 51143 blt 0,0,640,480,0, 7835,640,480:waittimer 51150 blt 0,0,640,480,0, 7836,640,480:waittimer 51156 blt 0,0,640,480,0, 7837,640,480:waittimer 51163 blt 0,0,640,480,0, 7838,640,480:waittimer 51169 blt 0,0,640,480,0, 7839,640,480:waittimer 51176 blt 0,0,640,480,0, 7840,640,480:waittimer 51182 blt 0,0,640,480,0, 7841,640,480:waittimer 51189 blt 0,0,640,480,0, 7842,640,480:waittimer 51195 blt 0,0,640,480,0, 7843,640,480:waittimer 51202 blt 0,0,640,480,0, 7844,640,480:waittimer 51208 blt 0,0,640,480,0, 7845,640,480:waittimer 51215 blt 0,0,640,480,0, 7846,640,480:waittimer 51221 blt 0,0,640,480,0, 7847,640,480:waittimer 51228 blt 0,0,640,480,0, 7848,640,480:waittimer 51235 blt 0,0,640,480,0, 7849,640,480:waittimer 51241 blt 0,0,640,480,0, 7850,640,480:waittimer 51248 blt 0,0,640,480,0, 7851,640,480:waittimer 51254 blt 0,0,640,480,0, 7852,640,480:waittimer 51261 blt 0,0,640,480,0, 7853,640,480:waittimer 51267 blt 0,0,640,480,0, 7854,640,480:waittimer 51274 blt 0,0,640,480,0, 7855,640,480:waittimer 51280 blt 0,0,640,480,0, 7856,640,480:waittimer 51287 blt 0,0,640,480,0, 7857,640,480:waittimer 51293 blt 0,0,640,480,0, 7858,640,480:waittimer 51300 blt 0,0,640,480,0, 7859,640,480:waittimer 51306 blt 0,0,640,480,0, 7860,640,480:waittimer 51313 blt 0,0,640,480,0, 7861,640,480:waittimer 51319 blt 0,0,640,480,0, 7862,640,480:waittimer 51326 blt 0,0,640,480,0, 7863,640,480:waittimer 51332 blt 0,0,640,480,0, 7864,640,480:waittimer 51339 blt 0,0,640,480,0, 7865,640,480:waittimer 51346 blt 0,0,640,480,0, 7866,640,480:waittimer 51352 blt 0,0,640,480,0, 7867,640,480:waittimer 51359 blt 0,0,640,480,0, 7868,640,480:waittimer 51365 blt 0,0,640,480,0, 7869,640,480:waittimer 51372 blt 0,0,640,480,0, 7870,640,480:waittimer 51378 blt 0,0,640,480,0, 7871,640,480:waittimer 51385 blt 0,0,640,480,0, 7872,640,480:waittimer 51391 blt 0,0,640,480,0, 7873,640,480:waittimer 51398 blt 0,0,640,480,0, 7874,640,480:waittimer 51404 blt 0,0,640,480,0, 7875,640,480:waittimer 51411 blt 0,0,640,480,0, 7876,640,480:waittimer 51417 blt 0,0,640,480,0, 7877,640,480:waittimer 51424 blt 0,0,640,480,0, 7878,640,480:waittimer 51430 blt 0,0,640,480,0, 7879,640,480:waittimer 51437 blt 0,0,640,480,0, 7880,640,480:waittimer 51443 blt 0,0,640,480,0, 7881,640,480:waittimer 51450 blt 0,0,640,480,0, 7882,640,480:waittimer 51456 blt 0,0,640,480,0, 7883,640,480:waittimer 51463 blt 0,0,640,480,0, 7884,640,480:waittimer 51470 blt 0,0,640,480,0, 7885,640,480:waittimer 51476 blt 0,0,640,480,0, 7886,640,480:waittimer 51483 blt 0,0,640,480,0, 7887,640,480:waittimer 51489 blt 0,0,640,480,0, 7888,640,480:waittimer 51496 blt 0,0,640,480,0, 7889,640,480:waittimer 51502 blt 0,0,640,480,0, 7890,640,480:waittimer 51509 blt 0,0,640,480,0, 7891,640,480:waittimer 51515 blt 0,0,640,480,0, 7892,640,480:waittimer 51522 blt 0,0,640,480,0, 7893,640,480:waittimer 51528 blt 0,0,640,480,0, 7894,640,480:waittimer 51535 blt 0,0,640,480,0, 7895,640,480:waittimer 51541 blt 0,0,640,480,0, 7896,640,480:waittimer 51548 blt 0,0,640,480,0, 7897,640,480:waittimer 51554 blt 0,0,640,480,0, 7898,640,480:waittimer 51561 blt 0,0,640,480,0, 7899,640,480:waittimer 51567 blt 0,0,640,480,0, 7900,640,480:waittimer 51574 blt 0,0,640,480,0, 7901,640,480:waittimer 51581 blt 0,0,640,480,0, 7902,640,480:waittimer 51587 blt 0,0,640,480,0, 7903,640,480:waittimer 51594 blt 0,0,640,480,0, 7904,640,480:waittimer 51600 blt 0,0,640,480,0, 7905,640,480:waittimer 51607 blt 0,0,640,480,0, 7906,640,480:waittimer 51613 blt 0,0,640,480,0, 7907,640,480:waittimer 51620 blt 0,0,640,480,0, 7908,640,480:waittimer 51626 blt 0,0,640,480,0, 7909,640,480:waittimer 51633 blt 0,0,640,480,0, 7910,640,480:waittimer 51639 blt 0,0,640,480,0, 7911,640,480:waittimer 51646 blt 0,0,640,480,0, 7912,640,480:waittimer 51652 blt 0,0,640,480,0, 7913,640,480:waittimer 51659 blt 0,0,640,480,0, 7914,640,480:waittimer 51665 blt 0,0,640,480,0, 7915,640,480:waittimer 51672 blt 0,0,640,480,0, 7916,640,480:waittimer 51678 blt 0,0,640,480,0, 7917,640,480:waittimer 51685 blt 0,0,640,480,0, 7918,640,480:waittimer 51692 blt 0,0,640,480,0, 7919,640,480:waittimer 51698 blt 0,0,640,480,0, 7920,640,480:waittimer 51705 blt 0,0,640,480,0, 7921,640,480:waittimer 51711 blt 0,0,640,480,0, 7922,640,480:waittimer 51718 blt 0,0,640,480,0, 7923,640,480:waittimer 51724 blt 0,0,640,480,0, 7924,640,480:waittimer 51731 blt 0,0,640,480,0, 7925,640,480:waittimer 51737 blt 0,0,640,480,0, 7926,640,480:waittimer 51744 blt 0,0,640,480,0, 7927,640,480:waittimer 51750 blt 0,0,640,480,0, 7928,640,480:waittimer 51757 blt 0,0,640,480,0, 7929,640,480:waittimer 51763 blt 0,0,640,480,0, 7930,640,480:waittimer 51770 blt 0,0,640,480,0, 7931,640,480:waittimer 51776 blt 0,0,640,480,0, 7932,640,480:waittimer 51783 blt 0,0,640,480,0, 7933,640,480:waittimer 51789 blt 0,0,640,480,0, 7934,640,480:waittimer 51796 blt 0,0,640,480,0, 7935,640,480:waittimer 51802 blt 0,0,640,480,0, 7936,640,480:waittimer 51809 blt 0,0,640,480,0, 7937,640,480:waittimer 51816 blt 0,0,640,480,0, 7938,640,480:waittimer 51822 blt 0,0,640,480,0, 7939,640,480:waittimer 51829 blt 0,0,640,480,0, 7940,640,480:waittimer 51835 blt 0,0,640,480,0, 7941,640,480:waittimer 51842 blt 0,0,640,480,0, 7942,640,480:waittimer 51848 blt 0,0,640,480,0, 7943,640,480:waittimer 51855 blt 0,0,640,480,0, 7944,640,480:waittimer 51861 blt 0,0,640,480,0, 7945,640,480:waittimer 51868 blt 0,0,640,480,0, 7946,640,480:waittimer 51874 blt 0,0,640,480,0, 7947,640,480:waittimer 51881 blt 0,0,640,480,0, 7948,640,480:waittimer 51887 blt 0,0,640,480,0, 7949,640,480:waittimer 51894 blt 0,0,640,480,0, 7950,640,480:waittimer 51900 blt 0,0,640,480,0, 7951,640,480:waittimer 51907 blt 0,0,640,480,0, 7952,640,480:waittimer 51913 blt 0,0,640,480,0, 7953,640,480:waittimer 51920 blt 0,0,640,480,0, 7954,640,480:waittimer 51927 blt 0,0,640,480,0, 7955,640,480:waittimer 51933 blt 0,0,640,480,0, 7956,640,480:waittimer 51940 blt 0,0,640,480,0, 7957,640,480:waittimer 51946 blt 0,0,640,480,0, 7958,640,480:waittimer 51953 blt 0,0,640,480,0, 7959,640,480:waittimer 51959 blt 0,0,640,480,0, 7960,640,480:waittimer 51966 blt 0,0,640,480,0, 7961,640,480:waittimer 51972 blt 0,0,640,480,0, 7962,640,480:waittimer 51979 blt 0,0,640,480,0, 7963,640,480:waittimer 51985 blt 0,0,640,480,0, 7964,640,480:waittimer 51992 blt 0,0,640,480,0, 7965,640,480:waittimer 51998 blt 0,0,640,480,0, 7966,640,480:waittimer 52005 blt 0,0,640,480,0, 7967,640,480:waittimer 52011 blt 0,0,640,480,0, 7968,640,480:waittimer 52018 blt 0,0,640,480,0, 7969,640,480:waittimer 52024 blt 0,0,640,480,0, 7970,640,480:waittimer 52031 blt 0,0,640,480,0, 7971,640,480:waittimer 52038 blt 0,0,640,480,0, 7972,640,480:waittimer 52044 blt 0,0,640,480,0, 7973,640,480:waittimer 52051 blt 0,0,640,480,0, 7974,640,480:waittimer 52057 blt 0,0,640,480,0, 7975,640,480:waittimer 52064 blt 0,0,640,480,0, 7976,640,480:waittimer 52070 blt 0,0,640,480,0, 7977,640,480:waittimer 52077 blt 0,0,640,480,0, 7978,640,480:waittimer 52083 blt 0,0,640,480,0, 7979,640,480:waittimer 52090 blt 0,0,640,480,0, 7980,640,480:waittimer 52096 blt 0,0,640,480,0, 7981,640,480:waittimer 52103 blt 0,0,640,480,0, 7982,640,480:waittimer 52109 blt 0,0,640,480,0, 7983,640,480:waittimer 52116 blt 0,0,640,480,0, 7984,640,480:waittimer 52122 blt 0,0,640,480,0, 7985,640,480:waittimer 52129 blt 0,0,640,480,0, 7986,640,480:waittimer 52135 blt 0,0,640,480,0, 7987,640,480:waittimer 52142 blt 0,0,640,480,0, 7988,640,480:waittimer 52148 blt 0,0,640,480,0, 7989,640,480:waittimer 52155 blt 0,0,640,480,0, 7990,640,480:waittimer 52162 blt 0,0,640,480,0, 7991,640,480:waittimer 52168 blt 0,0,640,480,0, 7992,640,480:waittimer 52175 blt 0,0,640,480,0, 7993,640,480:waittimer 52181 blt 0,0,640,480,0, 7994,640,480:waittimer 52188 blt 0,0,640,480,0, 7995,640,480:waittimer 52194 blt 0,0,640,480,0, 7996,640,480:waittimer 52201 blt 0,0,640,480,0, 7997,640,480:waittimer 52207 blt 0,0,640,480,0, 7998,640,480:waittimer 52214 blt 0,0,640,480,0, 7999,640,480:waittimer 52220 blt 0,0,640,480,0, 8000,640,480:waittimer 52227 blt 0,0,640,480,0, 8001,640,480:waittimer 52233 blt 0,0,640,480,0, 8002,640,480:waittimer 52240 blt 0,0,640,480,0, 8003,640,480:waittimer 52246 blt 0,0,640,480,0, 8004,640,480:waittimer 52253 blt 0,0,640,480,0, 8005,640,480:waittimer 52259 blt 0,0,640,480,0, 8006,640,480:waittimer 52266 blt 0,0,640,480,0, 8007,640,480:waittimer 52273 blt 0,0,640,480,0, 8008,640,480:waittimer 52279 blt 0,0,640,480,0, 8009,640,480:waittimer 52286 blt 0,0,640,480,0, 8010,640,480:waittimer 52292 blt 0,0,640,480,0, 8011,640,480:waittimer 52299 blt 0,0,640,480,0, 8012,640,480:waittimer 52305 blt 0,0,640,480,0, 8013,640,480:waittimer 52312 blt 0,0,640,480,0, 8014,640,480:waittimer 52318 blt 0,0,640,480,0, 8015,640,480:waittimer 52325 blt 0,0,640,480,0, 8016,640,480:waittimer 52331 blt 0,0,640,480,0, 8017,640,480:waittimer 52338 blt 0,0,640,480,0, 8018,640,480:waittimer 52344 blt 0,0,640,480,0, 8019,640,480:waittimer 52351 blt 0,0,640,480,0, 8020,640,480:waittimer 52357 blt 0,0,640,480,0, 8021,640,480:waittimer 52364 blt 0,0,640,480,0, 8022,640,480:waittimer 52370 blt 0,0,640,480,0, 8023,640,480:waittimer 52377 blt 0,0,640,480,0, 8024,640,480:waittimer 52384 blt 0,0,640,480,0, 8025,640,480:waittimer 52390 blt 0,0,640,480,0, 8026,640,480:waittimer 52397 blt 0,0,640,480,0, 8027,640,480:waittimer 52403 blt 0,0,640,480,0, 8028,640,480:waittimer 52410 blt 0,0,640,480,0, 8029,640,480:waittimer 52416 blt 0,0,640,480,0, 8030,640,480:waittimer 52423 blt 0,0,640,480,0, 8031,640,480:waittimer 52429 blt 0,0,640,480,0, 8032,640,480:waittimer 52436 blt 0,0,640,480,0, 8033,640,480:waittimer 52442 blt 0,0,640,480,0, 8034,640,480:waittimer 52449 blt 0,0,640,480,0, 8035,640,480:waittimer 52455 blt 0,0,640,480,0, 8036,640,480:waittimer 52462 blt 0,0,640,480,0, 8037,640,480:waittimer 52468 blt 0,0,640,480,0, 8038,640,480:waittimer 52475 blt 0,0,640,480,0, 8039,640,480:waittimer 52481 blt 0,0,640,480,0, 8040,640,480:waittimer 52488 blt 0,0,640,480,0, 8041,640,480:waittimer 52495 blt 0,0,640,480,0, 8042,640,480:waittimer 52501 blt 0,0,640,480,0, 8043,640,480:waittimer 52508 blt 0,0,640,480,0, 8044,640,480:waittimer 52514 blt 0,0,640,480,0, 8045,640,480:waittimer 52521 blt 0,0,640,480,0, 8046,640,480:waittimer 52527 blt 0,0,640,480,0, 8047,640,480:waittimer 52534 blt 0,0,640,480,0, 8048,640,480:waittimer 52540 blt 0,0,640,480,0, 8049,640,480:waittimer 52547 blt 0,0,640,480,0, 8050,640,480:waittimer 52553 blt 0,0,640,480,0, 8051,640,480:waittimer 52560 blt 0,0,640,480,0, 8052,640,480:waittimer 52566 blt 0,0,640,480,0, 8053,640,480:waittimer 52573 blt 0,0,640,480,0, 8054,640,480:waittimer 52579 blt 0,0,640,480,0, 8055,640,480:waittimer 52586 blt 0,0,640,480,0, 8056,640,480:waittimer 52592 blt 0,0,640,480,0, 8057,640,480:waittimer 52599 blt 0,0,640,480,0, 8058,640,480:waittimer 52605 blt 0,0,640,480,0, 8059,640,480:waittimer 52612 blt 0,0,640,480,0, 8060,640,480:waittimer 52619 blt 0,0,640,480,0, 8061,640,480:waittimer 52625 blt 0,0,640,480,0, 8062,640,480:waittimer 52632 blt 0,0,640,480,0, 8063,640,480:waittimer 52638 blt 0,0,640,480,0, 8064,640,480:waittimer 52645 blt 0,0,640,480,0, 8065,640,480:waittimer 52651 blt 0,0,640,480,0, 8066,640,480:waittimer 52658 blt 0,0,640,480,0, 8067,640,480:waittimer 52664 blt 0,0,640,480,0, 8068,640,480:waittimer 52671 blt 0,0,640,480,0, 8069,640,480:waittimer 52677 blt 0,0,640,480,0, 8070,640,480:waittimer 52684 blt 0,0,640,480,0, 8071,640,480:waittimer 52690 blt 0,0,640,480,0, 8072,640,480:waittimer 52697 blt 0,0,640,480,0, 8073,640,480:waittimer 52703 blt 0,0,640,480,0, 8074,640,480:waittimer 52710 blt 0,0,640,480,0, 8075,640,480:waittimer 52716 blt 0,0,640,480,0, 8076,640,480:waittimer 52723 blt 0,0,640,480,0, 8077,640,480:waittimer 52730 blt 0,0,640,480,0, 8078,640,480:waittimer 52736 blt 0,0,640,480,0, 8079,640,480:waittimer 52743 blt 0,0,640,480,0, 8080,640,480:waittimer 52749 blt 0,0,640,480,0, 8081,640,480:waittimer 52756 blt 0,0,640,480,0, 8082,640,480:waittimer 52762 blt 0,0,640,480,0, 8083,640,480:waittimer 52769 blt 0,0,640,480,0, 8084,640,480:waittimer 52775 blt 0,0,640,480,0, 8085,640,480:waittimer 52782 blt 0,0,640,480,0, 8086,640,480:waittimer 52788 blt 0,0,640,480,0, 8087,640,480:waittimer 52795 blt 0,0,640,480,0, 8088,640,480:waittimer 52801 blt 0,0,640,480,0, 8089,640,480:waittimer 52808 blt 0,0,640,480,0, 8090,640,480:waittimer 52814 blt 0,0,640,480,0, 8091,640,480:waittimer 52821 blt 0,0,640,480,0, 8092,640,480:waittimer 52827 blt 0,0,640,480,0, 8093,640,480:waittimer 52834 blt 0,0,640,480,0, 8094,640,480:waittimer 52841 blt 0,0,640,480,0, 8095,640,480:waittimer 52847 blt 0,0,640,480,0, 8096,640,480:waittimer 52854 blt 0,0,640,480,0, 8097,640,480:waittimer 52860 blt 0,0,640,480,0, 8098,640,480:waittimer 52867 blt 0,0,640,480,0, 8099,640,480:waittimer 52873 blt 0,0,640,480,0, 8100,640,480:waittimer 52880 blt 0,0,640,480,0, 8101,640,480:waittimer 52886 blt 0,0,640,480,0, 8102,640,480:waittimer 52893 blt 0,0,640,480,0, 8103,640,480:waittimer 52899 blt 0,0,640,480,0, 8104,640,480:waittimer 52906 blt 0,0,640,480,0, 8105,640,480:waittimer 52912 blt 0,0,640,480,0, 8106,640,480:waittimer 52919 blt 0,0,640,480,0, 8107,640,480:waittimer 52925 blt 0,0,640,480,0, 8108,640,480:waittimer 52932 blt 0,0,640,480,0, 8109,640,480:waittimer 52938 blt 0,0,640,480,0, 8110,640,480:waittimer 52945 blt 0,0,640,480,0, 8111,640,480:waittimer 52951 blt 0,0,640,480,0, 8112,640,480:waittimer 52958 blt 0,0,640,480,0, 8113,640,480:waittimer 52965 blt 0,0,640,480,0, 8114,640,480:waittimer 52971 blt 0,0,640,480,0, 8115,640,480:waittimer 52978 blt 0,0,640,480,0, 8116,640,480:waittimer 52984 blt 0,0,640,480,0, 8117,640,480:waittimer 52991 blt 0,0,640,480,0, 8118,640,480:waittimer 52997 blt 0,0,640,480,0, 8119,640,480:waittimer 53004 blt 0,0,640,480,0, 8120,640,480:waittimer 53010 blt 0,0,640,480,0, 8121,640,480:waittimer 53017 blt 0,0,640,480,0, 8122,640,480:waittimer 53023 blt 0,0,640,480,0, 8123,640,480:waittimer 53030 blt 0,0,640,480,0, 8124,640,480:waittimer 53036 blt 0,0,640,480,0, 8125,640,480:waittimer 53043 blt 0,0,640,480,0, 8126,640,480:waittimer 53049 blt 0,0,640,480,0, 8127,640,480:waittimer 53056 blt 0,0,640,480,0, 8128,640,480:waittimer 53062 blt 0,0,640,480,0, 8129,640,480:waittimer 53069 blt 0,0,640,480,0, 8130,640,480:waittimer 53076 blt 0,0,640,480,0, 8131,640,480:waittimer 53082 blt 0,0,640,480,0, 8132,640,480:waittimer 53089 blt 0,0,640,480,0, 8133,640,480:waittimer 53095 blt 0,0,640,480,0, 8134,640,480:waittimer 53102 blt 0,0,640,480,0, 8135,640,480:waittimer 53108 blt 0,0,640,480,0, 8136,640,480:waittimer 53115 blt 0,0,640,480,0, 8137,640,480:waittimer 53121 blt 0,0,640,480,0, 8138,640,480:waittimer 53128 blt 0,0,640,480,0, 8139,640,480:waittimer 53134 blt 0,0,640,480,0, 8140,640,480:waittimer 53141 blt 0,0,640,480,0, 8141,640,480:waittimer 53147 blt 0,0,640,480,0, 8142,640,480:waittimer 53154 blt 0,0,640,480,0, 8143,640,480:waittimer 53160 blt 0,0,640,480,0, 8144,640,480:waittimer 53167 blt 0,0,640,480,0, 8145,640,480:waittimer 53173 blt 0,0,640,480,0, 8146,640,480:waittimer 53180 blt 0,0,640,480,0, 8147,640,480:waittimer 53187 blt 0,0,640,480,0, 8148,640,480:waittimer 53193 blt 0,0,640,480,0, 8149,640,480:waittimer 53200 blt 0,0,640,480,0, 8150,640,480:waittimer 53206 blt 0,0,640,480,0, 8151,640,480:waittimer 53213 blt 0,0,640,480,0, 8152,640,480:waittimer 53219 blt 0,0,640,480,0, 8153,640,480:waittimer 53226 blt 0,0,640,480,0, 8154,640,480:waittimer 53232 blt 0,0,640,480,0, 8155,640,480:waittimer 53239 blt 0,0,640,480,0, 8156,640,480:waittimer 53245 blt 0,0,640,480,0, 8157,640,480:waittimer 53252 blt 0,0,640,480,0, 8158,640,480:waittimer 53258 blt 0,0,640,480,0, 8159,640,480:waittimer 53265 blt 0,0,640,480,0, 8160,640,480:waittimer 53271 blt 0,0,640,480,0, 8161,640,480:waittimer 53278 blt 0,0,640,480,0, 8162,640,480:waittimer 53284 blt 0,0,640,480,0, 8163,640,480:waittimer 53291 blt 0,0,640,480,0, 8164,640,480:waittimer 53298 blt 0,0,640,480,0, 8165,640,480:waittimer 53304 blt 0,0,640,480,0, 8166,640,480:waittimer 53311 blt 0,0,640,480,0, 8167,640,480:waittimer 53317 blt 0,0,640,480,0, 8168,640,480:waittimer 53324 blt 0,0,640,480,0, 8169,640,480:waittimer 53330 blt 0,0,640,480,0, 8170,640,480:waittimer 53337 blt 0,0,640,480,0, 8171,640,480:waittimer 53343 blt 0,0,640,480,0, 8172,640,480:waittimer 53350 blt 0,0,640,480,0, 8173,640,480:waittimer 53356 blt 0,0,640,480,0, 8174,640,480:waittimer 53363 blt 0,0,640,480,0, 8175,640,480:waittimer 53369 blt 0,0,640,480,0, 8176,640,480:waittimer 53376 blt 0,0,640,480,0, 8177,640,480:waittimer 53382 blt 0,0,640,480,0, 8178,640,480:waittimer 53389 blt 0,0,640,480,0, 8179,640,480:waittimer 53395 blt 0,0,640,480,0, 8180,640,480:waittimer 53402 blt 0,0,640,480,0, 8181,640,480:waittimer 53408 blt 0,0,640,480,0, 8182,640,480:waittimer 53415 blt 0,0,640,480,0, 8183,640,480:waittimer 53422 blt 0,0,640,480,0, 8184,640,480:waittimer 53428 blt 0,0,640,480,0, 8185,640,480:waittimer 53435 blt 0,0,640,480,0, 8186,640,480:waittimer 53441 blt 0,0,640,480,0, 8187,640,480:waittimer 53448 blt 0,0,640,480,0, 8188,640,480:waittimer 53454 blt 0,0,640,480,0, 8189,640,480:waittimer 53461 blt 0,0,640,480,0, 8190,640,480:waittimer 53467 blt 0,0,640,480,0, 8191,640,480:waittimer 53474 blt 0,0,640,480,0, 8192,640,480:waittimer 53480 blt 0,0,640,480,0, 8193,640,480:waittimer 53487 blt 0,0,640,480,0, 8194,640,480:waittimer 53493 blt 0,0,640,480,0, 8195,640,480:waittimer 53500 blt 0,0,640,480,0, 8196,640,480:waittimer 53506 blt 0,0,640,480,0, 8197,640,480:waittimer 53513 blt 0,0,640,480,0, 8198,640,480:waittimer 53519 blt 0,0,640,480,0, 8199,640,480:waittimer 53526 blt 0,0,640,480,0, 8200,640,480:waittimer 53533 blt 0,0,640,480,0, 8201,640,480:waittimer 53539 blt 0,0,640,480,0, 8202,640,480:waittimer 53546 blt 0,0,640,480,0, 8203,640,480:waittimer 53552 blt 0,0,640,480,0, 8204,640,480:waittimer 53559 blt 0,0,640,480,0, 8205,640,480:waittimer 53565 blt 0,0,640,480,0, 8206,640,480:waittimer 53572 blt 0,0,640,480,0, 8207,640,480:waittimer 53578 blt 0,0,640,480,0, 8208,640,480:waittimer 53585 blt 0,0,640,480,0, 8209,640,480:waittimer 53591 blt 0,0,640,480,0, 8210,640,480:waittimer 53598 blt 0,0,640,480,0, 8211,640,480:waittimer 53604 blt 0,0,640,480,0, 8212,640,480:waittimer 53611 blt 0,0,640,480,0, 8213,640,480:waittimer 53617 blt 0,0,640,480,0, 8214,640,480:waittimer 53624 blt 0,0,640,480,0, 8215,640,480:waittimer 53630 blt 0,0,640,480,0, 8216,640,480:waittimer 53637 blt 0,0,640,480,0, 8217,640,480:waittimer 53644 blt 0,0,640,480,0, 8218,640,480:waittimer 53650 blt 0,0,640,480,0, 8219,640,480:waittimer 53657 blt 0,0,640,480,0, 8220,640,480:waittimer 53663 blt 0,0,640,480,0, 8221,640,480:waittimer 53670 blt 0,0,640,480,0, 8222,640,480:waittimer 53676 blt 0,0,640,480,0, 8223,640,480:waittimer 53683 blt 0,0,640,480,0, 8224,640,480:waittimer 53689 blt 0,0,640,480,0, 8225,640,480:waittimer 53696 blt 0,0,640,480,0, 8226,640,480:waittimer 53702 blt 0,0,640,480,0, 8227,640,480:waittimer 53709 blt 0,0,640,480,0, 8228,640,480:waittimer 53715 blt 0,0,640,480,0, 8229,640,480:waittimer 53722 blt 0,0,640,480,0, 8230,640,480:waittimer 53728 blt 0,0,640,480,0, 8231,640,480:waittimer 53735 blt 0,0,640,480,0, 8232,640,480:waittimer 53741 blt 0,0,640,480,0, 8233,640,480:waittimer 53748 blt 0,0,640,480,0, 8234,640,480:waittimer 53754 blt 0,0,640,480,0, 8235,640,480:waittimer 53761 blt 0,0,640,480,0, 8236,640,480:waittimer 53768 blt 0,0,640,480,0, 8237,640,480:waittimer 53774 blt 0,0,640,480,0, 8238,640,480:waittimer 53781 blt 0,0,640,480,0, 8239,640,480:waittimer 53787 blt 0,0,640,480,0, 8240,640,480:waittimer 53794 blt 0,0,640,480,0, 8241,640,480:waittimer 53800 blt 0,0,640,480,0, 8242,640,480:waittimer 53807 blt 0,0,640,480,0, 8243,640,480:waittimer 53813 blt 0,0,640,480,0, 8244,640,480:waittimer 53820 blt 0,0,640,480,0, 8245,640,480:waittimer 53826 blt 0,0,640,480,0, 8246,640,480:waittimer 53833 blt 0,0,640,480,0, 8247,640,480:waittimer 53839 blt 0,0,640,480,0, 8248,640,480:waittimer 53846 blt 0,0,640,480,0, 8249,640,480:waittimer 53852 blt 0,0,640,480,0, 8250,640,480:waittimer 53859 blt 0,0,640,480,0, 8251,640,480:waittimer 53865 blt 0,0,640,480,0, 8252,640,480:waittimer 53872 blt 0,0,640,480,0, 8253,640,480:waittimer 53879 blt 0,0,640,480,0, 8254,640,480:waittimer 53885 blt 0,0,640,480,0, 8255,640,480:waittimer 53892 blt 0,0,640,480,0, 8256,640,480:waittimer 53898 blt 0,0,640,480,0, 8257,640,480:waittimer 53905 blt 0,0,640,480,0, 8258,640,480:waittimer 53911 blt 0,0,640,480,0, 8259,640,480:waittimer 53918 blt 0,0,640,480,0, 8260,640,480:waittimer 53924 blt 0,0,640,480,0, 8261,640,480:waittimer 53931 blt 0,0,640,480,0, 8262,640,480:waittimer 53937 blt 0,0,640,480,0, 8263,640,480:waittimer 53944 blt 0,0,640,480,0, 8264,640,480:waittimer 53950 blt 0,0,640,480,0, 8265,640,480:waittimer 53957 blt 0,0,640,480,0, 8266,640,480:waittimer 53963 blt 0,0,640,480,0, 8267,640,480:waittimer 53970 blt 0,0,640,480,0, 8268,640,480:waittimer 53976 blt 0,0,640,480,0, 8269,640,480:waittimer 53983 blt 0,0,640,480,0, 8270,640,480:waittimer 53990 blt 0,0,640,480,0, 8271,640,480:waittimer 53996 blt 0,0,640,480,0, 8272,640,480:waittimer 54003 blt 0,0,640,480,0, 8273,640,480:waittimer 54009 blt 0,0,640,480,0, 8274,640,480:waittimer 54016 blt 0,0,640,480,0, 8275,640,480:waittimer 54022 blt 0,0,640,480,0, 8276,640,480:waittimer 54029 blt 0,0,640,480,0, 8277,640,480:waittimer 54035 blt 0,0,640,480,0, 8278,640,480:waittimer 54042 blt 0,0,640,480,0, 8279,640,480:waittimer 54048 blt 0,0,640,480,0, 8280,640,480:waittimer 54055 blt 0,0,640,480,0, 8281,640,480:waittimer 54061 blt 0,0,640,480,0, 8282,640,480:waittimer 54068 blt 0,0,640,480,0, 8283,640,480:waittimer 54074 blt 0,0,640,480,0, 8284,640,480:waittimer 54081 blt 0,0,640,480,0, 8285,640,480:waittimer 54087 blt 0,0,640,480,0, 8286,640,480:waittimer 54094 blt 0,0,640,480,0, 8287,640,480:waittimer 54100 blt 0,0,640,480,0, 8288,640,480:waittimer 54107 blt 0,0,640,480,0, 8289,640,480:waittimer 54114 blt 0,0,640,480,0, 8290,640,480:waittimer 54120 blt 0,0,640,480,0, 8291,640,480:waittimer 54127 blt 0,0,640,480,0, 8292,640,480:waittimer 54133 blt 0,0,640,480,0, 8293,640,480:waittimer 54140 blt 0,0,640,480,0, 8294,640,480:waittimer 54146 blt 0,0,640,480,0, 8295,640,480:waittimer 54153 blt 0,0,640,480,0, 8296,640,480:waittimer 54159 blt 0,0,640,480,0, 8297,640,480:waittimer 54166 blt 0,0,640,480,0, 8298,640,480:waittimer 54172 blt 0,0,640,480,0, 8299,640,480:waittimer 54179 blt 0,0,640,480,0, 8300,640,480:waittimer 54185 blt 0,0,640,480,0, 8301,640,480:waittimer 54192 blt 0,0,640,480,0, 8302,640,480:waittimer 54198 blt 0,0,640,480,0, 8303,640,480:waittimer 54205 blt 0,0,640,480,0, 8304,640,480:waittimer 54211 blt 0,0,640,480,0, 8305,640,480:waittimer 54218 blt 0,0,640,480,0, 8306,640,480:waittimer 54225 blt 0,0,640,480,0, 8307,640,480:waittimer 54231 blt 0,0,640,480,0, 8308,640,480:waittimer 54238 blt 0,0,640,480,0, 8309,640,480:waittimer 54244 blt 0,0,640,480,0, 8310,640,480:waittimer 54251 blt 0,0,640,480,0, 8311,640,480:waittimer 54257 blt 0,0,640,480,0, 8312,640,480:waittimer 54264 blt 0,0,640,480,0, 8313,640,480:waittimer 54270 blt 0,0,640,480,0, 8314,640,480:waittimer 54277 blt 0,0,640,480,0, 8315,640,480:waittimer 54283 blt 0,0,640,480,0, 8316,640,480:waittimer 54290 blt 0,0,640,480,0, 8317,640,480:waittimer 54296 blt 0,0,640,480,0, 8318,640,480:waittimer 54303 blt 0,0,640,480,0, 8319,640,480:waittimer 54309 blt 0,0,640,480,0, 8320,640,480:waittimer 54316 blt 0,0,640,480,0, 8321,640,480:waittimer 54322 blt 0,0,640,480,0, 8322,640,480:waittimer 54329 blt 0,0,640,480,0, 8323,640,480:waittimer 54336 blt 0,0,640,480,0, 8324,640,480:waittimer 54342 blt 0,0,640,480,0, 8325,640,480:waittimer 54349 blt 0,0,640,480,0, 8326,640,480:waittimer 54355 blt 0,0,640,480,0, 8327,640,480:waittimer 54362 blt 0,0,640,480,0, 8328,640,480:waittimer 54368 blt 0,0,640,480,0, 8329,640,480:waittimer 54375 blt 0,0,640,480,0, 8330,640,480:waittimer 54381 blt 0,0,640,480,0, 8331,640,480:waittimer 54388 blt 0,0,640,480,0, 8332,640,480:waittimer 54394 blt 0,0,640,480,0, 8333,640,480:waittimer 54401 blt 0,0,640,480,0, 8334,640,480:waittimer 54407 blt 0,0,640,480,0, 8335,640,480:waittimer 54414 blt 0,0,640,480,0, 8336,640,480:waittimer 54420 blt 0,0,640,480,0, 8337,640,480:waittimer 54427 blt 0,0,640,480,0, 8338,640,480:waittimer 54433 blt 0,0,640,480,0, 8339,640,480:waittimer 54440 blt 0,0,640,480,0, 8340,640,480:waittimer 54447 blt 0,0,640,480,0, 8341,640,480:waittimer 54453 blt 0,0,640,480,0, 8342,640,480:waittimer 54460 blt 0,0,640,480,0, 8343,640,480:waittimer 54466 blt 0,0,640,480,0, 8344,640,480:waittimer 54473 blt 0,0,640,480,0, 8345,640,480:waittimer 54479 blt 0,0,640,480,0, 8346,640,480:waittimer 54486 blt 0,0,640,480,0, 8347,640,480:waittimer 54492 blt 0,0,640,480,0, 8348,640,480:waittimer 54499 blt 0,0,640,480,0, 8349,640,480:waittimer 54505 blt 0,0,640,480,0, 8350,640,480:waittimer 54512 blt 0,0,640,480,0, 8351,640,480:waittimer 54518 blt 0,0,640,480,0, 8352,640,480:waittimer 54525 blt 0,0,640,480,0, 8353,640,480:waittimer 54531 blt 0,0,640,480,0, 8354,640,480:waittimer 54538 blt 0,0,640,480,0, 8355,640,480:waittimer 54544 blt 0,0,640,480,0, 8356,640,480:waittimer 54551 blt 0,0,640,480,0, 8357,640,480:waittimer 54557 blt 0,0,640,480,0, 8358,640,480:waittimer 54564 blt 0,0,640,480,0, 8359,640,480:waittimer 54571 blt 0,0,640,480,0, 8360,640,480:waittimer 54577 blt 0,0,640,480,0, 8361,640,480:waittimer 54584 blt 0,0,640,480,0, 8362,640,480:waittimer 54590 blt 0,0,640,480,0, 8363,640,480:waittimer 54597 blt 0,0,640,480,0, 8364,640,480:waittimer 54603 blt 0,0,640,480,0, 8365,640,480:waittimer 54610 blt 0,0,640,480,0, 8366,640,480:waittimer 54616 blt 0,0,640,480,0, 8367,640,480:waittimer 54623 blt 0,0,640,480,0, 8368,640,480:waittimer 54629 blt 0,0,640,480,0, 8369,640,480:waittimer 54636 blt 0,0,640,480,0, 8370,640,480:waittimer 54642 blt 0,0,640,480,0, 8371,640,480:waittimer 54649 blt 0,0,640,480,0, 8372,640,480:waittimer 54655 blt 0,0,640,480,0, 8373,640,480:waittimer 54662 blt 0,0,640,480,0, 8374,640,480:waittimer 54668 blt 0,0,640,480,0, 8375,640,480:waittimer 54675 blt 0,0,640,480,0, 8376,640,480:waittimer 54682 blt 0,0,640,480,0, 8377,640,480:waittimer 54688 blt 0,0,640,480,0, 8378,640,480:waittimer 54695 blt 0,0,640,480,0, 8379,640,480:waittimer 54701 blt 0,0,640,480,0, 8380,640,480:waittimer 54708 blt 0,0,640,480,0, 8381,640,480:waittimer 54714 blt 0,0,640,480,0, 8382,640,480:waittimer 54721 blt 0,0,640,480,0, 8383,640,480:waittimer 54727 blt 0,0,640,480,0, 8384,640,480:waittimer 54734 blt 0,0,640,480,0, 8385,640,480:waittimer 54740 blt 0,0,640,480,0, 8386,640,480:waittimer 54747 blt 0,0,640,480,0, 8387,640,480:waittimer 54753 blt 0,0,640,480,0, 8388,640,480:waittimer 54760 blt 0,0,640,480,0, 8389,640,480:waittimer 54766 blt 0,0,640,480,0, 8390,640,480:waittimer 54773 blt 0,0,640,480,0, 8391,640,480:waittimer 54779 blt 0,0,640,480,0, 8392,640,480:waittimer 54786 blt 0,0,640,480,0, 8393,640,480:waittimer 54793 blt 0,0,640,480,0, 8394,640,480:waittimer 54799 blt 0,0,640,480,0, 8395,640,480:waittimer 54806 blt 0,0,640,480,0, 8396,640,480:waittimer 54812 blt 0,0,640,480,0, 8397,640,480:waittimer 54819 blt 0,0,640,480,0, 8398,640,480:waittimer 54825 blt 0,0,640,480,0, 8399,640,480:waittimer 54832 blt 0,0,640,480,0, 8400,640,480:waittimer 54838 blt 0,0,640,480,0, 8401,640,480:waittimer 54845 blt 0,0,640,480,0, 8402,640,480:waittimer 54851 blt 0,0,640,480,0, 8403,640,480:waittimer 54858 blt 0,0,640,480,0, 8404,640,480:waittimer 54864 blt 0,0,640,480,0, 8405,640,480:waittimer 54871 blt 0,0,640,480,0, 8406,640,480:waittimer 54877 blt 0,0,640,480,0, 8407,640,480:waittimer 54884 blt 0,0,640,480,0, 8408,640,480:waittimer 54890 blt 0,0,640,480,0, 8409,640,480:waittimer 54897 blt 0,0,640,480,0, 8410,640,480:waittimer 54903 blt 0,0,640,480,0, 8411,640,480:waittimer 54910 blt 0,0,640,480,0, 8412,640,480:waittimer 54917 blt 0,0,640,480,0, 8413,640,480:waittimer 54923 blt 0,0,640,480,0, 8414,640,480:waittimer 54930 blt 0,0,640,480,0, 8415,640,480:waittimer 54936 blt 0,0,640,480,0, 8416,640,480:waittimer 54943 blt 0,0,640,480,0, 8417,640,480:waittimer 54949 blt 0,0,640,480,0, 8418,640,480:waittimer 54956 blt 0,0,640,480,0, 8419,640,480:waittimer 54962 blt 0,0,640,480,0, 8420,640,480:waittimer 54969 blt 0,0,640,480,0, 8421,640,480:waittimer 54975 blt 0,0,640,480,0, 8422,640,480:waittimer 54982 blt 0,0,640,480,0, 8423,640,480:waittimer 54988 blt 0,0,640,480,0, 8424,640,480:waittimer 54995 blt 0,0,640,480,0, 8425,640,480:waittimer 55001 blt 0,0,640,480,0, 8426,640,480:waittimer 55008 blt 0,0,640,480,0, 8427,640,480:waittimer 55014 blt 0,0,640,480,0, 8428,640,480:waittimer 55021 blt 0,0,640,480,0, 8429,640,480:waittimer 55028 blt 0,0,640,480,0, 8430,640,480:waittimer 55034 blt 0,0,640,480,0, 8431,640,480:waittimer 55041 blt 0,0,640,480,0, 8432,640,480:waittimer 55047 blt 0,0,640,480,0, 8433,640,480:waittimer 55054 blt 0,0,640,480,0, 8434,640,480:waittimer 55060 blt 0,0,640,480,0, 8435,640,480:waittimer 55067 blt 0,0,640,480,0, 8436,640,480:waittimer 55073 blt 0,0,640,480,0, 8437,640,480:waittimer 55080 blt 0,0,640,480,0, 8438,640,480:waittimer 55086 blt 0,0,640,480,0, 8439,640,480:waittimer 55093 blt 0,0,640,480,0, 8440,640,480:waittimer 55099 blt 0,0,640,480,0, 8441,640,480:waittimer 55106 blt 0,0,640,480,0, 8442,640,480:waittimer 55112 blt 0,0,640,480,0, 8443,640,480:waittimer 55119 blt 0,0,640,480,0, 8444,640,480:waittimer 55125 blt 0,0,640,480,0, 8445,640,480:waittimer 55132 blt 0,0,640,480,0, 8446,640,480:waittimer 55139 blt 0,0,640,480,0, 8447,640,480:waittimer 55145 blt 0,0,640,480,0, 8448,640,480:waittimer 55152 blt 0,0,640,480,0, 8449,640,480:waittimer 55158 blt 0,0,640,480,0, 8450,640,480:waittimer 55165 blt 0,0,640,480,0, 8451,640,480:waittimer 55171 blt 0,0,640,480,0, 8452,640,480:waittimer 55178 blt 0,0,640,480,0, 8453,640,480:waittimer 55184 blt 0,0,640,480,0, 8454,640,480:waittimer 55191 blt 0,0,640,480,0, 8455,640,480:waittimer 55197 blt 0,0,640,480,0, 8456,640,480:waittimer 55204 blt 0,0,640,480,0, 8457,640,480:waittimer 55210 blt 0,0,640,480,0, 8458,640,480:waittimer 55217 blt 0,0,640,480,0, 8459,640,480:waittimer 55223 blt 0,0,640,480,0, 8460,640,480:waittimer 55230 blt 0,0,640,480,0, 8461,640,480:waittimer 55236 blt 0,0,640,480,0, 8462,640,480:waittimer 55243 blt 0,0,640,480,0, 8463,640,480:waittimer 55249 blt 0,0,640,480,0, 8464,640,480:waittimer 55256 blt 0,0,640,480,0, 8465,640,480:waittimer 55263 blt 0,0,640,480,0, 8466,640,480:waittimer 55269 blt 0,0,640,480,0, 8467,640,480:waittimer 55276 blt 0,0,640,480,0, 8468,640,480:waittimer 55282 blt 0,0,640,480,0, 8469,640,480:waittimer 55289 blt 0,0,640,480,0, 8470,640,480:waittimer 55295 blt 0,0,640,480,0, 8471,640,480:waittimer 55302 blt 0,0,640,480,0, 8472,640,480:waittimer 55308 blt 0,0,640,480,0, 8473,640,480:waittimer 55315 blt 0,0,640,480,0, 8474,640,480:waittimer 55321 blt 0,0,640,480,0, 8475,640,480:waittimer 55328 blt 0,0,640,480,0, 8476,640,480:waittimer 55334 blt 0,0,640,480,0, 8477,640,480:waittimer 55341 blt 0,0,640,480,0, 8478,640,480:waittimer 55347 blt 0,0,640,480,0, 8479,640,480:waittimer 55354 blt 0,0,640,480,0, 8480,640,480:waittimer 55360 blt 0,0,640,480,0, 8481,640,480:waittimer 55367 blt 0,0,640,480,0, 8482,640,480:waittimer 55374 blt 0,0,640,480,0, 8483,640,480:waittimer 55380 blt 0,0,640,480,0, 8484,640,480:waittimer 55387 blt 0,0,640,480,0, 8485,640,480:waittimer 55393 blt 0,0,640,480,0, 8486,640,480:waittimer 55400 blt 0,0,640,480,0, 8487,640,480:waittimer 55406 blt 0,0,640,480,0, 8488,640,480:waittimer 55413 blt 0,0,640,480,0, 8489,640,480:waittimer 55419 blt 0,0,640,480,0, 8490,640,480:waittimer 55426 blt 0,0,640,480,0, 8491,640,480:waittimer 55432 blt 0,0,640,480,0, 8492,640,480:waittimer 55439 blt 0,0,640,480,0, 8493,640,480:waittimer 55445 blt 0,0,640,480,0, 8494,640,480:waittimer 55452 blt 0,0,640,480,0, 8495,640,480:waittimer 55458 blt 0,0,640,480,0, 8496,640,480:waittimer 55465 blt 0,0,640,480,0, 8497,640,480:waittimer 55471 blt 0,0,640,480,0, 8498,640,480:waittimer 55478 blt 0,0,640,480,0, 8499,640,480:waittimer 55485 blt 0,0,640,480,0, 8500,640,480:waittimer 55491 blt 0,0,640,480,0, 8501,640,480:waittimer 55498 blt 0,0,640,480,0, 8502,640,480:waittimer 55504 blt 0,0,640,480,0, 8503,640,480:waittimer 55511 blt 0,0,640,480,0, 8504,640,480:waittimer 55517 blt 0,0,640,480,0, 8505,640,480:waittimer 55524 blt 0,0,640,480,0, 8506,640,480:waittimer 55530 blt 0,0,640,480,0, 8507,640,480:waittimer 55537 blt 0,0,640,480,0, 8508,640,480:waittimer 55543 blt 0,0,640,480,0, 8509,640,480:waittimer 55550 blt 0,0,640,480,0, 8510,640,480:waittimer 55556 blt 0,0,640,480,0, 8511,640,480:waittimer 55563 blt 0,0,640,480,0, 8512,640,480:waittimer 55569 blt 0,0,640,480,0, 8513,640,480:waittimer 55576 blt 0,0,640,480,0, 8514,640,480:waittimer 55582 blt 0,0,640,480,0, 8515,640,480:waittimer 55589 blt 0,0,640,480,0, 8516,640,480:waittimer 55596 blt 0,0,640,480,0, 8517,640,480:waittimer 55602 blt 0,0,640,480,0, 8518,640,480:waittimer 55609 blt 0,0,640,480,0, 8519,640,480:waittimer 55615 blt 0,0,640,480,0, 8520,640,480:waittimer 55622 blt 0,0,640,480,0, 8521,640,480:waittimer 55628 blt 0,0,640,480,0, 8522,640,480:waittimer 55635 blt 0,0,640,480,0, 8523,640,480:waittimer 55641 blt 0,0,640,480,0, 8524,640,480:waittimer 55648 blt 0,0,640,480,0, 8525,640,480:waittimer 55654 blt 0,0,640,480,0, 8526,640,480:waittimer 55661 blt 0,0,640,480,0, 8527,640,480:waittimer 55667 blt 0,0,640,480,0, 8528,640,480:waittimer 55674 blt 0,0,640,480,0, 8529,640,480:waittimer 55680 blt 0,0,640,480,0, 8530,640,480:waittimer 55687 blt 0,0,640,480,0, 8531,640,480:waittimer 55693 blt 0,0,640,480,0, 8532,640,480:waittimer 55700 blt 0,0,640,480,0, 8533,640,480:waittimer 55706 blt 0,0,640,480,0, 8534,640,480:waittimer 55713 blt 0,0,640,480,0, 8535,640,480:waittimer 55720 blt 0,0,640,480,0, 8536,640,480:waittimer 55726 blt 0,0,640,480,0, 8537,640,480:waittimer 55733 blt 0,0,640,480,0, 8538,640,480:waittimer 55739 blt 0,0,640,480,0, 8539,640,480:waittimer 55746 blt 0,0,640,480,0, 8540,640,480:waittimer 55752 blt 0,0,640,480,0, 8541,640,480:waittimer 55759 blt 0,0,640,480,0, 8542,640,480:waittimer 55765 blt 0,0,640,480,0, 8543,640,480:waittimer 55772 blt 0,0,640,480,0, 8544,640,480:waittimer 55778 blt 0,0,640,480,0, 8545,640,480:waittimer 55785 blt 0,0,640,480,0, 8546,640,480:waittimer 55791 blt 0,0,640,480,0, 8547,640,480:waittimer 55798 blt 0,0,640,480,0, 8548,640,480:waittimer 55804 blt 0,0,640,480,0, 8549,640,480:waittimer 55811 blt 0,0,640,480,0, 8550,640,480:waittimer 55817 blt 0,0,640,480,0, 8551,640,480:waittimer 55824 blt 0,0,640,480,0, 8552,640,480:waittimer 55831 blt 0,0,640,480,0, 8553,640,480:waittimer 55837 blt 0,0,640,480,0, 8554,640,480:waittimer 55844 blt 0,0,640,480,0, 8555,640,480:waittimer 55850 blt 0,0,640,480,0, 8556,640,480:waittimer 55857 blt 0,0,640,480,0, 8557,640,480:waittimer 55863 blt 0,0,640,480,0, 8558,640,480:waittimer 55870 blt 0,0,640,480,0, 8559,640,480:waittimer 55876 blt 0,0,640,480,0, 8560,640,480:waittimer 55883 blt 0,0,640,480,0, 8561,640,480:waittimer 55889 blt 0,0,640,480,0, 8562,640,480:waittimer 55896 blt 0,0,640,480,0, 8563,640,480:waittimer 55902 blt 0,0,640,480,0, 8564,640,480:waittimer 55909 blt 0,0,640,480,0, 8565,640,480:waittimer 55915 blt 0,0,640,480,0, 8566,640,480:waittimer 55922 blt 0,0,640,480,0, 8567,640,480:waittimer 55928 blt 0,0,640,480,0, 8568,640,480:waittimer 55935 blt 0,0,640,480,0, 8569,640,480:waittimer 55942 blt 0,0,640,480,0, 8570,640,480:waittimer 55948 blt 0,0,640,480,0, 8571,640,480:waittimer 55955 blt 0,0,640,480,0, 8572,640,480:waittimer 55961 blt 0,0,640,480,0, 8573,640,480:waittimer 55968 blt 0,0,640,480,0, 8574,640,480:waittimer 55974 blt 0,0,640,480,0, 8575,640,480:waittimer 55981 blt 0,0,640,480,0, 8576,640,480:waittimer 55987 blt 0,0,640,480,0, 8577,640,480:waittimer 55994 blt 0,0,640,480,0, 8578,640,480:waittimer 56000 blt 0,0,640,480,0, 8579,640,480:waittimer 56007 blt 0,0,640,480,0, 8580,640,480:waittimer 56013 blt 0,0,640,480,0, 8581,640,480:waittimer 56020 blt 0,0,640,480,0, 8582,640,480:waittimer 56026 blt 0,0,640,480,0, 8583,640,480:waittimer 56033 blt 0,0,640,480,0, 8584,640,480:waittimer 56039 blt 0,0,640,480,0, 8585,640,480:waittimer 56046 blt 0,0,640,480,0, 8586,640,480:waittimer 56052 blt 0,0,640,480,0, 8587,640,480:waittimer 56059 blt 0,0,640,480,0, 8588,640,480:waittimer 56066 blt 0,0,640,480,0, 8589,640,480:waittimer 56072 blt 0,0,640,480,0, 8590,640,480:waittimer 56079 blt 0,0,640,480,0, 8591,640,480:waittimer 56085 blt 0,0,640,480,0, 8592,640,480:waittimer 56092 blt 0,0,640,480,0, 8593,640,480:waittimer 56098 blt 0,0,640,480,0, 8594,640,480:waittimer 56105 blt 0,0,640,480,0, 8595,640,480:waittimer 56111 blt 0,0,640,480,0, 8596,640,480:waittimer 56118 blt 0,0,640,480,0, 8597,640,480:waittimer 56124 blt 0,0,640,480,0, 8598,640,480:waittimer 56131 blt 0,0,640,480,0, 8599,640,480:waittimer 56137 blt 0,0,640,480,0, 8600,640,480:waittimer 56144 blt 0,0,640,480,0, 8601,640,480:waittimer 56150 blt 0,0,640,480,0, 8602,640,480:waittimer 56157 blt 0,0,640,480,0, 8603,640,480:waittimer 56163 blt 0,0,640,480,0, 8604,640,480:waittimer 56170 blt 0,0,640,480,0, 8605,640,480:waittimer 56177 blt 0,0,640,480,0, 8606,640,480:waittimer 56183 blt 0,0,640,480,0, 8607,640,480:waittimer 56190 blt 0,0,640,480,0, 8608,640,480:waittimer 56196 blt 0,0,640,480,0, 8609,640,480:waittimer 56203 blt 0,0,640,480,0, 8610,640,480:waittimer 56209 blt 0,0,640,480,0, 8611,640,480:waittimer 56216 blt 0,0,640,480,0, 8612,640,480:waittimer 56222 blt 0,0,640,480,0, 8613,640,480:waittimer 56229 blt 0,0,640,480,0, 8614,640,480:waittimer 56235 blt 0,0,640,480,0, 8615,640,480:waittimer 56242 blt 0,0,640,480,0, 8616,640,480:waittimer 56248 blt 0,0,640,480,0, 8617,640,480:waittimer 56255 blt 0,0,640,480,0, 8618,640,480:waittimer 56261 blt 0,0,640,480,0, 8619,640,480:waittimer 56268 blt 0,0,640,480,0, 8620,640,480:waittimer 56274 blt 0,0,640,480,0, 8621,640,480:waittimer 56281 blt 0,0,640,480,0, 8622,640,480:waittimer 56288 blt 0,0,640,480,0, 8623,640,480:waittimer 56294 blt 0,0,640,480,0, 8624,640,480:waittimer 56301 blt 0,0,640,480,0, 8625,640,480:waittimer 56307 blt 0,0,640,480,0, 8626,640,480:waittimer 56314 blt 0,0,640,480,0, 8627,640,480:waittimer 56320 blt 0,0,640,480,0, 8628,640,480:waittimer 56327 blt 0,0,640,480,0, 8629,640,480:waittimer 56333 blt 0,0,640,480,0, 8630,640,480:waittimer 56340 blt 0,0,640,480,0, 8631,640,480:waittimer 56346 blt 0,0,640,480,0, 8632,640,480:waittimer 56353 blt 0,0,640,480,0, 8633,640,480:waittimer 56359 blt 0,0,640,480,0, 8634,640,480:waittimer 56366 blt 0,0,640,480,0, 8635,640,480:waittimer 56372 blt 0,0,640,480,0, 8636,640,480:waittimer 56379 blt 0,0,640,480,0, 8637,640,480:waittimer 56385 blt 0,0,640,480,0, 8638,640,480:waittimer 56392 blt 0,0,640,480,0, 8639,640,480:waittimer 56398 blt 0,0,640,480,0, 8640,640,480:waittimer 56405 blt 0,0,640,480,0, 8641,640,480:waittimer 56412 blt 0,0,640,480,0, 8642,640,480:waittimer 56418 blt 0,0,640,480,0, 8643,640,480:waittimer 56425 blt 0,0,640,480,0, 8644,640,480:waittimer 56431 blt 0,0,640,480,0, 8645,640,480:waittimer 56438 blt 0,0,640,480,0, 8646,640,480:waittimer 56444 blt 0,0,640,480,0, 8647,640,480:waittimer 56451 blt 0,0,640,480,0, 8648,640,480:waittimer 56457 blt 0,0,640,480,0, 8649,640,480:waittimer 56464 blt 0,0,640,480,0, 8650,640,480:waittimer 56470 blt 0,0,640,480,0, 8651,640,480:waittimer 56477 blt 0,0,640,480,0, 8652,640,480:waittimer 56483 blt 0,0,640,480,0, 8653,640,480:waittimer 56490 blt 0,0,640,480,0, 8654,640,480:waittimer 56496 blt 0,0,640,480,0, 8655,640,480:waittimer 56503 blt 0,0,640,480,0, 8656,640,480:waittimer 56509 blt 0,0,640,480,0, 8657,640,480:waittimer 56516 blt 0,0,640,480,0, 8658,640,480:waittimer 56523 blt 0,0,640,480,0, 8659,640,480:waittimer 56529 blt 0,0,640,480,0, 8660,640,480:waittimer 56536 blt 0,0,640,480,0, 8661,640,480:waittimer 56542 blt 0,0,640,480,0, 8662,640,480:waittimer 56549 blt 0,0,640,480,0, 8663,640,480:waittimer 56555 blt 0,0,640,480,0, 8664,640,480:waittimer 56562 blt 0,0,640,480,0, 8665,640,480:waittimer 56568 blt 0,0,640,480,0, 8666,640,480:waittimer 56575 blt 0,0,640,480,0, 8667,640,480:waittimer 56581 blt 0,0,640,480,0, 8668,640,480:waittimer 56588 blt 0,0,640,480,0, 8669,640,480:waittimer 56594 blt 0,0,640,480,0, 8670,640,480:waittimer 56601 blt 0,0,640,480,0, 8671,640,480:waittimer 56607 blt 0,0,640,480,0, 8672,640,480:waittimer 56614 blt 0,0,640,480,0, 8673,640,480:waittimer 56620 blt 0,0,640,480,0, 8674,640,480:waittimer 56627 blt 0,0,640,480,0, 8675,640,480:waittimer 56634 blt 0,0,640,480,0, 8676,640,480:waittimer 56640 blt 0,0,640,480,0, 8677,640,480:waittimer 56647 blt 0,0,640,480,0, 8678,640,480:waittimer 56653 blt 0,0,640,480,0, 8679,640,480:waittimer 56660 blt 0,0,640,480,0, 8680,640,480:waittimer 56666 blt 0,0,640,480,0, 8681,640,480:waittimer 56673 blt 0,0,640,480,0, 8682,640,480:waittimer 56679 blt 0,0,640,480,0, 8683,640,480:waittimer 56686 blt 0,0,640,480,0, 8684,640,480:waittimer 56692 blt 0,0,640,480,0, 8685,640,480:waittimer 56699 blt 0,0,640,480,0, 8686,640,480:waittimer 56705 blt 0,0,640,480,0, 8687,640,480:waittimer 56712 blt 0,0,640,480,0, 8688,640,480:waittimer 56718 blt 0,0,640,480,0, 8689,640,480:waittimer 56725 blt 0,0,640,480,0, 8690,640,480:waittimer 56731 blt 0,0,640,480,0, 8691,640,480:waittimer 56738 blt 0,0,640,480,0, 8692,640,480:waittimer 56745 blt 0,0,640,480,0, 8693,640,480:waittimer 56751 blt 0,0,640,480,0, 8694,640,480:waittimer 56758 blt 0,0,640,480,0, 8695,640,480:waittimer 56764 blt 0,0,640,480,0, 8696,640,480:waittimer 56771 blt 0,0,640,480,0, 8697,640,480:waittimer 56777 blt 0,0,640,480,0, 8698,640,480:waittimer 56784 blt 0,0,640,480,0, 8699,640,480:waittimer 56790 blt 0,0,640,480,0, 8700,640,480:waittimer 56797 blt 0,0,640,480,0, 8701,640,480:waittimer 56803 blt 0,0,640,480,0, 8702,640,480:waittimer 56810 blt 0,0,640,480,0, 8703,640,480:waittimer 56816 blt 0,0,640,480,0, 8704,640,480:waittimer 56823 blt 0,0,640,480,0, 8705,640,480:waittimer 56829 blt 0,0,640,480,0, 8706,640,480:waittimer 56836 blt 0,0,640,480,0, 8707,640,480:waittimer 56842 blt 0,0,640,480,0, 8708,640,480:waittimer 56849 blt 0,0,640,480,0, 8709,640,480:waittimer 56855 blt 0,0,640,480,0, 8710,640,480:waittimer 56862 blt 0,0,640,480,0, 8711,640,480:waittimer 56869 blt 0,0,640,480,0, 8712,640,480:waittimer 56875 blt 0,0,640,480,0, 8713,640,480:waittimer 56882 blt 0,0,640,480,0, 8714,640,480:waittimer 56888 blt 0,0,640,480,0, 8715,640,480:waittimer 56895 blt 0,0,640,480,0, 8716,640,480:waittimer 56901 blt 0,0,640,480,0, 8717,640,480:waittimer 56908 blt 0,0,640,480,0, 8718,640,480:waittimer 56914 blt 0,0,640,480,0, 8719,640,480:waittimer 56921 blt 0,0,640,480,0, 8720,640,480:waittimer 56927 blt 0,0,640,480,0, 8721,640,480:waittimer 56934 blt 0,0,640,480,0, 8722,640,480:waittimer 56940 blt 0,0,640,480,0, 8723,640,480:waittimer 56947 blt 0,0,640,480,0, 8724,640,480:waittimer 56953 blt 0,0,640,480,0, 8725,640,480:waittimer 56960 blt 0,0,640,480,0, 8726,640,480:waittimer 56966 blt 0,0,640,480,0, 8727,640,480:waittimer 56973 blt 0,0,640,480,0, 8728,640,480:waittimer 56980 blt 0,0,640,480,0, 8729,640,480:waittimer 56986 blt 0,0,640,480,0, 8730,640,480:waittimer 56993 blt 0,0,640,480,0, 8731,640,480:waittimer 56999 blt 0,0,640,480,0, 8732,640,480:waittimer 57006 blt 0,0,640,480,0, 8733,640,480:waittimer 57012 blt 0,0,640,480,0, 8734,640,480:waittimer 57019 blt 0,0,640,480,0, 8735,640,480:waittimer 57025 blt 0,0,640,480,0, 8736,640,480:waittimer 57032 blt 0,0,640,480,0, 8737,640,480:waittimer 57038 blt 0,0,640,480,0, 8738,640,480:waittimer 57045 blt 0,0,640,480,0, 8739,640,480:waittimer 57051 blt 0,0,640,480,0, 8740,640,480:waittimer 57058 blt 0,0,640,480,0, 8741,640,480:waittimer 57064 blt 0,0,640,480,0, 8742,640,480:waittimer 57071 blt 0,0,640,480,0, 8743,640,480:waittimer 57077 blt 0,0,640,480,0, 8744,640,480:waittimer 57084 blt 0,0,640,480,0, 8745,640,480:waittimer 57091 blt 0,0,640,480,0, 8746,640,480:waittimer 57097 blt 0,0,640,480,0, 8747,640,480:waittimer 57104 blt 0,0,640,480,0, 8748,640,480:waittimer 57110 blt 0,0,640,480,0, 8749,640,480:waittimer 57117 blt 0,0,640,480,0, 8750,640,480:waittimer 57123 blt 0,0,640,480,0, 8751,640,480:waittimer 57130 blt 0,0,640,480,0, 8752,640,480:waittimer 57136 blt 0,0,640,480,0, 8753,640,480:waittimer 57143 blt 0,0,640,480,0, 8754,640,480:waittimer 57149 blt 0,0,640,480,0, 8755,640,480:waittimer 57156 blt 0,0,640,480,0, 8756,640,480:waittimer 57162 blt 0,0,640,480,0, 8757,640,480:waittimer 57169 blt 0,0,640,480,0, 8758,640,480:waittimer 57175 blt 0,0,640,480,0, 8759,640,480:waittimer 57182 blt 0,0,640,480,0, 8760,640,480:waittimer 57188 blt 0,0,640,480,0, 8761,640,480:waittimer 57195 blt 0,0,640,480,0, 8762,640,480:waittimer 57201 blt 0,0,640,480,0, 8763,640,480:waittimer 57208 blt 0,0,640,480,0, 8764,640,480:waittimer 57215 blt 0,0,640,480,0, 8765,640,480:waittimer 57221 blt 0,0,640,480,0, 8766,640,480:waittimer 57228 blt 0,0,640,480,0, 8767,640,480:waittimer 57234 blt 0,0,640,480,0, 8768,640,480:waittimer 57241 blt 0,0,640,480,0, 8769,640,480:waittimer 57247 blt 0,0,640,480,0, 8770,640,480:waittimer 57254 blt 0,0,640,480,0, 8771,640,480:waittimer 57260 blt 0,0,640,480,0, 8772,640,480:waittimer 57267 blt 0,0,640,480,0, 8773,640,480:waittimer 57273 blt 0,0,640,480,0, 8774,640,480:waittimer 57280 blt 0,0,640,480,0, 8775,640,480:waittimer 57286 blt 0,0,640,480,0, 8776,640,480:waittimer 57293 blt 0,0,640,480,0, 8777,640,480:waittimer 57299 blt 0,0,640,480,0, 8778,640,480:waittimer 57306 blt 0,0,640,480,0, 8779,640,480:waittimer 57312 blt 0,0,640,480,0, 8780,640,480:waittimer 57319 blt 0,0,640,480,0, 8781,640,480:waittimer 57326 blt 0,0,640,480,0, 8782,640,480:waittimer 57332 blt 0,0,640,480,0, 8783,640,480:waittimer 57339 blt 0,0,640,480,0, 8784,640,480:waittimer 57345 blt 0,0,640,480,0, 8785,640,480:waittimer 57352 blt 0,0,640,480,0, 8786,640,480:waittimer 57358 blt 0,0,640,480,0, 8787,640,480:waittimer 57365 blt 0,0,640,480,0, 8788,640,480:waittimer 57371 blt 0,0,640,480,0, 8789,640,480:waittimer 57378 blt 0,0,640,480,0, 8790,640,480:waittimer 57384 blt 0,0,640,480,0, 8791,640,480:waittimer 57391 blt 0,0,640,480,0, 8792,640,480:waittimer 57397 blt 0,0,640,480,0, 8793,640,480:waittimer 57404 blt 0,0,640,480,0, 8794,640,480:waittimer 57410 blt 0,0,640,480,0, 8795,640,480:waittimer 57417 blt 0,0,640,480,0, 8796,640,480:waittimer 57423 blt 0,0,640,480,0, 8797,640,480:waittimer 57430 blt 0,0,640,480,0, 8798,640,480:waittimer 57437 blt 0,0,640,480,0, 8799,640,480:waittimer 57443 blt 0,0,640,480,0, 8800,640,480:waittimer 57450 blt 0,0,640,480,0, 8801,640,480:waittimer 57456 blt 0,0,640,480,0, 8802,640,480:waittimer 57463 blt 0,0,640,480,0, 8803,640,480:waittimer 57469 blt 0,0,640,480,0, 8804,640,480:waittimer 57476 blt 0,0,640,480,0, 8805,640,480:waittimer 57482 blt 0,0,640,480,0, 8806,640,480:waittimer 57489 blt 0,0,640,480,0, 8807,640,480:waittimer 57495 blt 0,0,640,480,0, 8808,640,480:waittimer 57502 blt 0,0,640,480,0, 8809,640,480:waittimer 57508 blt 0,0,640,480,0, 8810,640,480:waittimer 57515 blt 0,0,640,480,0, 8811,640,480:waittimer 57521 blt 0,0,640,480,0, 8812,640,480:waittimer 57528 blt 0,0,640,480,0, 8813,640,480:waittimer 57534 blt 0,0,640,480,0, 8814,640,480:waittimer 57541 blt 0,0,640,480,0, 8815,640,480:waittimer 57548 blt 0,0,640,480,0, 8816,640,480:waittimer 57554 blt 0,0,640,480,0, 8817,640,480:waittimer 57561 blt 0,0,640,480,0, 8818,640,480:waittimer 57567 blt 0,0,640,480,0, 8819,640,480:waittimer 57574 blt 0,0,640,480,0, 8820,640,480:waittimer 57580 blt 0,0,640,480,0, 8821,640,480:waittimer 57587 blt 0,0,640,480,0, 8822,640,480:waittimer 57593 blt 0,0,640,480,0, 8823,640,480:waittimer 57600 blt 0,0,640,480,0, 8824,640,480:waittimer 57606 blt 0,0,640,480,0, 8825,640,480:waittimer 57613 blt 0,0,640,480,0, 8826,640,480:waittimer 57619 blt 0,0,640,480,0, 8827,640,480:waittimer 57626 blt 0,0,640,480,0, 8828,640,480:waittimer 57632 blt 0,0,640,480,0, 8829,640,480:waittimer 57639 blt 0,0,640,480,0, 8830,640,480:waittimer 57645 blt 0,0,640,480,0, 8831,640,480:waittimer 57652 blt 0,0,640,480,0, 8832,640,480:waittimer 57658 blt 0,0,640,480,0, 8833,640,480:waittimer 57665 blt 0,0,640,480,0, 8834,640,480:waittimer 57672 blt 0,0,640,480,0, 8835,640,480:waittimer 57678 blt 0,0,640,480,0, 8836,640,480:waittimer 57685 blt 0,0,640,480,0, 8837,640,480:waittimer 57691 blt 0,0,640,480,0, 8838,640,480:waittimer 57698 blt 0,0,640,480,0, 8839,640,480:waittimer 57704 blt 0,0,640,480,0, 8840,640,480:waittimer 57711 blt 0,0,640,480,0, 8841,640,480:waittimer 57717 blt 0,0,640,480,0, 8842,640,480:waittimer 57724 blt 0,0,640,480,0, 8843,640,480:waittimer 57730 blt 0,0,640,480,0, 8844,640,480:waittimer 57737 blt 0,0,640,480,0, 8845,640,480:waittimer 57743 blt 0,0,640,480,0, 8846,640,480:waittimer 57750 blt 0,0,640,480,0, 8847,640,480:waittimer 57756 blt 0,0,640,480,0, 8848,640,480:waittimer 57763 blt 0,0,640,480,0, 8849,640,480:waittimer 57769 blt 0,0,640,480,0, 8850,640,480:waittimer 57776 blt 0,0,640,480,0, 8851,640,480:waittimer 57783 blt 0,0,640,480,0, 8852,640,480:waittimer 57789 blt 0,0,640,480,0, 8853,640,480:waittimer 57796 blt 0,0,640,480,0, 8854,640,480:waittimer 57802 blt 0,0,640,480,0, 8855,640,480:waittimer 57809 blt 0,0,640,480,0, 8856,640,480:waittimer 57815 blt 0,0,640,480,0, 8857,640,480:waittimer 57822 blt 0,0,640,480,0, 8858,640,480:waittimer 57828 blt 0,0,640,480,0, 8859,640,480:waittimer 57835 blt 0,0,640,480,0, 8860,640,480:waittimer 57841 blt 0,0,640,480,0, 8861,640,480:waittimer 57848 blt 0,0,640,480,0, 8862,640,480:waittimer 57854 blt 0,0,640,480,0, 8863,640,480:waittimer 57861 blt 0,0,640,480,0, 8864,640,480:waittimer 57867 blt 0,0,640,480,0, 8865,640,480:waittimer 57874 blt 0,0,640,480,0, 8866,640,480:waittimer 57880 blt 0,0,640,480,0, 8867,640,480:waittimer 57887 blt 0,0,640,480,0, 8868,640,480:waittimer 57894 blt 0,0,640,480,0, 8869,640,480:waittimer 57900 blt 0,0,640,480,0, 8870,640,480:waittimer 57907 blt 0,0,640,480,0, 8871,640,480:waittimer 57913 blt 0,0,640,480,0, 8872,640,480:waittimer 57920 blt 0,0,640,480,0, 8873,640,480:waittimer 57926 blt 0,0,640,480,0, 8874,640,480:waittimer 57933 blt 0,0,640,480,0, 8875,640,480:waittimer 57939 blt 0,0,640,480,0, 8876,640,480:waittimer 57946 blt 0,0,640,480,0, 8877,640,480:waittimer 57952 blt 0,0,640,480,0, 8878,640,480:waittimer 57959 blt 0,0,640,480,0, 8879,640,480:waittimer 57965 blt 0,0,640,480,0, 8880,640,480:waittimer 57972 blt 0,0,640,480,0, 8881,640,480:waittimer 57978 blt 0,0,640,480,0, 8882,640,480:waittimer 57985 blt 0,0,640,480,0, 8883,640,480:waittimer 57991 blt 0,0,640,480,0, 8884,640,480:waittimer 57998 blt 0,0,640,480,0, 8885,640,480:waittimer 58004 blt 0,0,640,480,0, 8886,640,480:waittimer 58011 blt 0,0,640,480,0, 8887,640,480:waittimer 58018 blt 0,0,640,480,0, 8888,640,480:waittimer 58024 blt 0,0,640,480,0, 8889,640,480:waittimer 58031 blt 0,0,640,480,0, 8890,640,480:waittimer 58037 blt 0,0,640,480,0, 8891,640,480:waittimer 58044 blt 0,0,640,480,0, 8892,640,480:waittimer 58050 blt 0,0,640,480,0, 8893,640,480:waittimer 58057 blt 0,0,640,480,0, 8894,640,480:waittimer 58063 blt 0,0,640,480,0, 8895,640,480:waittimer 58070 blt 0,0,640,480,0, 8896,640,480:waittimer 58076 blt 0,0,640,480,0, 8897,640,480:waittimer 58083 blt 0,0,640,480,0, 8898,640,480:waittimer 58089 blt 0,0,640,480,0, 8899,640,480:waittimer 58096 blt 0,0,640,480,0, 8900,640,480:waittimer 58102 blt 0,0,640,480,0, 8901,640,480:waittimer 58109 blt 0,0,640,480,0, 8902,640,480:waittimer 58115 blt 0,0,640,480,0, 8903,640,480:waittimer 58122 blt 0,0,640,480,0, 8904,640,480:waittimer 58129 blt 0,0,640,480,0, 8905,640,480:waittimer 58135 blt 0,0,640,480,0, 8906,640,480:waittimer 58142 blt 0,0,640,480,0, 8907,640,480:waittimer 58148 blt 0,0,640,480,0, 8908,640,480:waittimer 58155 blt 0,0,640,480,0, 8909,640,480:waittimer 58161 blt 0,0,640,480,0, 8910,640,480:waittimer 58168 blt 0,0,640,480,0, 8911,640,480:waittimer 58174 blt 0,0,640,480,0, 8912,640,480:waittimer 58181 blt 0,0,640,480,0, 8913,640,480:waittimer 58187 blt 0,0,640,480,0, 8914,640,480:waittimer 58194 blt 0,0,640,480,0, 8915,640,480:waittimer 58200 blt 0,0,640,480,0, 8916,640,480:waittimer 58207 blt 0,0,640,480,0, 8917,640,480:waittimer 58213 blt 0,0,640,480,0, 8918,640,480:waittimer 58220 blt 0,0,640,480,0, 8919,640,480:waittimer 58226 blt 0,0,640,480,0, 8920,640,480:waittimer 58233 blt 0,0,640,480,0, 8921,640,480:waittimer 58240 blt 0,0,640,480,0, 8922,640,480:waittimer 58246 blt 0,0,640,480,0, 8923,640,480:waittimer 58253 blt 0,0,640,480,0, 8924,640,480:waittimer 58259 blt 0,0,640,480,0, 8925,640,480:waittimer 58266 blt 0,0,640,480,0, 8926,640,480:waittimer 58272 blt 0,0,640,480,0, 8927,640,480:waittimer 58279 blt 0,0,640,480,0, 8928,640,480:waittimer 58285 blt 0,0,640,480,0, 8929,640,480:waittimer 58292 blt 0,0,640,480,0, 8930,640,480:waittimer 58298 blt 0,0,640,480,0, 8931,640,480:waittimer 58305 blt 0,0,640,480,0, 8932,640,480:waittimer 58311 blt 0,0,640,480,0, 8933,640,480:waittimer 58318 blt 0,0,640,480,0, 8934,640,480:waittimer 58324 blt 0,0,640,480,0, 8935,640,480:waittimer 58331 blt 0,0,640,480,0, 8936,640,480:waittimer 58337 blt 0,0,640,480,0, 8937,640,480:waittimer 58344 blt 0,0,640,480,0, 8938,640,480:waittimer 58350 blt 0,0,640,480,0, 8939,640,480:waittimer 58357 blt 0,0,640,480,0, 8940,640,480:waittimer 58364 blt 0,0,640,480,0, 8941,640,480:waittimer 58370 blt 0,0,640,480,0, 8942,640,480:waittimer 58377 blt 0,0,640,480,0, 8943,640,480:waittimer 58383 blt 0,0,640,480,0, 8944,640,480:waittimer 58390 blt 0,0,640,480,0, 8945,640,480:waittimer 58396 blt 0,0,640,480,0, 8946,640,480:waittimer 58403 blt 0,0,640,480,0, 8947,640,480:waittimer 58409 blt 0,0,640,480,0, 8948,640,480:waittimer 58416 blt 0,0,640,480,0, 8949,640,480:waittimer 58422 blt 0,0,640,480,0, 8950,640,480:waittimer 58429 blt 0,0,640,480,0, 8951,640,480:waittimer 58435 blt 0,0,640,480,0, 8952,640,480:waittimer 58442 blt 0,0,640,480,0, 8953,640,480:waittimer 58448 blt 0,0,640,480,0, 8954,640,480:waittimer 58455 blt 0,0,640,480,0, 8955,640,480:waittimer 58461 blt 0,0,640,480,0, 8956,640,480:waittimer 58468 blt 0,0,640,480,0, 8957,640,480:waittimer 58475 blt 0,0,640,480,0, 8958,640,480:waittimer 58481 blt 0,0,640,480,0, 8959,640,480:waittimer 58488 blt 0,0,640,480,0, 8960,640,480:waittimer 58494 blt 0,0,640,480,0, 8961,640,480:waittimer 58501 blt 0,0,640,480,0, 8962,640,480:waittimer 58507 blt 0,0,640,480,0, 8963,640,480:waittimer 58514 blt 0,0,640,480,0, 8964,640,480:waittimer 58520 blt 0,0,640,480,0, 8965,640,480:waittimer 58527 blt 0,0,640,480,0, 8966,640,480:waittimer 58533 blt 0,0,640,480,0, 8967,640,480:waittimer 58540 blt 0,0,640,480,0, 8968,640,480:waittimer 58546 blt 0,0,640,480,0, 8969,640,480:waittimer 58553 blt 0,0,640,480,0, 8970,640,480:waittimer 58559 blt 0,0,640,480,0, 8971,640,480:waittimer 58566 blt 0,0,640,480,0, 8972,640,480:waittimer 58572 blt 0,0,640,480,0, 8973,640,480:waittimer 58579 blt 0,0,640,480,0, 8974,640,480:waittimer 58586 blt 0,0,640,480,0, 8975,640,480:waittimer 58592 blt 0,0,640,480,0, 8976,640,480:waittimer 58599 blt 0,0,640,480,0, 8977,640,480:waittimer 58605 blt 0,0,640,480,0, 8978,640,480:waittimer 58612 blt 0,0,640,480,0, 8979,640,480:waittimer 58618 blt 0,0,640,480,0, 8980,640,480:waittimer 58625 blt 0,0,640,480,0, 8981,640,480:waittimer 58631 blt 0,0,640,480,0, 8982,640,480:waittimer 58638 blt 0,0,640,480,0, 8983,640,480:waittimer 58644 blt 0,0,640,480,0, 8984,640,480:waittimer 58651 blt 0,0,640,480,0, 8985,640,480:waittimer 58657 blt 0,0,640,480,0, 8986,640,480:waittimer 58664 blt 0,0,640,480,0, 8987,640,480:waittimer 58670 blt 0,0,640,480,0, 8988,640,480:waittimer 58677 blt 0,0,640,480,0, 8989,640,480:waittimer 58683 blt 0,0,640,480,0, 8990,640,480:waittimer 58690 blt 0,0,640,480,0, 8991,640,480:waittimer 58697 blt 0,0,640,480,0, 8992,640,480:waittimer 58703 blt 0,0,640,480,0, 8993,640,480:waittimer 58710 blt 0,0,640,480,0, 8994,640,480:waittimer 58716 blt 0,0,640,480,0, 8995,640,480:waittimer 58723 blt 0,0,640,480,0, 8996,640,480:waittimer 58729 blt 0,0,640,480,0, 8997,640,480:waittimer 58736 blt 0,0,640,480,0, 8998,640,480:waittimer 58742 blt 0,0,640,480,0, 8999,640,480:waittimer 58749 blt 0,0,640,480,0, 9000,640,480:waittimer 58755 blt 0,0,640,480,0, 9001,640,480:waittimer 58762 blt 0,0,640,480,0, 9002,640,480:waittimer 58768 blt 0,0,640,480,0, 9003,640,480:waittimer 58775 blt 0,0,640,480,0, 9004,640,480:waittimer 58781 blt 0,0,640,480,0, 9005,640,480:waittimer 58788 blt 0,0,640,480,0, 9006,640,480:waittimer 58794 blt 0,0,640,480,0, 9007,640,480:waittimer 58801 blt 0,0,640,480,0, 9008,640,480:waittimer 58807 blt 0,0,640,480,0, 9009,640,480:waittimer 58814 blt 0,0,640,480,0, 9010,640,480:waittimer 58821 blt 0,0,640,480,0, 9011,640,480:waittimer 58827 blt 0,0,640,480,0, 9012,640,480:waittimer 58834 blt 0,0,640,480,0, 9013,640,480:waittimer 58840 blt 0,0,640,480,0, 9014,640,480:waittimer 58847 blt 0,0,640,480,0, 9015,640,480:waittimer 58853 blt 0,0,640,480,0, 9016,640,480:waittimer 58860 blt 0,0,640,480,0, 9017,640,480:waittimer 58866 blt 0,0,640,480,0, 9018,640,480:waittimer 58873 blt 0,0,640,480,0, 9019,640,480:waittimer 58879 blt 0,0,640,480,0, 9020,640,480:waittimer 58886 blt 0,0,640,480,0, 9021,640,480:waittimer 58892 blt 0,0,640,480,0, 9022,640,480:waittimer 58899 blt 0,0,640,480,0, 9023,640,480:waittimer 58905 blt 0,0,640,480,0, 9024,640,480:waittimer 58912 blt 0,0,640,480,0, 9025,640,480:waittimer 58918 blt 0,0,640,480,0, 9026,640,480:waittimer 58925 blt 0,0,640,480,0, 9027,640,480:waittimer 58932 blt 0,0,640,480,0, 9028,640,480:waittimer 58938 blt 0,0,640,480,0, 9029,640,480:waittimer 58945 blt 0,0,640,480,0, 9030,640,480:waittimer 58951 blt 0,0,640,480,0, 9031,640,480:waittimer 58958 blt 0,0,640,480,0, 9032,640,480:waittimer 58964 blt 0,0,640,480,0, 9033,640,480:waittimer 58971 blt 0,0,640,480,0, 9034,640,480:waittimer 58977 blt 0,0,640,480,0, 9035,640,480:waittimer 58984 blt 0,0,640,480,0, 9036,640,480:waittimer 58990 blt 0,0,640,480,0, 9037,640,480:waittimer 58997 blt 0,0,640,480,0, 9038,640,480:waittimer 59003 blt 0,0,640,480,0, 9039,640,480:waittimer 59010 blt 0,0,640,480,0, 9040,640,480:waittimer 59016 blt 0,0,640,480,0, 9041,640,480:waittimer 59023 blt 0,0,640,480,0, 9042,640,480:waittimer 59029 blt 0,0,640,480,0, 9043,640,480:waittimer 59036 blt 0,0,640,480,0, 9044,640,480:waittimer 59043 blt 0,0,640,480,0, 9045,640,480:waittimer 59049 blt 0,0,640,480,0, 9046,640,480:waittimer 59056 blt 0,0,640,480,0, 9047,640,480:waittimer 59062 blt 0,0,640,480,0, 9048,640,480:waittimer 59069 blt 0,0,640,480,0, 9049,640,480:waittimer 59075 blt 0,0,640,480,0, 9050,640,480:waittimer 59082 blt 0,0,640,480,0, 9051,640,480:waittimer 59088 blt 0,0,640,480,0, 9052,640,480:waittimer 59095 blt 0,0,640,480,0, 9053,640,480:waittimer 59101 blt 0,0,640,480,0, 9054,640,480:waittimer 59108 blt 0,0,640,480,0, 9055,640,480:waittimer 59114 blt 0,0,640,480,0, 9056,640,480:waittimer 59121 blt 0,0,640,480,0, 9057,640,480:waittimer 59127 blt 0,0,640,480,0, 9058,640,480:waittimer 59134 blt 0,0,640,480,0, 9059,640,480:waittimer 59140 blt 0,0,640,480,0, 9060,640,480:waittimer 59147 blt 0,0,640,480,0, 9061,640,480:waittimer 59153 blt 0,0,640,480,0, 9062,640,480:waittimer 59160 blt 0,0,640,480,0, 9063,640,480:waittimer 59167 blt 0,0,640,480,0, 9064,640,480:waittimer 59173 blt 0,0,640,480,0, 9065,640,480:waittimer 59180 blt 0,0,640,480,0, 9066,640,480:waittimer 59186 blt 0,0,640,480,0, 9067,640,480:waittimer 59193 blt 0,0,640,480,0, 9068,640,480:waittimer 59199 blt 0,0,640,480,0, 9069,640,480:waittimer 59206 blt 0,0,640,480,0, 9070,640,480:waittimer 59212 blt 0,0,640,480,0, 9071,640,480:waittimer 59219 blt 0,0,640,480,0, 9072,640,480:waittimer 59225 blt 0,0,640,480,0, 9073,640,480:waittimer 59232 blt 0,0,640,480,0, 9074,640,480:waittimer 59238 blt 0,0,640,480,0, 9075,640,480:waittimer 59245 blt 0,0,640,480,0, 9076,640,480:waittimer 59251 blt 0,0,640,480,0, 9077,640,480:waittimer 59258 blt 0,0,640,480,0, 9078,640,480:waittimer 59264 blt 0,0,640,480,0, 9079,640,480:waittimer 59271 blt 0,0,640,480,0, 9080,640,480:waittimer 59278 blt 0,0,640,480,0, 9081,640,480:waittimer 59284 blt 0,0,640,480,0, 9082,640,480:waittimer 59291 blt 0,0,640,480,0, 9083,640,480:waittimer 59297 blt 0,0,640,480,0, 9084,640,480:waittimer 59304 blt 0,0,640,480,0, 9085,640,480:waittimer 59310 blt 0,0,640,480,0, 9086,640,480:waittimer 59317 blt 0,0,640,480,0, 9087,640,480:waittimer 59323 blt 0,0,640,480,0, 9088,640,480:waittimer 59330 blt 0,0,640,480,0, 9089,640,480:waittimer 59336 blt 0,0,640,480,0, 9090,640,480:waittimer 59343 blt 0,0,640,480,0, 9091,640,480:waittimer 59349 blt 0,0,640,480,0, 9092,640,480:waittimer 59356 blt 0,0,640,480,0, 9093,640,480:waittimer 59362 blt 0,0,640,480,0, 9094,640,480:waittimer 59369 blt 0,0,640,480,0, 9095,640,480:waittimer 59375 blt 0,0,640,480,0, 9096,640,480:waittimer 59382 blt 0,0,640,480,0, 9097,640,480:waittimer 59389 blt 0,0,640,480,0, 9098,640,480:waittimer 59395 blt 0,0,640,480,0, 9099,640,480:waittimer 59402 blt 0,0,640,480,0, 9100,640,480:waittimer 59408 blt 0,0,640,480,0, 9101,640,480:waittimer 59415 blt 0,0,640,480,0, 9102,640,480:waittimer 59421 blt 0,0,640,480,0, 9103,640,480:waittimer 59428 blt 0,0,640,480,0, 9104,640,480:waittimer 59434 blt 0,0,640,480,0, 9105,640,480:waittimer 59441 blt 0,0,640,480,0, 9106,640,480:waittimer 59447 blt 0,0,640,480,0, 9107,640,480:waittimer 59454 blt 0,0,640,480,0, 9108,640,480:waittimer 59460 blt 0,0,640,480,0, 9109,640,480:waittimer 59467 blt 0,0,640,480,0, 9110,640,480:waittimer 59473 blt 0,0,640,480,0, 9111,640,480:waittimer 59480 blt 0,0,640,480,0, 9112,640,480:waittimer 59486 blt 0,0,640,480,0, 9113,640,480:waittimer 59493 blt 0,0,640,480,0, 9114,640,480:waittimer 59499 blt 0,0,640,480,0, 9115,640,480:waittimer 59506 blt 0,0,640,480,0, 9116,640,480:waittimer 59513 blt 0,0,640,480,0, 9117,640,480:waittimer 59519 blt 0,0,640,480,0, 9118,640,480:waittimer 59526 blt 0,0,640,480,0, 9119,640,480:waittimer 59532 blt 0,0,640,480,0, 9120,640,480:waittimer 59539 blt 0,0,640,480,0, 9121,640,480:waittimer 59545 blt 0,0,640,480,0, 9122,640,480:waittimer 59552 blt 0,0,640,480,0, 9123,640,480:waittimer 59558 blt 0,0,640,480,0, 9124,640,480:waittimer 59565 blt 0,0,640,480,0, 9125,640,480:waittimer 59571 blt 0,0,640,480,0, 9126,640,480:waittimer 59578 blt 0,0,640,480,0, 9127,640,480:waittimer 59584 blt 0,0,640,480,0, 9128,640,480:waittimer 59591 blt 0,0,640,480,0, 9129,640,480:waittimer 59597 blt 0,0,640,480,0, 9130,640,480:waittimer 59604 blt 0,0,640,480,0, 9131,640,480:waittimer 59610 blt 0,0,640,480,0, 9132,640,480:waittimer 59617 blt 0,0,640,480,0, 9133,640,480:waittimer 59624 blt 0,0,640,480,0, 9134,640,480:waittimer 59630 blt 0,0,640,480,0, 9135,640,480:waittimer 59637 blt 0,0,640,480,0, 9136,640,480:waittimer 59643 blt 0,0,640,480,0, 9137,640,480:waittimer 59650 blt 0,0,640,480,0, 9138,640,480:waittimer 59656 blt 0,0,640,480,0, 9139,640,480:waittimer 59663 blt 0,0,640,480,0, 9140,640,480:waittimer 59669 blt 0,0,640,480,0, 9141,640,480:waittimer 59676 blt 0,0,640,480,0, 9142,640,480:waittimer 59682 blt 0,0,640,480,0, 9143,640,480:waittimer 59689 blt 0,0,640,480,0, 9144,640,480:waittimer 59695 blt 0,0,640,480,0, 9145,640,480:waittimer 59702 blt 0,0,640,480,0, 9146,640,480:waittimer 59708 blt 0,0,640,480,0, 9147,640,480:waittimer 59715 blt 0,0,640,480,0, 9148,640,480:waittimer 59721 blt 0,0,640,480,0, 9149,640,480:waittimer 59728 blt 0,0,640,480,0, 9150,640,480:waittimer 59735 blt 0,0,640,480,0, 9151,640,480:waittimer 59741 blt 0,0,640,480,0, 9152,640,480:waittimer 59748 blt 0,0,640,480,0, 9153,640,480:waittimer 59754 blt 0,0,640,480,0, 9154,640,480:waittimer 59761 blt 0,0,640,480,0, 9155,640,480:waittimer 59767 blt 0,0,640,480,0, 9156,640,480:waittimer 59774 blt 0,0,640,480,0, 9157,640,480:waittimer 59780 blt 0,0,640,480,0, 9158,640,480:waittimer 59787 blt 0,0,640,480,0, 9159,640,480:waittimer 59793 blt 0,0,640,480,0, 9160,640,480:waittimer 59800 blt 0,0,640,480,0, 9161,640,480:waittimer 59806 blt 0,0,640,480,0, 9162,640,480:waittimer 59813 blt 0,0,640,480,0, 9163,640,480:waittimer 59819 blt 0,0,640,480,0, 9164,640,480:waittimer 59826 blt 0,0,640,480,0, 9165,640,480:waittimer 59832 blt 0,0,640,480,0, 9166,640,480:waittimer 59839 blt 0,0,640,480,0, 9167,640,480:waittimer 59846 blt 0,0,640,480,0, 9168,640,480:waittimer 59852 blt 0,0,640,480,0, 9169,640,480:waittimer 59859 blt 0,0,640,480,0, 9170,640,480:waittimer 59865 blt 0,0,640,480,0, 9171,640,480:waittimer 59872 blt 0,0,640,480,0, 9172,640,480:waittimer 59878 blt 0,0,640,480,0, 9173,640,480:waittimer 59885 blt 0,0,640,480,0, 9174,640,480:waittimer 59891 blt 0,0,640,480,0, 9175,640,480:waittimer 59898 blt 0,0,640,480,0, 9176,640,480:waittimer 59904 blt 0,0,640,480,0, 9177,640,480:waittimer 59911 blt 0,0,640,480,0, 9178,640,480:waittimer 59917 blt 0,0,640,480,0, 9179,640,480:waittimer 59924 blt 0,0,640,480,0, 9180,640,480:waittimer 59930 blt 0,0,640,480,0, 9181,640,480:waittimer 59937 blt 0,0,640,480,0, 9182,640,480:waittimer 59943 blt 0,0,640,480,0, 9183,640,480:waittimer 59950 blt 0,0,640,480,0, 9184,640,480:waittimer 59956 blt 0,0,640,480,0, 9185,640,480:waittimer 59963 blt 0,0,640,480,0, 9186,640,480:waittimer 59970 blt 0,0,640,480,0, 9187,640,480:waittimer 59976 blt 0,0,640,480,0, 9188,640,480:waittimer 59983 blt 0,0,640,480,0, 9189,640,480:waittimer 59989 blt 0,0,640,480,0, 9190,640,480:waittimer 59996 blt 0,0,640,480,0, 9191,640,480:waittimer 60002 blt 0,0,640,480,0, 9192,640,480:waittimer 60009 blt 0,0,640,480,0, 9193,640,480:waittimer 60015 blt 0,0,640,480,0, 9194,640,480:waittimer 60022 blt 0,0,640,480,0, 9195,640,480:waittimer 60028 blt 0,0,640,480,0, 9196,640,480:waittimer 60035 blt 0,0,640,480,0, 9197,640,480:waittimer 60041 blt 0,0,640,480,0, 9198,640,480:waittimer 60048 blt 0,0,640,480,0, 9199,640,480:waittimer 60054 blt 0,0,640,480,0, 9200,640,480:waittimer 60061 blt 0,0,640,480,0, 9201,640,480:waittimer 60067 blt 0,0,640,480,0, 9202,640,480:waittimer 60074 blt 0,0,640,480,0, 9203,640,480:waittimer 60081 blt 0,0,640,480,0, 9204,640,480:waittimer 60087 blt 0,0,640,480,0, 9205,640,480:waittimer 60094 blt 0,0,640,480,0, 9206,640,480:waittimer 60100 blt 0,0,640,480,0, 9207,640,480:waittimer 60107 blt 0,0,640,480,0, 9208,640,480:waittimer 60113 blt 0,0,640,480,0, 9209,640,480:waittimer 60120 blt 0,0,640,480,0, 9210,640,480:waittimer 60126 blt 0,0,640,480,0, 9211,640,480:waittimer 60133 blt 0,0,640,480,0, 9212,640,480:waittimer 60139 blt 0,0,640,480,0, 9213,640,480:waittimer 60146 blt 0,0,640,480,0, 9214,640,480:waittimer 60152 blt 0,0,640,480,0, 9215,640,480:waittimer 60159 blt 0,0,640,480,0, 9216,640,480:waittimer 60165 blt 0,0,640,480,0, 9217,640,480:waittimer 60172 blt 0,0,640,480,0, 9218,640,480:waittimer 60178 blt 0,0,640,480,0, 9219,640,480:waittimer 60185 blt 0,0,640,480,0, 9220,640,480:waittimer 60192 blt 0,0,640,480,0, 9221,640,480:waittimer 60198 blt 0,0,640,480,0, 9222,640,480:waittimer 60205 blt 0,0,640,480,0, 9223,640,480:waittimer 60211 blt 0,0,640,480,0, 9224,640,480:waittimer 60218 blt 0,0,640,480,0, 9225,640,480:waittimer 60224 blt 0,0,640,480,0, 9226,640,480:waittimer 60231 blt 0,0,640,480,0, 9227,640,480:waittimer 60237 blt 0,0,640,480,0, 9228,640,480:waittimer 60244 blt 0,0,640,480,0, 9229,640,480:waittimer 60250 blt 0,0,640,480,0, 9230,640,480:waittimer 60257 blt 0,0,640,480,0, 9231,640,480:waittimer 60263 blt 0,0,640,480,0, 9232,640,480:waittimer 60270 blt 0,0,640,480,0, 9233,640,480:waittimer 60276 blt 0,0,640,480,0, 9234,640,480:waittimer 60283 blt 0,0,640,480,0, 9235,640,480:waittimer 60289 blt 0,0,640,480,0, 9236,640,480:waittimer 60296 blt 0,0,640,480,0, 9237,640,480:waittimer 60302 blt 0,0,640,480,0, 9238,640,480:waittimer 60309 blt 0,0,640,480,0, 9239,640,480:waittimer 60316 blt 0,0,640,480,0, 9240,640,480:waittimer 60322 blt 0,0,640,480,0, 9241,640,480:waittimer 60329 blt 0,0,640,480,0, 9242,640,480:waittimer 60335 blt 0,0,640,480,0, 9243,640,480:waittimer 60342 blt 0,0,640,480,0, 9244,640,480:waittimer 60348 blt 0,0,640,480,0, 9245,640,480:waittimer 60355 blt 0,0,640,480,0, 9246,640,480:waittimer 60361 blt 0,0,640,480,0, 9247,640,480:waittimer 60368 blt 0,0,640,480,0, 9248,640,480:waittimer 60374 blt 0,0,640,480,0, 9249,640,480:waittimer 60381 blt 0,0,640,480,0, 9250,640,480:waittimer 60387 blt 0,0,640,480,0, 9251,640,480:waittimer 60394 blt 0,0,640,480,0, 9252,640,480:waittimer 60400 blt 0,0,640,480,0, 9253,640,480:waittimer 60407 blt 0,0,640,480,0, 9254,640,480:waittimer 60413 blt 0,0,640,480,0, 9255,640,480:waittimer 60420 blt 0,0,640,480,0, 9256,640,480:waittimer 60427 blt 0,0,640,480,0, 9257,640,480:waittimer 60433 blt 0,0,640,480,0, 9258,640,480:waittimer 60440 blt 0,0,640,480,0, 9259,640,480:waittimer 60446 blt 0,0,640,480,0, 9260,640,480:waittimer 60453 blt 0,0,640,480,0, 9261,640,480:waittimer 60459 blt 0,0,640,480,0, 9262,640,480:waittimer 60466 blt 0,0,640,480,0, 9263,640,480:waittimer 60472 blt 0,0,640,480,0, 9264,640,480:waittimer 60479 blt 0,0,640,480,0, 9265,640,480:waittimer 60485 blt 0,0,640,480,0, 9266,640,480:waittimer 60492 blt 0,0,640,480,0, 9267,640,480:waittimer 60498 blt 0,0,640,480,0, 9268,640,480:waittimer 60505 blt 0,0,640,480,0, 9269,640,480:waittimer 60511 blt 0,0,640,480,0, 9270,640,480:waittimer 60518 blt 0,0,640,480,0, 9271,640,480:waittimer 60524 blt 0,0,640,480,0, 9272,640,480:waittimer 60531 blt 0,0,640,480,0, 9273,640,480:waittimer 60538 blt 0,0,640,480,0, 9274,640,480:waittimer 60544 blt 0,0,640,480,0, 9275,640,480:waittimer 60551 blt 0,0,640,480,0, 9276,640,480:waittimer 60557 blt 0,0,640,480,0, 9277,640,480:waittimer 60564 blt 0,0,640,480,0, 9278,640,480:waittimer 60570 blt 0,0,640,480,0, 9279,640,480:waittimer 60577 blt 0,0,640,480,0, 9280,640,480:waittimer 60583 blt 0,0,640,480,0, 9281,640,480:waittimer 60590 blt 0,0,640,480,0, 9282,640,480:waittimer 60596 blt 0,0,640,480,0, 9283,640,480:waittimer 60603 blt 0,0,640,480,0, 9284,640,480:waittimer 60609 blt 0,0,640,480,0, 9285,640,480:waittimer 60616 blt 0,0,640,480,0, 9286,640,480:waittimer 60622 blt 0,0,640,480,0, 9287,640,480:waittimer 60629 blt 0,0,640,480,0, 9288,640,480:waittimer 60635 blt 0,0,640,480,0, 9289,640,480:waittimer 60642 blt 0,0,640,480,0, 9290,640,480:waittimer 60648 blt 0,0,640,480,0, 9291,640,480:waittimer 60655 blt 0,0,640,480,0, 9292,640,480:waittimer 60662 blt 0,0,640,480,0, 9293,640,480:waittimer 60668 blt 0,0,640,480,0, 9294,640,480:waittimer 60675 blt 0,0,640,480,0, 9295,640,480:waittimer 60681 blt 0,0,640,480,0, 9296,640,480:waittimer 60688 blt 0,0,640,480,0, 9297,640,480:waittimer 60694 blt 0,0,640,480,0, 9298,640,480:waittimer 60701 blt 0,0,640,480,0, 9299,640,480:waittimer 60707 blt 0,0,640,480,0, 9300,640,480:waittimer 60714 blt 0,0,640,480,0, 9301,640,480:waittimer 60720 blt 0,0,640,480,0, 9302,640,480:waittimer 60727 blt 0,0,640,480,0, 9303,640,480:waittimer 60733 blt 0,0,640,480,0, 9304,640,480:waittimer 60740 blt 0,0,640,480,0, 9305,640,480:waittimer 60746 blt 0,0,640,480,0, 9306,640,480:waittimer 60753 blt 0,0,640,480,0, 9307,640,480:waittimer 60759 blt 0,0,640,480,0, 9308,640,480:waittimer 60766 blt 0,0,640,480,0, 9309,640,480:waittimer 60773 blt 0,0,640,480,0, 9310,640,480:waittimer 60779 blt 0,0,640,480,0, 9311,640,480:waittimer 60786 blt 0,0,640,480,0, 9312,640,480:waittimer 60792 blt 0,0,640,480,0, 9313,640,480:waittimer 60799 blt 0,0,640,480,0, 9314,640,480:waittimer 60805 blt 0,0,640,480,0, 9315,640,480:waittimer 60812 blt 0,0,640,480,0, 9316,640,480:waittimer 60818 blt 0,0,640,480,0, 9317,640,480:waittimer 60825 blt 0,0,640,480,0, 9318,640,480:waittimer 60831 blt 0,0,640,480,0, 9319,640,480:waittimer 60838 blt 0,0,640,480,0, 9320,640,480:waittimer 60844 blt 0,0,640,480,0, 9321,640,480:waittimer 60851 blt 0,0,640,480,0, 9322,640,480:waittimer 60857 blt 0,0,640,480,0, 9323,640,480:waittimer 60864 blt 0,0,640,480,0, 9324,640,480:waittimer 60870 blt 0,0,640,480,0, 9325,640,480:waittimer 60877 blt 0,0,640,480,0, 9326,640,480:waittimer 60884 blt 0,0,640,480,0, 9327,640,480:waittimer 60890 blt 0,0,640,480,0, 9328,640,480:waittimer 60897 blt 0,0,640,480,0, 9329,640,480:waittimer 60903 blt 0,0,640,480,0, 9330,640,480:waittimer 60910 blt 0,0,640,480,0, 9331,640,480:waittimer 60916 blt 0,0,640,480,0, 9332,640,480:waittimer 60923 blt 0,0,640,480,0, 9333,640,480:waittimer 60929 blt 0,0,640,480,0, 9334,640,480:waittimer 60936 blt 0,0,640,480,0, 9335,640,480:waittimer 60942 blt 0,0,640,480,0, 9336,640,480:waittimer 60949 blt 0,0,640,480,0, 9337,640,480:waittimer 60955 blt 0,0,640,480,0, 9338,640,480:waittimer 60962 blt 0,0,640,480,0, 9339,640,480:waittimer 60968 blt 0,0,640,480,0, 9340,640,480:waittimer 60975 blt 0,0,640,480,0, 9341,640,480:waittimer 60981 blt 0,0,640,480,0, 9342,640,480:waittimer 60988 blt 0,0,640,480,0, 9343,640,480:waittimer 60995 blt 0,0,640,480,0, 9344,640,480:waittimer 61001 blt 0,0,640,480,0, 9345,640,480:waittimer 61008 blt 0,0,640,480,0, 9346,640,480:waittimer 61014 blt 0,0,640,480,0, 9347,640,480:waittimer 61021 blt 0,0,640,480,0, 9348,640,480:waittimer 61027 blt 0,0,640,480,0, 9349,640,480:waittimer 61034 blt 0,0,640,480,0, 9350,640,480:waittimer 61040 blt 0,0,640,480,0, 9351,640,480:waittimer 61047 blt 0,0,640,480,0, 9352,640,480:waittimer 61053 blt 0,0,640,480,0, 9353,640,480:waittimer 61060 blt 0,0,640,480,0, 9354,640,480:waittimer 61066 blt 0,0,640,480,0, 9355,640,480:waittimer 61073 blt 0,0,640,480,0, 9356,640,480:waittimer 61079 blt 0,0,640,480,0, 9357,640,480:waittimer 61086 blt 0,0,640,480,0, 9358,640,480:waittimer 61092 blt 0,0,640,480,0, 9359,640,480:waittimer 61099 blt 0,0,640,480,0, 9360,640,480:waittimer 61105 blt 0,0,640,480,0, 9361,640,480:waittimer 61112 blt 0,0,640,480,0, 9362,640,480:waittimer 61119 blt 0,0,640,480,0, 9363,640,480:waittimer 61125 blt 0,0,640,480,0, 9364,640,480:waittimer 61132 blt 0,0,640,480,0, 9365,640,480:waittimer 61138 blt 0,0,640,480,0, 9366,640,480:waittimer 61145 blt 0,0,640,480,0, 9367,640,480:waittimer 61151 blt 0,0,640,480,0, 9368,640,480:waittimer 61158 blt 0,0,640,480,0, 9369,640,480:waittimer 61164 blt 0,0,640,480,0, 9370,640,480:waittimer 61171 blt 0,0,640,480,0, 9371,640,480:waittimer 61177 blt 0,0,640,480,0, 9372,640,480:waittimer 61184 blt 0,0,640,480,0, 9373,640,480:waittimer 61190 blt 0,0,640,480,0, 9374,640,480:waittimer 61197 blt 0,0,640,480,0, 9375,640,480:waittimer 61203 blt 0,0,640,480,0, 9376,640,480:waittimer 61210 blt 0,0,640,480,0, 9377,640,480:waittimer 61216 blt 0,0,640,480,0, 9378,640,480:waittimer 61223 blt 0,0,640,480,0, 9379,640,480:waittimer 61230 blt 0,0,640,480,0, 9380,640,480:waittimer 61236 blt 0,0,640,480,0, 9381,640,480:waittimer 61243 blt 0,0,640,480,0, 9382,640,480:waittimer 61249 blt 0,0,640,480,0, 9383,640,480:waittimer 61256 blt 0,0,640,480,0, 9384,640,480:waittimer 61262 blt 0,0,640,480,0, 9385,640,480:waittimer 61269 blt 0,0,640,480,0, 9386,640,480:waittimer 61275 blt 0,0,640,480,0, 9387,640,480:waittimer 61282 blt 0,0,640,480,0, 9388,640,480:waittimer 61288 blt 0,0,640,480,0, 9389,640,480:waittimer 61295 blt 0,0,640,480,0, 9390,640,480:waittimer 61301 blt 0,0,640,480,0, 9391,640,480:waittimer 61308 blt 0,0,640,480,0, 9392,640,480:waittimer 61314 blt 0,0,640,480,0, 9393,640,480:waittimer 61321 blt 0,0,640,480,0, 9394,640,480:waittimer 61327 blt 0,0,640,480,0, 9395,640,480:waittimer 61334 blt 0,0,640,480,0, 9396,640,480:waittimer 61341 blt 0,0,640,480,0, 9397,640,480:waittimer 61347 blt 0,0,640,480,0, 9398,640,480:waittimer 61354 blt 0,0,640,480,0, 9399,640,480:waittimer 61360 blt 0,0,640,480,0, 9400,640,480:waittimer 61367 blt 0,0,640,480,0, 9401,640,480:waittimer 61373 blt 0,0,640,480,0, 9402,640,480:waittimer 61380 blt 0,0,640,480,0, 9403,640,480:waittimer 61386 blt 0,0,640,480,0, 9404,640,480:waittimer 61393 blt 0,0,640,480,0, 9405,640,480:waittimer 61399 blt 0,0,640,480,0, 9406,640,480:waittimer 61406 blt 0,0,640,480,0, 9407,640,480:waittimer 61412 blt 0,0,640,480,0, 9408,640,480:waittimer 61419 blt 0,0,640,480,0, 9409,640,480:waittimer 61425 blt 0,0,640,480,0, 9410,640,480:waittimer 61432 blt 0,0,640,480,0, 9411,640,480:waittimer 61438 blt 0,0,640,480,0, 9412,640,480:waittimer 61445 blt 0,0,640,480,0, 9413,640,480:waittimer 61451 blt 0,0,640,480,0, 9414,640,480:waittimer 61458 blt 0,0,640,480,0, 9415,640,480:waittimer 61465 blt 0,0,640,480,0, 9416,640,480:waittimer 61471 blt 0,0,640,480,0, 9417,640,480:waittimer 61478 blt 0,0,640,480,0, 9418,640,480:waittimer 61484 blt 0,0,640,480,0, 9419,640,480:waittimer 61491 blt 0,0,640,480,0, 9420,640,480:waittimer 61497 blt 0,0,640,480,0, 9421,640,480:waittimer 61504 blt 0,0,640,480,0, 9422,640,480:waittimer 61510 blt 0,0,640,480,0, 9423,640,480:waittimer 61517 blt 0,0,640,480,0, 9424,640,480:waittimer 61523 blt 0,0,640,480,0, 9425,640,480:waittimer 61530 blt 0,0,640,480,0, 9426,640,480:waittimer 61536 blt 0,0,640,480,0, 9427,640,480:waittimer 61543 blt 0,0,640,480,0, 9428,640,480:waittimer 61549 blt 0,0,640,480,0, 9429,640,480:waittimer 61556 blt 0,0,640,480,0, 9430,640,480:waittimer 61562 blt 0,0,640,480,0, 9431,640,480:waittimer 61569 blt 0,0,640,480,0, 9432,640,480:waittimer 61576 blt 0,0,640,480,0, 9433,640,480:waittimer 61582 blt 0,0,640,480,0, 9434,640,480:waittimer 61589 blt 0,0,640,480,0, 9435,640,480:waittimer 61595 blt 0,0,640,480,0, 9436,640,480:waittimer 61602 blt 0,0,640,480,0, 9437,640,480:waittimer 61608 blt 0,0,640,480,0, 9438,640,480:waittimer 61615 blt 0,0,640,480,0, 9439,640,480:waittimer 61621 blt 0,0,640,480,0, 9440,640,480:waittimer 61628 blt 0,0,640,480,0, 9441,640,480:waittimer 61634 blt 0,0,640,480,0, 9442,640,480:waittimer 61641 blt 0,0,640,480,0, 9443,640,480:waittimer 61647 blt 0,0,640,480,0, 9444,640,480:waittimer 61654 blt 0,0,640,480,0, 9445,640,480:waittimer 61660 blt 0,0,640,480,0, 9446,640,480:waittimer 61667 blt 0,0,640,480,0, 9447,640,480:waittimer 61673 blt 0,0,640,480,0, 9448,640,480:waittimer 61680 blt 0,0,640,480,0, 9449,640,480:waittimer 61687 blt 0,0,640,480,0, 9450,640,480:waittimer 61693 blt 0,0,640,480,0, 9451,640,480:waittimer 61700 blt 0,0,640,480,0, 9452,640,480:waittimer 61706 blt 0,0,640,480,0, 9453,640,480:waittimer 61713 blt 0,0,640,480,0, 9454,640,480:waittimer 61719 blt 0,0,640,480,0, 9455,640,480:waittimer 61726 blt 0,0,640,480,0, 9456,640,480:waittimer 61732 blt 0,0,640,480,0, 9457,640,480:waittimer 61739 blt 0,0,640,480,0, 9458,640,480:waittimer 61745 blt 0,0,640,480,0, 9459,640,480:waittimer 61752 blt 0,0,640,480,0, 9460,640,480:waittimer 61758 blt 0,0,640,480,0, 9461,640,480:waittimer 61765 blt 0,0,640,480,0, 9462,640,480:waittimer 61771 blt 0,0,640,480,0, 9463,640,480:waittimer 61778 blt 0,0,640,480,0, 9464,640,480:waittimer 61784 blt 0,0,640,480,0, 9465,640,480:waittimer 61791 blt 0,0,640,480,0, 9466,640,480:waittimer 61798 blt 0,0,640,480,0, 9467,640,480:waittimer 61804 blt 0,0,640,480,0, 9468,640,480:waittimer 61811 blt 0,0,640,480,0, 9469,640,480:waittimer 61817 blt 0,0,640,480,0, 9470,640,480:waittimer 61824 blt 0,0,640,480,0, 9471,640,480:waittimer 61830 blt 0,0,640,480,0, 9472,640,480:waittimer 61837 blt 0,0,640,480,0, 9473,640,480:waittimer 61843 blt 0,0,640,480,0, 9474,640,480:waittimer 61850 blt 0,0,640,480,0, 9475,640,480:waittimer 61856 blt 0,0,640,480,0, 9476,640,480:waittimer 61863 blt 0,0,640,480,0, 9477,640,480:waittimer 61869 blt 0,0,640,480,0, 9478,640,480:waittimer 61876 blt 0,0,640,480,0, 9479,640,480:waittimer 61882 blt 0,0,640,480,0, 9480,640,480:waittimer 61889 blt 0,0,640,480,0, 9481,640,480:waittimer 61895 blt 0,0,640,480,0, 9482,640,480:waittimer 61902 blt 0,0,640,480,0, 9483,640,480:waittimer 61908 blt 0,0,640,480,0, 9484,640,480:waittimer 61915 blt 0,0,640,480,0, 9485,640,480:waittimer 61922 blt 0,0,640,480,0, 9486,640,480:waittimer 61928 blt 0,0,640,480,0, 9487,640,480:waittimer 61935 blt 0,0,640,480,0, 9488,640,480:waittimer 61941 blt 0,0,640,480,0, 9489,640,480:waittimer 61948 blt 0,0,640,480,0, 9490,640,480:waittimer 61954 blt 0,0,640,480,0, 9491,640,480:waittimer 61961 blt 0,0,640,480,0, 9492,640,480:waittimer 61967 blt 0,0,640,480,0, 9493,640,480:waittimer 61974 blt 0,0,640,480,0, 9494,640,480:waittimer 61980 blt 0,0,640,480,0, 9495,640,480:waittimer 61987 blt 0,0,640,480,0, 9496,640,480:waittimer 61993 blt 0,0,640,480,0, 9497,640,480:waittimer 62000 blt 0,0,640,480,0, 9498,640,480:waittimer 62006 blt 0,0,640,480,0, 9499,640,480:waittimer 62013 blt 0,0,640,480,0, 9500,640,480:waittimer 62019 blt 0,0,640,480,0, 9501,640,480:waittimer 62026 blt 0,0,640,480,0, 9502,640,480:waittimer 62033 blt 0,0,640,480,0, 9503,640,480:waittimer 62039 blt 0,0,640,480,0, 9504,640,480:waittimer 62046 blt 0,0,640,480,0, 9505,640,480:waittimer 62052 blt 0,0,640,480,0, 9506,640,480:waittimer 62059 blt 0,0,640,480,0, 9507,640,480:waittimer 62065 blt 0,0,640,480,0, 9508,640,480:waittimer 62072 blt 0,0,640,480,0, 9509,640,480:waittimer 62078 blt 0,0,640,480,0, 9510,640,480:waittimer 62085 blt 0,0,640,480,0, 9511,640,480:waittimer 62091 blt 0,0,640,480,0, 9512,640,480:waittimer 62098 blt 0,0,640,480,0, 9513,640,480:waittimer 62104 blt 0,0,640,480,0, 9514,640,480:waittimer 62111 blt 0,0,640,480,0, 9515,640,480:waittimer 62117 blt 0,0,640,480,0, 9516,640,480:waittimer 62124 blt 0,0,640,480,0, 9517,640,480:waittimer 62130 blt 0,0,640,480,0, 9518,640,480:waittimer 62137 blt 0,0,640,480,0, 9519,640,480:waittimer 62144 blt 0,0,640,480,0, 9520,640,480:waittimer 62150 blt 0,0,640,480,0, 9521,640,480:waittimer 62157 blt 0,0,640,480,0, 9522,640,480:waittimer 62163 blt 0,0,640,480,0, 9523,640,480:waittimer 62170 blt 0,0,640,480,0, 9524,640,480:waittimer 62176 blt 0,0,640,480,0, 9525,640,480:waittimer 62183 blt 0,0,640,480,0, 9526,640,480:waittimer 62189 blt 0,0,640,480,0, 9527,640,480:waittimer 62196 blt 0,0,640,480,0, 9528,640,480:waittimer 62202 blt 0,0,640,480,0, 9529,640,480:waittimer 62209 blt 0,0,640,480,0, 9530,640,480:waittimer 62215 blt 0,0,640,480,0, 9531,640,480:waittimer 62222 blt 0,0,640,480,0, 9532,640,480:waittimer 62228 blt 0,0,640,480,0, 9533,640,480:waittimer 62235 blt 0,0,640,480,0, 9534,640,480:waittimer 62241 blt 0,0,640,480,0, 9535,640,480:waittimer 62248 blt 0,0,640,480,0, 9536,640,480:waittimer 62254 blt 0,0,640,480,0, 9537,640,480:waittimer 62261 blt 0,0,640,480,0, 9538,640,480:waittimer 62268 blt 0,0,640,480,0, 9539,640,480:waittimer 62274 blt 0,0,640,480,0, 9540,640,480:waittimer 62281 blt 0,0,640,480,0, 9541,640,480:waittimer 62287 blt 0,0,640,480,0, 9542,640,480:waittimer 62294 blt 0,0,640,480,0, 9543,640,480:waittimer 62300 blt 0,0,640,480,0, 9544,640,480:waittimer 62307 blt 0,0,640,480,0, 9545,640,480:waittimer 62313 blt 0,0,640,480,0, 9546,640,480:waittimer 62320 blt 0,0,640,480,0, 9547,640,480:waittimer 62326 blt 0,0,640,480,0, 9548,640,480:waittimer 62333 blt 0,0,640,480,0, 9549,640,480:waittimer 62339 blt 0,0,640,480,0, 9550,640,480:waittimer 62346 blt 0,0,640,480,0, 9551,640,480:waittimer 62352 blt 0,0,640,480,0, 9552,640,480:waittimer 62359 blt 0,0,640,480,0, 9553,640,480:waittimer 62365 blt 0,0,640,480,0, 9554,640,480:waittimer 62372 blt 0,0,640,480,0, 9555,640,480:waittimer 62379 blt 0,0,640,480,0, 9556,640,480:waittimer 62385 blt 0,0,640,480,0, 9557,640,480:waittimer 62392 blt 0,0,640,480,0, 9558,640,480:waittimer 62398 blt 0,0,640,480,0, 9559,640,480:waittimer 62405 blt 0,0,640,480,0, 9560,640,480:waittimer 62411 blt 0,0,640,480,0, 9561,640,480:waittimer 62418 blt 0,0,640,480,0, 9562,640,480:waittimer 62424 blt 0,0,640,480,0, 9563,640,480:waittimer 62431 blt 0,0,640,480,0, 9564,640,480:waittimer 62437 blt 0,0,640,480,0, 9565,640,480:waittimer 62444 blt 0,0,640,480,0, 9566,640,480:waittimer 62450 blt 0,0,640,480,0, 9567,640,480:waittimer 62457 blt 0,0,640,480,0, 9568,640,480:waittimer 62463 blt 0,0,640,480,0, 9569,640,480:waittimer 62470 blt 0,0,640,480,0, 9570,640,480:waittimer 62476 blt 0,0,640,480,0, 9571,640,480:waittimer 62483 blt 0,0,640,480,0, 9572,640,480:waittimer 62490 blt 0,0,640,480,0, 9573,640,480:waittimer 62496 blt 0,0,640,480,0, 9574,640,480:waittimer 62503 blt 0,0,640,480,0, 9575,640,480:waittimer 62509 blt 0,0,640,480,0, 9576,640,480:waittimer 62516 blt 0,0,640,480,0, 9577,640,480:waittimer 62522 blt 0,0,640,480,0, 9578,640,480:waittimer 62529 blt 0,0,640,480,0, 9579,640,480:waittimer 62535 blt 0,0,640,480,0, 9580,640,480:waittimer 62542 blt 0,0,640,480,0, 9581,640,480:waittimer 62548 blt 0,0,640,480,0, 9582,640,480:waittimer 62555 blt 0,0,640,480,0, 9583,640,480:waittimer 62561 blt 0,0,640,480,0, 9584,640,480:waittimer 62568 blt 0,0,640,480,0, 9585,640,480:waittimer 62574 blt 0,0,640,480,0, 9586,640,480:waittimer 62581 blt 0,0,640,480,0, 9587,640,480:waittimer 62587 blt 0,0,640,480,0, 9588,640,480:waittimer 62594 blt 0,0,640,480,0, 9589,640,480:waittimer 62600 blt 0,0,640,480,0, 9590,640,480:waittimer 62607 blt 0,0,640,480,0, 9591,640,480:waittimer 62614 blt 0,0,640,480,0, 9592,640,480:waittimer 62620 blt 0,0,640,480,0, 9593,640,480:waittimer 62627 blt 0,0,640,480,0, 9594,640,480:waittimer 62633 blt 0,0,640,480,0, 9595,640,480:waittimer 62640 blt 0,0,640,480,0, 9596,640,480:waittimer 62646 blt 0,0,640,480,0, 9597,640,480:waittimer 62653 blt 0,0,640,480,0, 9598,640,480:waittimer 62659 blt 0,0,640,480,0, 9599,640,480:waittimer 62666 blt 0,0,640,480,0, 9600,640,480:waittimer 62672 blt 0,0,640,480,0, 9601,640,480:waittimer 62679 blt 0,0,640,480,0, 9602,640,480:waittimer 62685 blt 0,0,640,480,0, 9603,640,480:waittimer 62692 blt 0,0,640,480,0, 9604,640,480:waittimer 62698 blt 0,0,640,480,0, 9605,640,480:waittimer 62705 blt 0,0,640,480,0, 9606,640,480:waittimer 62711 blt 0,0,640,480,0, 9607,640,480:waittimer 62718 blt 0,0,640,480,0, 9608,640,480:waittimer 62725 blt 0,0,640,480,0, 9609,640,480:waittimer 62731 blt 0,0,640,480,0, 9610,640,480:waittimer 62738 blt 0,0,640,480,0, 9611,640,480:waittimer 62744 blt 0,0,640,480,0, 9612,640,480:waittimer 62751 blt 0,0,640,480,0, 9613,640,480:waittimer 62757 blt 0,0,640,480,0, 9614,640,480:waittimer 62764 blt 0,0,640,480,0, 9615,640,480:waittimer 62770 blt 0,0,640,480,0, 9616,640,480:waittimer 62777 blt 0,0,640,480,0, 9617,640,480:waittimer 62783 blt 0,0,640,480,0, 9618,640,480:waittimer 62790 blt 0,0,640,480,0, 9619,640,480:waittimer 62796 blt 0,0,640,480,0, 9620,640,480:waittimer 62803 blt 0,0,640,480,0, 9621,640,480:waittimer 62809 blt 0,0,640,480,0, 9622,640,480:waittimer 62816 blt 0,0,640,480,0, 9623,640,480:waittimer 62822 blt 0,0,640,480,0, 9624,640,480:waittimer 62829 blt 0,0,640,480,0, 9625,640,480:waittimer 62836 blt 0,0,640,480,0, 9626,640,480:waittimer 62842 blt 0,0,640,480,0, 9627,640,480:waittimer 62849 blt 0,0,640,480,0, 9628,640,480:waittimer 62855 blt 0,0,640,480,0, 9629,640,480:waittimer 62862 blt 0,0,640,480,0, 9630,640,480:waittimer 62868 blt 0,0,640,480,0, 9631,640,480:waittimer 62875 blt 0,0,640,480,0, 9632,640,480:waittimer 62881 blt 0,0,640,480,0, 9633,640,480:waittimer 62888 blt 0,0,640,480,0, 9634,640,480:waittimer 62894 blt 0,0,640,480,0, 9635,640,480:waittimer 62901 blt 0,0,640,480,0, 9636,640,480:waittimer 62907 blt 0,0,640,480,0, 9637,640,480:waittimer 62914 blt 0,0,640,480,0, 9638,640,480:waittimer 62920 blt 0,0,640,480,0, 9639,640,480:waittimer 62927 blt 0,0,640,480,0, 9640,640,480:waittimer 62933 blt 0,0,640,480,0, 9641,640,480:waittimer 62940 blt 0,0,640,480,0, 9642,640,480:waittimer 62947 blt 0,0,640,480,0, 9643,640,480:waittimer 62953 blt 0,0,640,480,0, 9644,640,480:waittimer 62960 blt 0,0,640,480,0, 9645,640,480:waittimer 62966 blt 0,0,640,480,0, 9646,640,480:waittimer 62973 blt 0,0,640,480,0, 9647,640,480:waittimer 62979 blt 0,0,640,480,0, 9648,640,480:waittimer 62986 blt 0,0,640,480,0, 9649,640,480:waittimer 62992 blt 0,0,640,480,0, 9650,640,480:waittimer 62999 blt 0,0,640,480,0, 9651,640,480:waittimer 63005 blt 0,0,640,480,0, 9652,640,480:waittimer 63012 blt 0,0,640,480,0, 9653,640,480:waittimer 63018 blt 0,0,640,480,0, 9654,640,480:waittimer 63025 blt 0,0,640,480,0, 9655,640,480:waittimer 63031 blt 0,0,640,480,0, 9656,640,480:waittimer 63038 blt 0,0,640,480,0, 9657,640,480:waittimer 63044 blt 0,0,640,480,0, 9658,640,480:waittimer 63051 blt 0,0,640,480,0, 9659,640,480:waittimer 63057 blt 0,0,640,480,0, 9660,640,480:waittimer 63064 blt 0,0,640,480,0, 9661,640,480:waittimer 63071 blt 0,0,640,480,0, 9662,640,480:waittimer 63077 blt 0,0,640,480,0, 9663,640,480:waittimer 63084 blt 0,0,640,480,0, 9664,640,480:waittimer 63090 blt 0,0,640,480,0, 9665,640,480:waittimer 63097 blt 0,0,640,480,0, 9666,640,480:waittimer 63103 blt 0,0,640,480,0, 9667,640,480:waittimer 63110 blt 0,0,640,480,0, 9668,640,480:waittimer 63116 blt 0,0,640,480,0, 9669,640,480:waittimer 63123 blt 0,0,640,480,0, 9670,640,480:waittimer 63129 blt 0,0,640,480,0, 9671,640,480:waittimer 63136 blt 0,0,640,480,0, 9672,640,480:waittimer 63142 blt 0,0,640,480,0, 9673,640,480:waittimer 63149 blt 0,0,640,480,0, 9674,640,480:waittimer 63155 blt 0,0,640,480,0, 9675,640,480:waittimer 63162 blt 0,0,640,480,0, 9676,640,480:waittimer 63168 blt 0,0,640,480,0, 9677,640,480:waittimer 63175 blt 0,0,640,480,0, 9678,640,480:waittimer 63182 blt 0,0,640,480,0, 9679,640,480:waittimer 63188 blt 0,0,640,480,0, 9680,640,480:waittimer 63195 blt 0,0,640,480,0, 9681,640,480:waittimer 63201 blt 0,0,640,480,0, 9682,640,480:waittimer 63208 blt 0,0,640,480,0, 9683,640,480:waittimer 63214 blt 0,0,640,480,0, 9684,640,480:waittimer 63221 blt 0,0,640,480,0, 9685,640,480:waittimer 63227 blt 0,0,640,480,0, 9686,640,480:waittimer 63234 blt 0,0,640,480,0, 9687,640,480:waittimer 63240 blt 0,0,640,480,0, 9688,640,480:waittimer 63247 blt 0,0,640,480,0, 9689,640,480:waittimer 63253 blt 0,0,640,480,0, 9690,640,480:waittimer 63260 blt 0,0,640,480,0, 9691,640,480:waittimer 63266 blt 0,0,640,480,0, 9692,640,480:waittimer 63273 blt 0,0,640,480,0, 9693,640,480:waittimer 63279 blt 0,0,640,480,0, 9694,640,480:waittimer 63286 blt 0,0,640,480,0, 9695,640,480:waittimer 63293 blt 0,0,640,480,0, 9696,640,480:waittimer 63299 blt 0,0,640,480,0, 9697,640,480:waittimer 63306 blt 0,0,640,480,0, 9698,640,480:waittimer 63312 blt 0,0,640,480,0, 9699,640,480:waittimer 63319 blt 0,0,640,480,0, 9700,640,480:waittimer 63325 blt 0,0,640,480,0, 9701,640,480:waittimer 63332 blt 0,0,640,480,0, 9702,640,480:waittimer 63338 blt 0,0,640,480,0, 9703,640,480:waittimer 63345 blt 0,0,640,480,0, 9704,640,480:waittimer 63351 blt 0,0,640,480,0, 9705,640,480:waittimer 63358 blt 0,0,640,480,0, 9706,640,480:waittimer 63364 blt 0,0,640,480,0, 9707,640,480:waittimer 63371 blt 0,0,640,480,0, 9708,640,480:waittimer 63377 blt 0,0,640,480,0, 9709,640,480:waittimer 63384 blt 0,0,640,480,0, 9710,640,480:waittimer 63390 blt 0,0,640,480,0, 9711,640,480:waittimer 63397 blt 0,0,640,480,0, 9712,640,480:waittimer 63403 blt 0,0,640,480,0, 9713,640,480:waittimer 63410 blt 0,0,640,480,0, 9714,640,480:waittimer 63417 blt 0,0,640,480,0, 9715,640,480:waittimer 63423 blt 0,0,640,480,0, 9716,640,480:waittimer 63430 blt 0,0,640,480,0, 9717,640,480:waittimer 63436 blt 0,0,640,480,0, 9718,640,480:waittimer 63443 blt 0,0,640,480,0, 9719,640,480:waittimer 63449 blt 0,0,640,480,0, 9720,640,480:waittimer 63456 blt 0,0,640,480,0, 9721,640,480:waittimer 63462 blt 0,0,640,480,0, 9722,640,480:waittimer 63469 blt 0,0,640,480,0, 9723,640,480:waittimer 63475 blt 0,0,640,480,0, 9724,640,480:waittimer 63482 blt 0,0,640,480,0, 9725,640,480:waittimer 63488 blt 0,0,640,480,0, 9726,640,480:waittimer 63495 blt 0,0,640,480,0, 9727,640,480:waittimer 63501 blt 0,0,640,480,0, 9728,640,480:waittimer 63508 blt 0,0,640,480,0, 9729,640,480:waittimer 63514 blt 0,0,640,480,0, 9730,640,480:waittimer 63521 blt 0,0,640,480,0, 9731,640,480:waittimer 63528 blt 0,0,640,480,0, 9732,640,480:waittimer 63534 blt 0,0,640,480,0, 9733,640,480:waittimer 63541 blt 0,0,640,480,0, 9734,640,480:waittimer 63547 blt 0,0,640,480,0, 9735,640,480:waittimer 63554 blt 0,0,640,480,0, 9736,640,480:waittimer 63560 blt 0,0,640,480,0, 9737,640,480:waittimer 63567 blt 0,0,640,480,0, 9738,640,480:waittimer 63573 blt 0,0,640,480,0, 9739,640,480:waittimer 63580 blt 0,0,640,480,0, 9740,640,480:waittimer 63586 blt 0,0,640,480,0, 9741,640,480:waittimer 63593 blt 0,0,640,480,0, 9742,640,480:waittimer 63599 blt 0,0,640,480,0, 9743,640,480:waittimer 63606 blt 0,0,640,480,0, 9744,640,480:waittimer 63612 blt 0,0,640,480,0, 9745,640,480:waittimer 63619 blt 0,0,640,480,0, 9746,640,480:waittimer 63625 blt 0,0,640,480,0, 9747,640,480:waittimer 63632 blt 0,0,640,480,0, 9748,640,480:waittimer 63639 blt 0,0,640,480,0, 9749,640,480:waittimer 63645 blt 0,0,640,480,0, 9750,640,480:waittimer 63652 blt 0,0,640,480,0, 9751,640,480:waittimer 63658 blt 0,0,640,480,0, 9752,640,480:waittimer 63665 blt 0,0,640,480,0, 9753,640,480:waittimer 63671 blt 0,0,640,480,0, 9754,640,480:waittimer 63678 blt 0,0,640,480,0, 9755,640,480:waittimer 63684 blt 0,0,640,480,0, 9756,640,480:waittimer 63691 blt 0,0,640,480,0, 9757,640,480:waittimer 63697 blt 0,0,640,480,0, 9758,640,480:waittimer 63704 blt 0,0,640,480,0, 9759,640,480:waittimer 63710 blt 0,0,640,480,0, 9760,640,480:waittimer 63717 blt 0,0,640,480,0, 9761,640,480:waittimer 63723 blt 0,0,640,480,0, 9762,640,480:waittimer 63730 blt 0,0,640,480,0, 9763,640,480:waittimer 63736 blt 0,0,640,480,0, 9764,640,480:waittimer 63743 blt 0,0,640,480,0, 9765,640,480:waittimer 63749 blt 0,0,640,480,0, 9766,640,480:waittimer 63756 blt 0,0,640,480,0, 9767,640,480:waittimer 63763 blt 0,0,640,480,0, 9768,640,480:waittimer 63769 blt 0,0,640,480,0, 9769,640,480:waittimer 63776 blt 0,0,640,480,0, 9770,640,480:waittimer 63782 blt 0,0,640,480,0, 9771,640,480:waittimer 63789 blt 0,0,640,480,0, 9772,640,480:waittimer 63795 blt 0,0,640,480,0, 9773,640,480:waittimer 63802 blt 0,0,640,480,0, 9774,640,480:waittimer 63808 blt 0,0,640,480,0, 9775,640,480:waittimer 63815 blt 0,0,640,480,0, 9776,640,480:waittimer 63821 blt 0,0,640,480,0, 9777,640,480:waittimer 63828 blt 0,0,640,480,0, 9778,640,480:waittimer 63834 blt 0,0,640,480,0, 9779,640,480:waittimer 63841 blt 0,0,640,480,0, 9780,640,480:waittimer 63847 blt 0,0,640,480,0, 9781,640,480:waittimer 63854 blt 0,0,640,480,0, 9782,640,480:waittimer 63860 blt 0,0,640,480,0, 9783,640,480:waittimer 63867 blt 0,0,640,480,0, 9784,640,480:waittimer 63874 blt 0,0,640,480,0, 9785,640,480:waittimer 63880 blt 0,0,640,480,0, 9786,640,480:waittimer 63887 blt 0,0,640,480,0, 9787,640,480:waittimer 63893 blt 0,0,640,480,0, 9788,640,480:waittimer 63900 blt 0,0,640,480,0, 9789,640,480:waittimer 63906 blt 0,0,640,480,0, 9790,640,480:waittimer 63913 blt 0,0,640,480,0, 9791,640,480:waittimer 63919 blt 0,0,640,480,0, 9792,640,480:waittimer 63926 blt 0,0,640,480,0, 9793,640,480:waittimer 63932 blt 0,0,640,480,0, 9794,640,480:waittimer 63939 blt 0,0,640,480,0, 9795,640,480:waittimer 63945 blt 0,0,640,480,0, 9796,640,480:waittimer 63952 blt 0,0,640,480,0, 9797,640,480:waittimer 63958 blt 0,0,640,480,0, 9798,640,480:waittimer 63965 blt 0,0,640,480,0, 9799,640,480:waittimer 63971 blt 0,0,640,480,0, 9800,640,480:waittimer 63978 blt 0,0,640,480,0, 9801,640,480:waittimer 63985 blt 0,0,640,480,0, 9802,640,480:waittimer 63991 blt 0,0,640,480,0, 9803,640,480:waittimer 63998 blt 0,0,640,480,0, 9804,640,480:waittimer 64004 blt 0,0,640,480,0, 9805,640,480:waittimer 64011 blt 0,0,640,480,0, 9806,640,480:waittimer 64017 blt 0,0,640,480,0, 9807,640,480:waittimer 64024 blt 0,0,640,480,0, 9808,640,480:waittimer 64030 blt 0,0,640,480,0, 9809,640,480:waittimer 64037 blt 0,0,640,480,0, 9810,640,480:waittimer 64043 blt 0,0,640,480,0, 9811,640,480:waittimer 64050 blt 0,0,640,480,0, 9812,640,480:waittimer 64056 blt 0,0,640,480,0, 9813,640,480:waittimer 64063 blt 0,0,640,480,0, 9814,640,480:waittimer 64069 blt 0,0,640,480,0, 9815,640,480:waittimer 64076 blt 0,0,640,480,0, 9816,640,480:waittimer 64082 blt 0,0,640,480,0, 9817,640,480:waittimer 64089 blt 0,0,640,480,0, 9818,640,480:waittimer 64096 blt 0,0,640,480,0, 9819,640,480:waittimer 64102 blt 0,0,640,480,0, 9820,640,480:waittimer 64109 blt 0,0,640,480,0, 9821,640,480:waittimer 64115 blt 0,0,640,480,0, 9822,640,480:waittimer 64122 blt 0,0,640,480,0, 9823,640,480:waittimer 64128 blt 0,0,640,480,0, 9824,640,480:waittimer 64135 blt 0,0,640,480,0, 9825,640,480:waittimer 64141 blt 0,0,640,480,0, 9826,640,480:waittimer 64148 blt 0,0,640,480,0, 9827,640,480:waittimer 64154 blt 0,0,640,480,0, 9828,640,480:waittimer 64161 blt 0,0,640,480,0, 9829,640,480:waittimer 64167 blt 0,0,640,480,0, 9830,640,480:waittimer 64174 blt 0,0,640,480,0, 9831,640,480:waittimer 64180 blt 0,0,640,480,0, 9832,640,480:waittimer 64187 blt 0,0,640,480,0, 9833,640,480:waittimer 64193 blt 0,0,640,480,0, 9834,640,480:waittimer 64200 blt 0,0,640,480,0, 9835,640,480:waittimer 64206 blt 0,0,640,480,0, 9836,640,480:waittimer 64213 blt 0,0,640,480,0, 9837,640,480:waittimer 64220 blt 0,0,640,480,0, 9838,640,480:waittimer 64226 blt 0,0,640,480,0, 9839,640,480:waittimer 64233 blt 0,0,640,480,0, 9840,640,480:waittimer 64239 blt 0,0,640,480,0, 9841,640,480:waittimer 64246 blt 0,0,640,480,0, 9842,640,480:waittimer 64252 blt 0,0,640,480,0, 9843,640,480:waittimer 64259 blt 0,0,640,480,0, 9844,640,480:waittimer 64265 blt 0,0,640,480,0, 9845,640,480:waittimer 64272 blt 0,0,640,480,0, 9846,640,480:waittimer 64278 blt 0,0,640,480,0, 9847,640,480:waittimer 64285 blt 0,0,640,480,0, 9848,640,480:waittimer 64291 blt 0,0,640,480,0, 9849,640,480:waittimer 64298 blt 0,0,640,480,0, 9850,640,480:waittimer 64304 blt 0,0,640,480,0, 9851,640,480:waittimer 64311 blt 0,0,640,480,0, 9852,640,480:waittimer 64317 blt 0,0,640,480,0, 9853,640,480:waittimer 64324 blt 0,0,640,480,0, 9854,640,480:waittimer 64331 blt 0,0,640,480,0, 9855,640,480:waittimer 64337 blt 0,0,640,480,0, 9856,640,480:waittimer 64344 blt 0,0,640,480,0, 9857,640,480:waittimer 64350 blt 0,0,640,480,0, 9858,640,480:waittimer 64357 blt 0,0,640,480,0, 9859,640,480:waittimer 64363 blt 0,0,640,480,0, 9860,640,480:waittimer 64370 blt 0,0,640,480,0, 9861,640,480:waittimer 64376 blt 0,0,640,480,0, 9862,640,480:waittimer 64383 blt 0,0,640,480,0, 9863,640,480:waittimer 64389 blt 0,0,640,480,0, 9864,640,480:waittimer 64396 blt 0,0,640,480,0, 9865,640,480:waittimer 64402 blt 0,0,640,480,0, 9866,640,480:waittimer 64409 blt 0,0,640,480,0, 9867,640,480:waittimer 64415 blt 0,0,640,480,0, 9868,640,480:waittimer 64422 blt 0,0,640,480,0, 9869,640,480:waittimer 64428 blt 0,0,640,480,0, 9870,640,480:waittimer 64435 blt 0,0,640,480,0, 9871,640,480:waittimer 64442 blt 0,0,640,480,0, 9872,640,480:waittimer 64448 blt 0,0,640,480,0, 9873,640,480:waittimer 64455 blt 0,0,640,480,0, 9874,640,480:waittimer 64461 blt 0,0,640,480,0, 9875,640,480:waittimer 64468 blt 0,0,640,480,0, 9876,640,480:waittimer 64474 blt 0,0,640,480,0, 9877,640,480:waittimer 64481 blt 0,0,640,480,0, 9878,640,480:waittimer 64487 blt 0,0,640,480,0, 9879,640,480:waittimer 64494 blt 0,0,640,480,0, 9880,640,480:waittimer 64500 blt 0,0,640,480,0, 9881,640,480:waittimer 64507 blt 0,0,640,480,0, 9882,640,480:waittimer 64513 blt 0,0,640,480,0, 9883,640,480:waittimer 64520 blt 0,0,640,480,0, 9884,640,480:waittimer 64526 blt 0,0,640,480,0, 9885,640,480:waittimer 64533 blt 0,0,640,480,0, 9886,640,480:waittimer 64539 blt 0,0,640,480,0, 9887,640,480:waittimer 64546 blt 0,0,640,480,0, 9888,640,480:waittimer 64552 blt 0,0,640,480,0, 9889,640,480:waittimer 64559 blt 0,0,640,480,0, 9890,640,480:waittimer 64566 blt 0,0,640,480,0, 9891,640,480:waittimer 64572 blt 0,0,640,480,0, 9892,640,480:waittimer 64579 blt 0,0,640,480,0, 9893,640,480:waittimer 64585 blt 0,0,640,480,0, 9894,640,480:waittimer 64592 blt 0,0,640,480,0, 9895,640,480:waittimer 64598 blt 0,0,640,480,0, 9896,640,480:waittimer 64605 blt 0,0,640,480,0, 9897,640,480:waittimer 64611 blt 0,0,640,480,0, 9898,640,480:waittimer 64618 blt 0,0,640,480,0, 9899,640,480:waittimer 64624 blt 0,0,640,480,0, 9900,640,480:waittimer 64631 blt 0,0,640,480,0, 9901,640,480:waittimer 64637 blt 0,0,640,480,0, 9902,640,480:waittimer 64644 blt 0,0,640,480,0, 9903,640,480:waittimer 64650 blt 0,0,640,480,0, 9904,640,480:waittimer 64657 blt 0,0,640,480,0, 9905,640,480:waittimer 64663 blt 0,0,640,480,0, 9906,640,480:waittimer 64670 blt 0,0,640,480,0, 9907,640,480:waittimer 64677 blt 0,0,640,480,0, 9908,640,480:waittimer 64683 blt 0,0,640,480,0, 9909,640,480:waittimer 64690 blt 0,0,640,480,0, 9910,640,480:waittimer 64696 blt 0,0,640,480,0, 9911,640,480:waittimer 64703 blt 0,0,640,480,0, 9912,640,480:waittimer 64709 blt 0,0,640,480,0, 9913,640,480:waittimer 64716 blt 0,0,640,480,0, 9914,640,480:waittimer 64722 blt 0,0,640,480,0, 9915,640,480:waittimer 64729 blt 0,0,640,480,0, 9916,640,480:waittimer 64735 blt 0,0,640,480,0, 9917,640,480:waittimer 64742 blt 0,0,640,480,0, 9918,640,480:waittimer 64748 blt 0,0,640,480,0, 9919,640,480:waittimer 64755 blt 0,0,640,480,0, 9920,640,480:waittimer 64761 blt 0,0,640,480,0, 9921,640,480:waittimer 64768 blt 0,0,640,480,0, 9922,640,480:waittimer 64774 blt 0,0,640,480,0, 9923,640,480:waittimer 64781 blt 0,0,640,480,0, 9924,640,480:waittimer 64788 blt 0,0,640,480,0, 9925,640,480:waittimer 64794 blt 0,0,640,480,0, 9926,640,480:waittimer 64801 blt 0,0,640,480,0, 9927,640,480:waittimer 64807 blt 0,0,640,480,0, 9928,640,480:waittimer 64814 blt 0,0,640,480,0, 9929,640,480:waittimer 64820 blt 0,0,640,480,0, 9930,640,480:waittimer 64827 blt 0,0,640,480,0, 9931,640,480:waittimer 64833 blt 0,0,640,480,0, 9932,640,480:waittimer 64840 blt 0,0,640,480,0, 9933,640,480:waittimer 64846 blt 0,0,640,480,0, 9934,640,480:waittimer 64853 blt 0,0,640,480,0, 9935,640,480:waittimer 64859 blt 0,0,640,480,0, 9936,640,480:waittimer 64866 blt 0,0,640,480,0, 9937,640,480:waittimer 64872 blt 0,0,640,480,0, 9938,640,480:waittimer 64879 blt 0,0,640,480,0, 9939,640,480:waittimer 64885 blt 0,0,640,480,0, 9940,640,480:waittimer 64892 blt 0,0,640,480,0, 9941,640,480:waittimer 64898 blt 0,0,640,480,0, 9942,640,480:waittimer 64905 blt 0,0,640,480,0, 9943,640,480:waittimer 64912 blt 0,0,640,480,0, 9944,640,480:waittimer 64918 blt 0,0,640,480,0, 9945,640,480:waittimer 64925 blt 0,0,640,480,0, 9946,640,480:waittimer 64931 blt 0,0,640,480,0, 9947,640,480:waittimer 64938 blt 0,0,640,480,0, 9948,640,480:waittimer 64944 blt 0,0,640,480,0, 9949,640,480:waittimer 64951 blt 0,0,640,480,0, 9950,640,480:waittimer 64957 blt 0,0,640,480,0, 9951,640,480:waittimer 64964 blt 0,0,640,480,0, 9952,640,480:waittimer 64970 blt 0,0,640,480,0, 9953,640,480:waittimer 64977 blt 0,0,640,480,0, 9954,640,480:waittimer 64983 blt 0,0,640,480,0, 9955,640,480:waittimer 64990 blt 0,0,640,480,0, 9956,640,480:waittimer 64996 blt 0,0,640,480,0, 9957,640,480:waittimer 65003 blt 0,0,640,480,0, 9958,640,480:waittimer 65009 blt 0,0,640,480,0, 9959,640,480:waittimer 65016 blt 0,0,640,480,0, 9960,640,480:waittimer 65023 blt 0,0,640,480,0, 9961,640,480:waittimer 65029 blt 0,0,640,480,0, 9962,640,480:waittimer 65036 blt 0,0,640,480,0, 9963,640,480:waittimer 65042 blt 0,0,640,480,0, 9964,640,480:waittimer 65049 blt 0,0,640,480,0, 9965,640,480:waittimer 65055 blt 0,0,640,480,0, 9966,640,480:waittimer 65062 blt 0,0,640,480,0, 9967,640,480:waittimer 65068 blt 0,0,640,480,0, 9968,640,480:waittimer 65075 blt 0,0,640,480,0, 9969,640,480:waittimer 65081 blt 0,0,640,480,0, 9970,640,480:waittimer 65088 blt 0,0,640,480,0, 9971,640,480:waittimer 65094 blt 0,0,640,480,0, 9972,640,480:waittimer 65101 blt 0,0,640,480,0, 9973,640,480:waittimer 65107 blt 0,0,640,480,0, 9974,640,480:waittimer 65114 blt 0,0,640,480,0, 9975,640,480:waittimer 65120 blt 0,0,640,480,0, 9976,640,480:waittimer 65127 blt 0,0,640,480,0, 9977,640,480:waittimer 65134 blt 0,0,640,480,0, 9978,640,480:waittimer 65140 blt 0,0,640,480,0, 9979,640,480:waittimer 65147 blt 0,0,640,480,0, 9980,640,480:waittimer 65153 blt 0,0,640,480,0, 9981,640,480:waittimer 65160 blt 0,0,640,480,0, 9982,640,480:waittimer 65166 blt 0,0,640,480,0, 9983,640,480:waittimer 65173 blt 0,0,640,480,0, 9984,640,480:waittimer 65179 blt 0,0,640,480,0, 9985,640,480:waittimer 65186 blt 0,0,640,480,0, 9986,640,480:waittimer 65192 blt 0,0,640,480,0, 9987,640,480:waittimer 65199 blt 0,0,640,480,0, 9988,640,480:waittimer 65205 blt 0,0,640,480,0, 9989,640,480:waittimer 65212 blt 0,0,640,480,0, 9990,640,480:waittimer 65218 blt 0,0,640,480,0, 9991,640,480:waittimer 65225 blt 0,0,640,480,0, 9992,640,480:waittimer 65231 blt 0,0,640,480,0, 9993,640,480:waittimer 65238 blt 0,0,640,480,0, 9994,640,480:waittimer 65245 blt 0,0,640,480,0, 9995,640,480:waittimer 65251 blt 0,0,640,480,0, 9996,640,480:waittimer 65258 blt 0,0,640,480,0, 9997,640,480:waittimer 65264 blt 0,0,640,480,0, 9998,640,480:waittimer 65271 blt 0,0,640,480,0, 9999,640,480:waittimer 65277 blt 0,0,640,480,0, 10000,640,480:waittimer 65284 blt 0,0,640,480,0, 10001,640,480:waittimer 65290 blt 0,0,640,480,0, 10002,640,480:waittimer 65297 blt 0,0,640,480,0, 10003,640,480:waittimer 65303 blt 0,0,640,480,0, 10004,640,480:waittimer 65310 blt 0,0,640,480,0, 10005,640,480:waittimer 65316 blt 0,0,640,480,0, 10006,640,480:waittimer 65323 blt 0,0,640,480,0, 10007,640,480:waittimer 65329 blt 0,0,640,480,0, 10008,640,480:waittimer 65336 blt 0,0,640,480,0, 10009,640,480:waittimer 65342 blt 0,0,640,480,0, 10010,640,480:waittimer 65349 blt 0,0,640,480,0, 10011,640,480:waittimer 65355 blt 0,0,640,480,0, 10012,640,480:waittimer 65362 blt 0,0,640,480,0, 10013,640,480:waittimer 65369 blt 0,0,640,480,0, 10014,640,480:waittimer 65375 blt 0,0,640,480,0, 10015,640,480:waittimer 65382 blt 0,0,640,480,0, 10016,640,480:waittimer 65388 blt 0,0,640,480,0, 10017,640,480:waittimer 65395 blt 0,0,640,480,0, 10018,640,480:waittimer 65401 blt 0,0,640,480,0, 10019,640,480:waittimer 65408 blt 0,0,640,480,0, 10020,640,480:waittimer 65414 blt 0,0,640,480,0, 10021,640,480:waittimer 65421 blt 0,0,640,480,0, 10022,640,480:waittimer 65427 blt 0,0,640,480,0, 10023,640,480:waittimer 65434 blt 0,0,640,480,0, 10024,640,480:waittimer 65440 blt 0,0,640,480,0, 10025,640,480:waittimer 65447 blt 0,0,640,480,0, 10026,640,480:waittimer 65453 blt 0,0,640,480,0, 10027,640,480:waittimer 65460 blt 0,0,640,480,0, 10028,640,480:waittimer 65466 blt 0,0,640,480,0, 10029,640,480:waittimer 65473 blt 0,0,640,480,0, 10030,640,480:waittimer 65480 blt 0,0,640,480,0, 10031,640,480:waittimer 65486 blt 0,0,640,480,0, 10032,640,480:waittimer 65493 blt 0,0,640,480,0, 10033,640,480:waittimer 65499 blt 0,0,640,480,0, 10034,640,480:waittimer 65506 blt 0,0,640,480,0, 10035,640,480:waittimer 65512 blt 0,0,640,480,0, 10036,640,480:waittimer 65519 blt 0,0,640,480,0, 10037,640,480:waittimer 65525 blt 0,0,640,480,0, 10038,640,480:waittimer 65532 blt 0,0,640,480,0, 10039,640,480:waittimer 65538 blt 0,0,640,480,0, 10040,640,480:waittimer 65545 blt 0,0,640,480,0, 10041,640,480:waittimer 65551 blt 0,0,640,480,0, 10042,640,480:waittimer 65558 blt 0,0,640,480,0, 10043,640,480:waittimer 65564 blt 0,0,640,480,0, 10044,640,480:waittimer 65571 blt 0,0,640,480,0, 10045,640,480:waittimer 65577 blt 0,0,640,480,0, 10046,640,480:waittimer 65584 blt 0,0,640,480,0, 10047,640,480:waittimer 65591 blt 0,0,640,480,0, 10048,640,480:waittimer 65597 blt 0,0,640,480,0, 10049,640,480:waittimer 65604 blt 0,0,640,480,0, 10050,640,480:waittimer 65610 blt 0,0,640,480,0, 10051,640,480:waittimer 65617 blt 0,0,640,480,0, 10052,640,480:waittimer 65623 blt 0,0,640,480,0, 10053,640,480:waittimer 65630 blt 0,0,640,480,0, 10054,640,480:waittimer 65636 blt 0,0,640,480,0, 10055,640,480:waittimer 65643 blt 0,0,640,480,0, 10056,640,480:waittimer 65649 blt 0,0,640,480,0, 10057,640,480:waittimer 65656 blt 0,0,640,480,0, 10058,640,480:waittimer 65662 blt 0,0,640,480,0, 10059,640,480:waittimer 65669 blt 0,0,640,480,0, 10060,640,480:waittimer 65675 blt 0,0,640,480,0, 10061,640,480:waittimer 65682 blt 0,0,640,480,0, 10062,640,480:waittimer 65688 blt 0,0,640,480,0, 10063,640,480:waittimer 65695 blt 0,0,640,480,0, 10064,640,480:waittimer 65701 blt 0,0,640,480,0, 10065,640,480:waittimer 65708 blt 0,0,640,480,0, 10066,640,480:waittimer 65715 blt 0,0,640,480,0, 10067,640,480:waittimer 65721 blt 0,0,640,480,0, 10068,640,480:waittimer 65728 blt 0,0,640,480,0, 10069,640,480:waittimer 65734 blt 0,0,640,480,0, 10070,640,480:waittimer 65741 blt 0,0,640,480,0, 10071,640,480:waittimer 65747 blt 0,0,640,480,0, 10072,640,480:waittimer 65754 blt 0,0,640,480,0, 10073,640,480:waittimer 65760 blt 0,0,640,480,0, 10074,640,480:waittimer 65767 blt 0,0,640,480,0, 10075,640,480:waittimer 65773 blt 0,0,640,480,0, 10076,640,480:waittimer 65780 blt 0,0,640,480,0, 10077,640,480:waittimer 65786 blt 0,0,640,480,0, 10078,640,480:waittimer 65793 blt 0,0,640,480,0, 10079,640,480:waittimer 65799 blt 0,0,640,480,0, 10080,640,480:waittimer 65806 blt 0,0,640,480,0, 10081,640,480:waittimer 65812 blt 0,0,640,480,0, 10082,640,480:waittimer 65819 blt 0,0,640,480,0, 10083,640,480:waittimer 65826 blt 0,0,640,480,0, 10084,640,480:waittimer 65832 blt 0,0,640,480,0, 10085,640,480:waittimer 65839 blt 0,0,640,480,0, 10086,640,480:waittimer 65845 blt 0,0,640,480,0, 10087,640,480:waittimer 65852 blt 0,0,640,480,0, 10088,640,480:waittimer 65858 blt 0,0,640,480,0, 10089,640,480:waittimer 65865 blt 0,0,640,480,0, 10090,640,480:waittimer 65871 blt 0,0,640,480,0, 10091,640,480:waittimer 65878 blt 0,0,640,480,0, 10092,640,480:waittimer 65884 blt 0,0,640,480,0, 10093,640,480:waittimer 65891 blt 0,0,640,480,0, 10094,640,480:waittimer 65897 blt 0,0,640,480,0, 10095,640,480:waittimer 65904 blt 0,0,640,480,0, 10096,640,480:waittimer 65910 blt 0,0,640,480,0, 10097,640,480:waittimer 65917 blt 0,0,640,480,0, 10098,640,480:waittimer 65923 blt 0,0,640,480,0, 10099,640,480:waittimer 65930 blt 0,0,640,480,0, 10100,640,480:waittimer 65937 blt 0,0,640,480,0, 10101,640,480:waittimer 65943 blt 0,0,640,480,0, 10102,640,480:waittimer 65950 blt 0,0,640,480,0, 10103,640,480:waittimer 65956 blt 0,0,640,480,0, 10104,640,480:waittimer 65963 blt 0,0,640,480,0, 10105,640,480:waittimer 65969 blt 0,0,640,480,0, 10106,640,480:waittimer 65976 blt 0,0,640,480,0, 10107,640,480:waittimer 65982 blt 0,0,640,480,0, 10108,640,480:waittimer 65989 blt 0,0,640,480,0, 10109,640,480:waittimer 65995 blt 0,0,640,480,0, 10110,640,480:waittimer 66002 blt 0,0,640,480,0, 10111,640,480:waittimer 66008 blt 0,0,640,480,0, 10112,640,480:waittimer 66015 blt 0,0,640,480,0, 10113,640,480:waittimer 66021 blt 0,0,640,480,0, 10114,640,480:waittimer 66028 blt 0,0,640,480,0, 10115,640,480:waittimer 66034 blt 0,0,640,480,0, 10116,640,480:waittimer 66041 blt 0,0,640,480,0, 10117,640,480:waittimer 66048 blt 0,0,640,480,0, 10118,640,480:waittimer 66054 blt 0,0,640,480,0, 10119,640,480:waittimer 66061 blt 0,0,640,480,0, 10120,640,480:waittimer 66067 blt 0,0,640,480,0, 10121,640,480:waittimer 66074 blt 0,0,640,480,0, 10122,640,480:waittimer 66080 blt 0,0,640,480,0, 10123,640,480:waittimer 66087 blt 0,0,640,480,0, 10124,640,480:waittimer 66093 blt 0,0,640,480,0, 10125,640,480:waittimer 66100 blt 0,0,640,480,0, 10126,640,480:waittimer 66106 blt 0,0,640,480,0, 10127,640,480:waittimer 66113 blt 0,0,640,480,0, 10128,640,480:waittimer 66119 blt 0,0,640,480,0, 10129,640,480:waittimer 66126 blt 0,0,640,480,0, 10130,640,480:waittimer 66132 blt 0,0,640,480,0, 10131,640,480:waittimer 66139 blt 0,0,640,480,0, 10132,640,480:waittimer 66145 blt 0,0,640,480,0, 10133,640,480:waittimer 66152 blt 0,0,640,480,0, 10134,640,480:waittimer 66158 blt 0,0,640,480,0, 10135,640,480:waittimer 66165 blt 0,0,640,480,0, 10136,640,480:waittimer 66172 blt 0,0,640,480,0, 10137,640,480:waittimer 66178 blt 0,0,640,480,0, 10138,640,480:waittimer 66185 blt 0,0,640,480,0, 10139,640,480:waittimer 66191 blt 0,0,640,480,0, 10140,640,480:waittimer 66198 blt 0,0,640,480,0, 10141,640,480:waittimer 66204 blt 0,0,640,480,0, 10142,640,480:waittimer 66211 blt 0,0,640,480,0, 10143,640,480:waittimer 66217 blt 0,0,640,480,0, 10144,640,480:waittimer 66224 blt 0,0,640,480,0, 10145,640,480:waittimer 66230 blt 0,0,640,480,0, 10146,640,480:waittimer 66237 blt 0,0,640,480,0, 10147,640,480:waittimer 66243 blt 0,0,640,480,0, 10148,640,480:waittimer 66250 blt 0,0,640,480,0, 10149,640,480:waittimer 66256 blt 0,0,640,480,0, 10150,640,480:waittimer 66263 blt 0,0,640,480,0, 10151,640,480:waittimer 66269 blt 0,0,640,480,0, 10152,640,480:waittimer 66276 blt 0,0,640,480,0, 10153,640,480:waittimer 66283 blt 0,0,640,480,0, 10154,640,480:waittimer 66289 blt 0,0,640,480,0, 10155,640,480:waittimer 66296 blt 0,0,640,480,0, 10156,640,480:waittimer 66302 blt 0,0,640,480,0, 10157,640,480:waittimer 66309 blt 0,0,640,480,0, 10158,640,480:waittimer 66315 blt 0,0,640,480,0, 10159,640,480:waittimer 66322 blt 0,0,640,480,0, 10160,640,480:waittimer 66328 blt 0,0,640,480,0, 10161,640,480:waittimer 66335 blt 0,0,640,480,0, 10162,640,480:waittimer 66341 blt 0,0,640,480,0, 10163,640,480:waittimer 66348 blt 0,0,640,480,0, 10164,640,480:waittimer 66354 blt 0,0,640,480,0, 10165,640,480:waittimer 66361 blt 0,0,640,480,0, 10166,640,480:waittimer 66367 blt 0,0,640,480,0, 10167,640,480:waittimer 66374 blt 0,0,640,480,0, 10168,640,480:waittimer 66380 blt 0,0,640,480,0, 10169,640,480:waittimer 66387 blt 0,0,640,480,0, 10170,640,480:waittimer 66394 blt 0,0,640,480,0, 10171,640,480:waittimer 66400 blt 0,0,640,480,0, 10172,640,480:waittimer 66407 blt 0,0,640,480,0, 10173,640,480:waittimer 66413 blt 0,0,640,480,0, 10174,640,480:waittimer 66420 blt 0,0,640,480,0, 10175,640,480:waittimer 66426 blt 0,0,640,480,0, 10176,640,480:waittimer 66433 blt 0,0,640,480,0, 10177,640,480:waittimer 66439 blt 0,0,640,480,0, 10178,640,480:waittimer 66446 blt 0,0,640,480,0, 10179,640,480:waittimer 66452 blt 0,0,640,480,0, 10180,640,480:waittimer 66459 blt 0,0,640,480,0, 10181,640,480:waittimer 66465 blt 0,0,640,480,0, 10182,640,480:waittimer 66472 blt 0,0,640,480,0, 10183,640,480:waittimer 66478 blt 0,0,640,480,0, 10184,640,480:waittimer 66485 blt 0,0,640,480,0, 10185,640,480:waittimer 66491 blt 0,0,640,480,0, 10186,640,480:waittimer 66498 blt 0,0,640,480,0, 10187,640,480:waittimer 66504 blt 0,0,640,480,0, 10188,640,480:waittimer 66511 blt 0,0,640,480,0, 10189,640,480:waittimer 66518 blt 0,0,640,480,0, 10190,640,480:waittimer 66524 blt 0,0,640,480,0, 10191,640,480:waittimer 66531 blt 0,0,640,480,0, 10192,640,480:waittimer 66537 blt 0,0,640,480,0, 10193,640,480:waittimer 66544 blt 0,0,640,480,0, 10194,640,480:waittimer 66550 blt 0,0,640,480,0, 10195,640,480:waittimer 66557 blt 0,0,640,480,0, 10196,640,480:waittimer 66563 blt 0,0,640,480,0, 10197,640,480:waittimer 66570 blt 0,0,640,480,0, 10198,640,480:waittimer 66576 blt 0,0,640,480,0, 10199,640,480:waittimer 66583 blt 0,0,640,480,0, 10200,640,480:waittimer 66589 blt 0,0,640,480,0, 10201,640,480:waittimer 66596 blt 0,0,640,480,0, 10202,640,480:waittimer 66602 blt 0,0,640,480,0, 10203,640,480:waittimer 66609 blt 0,0,640,480,0, 10204,640,480:waittimer 66615 blt 0,0,640,480,0, 10205,640,480:waittimer 66622 blt 0,0,640,480,0, 10206,640,480:waittimer 66629 blt 0,0,640,480,0, 10207,640,480:waittimer 66635 blt 0,0,640,480,0, 10208,640,480:waittimer 66642 blt 0,0,640,480,0, 10209,640,480:waittimer 66648 blt 0,0,640,480,0, 10210,640,480:waittimer 66655 blt 0,0,640,480,0, 10211,640,480:waittimer 66661 blt 0,0,640,480,0, 10212,640,480:waittimer 66668 blt 0,0,640,480,0, 10213,640,480:waittimer 66674 blt 0,0,640,480,0, 10214,640,480:waittimer 66681 blt 0,0,640,480,0, 10215,640,480:waittimer 66687 blt 0,0,640,480,0, 10216,640,480:waittimer 66694 blt 0,0,640,480,0, 10217,640,480:waittimer 66700 blt 0,0,640,480,0, 10218,640,480:waittimer 66707 blt 0,0,640,480,0, 10219,640,480:waittimer 66713 blt 0,0,640,480,0, 10220,640,480:waittimer 66720 blt 0,0,640,480,0, 10221,640,480:waittimer 66726 blt 0,0,640,480,0, 10222,640,480:waittimer 66733 blt 0,0,640,480,0, 10223,640,480:waittimer 66740 blt 0,0,640,480,0, 10224,640,480:waittimer 66746 blt 0,0,640,480,0, 10225,640,480:waittimer 66753 blt 0,0,640,480,0, 10226,640,480:waittimer 66759 blt 0,0,640,480,0, 10227,640,480:waittimer 66766 blt 0,0,640,480,0, 10228,640,480:waittimer 66772 blt 0,0,640,480,0, 10229,640,480:waittimer 66779 blt 0,0,640,480,0, 10230,640,480:waittimer 66785 blt 0,0,640,480,0, 10231,640,480:waittimer 66792 blt 0,0,640,480,0, 10232,640,480:waittimer 66798 blt 0,0,640,480,0, 10233,640,480:waittimer 66805 blt 0,0,640,480,0, 10234,640,480:waittimer 66811 blt 0,0,640,480,0, 10235,640,480:waittimer 66818 blt 0,0,640,480,0, 10236,640,480:waittimer 66824 blt 0,0,640,480,0, 10237,640,480:waittimer 66831 blt 0,0,640,480,0, 10238,640,480:waittimer 66837 blt 0,0,640,480,0, 10239,640,480:waittimer 66844 blt 0,0,640,480,0, 10240,640,480:waittimer 66850 blt 0,0,640,480,0, 10241,640,480:waittimer 66857 blt 0,0,640,480,0, 10242,640,480:waittimer 66864 blt 0,0,640,480,0, 10243,640,480:waittimer 66870 blt 0,0,640,480,0, 10244,640,480:waittimer 66877 blt 0,0,640,480,0, 10245,640,480:waittimer 66883 blt 0,0,640,480,0, 10246,640,480:waittimer 66890 blt 0,0,640,480,0, 10247,640,480:waittimer 66896 blt 0,0,640,480,0, 10248,640,480:waittimer 66903 blt 0,0,640,480,0, 10249,640,480:waittimer 66909 blt 0,0,640,480,0, 10250,640,480:waittimer 66916 blt 0,0,640,480,0, 10251,640,480:waittimer 66922 blt 0,0,640,480,0, 10252,640,480:waittimer 66929 blt 0,0,640,480,0, 10253,640,480:waittimer 66935 blt 0,0,640,480,0, 10254,640,480:waittimer 66942 blt 0,0,640,480,0, 10255,640,480:waittimer 66948 blt 0,0,640,480,0, 10256,640,480:waittimer 66955 blt 0,0,640,480,0, 10257,640,480:waittimer 66961 blt 0,0,640,480,0, 10258,640,480:waittimer 66968 blt 0,0,640,480,0, 10259,640,480:waittimer 66975 blt 0,0,640,480,0, 10260,640,480:waittimer 66981 blt 0,0,640,480,0, 10261,640,480:waittimer 66988 blt 0,0,640,480,0, 10262,640,480:waittimer 66994 blt 0,0,640,480,0, 10263,640,480:waittimer 67001 blt 0,0,640,480,0, 10264,640,480:waittimer 67007 blt 0,0,640,480,0, 10265,640,480:waittimer 67014 blt 0,0,640,480,0, 10266,640,480:waittimer 67020 blt 0,0,640,480,0, 10267,640,480:waittimer 67027 blt 0,0,640,480,0, 10268,640,480:waittimer 67033 blt 0,0,640,480,0, 10269,640,480:waittimer 67040 blt 0,0,640,480,0, 10270,640,480:waittimer 67046 blt 0,0,640,480,0, 10271,640,480:waittimer 67053 blt 0,0,640,480,0, 10272,640,480:waittimer 67059 blt 0,0,640,480,0, 10273,640,480:waittimer 67066 blt 0,0,640,480,0, 10274,640,480:waittimer 67072 blt 0,0,640,480,0, 10275,640,480:waittimer 67079 blt 0,0,640,480,0, 10276,640,480:waittimer 67086 blt 0,0,640,480,0, 10277,640,480:waittimer 67092 blt 0,0,640,480,0, 10278,640,480:waittimer 67099 blt 0,0,640,480,0, 10279,640,480:waittimer 67105 blt 0,0,640,480,0, 10280,640,480:waittimer 67112 blt 0,0,640,480,0, 10281,640,480:waittimer 67118 blt 0,0,640,480,0, 10282,640,480:waittimer 67125 blt 0,0,640,480,0, 10283,640,480:waittimer 67131 blt 0,0,640,480,0, 10284,640,480:waittimer 67138 blt 0,0,640,480,0, 10285,640,480:waittimer 67144 blt 0,0,640,480,0, 10286,640,480:waittimer 67151 blt 0,0,640,480,0, 10287,640,480:waittimer 67157 blt 0,0,640,480,0, 10288,640,480:waittimer 67164 blt 0,0,640,480,0, 10289,640,480:waittimer 67170 blt 0,0,640,480,0, 10290,640,480:waittimer 67177 blt 0,0,640,480,0, 10291,640,480:waittimer 67183 blt 0,0,640,480,0, 10292,640,480:waittimer 67190 blt 0,0,640,480,0, 10293,640,480:waittimer 67197 blt 0,0,640,480,0, 10294,640,480:waittimer 67203 blt 0,0,640,480,0, 10295,640,480:waittimer 67210 blt 0,0,640,480,0, 10296,640,480:waittimer 67216 blt 0,0,640,480,0, 10297,640,480:waittimer 67223 blt 0,0,640,480,0, 10298,640,480:waittimer 67229 blt 0,0,640,480,0, 10299,640,480:waittimer 67236 blt 0,0,640,480,0, 10300,640,480:waittimer 67242 blt 0,0,640,480,0, 10301,640,480:waittimer 67249 blt 0,0,640,480,0, 10302,640,480:waittimer 67255 blt 0,0,640,480,0, 10303,640,480:waittimer 67262 blt 0,0,640,480,0, 10304,640,480:waittimer 67268 blt 0,0,640,480,0, 10305,640,480:waittimer 67275 blt 0,0,640,480,0, 10306,640,480:waittimer 67281 blt 0,0,640,480,0, 10307,640,480:waittimer 67288 blt 0,0,640,480,0, 10308,640,480:waittimer 67294 blt 0,0,640,480,0, 10309,640,480:waittimer 67301 blt 0,0,640,480,0, 10310,640,480:waittimer 67307 blt 0,0,640,480,0, 10311,640,480:waittimer 67314 blt 0,0,640,480,0, 10312,640,480:waittimer 67321 blt 0,0,640,480,0, 10313,640,480:waittimer 67327 blt 0,0,640,480,0, 10314,640,480:waittimer 67334 blt 0,0,640,480,0, 10315,640,480:waittimer 67340 blt 0,0,640,480,0, 10316,640,480:waittimer 67347 blt 0,0,640,480,0, 10317,640,480:waittimer 67353 blt 0,0,640,480,0, 10318,640,480:waittimer 67360 blt 0,0,640,480,0, 10319,640,480:waittimer 67366 blt 0,0,640,480,0, 10320,640,480:waittimer 67373 blt 0,0,640,480,0, 10321,640,480:waittimer 67379 blt 0,0,640,480,0, 10322,640,480:waittimer 67386 blt 0,0,640,480,0, 10323,640,480:waittimer 67392 blt 0,0,640,480,0, 10324,640,480:waittimer 67399 blt 0,0,640,480,0, 10325,640,480:waittimer 67405 blt 0,0,640,480,0, 10326,640,480:waittimer 67412 blt 0,0,640,480,0, 10327,640,480:waittimer 67418 blt 0,0,640,480,0, 10328,640,480:waittimer 67425 blt 0,0,640,480,0, 10329,640,480:waittimer 67432 blt 0,0,640,480,0, 10330,640,480:waittimer 67438 blt 0,0,640,480,0, 10331,640,480:waittimer 67445 blt 0,0,640,480,0, 10332,640,480:waittimer 67451 blt 0,0,640,480,0, 10333,640,480:waittimer 67458 blt 0,0,640,480,0, 10334,640,480:waittimer 67464 blt 0,0,640,480,0, 10335,640,480:waittimer 67471 blt 0,0,640,480,0, 10336,640,480:waittimer 67477 blt 0,0,640,480,0, 10337,640,480:waittimer 67484 blt 0,0,640,480,0, 10338,640,480:waittimer 67490 blt 0,0,640,480,0, 10339,640,480:waittimer 67497 blt 0,0,640,480,0, 10340,640,480:waittimer 67503 blt 0,0,640,480,0, 10341,640,480:waittimer 67510 blt 0,0,640,480,0, 10342,640,480:waittimer 67516 blt 0,0,640,480,0, 10343,640,480:waittimer 67523 blt 0,0,640,480,0, 10344,640,480:waittimer 67529 blt 0,0,640,480,0, 10345,640,480:waittimer 67536 blt 0,0,640,480,0, 10346,640,480:waittimer 67543 blt 0,0,640,480,0, 10347,640,480:waittimer 67549 blt 0,0,640,480,0, 10348,640,480:waittimer 67556 blt 0,0,640,480,0, 10349,640,480:waittimer 67562 blt 0,0,640,480,0, 10350,640,480:waittimer 67569 blt 0,0,640,480,0, 10351,640,480:waittimer 67575 blt 0,0,640,480,0, 10352,640,480:waittimer 67582 blt 0,0,640,480,0, 10353,640,480:waittimer 67588 blt 0,0,640,480,0, 10354,640,480:waittimer 67595 blt 0,0,640,480,0, 10355,640,480:waittimer 67601 blt 0,0,640,480,0, 10356,640,480:waittimer 67608 blt 0,0,640,480,0, 10357,640,480:waittimer 67614 blt 0,0,640,480,0, 10358,640,480:waittimer 67621 blt 0,0,640,480,0, 10359,640,480:waittimer 67627 blt 0,0,640,480,0, 10360,640,480:waittimer 67634 blt 0,0,640,480,0, 10361,640,480:waittimer 67640 blt 0,0,640,480,0, 10362,640,480:waittimer 67647 blt 0,0,640,480,0, 10363,640,480:waittimer 67653 blt 0,0,640,480,0, 10364,640,480:waittimer 67660 blt 0,0,640,480,0, 10365,640,480:waittimer 67667 blt 0,0,640,480,0, 10366,640,480:waittimer 67673 blt 0,0,640,480,0, 10367,640,480:waittimer 67680 blt 0,0,640,480,0, 10368,640,480:waittimer 67686 blt 0,0,640,480,0, 10369,640,480:waittimer 67693 blt 0,0,640,480,0, 10370,640,480:waittimer 67699 blt 0,0,640,480,0, 10371,640,480:waittimer 67706 blt 0,0,640,480,0, 10372,640,480:waittimer 67712 blt 0,0,640,480,0, 10373,640,480:waittimer 67719 blt 0,0,640,480,0, 10374,640,480:waittimer 67725 blt 0,0,640,480,0, 10375,640,480:waittimer 67732 blt 0,0,640,480,0, 10376,640,480:waittimer 67738 blt 0,0,640,480,0, 10377,640,480:waittimer 67745 blt 0,0,640,480,0, 10378,640,480:waittimer 67751 blt 0,0,640,480,0, 10379,640,480:waittimer 67758 blt 0,0,640,480,0, 10380,640,480:waittimer 67764 blt 0,0,640,480,0, 10381,640,480:waittimer 67771 blt 0,0,640,480,0, 10382,640,480:waittimer 67778 blt 0,0,640,480,0, 10383,640,480:waittimer 67784 blt 0,0,640,480,0, 10384,640,480:waittimer 67791 blt 0,0,640,480,0, 10385,640,480:waittimer 67797 blt 0,0,640,480,0, 10386,640,480:waittimer 67804 blt 0,0,640,480,0, 10387,640,480:waittimer 67810 blt 0,0,640,480,0, 10388,640,480:waittimer 67817 blt 0,0,640,480,0, 10389,640,480:waittimer 67823 blt 0,0,640,480,0, 10390,640,480:waittimer 67830 blt 0,0,640,480,0, 10391,640,480:waittimer 67836 blt 0,0,640,480,0, 10392,640,480:waittimer 67843 blt 0,0,640,480,0, 10393,640,480:waittimer 67849 blt 0,0,640,480,0, 10394,640,480:waittimer 67856 blt 0,0,640,480,0, 10395,640,480:waittimer 67862 blt 0,0,640,480,0, 10396,640,480:waittimer 67869 blt 0,0,640,480,0, 10397,640,480:waittimer 67875 blt 0,0,640,480,0, 10398,640,480:waittimer 67882 blt 0,0,640,480,0, 10399,640,480:waittimer 67889 blt 0,0,640,480,0, 10400,640,480:waittimer 67895 blt 0,0,640,480,0, 10401,640,480:waittimer 67902 blt 0,0,640,480,0, 10402,640,480:waittimer 67908 blt 0,0,640,480,0, 10403,640,480:waittimer 67915 blt 0,0,640,480,0, 10404,640,480:waittimer 67921 blt 0,0,640,480,0, 10405,640,480:waittimer 67928 blt 0,0,640,480,0, 10406,640,480:waittimer 67934 blt 0,0,640,480,0, 10407,640,480:waittimer 67941 blt 0,0,640,480,0, 10408,640,480:waittimer 67947 blt 0,0,640,480,0, 10409,640,480:waittimer 67954 blt 0,0,640,480,0, 10410,640,480:waittimer 67960 blt 0,0,640,480,0, 10411,640,480:waittimer 67967 blt 0,0,640,480,0, 10412,640,480:waittimer 67973 blt 0,0,640,480,0, 10413,640,480:waittimer 67980 blt 0,0,640,480,0, 10414,640,480:waittimer 67986 blt 0,0,640,480,0, 10415,640,480:waittimer 67993 blt 0,0,640,480,0, 10416,640,480:waittimer 67999 blt 0,0,640,480,0, 10417,640,480:waittimer 68006 blt 0,0,640,480,0, 10418,640,480:waittimer 68013 blt 0,0,640,480,0, 10419,640,480:waittimer 68019 blt 0,0,640,480,0, 10420,640,480:waittimer 68026 blt 0,0,640,480,0, 10421,640,480:waittimer 68032 blt 0,0,640,480,0, 10422,640,480:waittimer 68039 blt 0,0,640,480,0, 10423,640,480:waittimer 68045 blt 0,0,640,480,0, 10424,640,480:waittimer 68052 blt 0,0,640,480,0, 10425,640,480:waittimer 68058 blt 0,0,640,480,0, 10426,640,480:waittimer 68065 blt 0,0,640,480,0, 10427,640,480:waittimer 68071 blt 0,0,640,480,0, 10428,640,480:waittimer 68078 blt 0,0,640,480,0, 10429,640,480:waittimer 68084 blt 0,0,640,480,0, 10430,640,480:waittimer 68091 blt 0,0,640,480,0, 10431,640,480:waittimer 68097 blt 0,0,640,480,0, 10432,640,480:waittimer 68104 blt 0,0,640,480,0, 10433,640,480:waittimer 68110 blt 0,0,640,480,0, 10434,640,480:waittimer 68117 blt 0,0,640,480,0, 10435,640,480:waittimer 68124 blt 0,0,640,480,0, 10436,640,480:waittimer 68130 blt 0,0,640,480,0, 10437,640,480:waittimer 68137 blt 0,0,640,480,0, 10438,640,480:waittimer 68143 blt 0,0,640,480,0, 10439,640,480:waittimer 68150 blt 0,0,640,480,0, 10440,640,480:waittimer 68156 blt 0,0,640,480,0, 10441,640,480:waittimer 68163 blt 0,0,640,480,0, 10442,640,480:waittimer 68169 blt 0,0,640,480,0, 10443,640,480:waittimer 68176 blt 0,0,640,480,0, 10444,640,480:waittimer 68182 blt 0,0,640,480,0, 10445,640,480:waittimer 68189 blt 0,0,640,480,0, 10446,640,480:waittimer 68195 blt 0,0,640,480,0, 10447,640,480:waittimer 68202 blt 0,0,640,480,0, 10448,640,480:waittimer 68208 blt 0,0,640,480,0, 10449,640,480:waittimer 68215 blt 0,0,640,480,0, 10450,640,480:waittimer 68221 blt 0,0,640,480,0, 10451,640,480:waittimer 68228 blt 0,0,640,480,0, 10452,640,480:waittimer 68235 blt 0,0,640,480,0, 10453,640,480:waittimer 68241 blt 0,0,640,480,0, 10454,640,480:waittimer 68248 blt 0,0,640,480,0, 10455,640,480:waittimer 68254 blt 0,0,640,480,0, 10456,640,480:waittimer 68261 blt 0,0,640,480,0, 10457,640,480:waittimer 68267 blt 0,0,640,480,0, 10458,640,480:waittimer 68274 blt 0,0,640,480,0, 10459,640,480:waittimer 68280 blt 0,0,640,480,0, 10460,640,480:waittimer 68287 blt 0,0,640,480,0, 10461,640,480:waittimer 68293 blt 0,0,640,480,0, 10462,640,480:waittimer 68300 blt 0,0,640,480,0, 10463,640,480:waittimer 68306 blt 0,0,640,480,0, 10464,640,480:waittimer 68313 blt 0,0,640,480,0, 10465,640,480:waittimer 68319 blt 0,0,640,480,0, 10466,640,480:waittimer 68326 blt 0,0,640,480,0, 10467,640,480:waittimer 68332 blt 0,0,640,480,0, 10468,640,480:waittimer 68339 blt 0,0,640,480,0, 10469,640,480:waittimer 68346 blt 0,0,640,480,0, 10470,640,480:waittimer 68352 blt 0,0,640,480,0, 10471,640,480:waittimer 68359 blt 0,0,640,480,0, 10472,640,480:waittimer 68365 blt 0,0,640,480,0, 10473,640,480:waittimer 68372 blt 0,0,640,480,0, 10474,640,480:waittimer 68378 blt 0,0,640,480,0, 10475,640,480:waittimer 68385 blt 0,0,640,480,0, 10476,640,480:waittimer 68391 blt 0,0,640,480,0, 10477,640,480:waittimer 68398 blt 0,0,640,480,0, 10478,640,480:waittimer 68404 blt 0,0,640,480,0, 10479,640,480:waittimer 68411 blt 0,0,640,480,0, 10480,640,480:waittimer 68417 blt 0,0,640,480,0, 10481,640,480:waittimer 68424 blt 0,0,640,480,0, 10482,640,480:waittimer 68430 blt 0,0,640,480,0, 10483,640,480:waittimer 68437 blt 0,0,640,480,0, 10484,640,480:waittimer 68443 blt 0,0,640,480,0, 10485,640,480:waittimer 68450 blt 0,0,640,480,0, 10486,640,480:waittimer 68456 blt 0,0,640,480,0, 10487,640,480:waittimer 68463 blt 0,0,640,480,0, 10488,640,480:waittimer 68470 blt 0,0,640,480,0, 10489,640,480:waittimer 68476 blt 0,0,640,480,0, 10490,640,480:waittimer 68483 blt 0,0,640,480,0, 10491,640,480:waittimer 68489 blt 0,0,640,480,0, 10492,640,480:waittimer 68496 blt 0,0,640,480,0, 10493,640,480:waittimer 68502 blt 0,0,640,480,0, 10494,640,480:waittimer 68509 blt 0,0,640,480,0, 10495,640,480:waittimer 68515 blt 0,0,640,480,0, 10496,640,480:waittimer 68522 blt 0,0,640,480,0, 10497,640,480:waittimer 68528 blt 0,0,640,480,0, 10498,640,480:waittimer 68535 blt 0,0,640,480,0, 10499,640,480:waittimer 68541 blt 0,0,640,480,0, 10500,640,480:waittimer 68548 blt 0,0,640,480,0, 10501,640,480:waittimer 68554 blt 0,0,640,480,0, 10502,640,480:waittimer 68561 blt 0,0,640,480,0, 10503,640,480:waittimer 68567 blt 0,0,640,480,0, 10504,640,480:waittimer 68574 blt 0,0,640,480,0, 10505,640,480:waittimer 68581 blt 0,0,640,480,0, 10506,640,480:waittimer 68587 blt 0,0,640,480,0, 10507,640,480:waittimer 68594 blt 0,0,640,480,0, 10508,640,480:waittimer 68600 blt 0,0,640,480,0, 10509,640,480:waittimer 68607 blt 0,0,640,480,0, 10510,640,480:waittimer 68613 blt 0,0,640,480,0, 10511,640,480:waittimer 68620 blt 0,0,640,480,0, 10512,640,480:waittimer 68626 blt 0,0,640,480,0, 10513,640,480:waittimer 68633 blt 0,0,640,480,0, 10514,640,480:waittimer 68639 blt 0,0,640,480,0, 10515,640,480:waittimer 68646 blt 0,0,640,480,0, 10516,640,480:waittimer 68652 blt 0,0,640,480,0, 10517,640,480:waittimer 68659 blt 0,0,640,480,0, 10518,640,480:waittimer 68665 blt 0,0,640,480,0, 10519,640,480:waittimer 68672 blt 0,0,640,480,0, 10520,640,480:waittimer 68678 blt 0,0,640,480,0, 10521,640,480:waittimer 68685 blt 0,0,640,480,0, 10522,640,480:waittimer 68692 blt 0,0,640,480,0, 10523,640,480:waittimer 68698 blt 0,0,640,480,0, 10524,640,480:waittimer 68705 blt 0,0,640,480,0, 10525,640,480:waittimer 68711 blt 0,0,640,480,0, 10526,640,480:waittimer 68718 blt 0,0,640,480,0, 10527,640,480:waittimer 68724 blt 0,0,640,480,0, 10528,640,480:waittimer 68731 blt 0,0,640,480,0, 10529,640,480:waittimer 68737 blt 0,0,640,480,0, 10530,640,480:waittimer 68744 blt 0,0,640,480,0, 10531,640,480:waittimer 68750 blt 0,0,640,480,0, 10532,640,480:waittimer 68757 blt 0,0,640,480,0, 10533,640,480:waittimer 68763 blt 0,0,640,480,0, 10534,640,480:waittimer 68770 blt 0,0,640,480,0, 10535,640,480:waittimer 68776 blt 0,0,640,480,0, 10536,640,480:waittimer 68783 blt 0,0,640,480,0, 10537,640,480:waittimer 68789 blt 0,0,640,480,0, 10538,640,480:waittimer 68796 blt 0,0,640,480,0, 10539,640,480:waittimer 68802 blt 0,0,640,480,0, 10540,640,480:waittimer 68809 blt 0,0,640,480,0, 10541,640,480:waittimer 68816 blt 0,0,640,480,0, 10542,640,480:waittimer 68822 blt 0,0,640,480,0, 10543,640,480:waittimer 68829 blt 0,0,640,480,0, 10544,640,480:waittimer 68835 blt 0,0,640,480,0, 10545,640,480:waittimer 68842 blt 0,0,640,480,0, 10546,640,480:waittimer 68848 blt 0,0,640,480,0, 10547,640,480:waittimer 68855 blt 0,0,640,480,0, 10548,640,480:waittimer 68861 blt 0,0,640,480,0, 10549,640,480:waittimer 68868 blt 0,0,640,480,0, 10550,640,480:waittimer 68874 blt 0,0,640,480,0, 10551,640,480:waittimer 68881 blt 0,0,640,480,0, 10552,640,480:waittimer 68887 blt 0,0,640,480,0, 10553,640,480:waittimer 68894 blt 0,0,640,480,0, 10554,640,480:waittimer 68900 blt 0,0,640,480,0, 10555,640,480:waittimer 68907 blt 0,0,640,480,0, 10556,640,480:waittimer 68913 blt 0,0,640,480,0, 10557,640,480:waittimer 68920 blt 0,0,640,480,0, 10558,640,480:waittimer 68927 blt 0,0,640,480,0, 10559,640,480:waittimer 68933 blt 0,0,640,480,0, 10560,640,480:waittimer 68940 blt 0,0,640,480,0, 10561,640,480:waittimer 68946 blt 0,0,640,480,0, 10562,640,480:waittimer 68953 blt 0,0,640,480,0, 10563,640,480:waittimer 68959 blt 0,0,640,480,0, 10564,640,480:waittimer 68966 blt 0,0,640,480,0, 10565,640,480:waittimer 68972 blt 0,0,640,480,0, 10566,640,480:waittimer 68979 blt 0,0,640,480,0, 10567,640,480:waittimer 68985 blt 0,0,640,480,0, 10568,640,480:waittimer 68992 blt 0,0,640,480,0, 10569,640,480:waittimer 68998 blt 0,0,640,480,0, 10570,640,480:waittimer 69005 blt 0,0,640,480,0, 10571,640,480:waittimer 69011 blt 0,0,640,480,0, 10572,640,480:waittimer 69018 blt 0,0,640,480,0, 10573,640,480:waittimer 69024 blt 0,0,640,480,0, 10574,640,480:waittimer 69031 blt 0,0,640,480,0, 10575,640,480:waittimer 69038 blt 0,0,640,480,0, 10576,640,480:waittimer 69044 blt 0,0,640,480,0, 10577,640,480:waittimer 69051 blt 0,0,640,480,0, 10578,640,480:waittimer 69057 blt 0,0,640,480,0, 10579,640,480:waittimer 69064 blt 0,0,640,480,0, 10580,640,480:waittimer 69070 blt 0,0,640,480,0, 10581,640,480:waittimer 69077 blt 0,0,640,480,0, 10582,640,480:waittimer 69083 blt 0,0,640,480,0, 10583,640,480:waittimer 69090 blt 0,0,640,480,0, 10584,640,480:waittimer 69096 blt 0,0,640,480,0, 10585,640,480:waittimer 69103 blt 0,0,640,480,0, 10586,640,480:waittimer 69109 blt 0,0,640,480,0, 10587,640,480:waittimer 69116 blt 0,0,640,480,0, 10588,640,480:waittimer 69122 blt 0,0,640,480,0, 10589,640,480:waittimer 69129 blt 0,0,640,480,0, 10590,640,480:waittimer 69135 blt 0,0,640,480,0, 10591,640,480:waittimer 69142 blt 0,0,640,480,0, 10592,640,480:waittimer 69148 blt 0,0,640,480,0, 10593,640,480:waittimer 69155 blt 0,0,640,480,0, 10594,640,480:waittimer 69162 blt 0,0,640,480,0, 10595,640,480:waittimer 69168 blt 0,0,640,480,0, 10596,640,480:waittimer 69175 blt 0,0,640,480,0, 10597,640,480:waittimer 69181 blt 0,0,640,480,0, 10598,640,480:waittimer 69188 blt 0,0,640,480,0, 10599,640,480:waittimer 69194 blt 0,0,640,480,0, 10600,640,480:waittimer 69201 blt 0,0,640,480,0, 10601,640,480:waittimer 69207 blt 0,0,640,480,0, 10602,640,480:waittimer 69214 blt 0,0,640,480,0, 10603,640,480:waittimer 69220 blt 0,0,640,480,0, 10604,640,480:waittimer 69227 blt 0,0,640,480,0, 10605,640,480:waittimer 69233 blt 0,0,640,480,0, 10606,640,480:waittimer 69240 blt 0,0,640,480,0, 10607,640,480:waittimer 69246 blt 0,0,640,480,0, 10608,640,480:waittimer 69253 blt 0,0,640,480,0, 10609,640,480:waittimer 69259 blt 0,0,640,480,0, 10610,640,480:waittimer 69266 blt 0,0,640,480,0, 10611,640,480:waittimer 69273 blt 0,0,640,480,0, 10612,640,480:waittimer 69279 blt 0,0,640,480,0, 10613,640,480:waittimer 69286 blt 0,0,640,480,0, 10614,640,480:waittimer 69292 blt 0,0,640,480,0, 10615,640,480:waittimer 69299 blt 0,0,640,480,0, 10616,640,480:waittimer 69305 blt 0,0,640,480,0, 10617,640,480:waittimer 69312 blt 0,0,640,480,0, 10618,640,480:waittimer 69318 blt 0,0,640,480,0, 10619,640,480:waittimer 69325 blt 0,0,640,480,0, 10620,640,480:waittimer 69331 blt 0,0,640,480,0, 10621,640,480:waittimer 69338 blt 0,0,640,480,0, 10622,640,480:waittimer 69344 blt 0,0,640,480,0, 10623,640,480:waittimer 69351 blt 0,0,640,480,0, 10624,640,480:waittimer 69357 blt 0,0,640,480,0, 10625,640,480:waittimer 69364 blt 0,0,640,480,0, 10626,640,480:waittimer 69370 blt 0,0,640,480,0, 10627,640,480:waittimer 69377 blt 0,0,640,480,0, 10628,640,480:waittimer 69384 blt 0,0,640,480,0, 10629,640,480:waittimer 69390 blt 0,0,640,480,0, 10630,640,480:waittimer 69397 blt 0,0,640,480,0, 10631,640,480:waittimer 69403 blt 0,0,640,480,0, 10632,640,480:waittimer 69410 blt 0,0,640,480,0, 10633,640,480:waittimer 69416 blt 0,0,640,480,0, 10634,640,480:waittimer 69423 blt 0,0,640,480,0, 10635,640,480:waittimer 69429 blt 0,0,640,480,0, 10636,640,480:waittimer 69436 blt 0,0,640,480,0, 10637,640,480:waittimer 69442 blt 0,0,640,480,0, 10638,640,480:waittimer 69449 blt 0,0,640,480,0, 10639,640,480:waittimer 69455 blt 0,0,640,480,0, 10640,640,480:waittimer 69462 blt 0,0,640,480,0, 10641,640,480:waittimer 69468 blt 0,0,640,480,0, 10642,640,480:waittimer 69475 blt 0,0,640,480,0, 10643,640,480:waittimer 69481 blt 0,0,640,480,0, 10644,640,480:waittimer 69488 blt 0,0,640,480,0, 10645,640,480:waittimer 69495 blt 0,0,640,480,0, 10646,640,480:waittimer 69501 blt 0,0,640,480,0, 10647,640,480:waittimer 69508 blt 0,0,640,480,0, 10648,640,480:waittimer 69514 blt 0,0,640,480,0, 10649,640,480:waittimer 69521 blt 0,0,640,480,0, 10650,640,480:waittimer 69527 blt 0,0,640,480,0, 10651,640,480:waittimer 69534 blt 0,0,640,480,0, 10652,640,480:waittimer 69540 blt 0,0,640,480,0, 10653,640,480:waittimer 69547 blt 0,0,640,480,0, 10654,640,480:waittimer 69553 blt 0,0,640,480,0, 10655,640,480:waittimer 69560 blt 0,0,640,480,0, 10656,640,480:waittimer 69566 blt 0,0,640,480,0, 10657,640,480:waittimer 69573 blt 0,0,640,480,0, 10658,640,480:waittimer 69579 blt 0,0,640,480,0, 10659,640,480:waittimer 69586 blt 0,0,640,480,0, 10660,640,480:waittimer 69592 blt 0,0,640,480,0, 10661,640,480:waittimer 69599 blt 0,0,640,480,0, 10662,640,480:waittimer 69605 blt 0,0,640,480,0, 10663,640,480:waittimer 69612 blt 0,0,640,480,0, 10664,640,480:waittimer 69619 blt 0,0,640,480,0, 10665,640,480:waittimer 69625 blt 0,0,640,480,0, 10666,640,480:waittimer 69632 blt 0,0,640,480,0, 10667,640,480:waittimer 69638 blt 0,0,640,480,0, 10668,640,480:waittimer 69645 blt 0,0,640,480,0, 10669,640,480:waittimer 69651 blt 0,0,640,480,0, 10670,640,480:waittimer 69658 blt 0,0,640,480,0, 10671,640,480:waittimer 69664 blt 0,0,640,480,0, 10672,640,480:waittimer 69671 blt 0,0,640,480,0, 10673,640,480:waittimer 69677 blt 0,0,640,480,0, 10674,640,480:waittimer 69684 blt 0,0,640,480,0, 10675,640,480:waittimer 69690 blt 0,0,640,480,0, 10676,640,480:waittimer 69697 blt 0,0,640,480,0, 10677,640,480:waittimer 69703 blt 0,0,640,480,0, 10678,640,480:waittimer 69710 blt 0,0,640,480,0, 10679,640,480:waittimer 69716 blt 0,0,640,480,0, 10680,640,480:waittimer 69723 blt 0,0,640,480,0, 10681,640,480:waittimer 69730 blt 0,0,640,480,0, 10682,640,480:waittimer 69736 blt 0,0,640,480,0, 10683,640,480:waittimer 69743 blt 0,0,640,480,0, 10684,640,480:waittimer 69749 blt 0,0,640,480,0, 10685,640,480:waittimer 69756 blt 0,0,640,480,0, 10686,640,480:waittimer 69762 blt 0,0,640,480,0, 10687,640,480:waittimer 69769 blt 0,0,640,480,0, 10688,640,480:waittimer 69775 blt 0,0,640,480,0, 10689,640,480:waittimer 69782 blt 0,0,640,480,0, 10690,640,480:waittimer 69788 blt 0,0,640,480,0, 10691,640,480:waittimer 69795 blt 0,0,640,480,0, 10692,640,480:waittimer 69801 blt 0,0,640,480,0, 10693,640,480:waittimer 69808 blt 0,0,640,480,0, 10694,640,480:waittimer 69814 blt 0,0,640,480,0, 10695,640,480:waittimer 69821 blt 0,0,640,480,0, 10696,640,480:waittimer 69827 blt 0,0,640,480,0, 10697,640,480:waittimer 69834 blt 0,0,640,480,0, 10698,640,480:waittimer 69841 blt 0,0,640,480,0, 10699,640,480:waittimer 69847 blt 0,0,640,480,0, 10700,640,480:waittimer 69854 blt 0,0,640,480,0, 10701,640,480:waittimer 69860 blt 0,0,640,480,0, 10702,640,480:waittimer 69867 blt 0,0,640,480,0, 10703,640,480:waittimer 69873 blt 0,0,640,480,0, 10704,640,480:waittimer 69880 blt 0,0,640,480,0, 10705,640,480:waittimer 69886 blt 0,0,640,480,0, 10706,640,480:waittimer 69893 blt 0,0,640,480,0, 10707,640,480:waittimer 69899 blt 0,0,640,480,0, 10708,640,480:waittimer 69906 blt 0,0,640,480,0, 10709,640,480:waittimer 69912 blt 0,0,640,480,0, 10710,640,480:waittimer 69919 blt 0,0,640,480,0, 10711,640,480:waittimer 69925 blt 0,0,640,480,0, 10712,640,480:waittimer 69932 blt 0,0,640,480,0, 10713,640,480:waittimer 69938 blt 0,0,640,480,0, 10714,640,480:waittimer 69945 blt 0,0,640,480,0, 10715,640,480:waittimer 69951 blt 0,0,640,480,0, 10716,640,480:waittimer 69958 blt 0,0,640,480,0, 10717,640,480:waittimer 69965 blt 0,0,640,480,0, 10718,640,480:waittimer 69971 blt 0,0,640,480,0, 10719,640,480:waittimer 69978 blt 0,0,640,480,0, 10720,640,480:waittimer 69984 blt 0,0,640,480,0, 10721,640,480:waittimer 69991 blt 0,0,640,480,0, 10722,640,480:waittimer 69997 blt 0,0,640,480,0, 10723,640,480:waittimer 70004 blt 0,0,640,480,0, 10724,640,480:waittimer 70010 blt 0,0,640,480,0, 10725,640,480:waittimer 70017 blt 0,0,640,480,0, 10726,640,480:waittimer 70023 blt 0,0,640,480,0, 10727,640,480:waittimer 70030 blt 0,0,640,480,0, 10728,640,480:waittimer 70036 blt 0,0,640,480,0, 10729,640,480:waittimer 70043 blt 0,0,640,480,0, 10730,640,480:waittimer 70049 blt 0,0,640,480,0, 10731,640,480:waittimer 70056 blt 0,0,640,480,0, 10732,640,480:waittimer 70062 blt 0,0,640,480,0, 10733,640,480:waittimer 70069 blt 0,0,640,480,0, 10734,640,480:waittimer 70076 blt 0,0,640,480,0, 10735,640,480:waittimer 70082 blt 0,0,640,480,0, 10736,640,480:waittimer 70089 blt 0,0,640,480,0, 10737,640,480:waittimer 70095 blt 0,0,640,480,0, 10738,640,480:waittimer 70102 blt 0,0,640,480,0, 10739,640,480:waittimer 70108 blt 0,0,640,480,0, 10740,640,480:waittimer 70115 blt 0,0,640,480,0, 10741,640,480:waittimer 70121 blt 0,0,640,480,0, 10742,640,480:waittimer 70128 blt 0,0,640,480,0, 10743,640,480:waittimer 70134 blt 0,0,640,480,0, 10744,640,480:waittimer 70141 blt 0,0,640,480,0, 10745,640,480:waittimer 70147 blt 0,0,640,480,0, 10746,640,480:waittimer 70154 blt 0,0,640,480,0, 10747,640,480:waittimer 70160 blt 0,0,640,480,0, 10748,640,480:waittimer 70167 blt 0,0,640,480,0, 10749,640,480:waittimer 70173 blt 0,0,640,480,0, 10750,640,480:waittimer 70180 blt 0,0,640,480,0, 10751,640,480:waittimer 70187 blt 0,0,640,480,0, 10752,640,480:waittimer 70193 blt 0,0,640,480,0, 10753,640,480:waittimer 70200 blt 0,0,640,480,0, 10754,640,480:waittimer 70206 blt 0,0,640,480,0, 10755,640,480:waittimer 70213 blt 0,0,640,480,0, 10756,640,480:waittimer 70219 blt 0,0,640,480,0, 10757,640,480:waittimer 70226 blt 0,0,640,480,0, 10758,640,480:waittimer 70232 blt 0,0,640,480,0, 10759,640,480:waittimer 70239 blt 0,0,640,480,0, 10760,640,480:waittimer 70245 blt 0,0,640,480,0, 10761,640,480:waittimer 70252 blt 0,0,640,480,0, 10762,640,480:waittimer 70258 blt 0,0,640,480,0, 10763,640,480:waittimer 70265 blt 0,0,640,480,0, 10764,640,480:waittimer 70271 blt 0,0,640,480,0, 10765,640,480:waittimer 70278 blt 0,0,640,480,0, 10766,640,480:waittimer 70284 blt 0,0,640,480,0, 10767,640,480:waittimer 70291 blt 0,0,640,480,0, 10768,640,480:waittimer 70298 blt 0,0,640,480,0, 10769,640,480:waittimer 70304 blt 0,0,640,480,0, 10770,640,480:waittimer 70311 blt 0,0,640,480,0, 10771,640,480:waittimer 70317 blt 0,0,640,480,0, 10772,640,480:waittimer 70324 blt 0,0,640,480,0, 10773,640,480:waittimer 70330 blt 0,0,640,480,0, 10774,640,480:waittimer 70337 blt 0,0,640,480,0, 10775,640,480:waittimer 70343 blt 0,0,640,480,0, 10776,640,480:waittimer 70350 blt 0,0,640,480,0, 10777,640,480:waittimer 70356 blt 0,0,640,480,0, 10778,640,480:waittimer 70363 blt 0,0,640,480,0, 10779,640,480:waittimer 70369 blt 0,0,640,480,0, 10780,640,480:waittimer 70376 blt 0,0,640,480,0, 10781,640,480:waittimer 70382 blt 0,0,640,480,0, 10782,640,480:waittimer 70389 blt 0,0,640,480,0, 10783,640,480:waittimer 70395 blt 0,0,640,480,0, 10784,640,480:waittimer 70402 blt 0,0,640,480,0, 10785,640,480:waittimer 70408 blt 0,0,640,480,0, 10786,640,480:waittimer 70415 blt 0,0,640,480,0, 10787,640,480:waittimer 70422 blt 0,0,640,480,0, 10788,640,480:waittimer 70428 blt 0,0,640,480,0, 10789,640,480:waittimer 70435 blt 0,0,640,480,0, 10790,640,480:waittimer 70441 blt 0,0,640,480,0, 10791,640,480:waittimer 70448 blt 0,0,640,480,0, 10792,640,480:waittimer 70454 blt 0,0,640,480,0, 10793,640,480:waittimer 70461 blt 0,0,640,480,0, 10794,640,480:waittimer 70467 blt 0,0,640,480,0, 10795,640,480:waittimer 70474 blt 0,0,640,480,0, 10796,640,480:waittimer 70480 blt 0,0,640,480,0, 10797,640,480:waittimer 70487 blt 0,0,640,480,0, 10798,640,480:waittimer 70493 blt 0,0,640,480,0, 10799,640,480:waittimer 70500 blt 0,0,640,480,0, 10800,640,480:waittimer 70506 blt 0,0,640,480,0, 10801,640,480:waittimer 70513 blt 0,0,640,480,0, 10802,640,480:waittimer 70519 blt 0,0,640,480,0, 10803,640,480:waittimer 70526 blt 0,0,640,480,0, 10804,640,480:waittimer 70533 blt 0,0,640,480,0, 10805,640,480:waittimer 70539 blt 0,0,640,480,0, 10806,640,480:waittimer 70546 blt 0,0,640,480,0, 10807,640,480:waittimer 70552 blt 0,0,640,480,0, 10808,640,480:waittimer 70559 blt 0,0,640,480,0, 10809,640,480:waittimer 70565 blt 0,0,640,480,0, 10810,640,480:waittimer 70572 blt 0,0,640,480,0, 10811,640,480:waittimer 70578 blt 0,0,640,480,0, 10812,640,480:waittimer 70585 blt 0,0,640,480,0, 10813,640,480:waittimer 70591 blt 0,0,640,480,0, 10814,640,480:waittimer 70598 blt 0,0,640,480,0, 10815,640,480:waittimer 70604 blt 0,0,640,480,0, 10816,640,480:waittimer 70611 blt 0,0,640,480,0, 10817,640,480:waittimer 70617 blt 0,0,640,480,0, 10818,640,480:waittimer 70624 blt 0,0,640,480,0, 10819,640,480:waittimer 70630 blt 0,0,640,480,0, 10820,640,480:waittimer 70637 blt 0,0,640,480,0, 10821,640,480:waittimer 70644 blt 0,0,640,480,0, 10822,640,480:waittimer 70650 blt 0,0,640,480,0, 10823,640,480:waittimer 70657 blt 0,0,640,480,0, 10824,640,480:waittimer 70663 blt 0,0,640,480,0, 10825,640,480:waittimer 70670 blt 0,0,640,480,0, 10826,640,480:waittimer 70676 blt 0,0,640,480,0, 10827,640,480:waittimer 70683 blt 0,0,640,480,0, 10828,640,480:waittimer 70689 blt 0,0,640,480,0, 10829,640,480:waittimer 70696 blt 0,0,640,480,0, 10830,640,480:waittimer 70702 blt 0,0,640,480,0, 10831,640,480:waittimer 70709 blt 0,0,640,480,0, 10832,640,480:waittimer 70715 blt 0,0,640,480,0, 10833,640,480:waittimer 70722 blt 0,0,640,480,0, 10834,640,480:waittimer 70728 blt 0,0,640,480,0, 10835,640,480:waittimer 70735 blt 0,0,640,480,0, 10836,640,480:waittimer 70741 blt 0,0,640,480,0, 10837,640,480:waittimer 70748 blt 0,0,640,480,0, 10838,640,480:waittimer 70754 blt 0,0,640,480,0, 10839,640,480:waittimer 70761 blt 0,0,640,480,0, 10840,640,480:waittimer 70768 blt 0,0,640,480,0, 10841,640,480:waittimer 70774 blt 0,0,640,480,0, 10842,640,480:waittimer 70781 blt 0,0,640,480,0, 10843,640,480:waittimer 70787 blt 0,0,640,480,0, 10844,640,480:waittimer 70794 blt 0,0,640,480,0, 10845,640,480:waittimer 70800 blt 0,0,640,480,0, 10846,640,480:waittimer 70807 blt 0,0,640,480,0, 10847,640,480:waittimer 70813 blt 0,0,640,480,0, 10848,640,480:waittimer 70820 blt 0,0,640,480,0, 10849,640,480:waittimer 70826 blt 0,0,640,480,0, 10850,640,480:waittimer 70833 blt 0,0,640,480,0, 10851,640,480:waittimer 70839 blt 0,0,640,480,0, 10852,640,480:waittimer 70846 blt 0,0,640,480,0, 10853,640,480:waittimer 70852 blt 0,0,640,480,0, 10854,640,480:waittimer 70859 blt 0,0,640,480,0, 10855,640,480:waittimer 70865 blt 0,0,640,480,0, 10856,640,480:waittimer 70872 blt 0,0,640,480,0, 10857,640,480:waittimer 70879 blt 0,0,640,480,0, 10858,640,480:waittimer 70885 blt 0,0,640,480,0, 10859,640,480:waittimer 70892 blt 0,0,640,480,0, 10860,640,480:waittimer 70898 blt 0,0,640,480,0, 10861,640,480:waittimer 70905 blt 0,0,640,480,0, 10862,640,480:waittimer 70911 blt 0,0,640,480,0, 10863,640,480:waittimer 70918 blt 0,0,640,480,0, 10864,640,480:waittimer 70924 blt 0,0,640,480,0, 10865,640,480:waittimer 70931 blt 0,0,640,480,0, 10866,640,480:waittimer 70937 blt 0,0,640,480,0, 10867,640,480:waittimer 70944 blt 0,0,640,480,0, 10868,640,480:waittimer 70950 blt 0,0,640,480,0, 10869,640,480:waittimer 70957 blt 0,0,640,480,0, 10870,640,480:waittimer 70963 blt 0,0,640,480,0, 10871,640,480:waittimer 70970 blt 0,0,640,480,0, 10872,640,480:waittimer 70976 blt 0,0,640,480,0, 10873,640,480:waittimer 70983 blt 0,0,640,480,0, 10874,640,480:waittimer 70990 blt 0,0,640,480,0, 10875,640,480:waittimer 70996 blt 0,0,640,480,0, 10876,640,480:waittimer 71003 blt 0,0,640,480,0, 10877,640,480:waittimer 71009 blt 0,0,640,480,0, 10878,640,480:waittimer 71016 blt 0,0,640,480,0, 10879,640,480:waittimer 71022 blt 0,0,640,480,0, 10880,640,480:waittimer 71029 blt 0,0,640,480,0, 10881,640,480:waittimer 71035 blt 0,0,640,480,0, 10882,640,480:waittimer 71042 blt 0,0,640,480,0, 10883,640,480:waittimer 71048 blt 0,0,640,480,0, 10884,640,480:waittimer 71055 blt 0,0,640,480,0, 10885,640,480:waittimer 71061 blt 0,0,640,480,0, 10886,640,480:waittimer 71068 blt 0,0,640,480,0, 10887,640,480:waittimer 71074 blt 0,0,640,480,0, 10888,640,480:waittimer 71081 blt 0,0,640,480,0, 10889,640,480:waittimer 71087 blt 0,0,640,480,0, 10890,640,480:waittimer 71094 blt 0,0,640,480,0, 10891,640,480:waittimer 71100 blt 0,0,640,480,0, 10892,640,480:waittimer 71107 blt 0,0,640,480,0, 10893,640,480:waittimer 71114 blt 0,0,640,480,0, 10894,640,480:waittimer 71120 blt 0,0,640,480,0, 10895,640,480:waittimer 71127 blt 0,0,640,480,0, 10896,640,480:waittimer 71133 blt 0,0,640,480,0, 10897,640,480:waittimer 71140 blt 0,0,640,480,0, 10898,640,480:waittimer 71146 blt 0,0,640,480,0, 10899,640,480:waittimer 71153 blt 0,0,640,480,0, 10900,640,480:waittimer 71159 blt 0,0,640,480,0, 10901,640,480:waittimer 71166 blt 0,0,640,480,0, 10902,640,480:waittimer 71172 blt 0,0,640,480,0, 10903,640,480:waittimer 71179 blt 0,0,640,480,0, 10904,640,480:waittimer 71185 blt 0,0,640,480,0, 10905,640,480:waittimer 71192 blt 0,0,640,480,0, 10906,640,480:waittimer 71198 blt 0,0,640,480,0, 10907,640,480:waittimer 71205 blt 0,0,640,480,0, 10908,640,480:waittimer 71211 blt 0,0,640,480,0, 10909,640,480:waittimer 71218 blt 0,0,640,480,0, 10910,640,480:waittimer 71225 blt 0,0,640,480,0, 10911,640,480:waittimer 71231 blt 0,0,640,480,0, 10912,640,480:waittimer 71238 blt 0,0,640,480,0, 10913,640,480:waittimer 71244 blt 0,0,640,480,0, 10914,640,480:waittimer 71251 blt 0,0,640,480,0, 10915,640,480:waittimer 71257 blt 0,0,640,480,0, 10916,640,480:waittimer 71264 blt 0,0,640,480,0, 10917,640,480:waittimer 71270 blt 0,0,640,480,0, 10918,640,480:waittimer 71277 blt 0,0,640,480,0, 10919,640,480:waittimer 71283 blt 0,0,640,480,0, 10920,640,480:waittimer 71290 blt 0,0,640,480,0, 10921,640,480:waittimer 71296 blt 0,0,640,480,0, 10922,640,480:waittimer 71303 blt 0,0,640,480,0, 10923,640,480:waittimer 71309 blt 0,0,640,480,0, 10924,640,480:waittimer 71316 blt 0,0,640,480,0, 10925,640,480:waittimer 71322 blt 0,0,640,480,0, 10926,640,480:waittimer 71329 blt 0,0,640,480,0, 10927,640,480:waittimer 71336 blt 0,0,640,480,0, 10928,640,480:waittimer 71342 blt 0,0,640,480,0, 10929,640,480:waittimer 71349 blt 0,0,640,480,0, 10930,640,480:waittimer 71355 blt 0,0,640,480,0, 10931,640,480:waittimer 71362 blt 0,0,640,480,0, 10932,640,480:waittimer 71368 blt 0,0,640,480,0, 10933,640,480:waittimer 71375 blt 0,0,640,480,0, 10934,640,480:waittimer 71381 blt 0,0,640,480,0, 10935,640,480:waittimer 71388 blt 0,0,640,480,0, 10936,640,480:waittimer 71394 blt 0,0,640,480,0, 10937,640,480:waittimer 71401 blt 0,0,640,480,0, 10938,640,480:waittimer 71407 blt 0,0,640,480,0, 10939,640,480:waittimer 71414 blt 0,0,640,480,0, 10940,640,480:waittimer 71420 blt 0,0,640,480,0, 10941,640,480:waittimer 71427 blt 0,0,640,480,0, 10942,640,480:waittimer 71433 blt 0,0,640,480,0, 10943,640,480:waittimer 71440 blt 0,0,640,480,0, 10944,640,480:waittimer 71447 blt 0,0,640,480,0, 10945,640,480:waittimer 71453 blt 0,0,640,480,0, 10946,640,480:waittimer 71460 blt 0,0,640,480,0, 10947,640,480:waittimer 71466 blt 0,0,640,480,0, 10948,640,480:waittimer 71473 blt 0,0,640,480,0, 10949,640,480:waittimer 71479 blt 0,0,640,480,0, 10950,640,480:waittimer 71486 blt 0,0,640,480,0, 10951,640,480:waittimer 71492 blt 0,0,640,480,0, 10952,640,480:waittimer 71499 blt 0,0,640,480,0, 10953,640,480:waittimer 71505 blt 0,0,640,480,0, 10954,640,480:waittimer 71512 blt 0,0,640,480,0, 10955,640,480:waittimer 71518 blt 0,0,640,480,0, 10956,640,480:waittimer 71525 blt 0,0,640,480,0, 10957,640,480:waittimer 71531 blt 0,0,640,480,0, 10958,640,480:waittimer 71538 blt 0,0,640,480,0, 10959,640,480:waittimer 71544 blt 0,0,640,480,0, 10960,640,480:waittimer 71551 blt 0,0,640,480,0, 10961,640,480:waittimer 71557 blt 0,0,640,480,0, 10962,640,480:waittimer 71564 blt 0,0,640,480,0, 10963,640,480:waittimer 71571 blt 0,0,640,480,0, 10964,640,480:waittimer 71577 blt 0,0,640,480,0, 10965,640,480:waittimer 71584 blt 0,0,640,480,0, 10966,640,480:waittimer 71590 blt 0,0,640,480,0, 10967,640,480:waittimer 71597 blt 0,0,640,480,0, 10968,640,480:waittimer 71603 blt 0,0,640,480,0, 10969,640,480:waittimer 71610 blt 0,0,640,480,0, 10970,640,480:waittimer 71616 blt 0,0,640,480,0, 10971,640,480:waittimer 71623 blt 0,0,640,480,0, 10972,640,480:waittimer 71629 blt 0,0,640,480,0, 10973,640,480:waittimer 71636 blt 0,0,640,480,0, 10974,640,480:waittimer 71642 blt 0,0,640,480,0, 10975,640,480:waittimer 71649 blt 0,0,640,480,0, 10976,640,480:waittimer 71655 blt 0,0,640,480,0, 10977,640,480:waittimer 71662 blt 0,0,640,480,0, 10978,640,480:waittimer 71668 blt 0,0,640,480,0, 10979,640,480:waittimer 71675 blt 0,0,640,480,0, 10980,640,480:waittimer 71682 blt 0,0,640,480,0, 10981,640,480:waittimer 71688 blt 0,0,640,480,0, 10982,640,480:waittimer 71695 blt 0,0,640,480,0, 10983,640,480:waittimer 71701 blt 0,0,640,480,0, 10984,640,480:waittimer 71708 blt 0,0,640,480,0, 10985,640,480:waittimer 71714 blt 0,0,640,480,0, 10986,640,480:waittimer 71721 blt 0,0,640,480,0, 10987,640,480:waittimer 71727 blt 0,0,640,480,0, 10988,640,480:waittimer 71734 blt 0,0,640,480,0, 10989,640,480:waittimer 71740 blt 0,0,640,480,0, 10990,640,480:waittimer 71747 blt 0,0,640,480,0, 10991,640,480:waittimer 71753 blt 0,0,640,480,0, 10992,640,480:waittimer 71760 blt 0,0,640,480,0, 10993,640,480:waittimer 71766 blt 0,0,640,480,0, 10994,640,480:waittimer 71773 blt 0,0,640,480,0, 10995,640,480:waittimer 71779 blt 0,0,640,480,0, 10996,640,480:waittimer 71786 blt 0,0,640,480,0, 10997,640,480:waittimer 71793 blt 0,0,640,480,0, 10998,640,480:waittimer 71799 blt 0,0,640,480,0, 10999,640,480:waittimer 71806 blt 0,0,640,480,0, 11000,640,480:waittimer 71812 blt 0,0,640,480,0, 11001,640,480:waittimer 71819 blt 0,0,640,480,0, 11002,640,480:waittimer 71825 blt 0,0,640,480,0, 11003,640,480:waittimer 71832 blt 0,0,640,480,0, 11004,640,480:waittimer 71838 blt 0,0,640,480,0, 11005,640,480:waittimer 71845 blt 0,0,640,480,0, 11006,640,480:waittimer 71851 blt 0,0,640,480,0, 11007,640,480:waittimer 71858 blt 0,0,640,480,0, 11008,640,480:waittimer 71864 blt 0,0,640,480,0, 11009,640,480:waittimer 71871 blt 0,0,640,480,0, 11010,640,480:waittimer 71877 blt 0,0,640,480,0, 11011,640,480:waittimer 71884 blt 0,0,640,480,0, 11012,640,480:waittimer 71890 blt 0,0,640,480,0, 11013,640,480:waittimer 71897 blt 0,0,640,480,0, 11014,640,480:waittimer 71903 blt 0,0,640,480,0, 11015,640,480:waittimer 71910 blt 0,0,640,480,0, 11016,640,480:waittimer 71917 blt 0,0,640,480,0, 11017,640,480:waittimer 71923 blt 0,0,640,480,0, 11018,640,480:waittimer 71930 blt 0,0,640,480,0, 11019,640,480:waittimer 71936 blt 0,0,640,480,0, 11020,640,480:waittimer 71943 blt 0,0,640,480,0, 11021,640,480:waittimer 71949 blt 0,0,640,480,0, 11022,640,480:waittimer 71956 blt 0,0,640,480,0, 11023,640,480:waittimer 71962 blt 0,0,640,480,0, 11024,640,480:waittimer 71969 blt 0,0,640,480,0, 11025,640,480:waittimer 71975 blt 0,0,640,480,0, 11026,640,480:waittimer 71982 blt 0,0,640,480,0, 11027,640,480:waittimer 71988 blt 0,0,640,480,0, 11028,640,480:waittimer 71995 blt 0,0,640,480,0, 11029,640,480:waittimer 72001 blt 0,0,640,480,0, 11030,640,480:waittimer 72008 blt 0,0,640,480,0, 11031,640,480:waittimer 72014 blt 0,0,640,480,0, 11032,640,480:waittimer 72021 blt 0,0,640,480,0, 11033,640,480:waittimer 72028 blt 0,0,640,480,0, 11034,640,480:waittimer 72034 blt 0,0,640,480,0, 11035,640,480:waittimer 72041 blt 0,0,640,480,0, 11036,640,480:waittimer 72047 blt 0,0,640,480,0, 11037,640,480:waittimer 72054 blt 0,0,640,480,0, 11038,640,480:waittimer 72060 blt 0,0,640,480,0, 11039,640,480:waittimer 72067 blt 0,0,640,480,0, 11040,640,480:waittimer 72073 blt 0,0,640,480,0, 11041,640,480:waittimer 72080 blt 0,0,640,480,0, 11042,640,480:waittimer 72086 blt 0,0,640,480,0, 11043,640,480:waittimer 72093 blt 0,0,640,480,0, 11044,640,480:waittimer 72099 blt 0,0,640,480,0, 11045,640,480:waittimer 72106 blt 0,0,640,480,0, 11046,640,480:waittimer 72112 blt 0,0,640,480,0, 11047,640,480:waittimer 72119 blt 0,0,640,480,0, 11048,640,480:waittimer 72125 blt 0,0,640,480,0, 11049,640,480:waittimer 72132 blt 0,0,640,480,0, 11050,640,480:waittimer 72139 blt 0,0,640,480,0, 11051,640,480:waittimer 72145 blt 0,0,640,480,0, 11052,640,480:waittimer 72152 blt 0,0,640,480,0, 11053,640,480:waittimer 72158 blt 0,0,640,480,0, 11054,640,480:waittimer 72165 blt 0,0,640,480,0, 11055,640,480:waittimer 72171 blt 0,0,640,480,0, 11056,640,480:waittimer 72178 blt 0,0,640,480,0, 11057,640,480:waittimer 72184 blt 0,0,640,480,0, 11058,640,480:waittimer 72191 blt 0,0,640,480,0, 11059,640,480:waittimer 72197 blt 0,0,640,480,0, 11060,640,480:waittimer 72204 blt 0,0,640,480,0, 11061,640,480:waittimer 72210 blt 0,0,640,480,0, 11062,640,480:waittimer 72217 blt 0,0,640,480,0, 11063,640,480:waittimer 72223 blt 0,0,640,480,0, 11064,640,480:waittimer 72230 blt 0,0,640,480,0, 11065,640,480:waittimer 72236 blt 0,0,640,480,0, 11066,640,480:waittimer 72243 blt 0,0,640,480,0, 11067,640,480:waittimer 72249 blt 0,0,640,480,0, 11068,640,480:waittimer 72256 blt 0,0,640,480,0, 11069,640,480:waittimer 72263 blt 0,0,640,480,0, 11070,640,480:waittimer 72269 blt 0,0,640,480,0, 11071,640,480:waittimer 72276 blt 0,0,640,480,0, 11072,640,480:waittimer 72282 blt 0,0,640,480,0, 11073,640,480:waittimer 72289 blt 0,0,640,480,0, 11074,640,480:waittimer 72295 blt 0,0,640,480,0, 11075,640,480:waittimer 72302 blt 0,0,640,480,0, 11076,640,480:waittimer 72308 blt 0,0,640,480,0, 11077,640,480:waittimer 72315 blt 0,0,640,480,0, 11078,640,480:waittimer 72321 blt 0,0,640,480,0, 11079,640,480:waittimer 72328 blt 0,0,640,480,0, 11080,640,480:waittimer 72334 blt 0,0,640,480,0, 11081,640,480:waittimer 72341 blt 0,0,640,480,0, 11082,640,480:waittimer 72347 blt 0,0,640,480,0, 11083,640,480:waittimer 72354 blt 0,0,640,480,0, 11084,640,480:waittimer 72360 blt 0,0,640,480,0, 11085,640,480:waittimer 72367 blt 0,0,640,480,0, 11086,640,480:waittimer 72374 blt 0,0,640,480,0, 11087,640,480:waittimer 72380 blt 0,0,640,480,0, 11088,640,480:waittimer 72387 blt 0,0,640,480,0, 11089,640,480:waittimer 72393 blt 0,0,640,480,0, 11090,640,480:waittimer 72400 blt 0,0,640,480,0, 11091,640,480:waittimer 72406 blt 0,0,640,480,0, 11092,640,480:waittimer 72413 blt 0,0,640,480,0, 11093,640,480:waittimer 72419 blt 0,0,640,480,0, 11094,640,480:waittimer 72426 blt 0,0,640,480,0, 11095,640,480:waittimer 72432 blt 0,0,640,480,0, 11096,640,480:waittimer 72439 blt 0,0,640,480,0, 11097,640,480:waittimer 72445 blt 0,0,640,480,0, 11098,640,480:waittimer 72452 blt 0,0,640,480,0, 11099,640,480:waittimer 72458 blt 0,0,640,480,0, 11100,640,480:waittimer 72465 blt 0,0,640,480,0, 11101,640,480:waittimer 72471 blt 0,0,640,480,0, 11102,640,480:waittimer 72478 blt 0,0,640,480,0, 11103,640,480:waittimer 72485 blt 0,0,640,480,0, 11104,640,480:waittimer 72491 blt 0,0,640,480,0, 11105,640,480:waittimer 72498 blt 0,0,640,480,0, 11106,640,480:waittimer 72504 blt 0,0,640,480,0, 11107,640,480:waittimer 72511 blt 0,0,640,480,0, 11108,640,480:waittimer 72517 blt 0,0,640,480,0, 11109,640,480:waittimer 72524 blt 0,0,640,480,0, 11110,640,480:waittimer 72530 blt 0,0,640,480,0, 11111,640,480:waittimer 72537 blt 0,0,640,480,0, 11112,640,480:waittimer 72543 blt 0,0,640,480,0, 11113,640,480:waittimer 72550 blt 0,0,640,480,0, 11114,640,480:waittimer 72556 blt 0,0,640,480,0, 11115,640,480:waittimer 72563 blt 0,0,640,480,0, 11116,640,480:waittimer 72569 blt 0,0,640,480,0, 11117,640,480:waittimer 72576 blt 0,0,640,480,0, 11118,640,480:waittimer 72582 blt 0,0,640,480,0, 11119,640,480:waittimer 72589 blt 0,0,640,480,0, 11120,640,480:waittimer 72596 blt 0,0,640,480,0, 11121,640,480:waittimer 72602 blt 0,0,640,480,0, 11122,640,480:waittimer 72609 blt 0,0,640,480,0, 11123,640,480:waittimer 72615 blt 0,0,640,480,0, 11124,640,480:waittimer 72622 blt 0,0,640,480,0, 11125,640,480:waittimer 72628 blt 0,0,640,480,0, 11126,640,480:waittimer 72635 blt 0,0,640,480,0, 11127,640,480:waittimer 72641 blt 0,0,640,480,0, 11128,640,480:waittimer 72648 blt 0,0,640,480,0, 11129,640,480:waittimer 72654 blt 0,0,640,480,0, 11130,640,480:waittimer 72661 blt 0,0,640,480,0, 11131,640,480:waittimer 72667 blt 0,0,640,480,0, 11132,640,480:waittimer 72674 blt 0,0,640,480,0, 11133,640,480:waittimer 72680 blt 0,0,640,480,0, 11134,640,480:waittimer 72687 blt 0,0,640,480,0, 11135,640,480:waittimer 72693 blt 0,0,640,480,0, 11136,640,480:waittimer 72700 blt 0,0,640,480,0, 11137,640,480:waittimer 72706 blt 0,0,640,480,0, 11138,640,480:waittimer 72713 blt 0,0,640,480,0, 11139,640,480:waittimer 72720 blt 0,0,640,480,0, 11140,640,480:waittimer 72726 blt 0,0,640,480,0, 11141,640,480:waittimer 72733 blt 0,0,640,480,0, 11142,640,480:waittimer 72739 blt 0,0,640,480,0, 11143,640,480:waittimer 72746 blt 0,0,640,480,0, 11144,640,480:waittimer 72752 blt 0,0,640,480,0, 11145,640,480:waittimer 72759 blt 0,0,640,480,0, 11146,640,480:waittimer 72765 blt 0,0,640,480,0, 11147,640,480:waittimer 72772 blt 0,0,640,480,0, 11148,640,480:waittimer 72778 blt 0,0,640,480,0, 11149,640,480:waittimer 72785 blt 0,0,640,480,0, 11150,640,480:waittimer 72791 blt 0,0,640,480,0, 11151,640,480:waittimer 72798 blt 0,0,640,480,0, 11152,640,480:waittimer 72804 blt 0,0,640,480,0, 11153,640,480:waittimer 72811 blt 0,0,640,480,0, 11154,640,480:waittimer 72817 blt 0,0,640,480,0, 11155,640,480:waittimer 72824 blt 0,0,640,480,0, 11156,640,480:waittimer 72831 blt 0,0,640,480,0, 11157,640,480:waittimer 72837 blt 0,0,640,480,0, 11158,640,480:waittimer 72844 blt 0,0,640,480,0, 11159,640,480:waittimer 72850 blt 0,0,640,480,0, 11160,640,480:waittimer 72857 blt 0,0,640,480,0, 11161,640,480:waittimer 72863 blt 0,0,640,480,0, 11162,640,480:waittimer 72870 blt 0,0,640,480,0, 11163,640,480:waittimer 72876 blt 0,0,640,480,0, 11164,640,480:waittimer 72883 blt 0,0,640,480,0, 11165,640,480:waittimer 72889 blt 0,0,640,480,0, 11166,640,480:waittimer 72896 blt 0,0,640,480,0, 11167,640,480:waittimer 72902 blt 0,0,640,480,0, 11168,640,480:waittimer 72909 blt 0,0,640,480,0, 11169,640,480:waittimer 72915 blt 0,0,640,480,0, 11170,640,480:waittimer 72922 blt 0,0,640,480,0, 11171,640,480:waittimer 72928 blt 0,0,640,480,0, 11172,640,480:waittimer 72935 blt 0,0,640,480,0, 11173,640,480:waittimer 72942 blt 0,0,640,480,0, 11174,640,480:waittimer 72948 blt 0,0,640,480,0, 11175,640,480:waittimer 72955 blt 0,0,640,480,0, 11176,640,480:waittimer 72961 blt 0,0,640,480,0, 11177,640,480:waittimer 72968 blt 0,0,640,480,0, 11178,640,480:waittimer 72974 blt 0,0,640,480,0, 11179,640,480:waittimer 72981 blt 0,0,640,480,0, 11180,640,480:waittimer 72987 blt 0,0,640,480,0, 11181,640,480:waittimer 72994 blt 0,0,640,480,0, 11182,640,480:waittimer 73000 blt 0,0,640,480,0, 11183,640,480:waittimer 73007 blt 0,0,640,480,0, 11184,640,480:waittimer 73013 blt 0,0,640,480,0, 11185,640,480:waittimer 73020 blt 0,0,640,480,0, 11186,640,480:waittimer 73026 blt 0,0,640,480,0, 11187,640,480:waittimer 73033 blt 0,0,640,480,0, 11188,640,480:waittimer 73039 blt 0,0,640,480,0, 11189,640,480:waittimer 73046 blt 0,0,640,480,0, 11190,640,480:waittimer 73052 blt 0,0,640,480,0, 11191,640,480:waittimer 73059 blt 0,0,640,480,0, 11192,640,480:waittimer 73066 blt 0,0,640,480,0, 11193,640,480:waittimer 73072 blt 0,0,640,480,0, 11194,640,480:waittimer 73079 blt 0,0,640,480,0, 11195,640,480:waittimer 73085 blt 0,0,640,480,0, 11196,640,480:waittimer 73092 blt 0,0,640,480,0, 11197,640,480:waittimer 73098 blt 0,0,640,480,0, 11198,640,480:waittimer 73105 blt 0,0,640,480,0, 11199,640,480:waittimer 73111 blt 0,0,640,480,0, 11200,640,480:waittimer 73118 blt 0,0,640,480,0, 11201,640,480:waittimer 73124 blt 0,0,640,480,0, 11202,640,480:waittimer 73131 blt 0,0,640,480,0, 11203,640,480:waittimer 73137 blt 0,0,640,480,0, 11204,640,480:waittimer 73144 blt 0,0,640,480,0, 11205,640,480:waittimer 73150 blt 0,0,640,480,0, 11206,640,480:waittimer 73157 blt 0,0,640,480,0, 11207,640,480:waittimer 73163 blt 0,0,640,480,0, 11208,640,480:waittimer 73170 blt 0,0,640,480,0, 11209,640,480:waittimer 73177 blt 0,0,640,480,0, 11210,640,480:waittimer 73183 blt 0,0,640,480,0, 11211,640,480:waittimer 73190 blt 0,0,640,480,0, 11212,640,480:waittimer 73196 blt 0,0,640,480,0, 11213,640,480:waittimer 73203 blt 0,0,640,480,0, 11214,640,480:waittimer 73209 blt 0,0,640,480,0, 11215,640,480:waittimer 73216 blt 0,0,640,480,0, 11216,640,480:waittimer 73222 blt 0,0,640,480,0, 11217,640,480:waittimer 73229 blt 0,0,640,480,0, 11218,640,480:waittimer 73235 blt 0,0,640,480,0, 11219,640,480:waittimer 73242 blt 0,0,640,480,0, 11220,640,480:waittimer 73248 blt 0,0,640,480,0, 11221,640,480:waittimer 73255 blt 0,0,640,480,0, 11222,640,480:waittimer 73261 blt 0,0,640,480,0, 11223,640,480:waittimer 73268 blt 0,0,640,480,0, 11224,640,480:waittimer 73274 blt 0,0,640,480,0, 11225,640,480:waittimer 73281 blt 0,0,640,480,0, 11226,640,480:waittimer 73288 blt 0,0,640,480,0, 11227,640,480:waittimer 73294 blt 0,0,640,480,0, 11228,640,480:waittimer 73301 blt 0,0,640,480,0, 11229,640,480:waittimer 73307 blt 0,0,640,480,0, 11230,640,480:waittimer 73314 blt 0,0,640,480,0, 11231,640,480:waittimer 73320 blt 0,0,640,480,0, 11232,640,480:waittimer 73327 blt 0,0,640,480,0, 11233,640,480:waittimer 73333 blt 0,0,640,480,0, 11234,640,480:waittimer 73340 blt 0,0,640,480,0, 11235,640,480:waittimer 73346 blt 0,0,640,480,0, 11236,640,480:waittimer 73353 blt 0,0,640,480,0, 11237,640,480:waittimer 73359 blt 0,0,640,480,0, 11238,640,480:waittimer 73366 blt 0,0,640,480,0, 11239,640,480:waittimer 73372 blt 0,0,640,480,0, 11240,640,480:waittimer 73379 blt 0,0,640,480,0, 11241,640,480:waittimer 73385 blt 0,0,640,480,0, 11242,640,480:waittimer 73392 blt 0,0,640,480,0, 11243,640,480:waittimer 73398 blt 0,0,640,480,0, 11244,640,480:waittimer 73405 blt 0,0,640,480,0, 11245,640,480:waittimer 73412 blt 0,0,640,480,0, 11246,640,480:waittimer 73418 blt 0,0,640,480,0, 11247,640,480:waittimer 73425 blt 0,0,640,480,0, 11248,640,480:waittimer 73431 blt 0,0,640,480,0, 11249,640,480:waittimer 73438 blt 0,0,640,480,0, 11250,640,480:waittimer 73444 blt 0,0,640,480,0, 11251,640,480:waittimer 73451 blt 0,0,640,480,0, 11252,640,480:waittimer 73457 blt 0,0,640,480,0, 11253,640,480:waittimer 73464 blt 0,0,640,480,0, 11254,640,480:waittimer 73470 blt 0,0,640,480,0, 11255,640,480:waittimer 73477 blt 0,0,640,480,0, 11256,640,480:waittimer 73483 blt 0,0,640,480,0, 11257,640,480:waittimer 73490 blt 0,0,640,480,0, 11258,640,480:waittimer 73496 blt 0,0,640,480,0, 11259,640,480:waittimer 73503 blt 0,0,640,480,0, 11260,640,480:waittimer 73509 blt 0,0,640,480,0, 11261,640,480:waittimer 73516 blt 0,0,640,480,0, 11262,640,480:waittimer 73523 blt 0,0,640,480,0, 11263,640,480:waittimer 73529 blt 0,0,640,480,0, 11264,640,480:waittimer 73536 blt 0,0,640,480,0, 11265,640,480:waittimer 73542 blt 0,0,640,480,0, 11266,640,480:waittimer 73549 blt 0,0,640,480,0, 11267,640,480:waittimer 73555 blt 0,0,640,480,0, 11268,640,480:waittimer 73562 blt 0,0,640,480,0, 11269,640,480:waittimer 73568 blt 0,0,640,480,0, 11270,640,480:waittimer 73575 blt 0,0,640,480,0, 11271,640,480:waittimer 73581 blt 0,0,640,480,0, 11272,640,480:waittimer 73588 blt 0,0,640,480,0, 11273,640,480:waittimer 73594 blt 0,0,640,480,0, 11274,640,480:waittimer 73601 blt 0,0,640,480,0, 11275,640,480:waittimer 73607 blt 0,0,640,480,0, 11276,640,480:waittimer 73614 blt 0,0,640,480,0, 11277,640,480:waittimer 73620 blt 0,0,640,480,0, 11278,640,480:waittimer 73627 blt 0,0,640,480,0, 11279,640,480:waittimer 73634 blt 0,0,640,480,0, 11280,640,480:waittimer 73640 blt 0,0,640,480,0, 11281,640,480:waittimer 73647 blt 0,0,640,480,0, 11282,640,480:waittimer 73653 blt 0,0,640,480,0, 11283,640,480:waittimer 73660 blt 0,0,640,480,0, 11284,640,480:waittimer 73666 blt 0,0,640,480,0, 11285,640,480:waittimer 73673 blt 0,0,640,480,0, 11286,640,480:waittimer 73679 blt 0,0,640,480,0, 11287,640,480:waittimer 73686 blt 0,0,640,480,0, 11288,640,480:waittimer 73692 blt 0,0,640,480,0, 11289,640,480:waittimer 73699 blt 0,0,640,480,0, 11290,640,480:waittimer 73705 blt 0,0,640,480,0, 11291,640,480:waittimer 73712 blt 0,0,640,480,0, 11292,640,480:waittimer 73718 blt 0,0,640,480,0, 11293,640,480:waittimer 73725 blt 0,0,640,480,0, 11294,640,480:waittimer 73731 blt 0,0,640,480,0, 11295,640,480:waittimer 73738 blt 0,0,640,480,0, 11296,640,480:waittimer 73745 blt 0,0,640,480,0, 11297,640,480:waittimer 73751 blt 0,0,640,480,0, 11298,640,480:waittimer 73758 blt 0,0,640,480,0, 11299,640,480:waittimer 73764 blt 0,0,640,480,0, 11300,640,480:waittimer 73771 blt 0,0,640,480,0, 11301,640,480:waittimer 73777 blt 0,0,640,480,0, 11302,640,480:waittimer 73784 blt 0,0,640,480,0, 11303,640,480:waittimer 73790 blt 0,0,640,480,0, 11304,640,480:waittimer 73797 blt 0,0,640,480,0, 11305,640,480:waittimer 73803 blt 0,0,640,480,0, 11306,640,480:waittimer 73810 blt 0,0,640,480,0, 11307,640,480:waittimer 73816 blt 0,0,640,480,0, 11308,640,480:waittimer 73823 blt 0,0,640,480,0, 11309,640,480:waittimer 73829 blt 0,0,640,480,0, 11310,640,480:waittimer 73836 blt 0,0,640,480,0, 11311,640,480:waittimer 73842 blt 0,0,640,480,0, 11312,640,480:waittimer 73849 blt 0,0,640,480,0, 11313,640,480:waittimer 73855 blt 0,0,640,480,0, 11314,640,480:waittimer 73862 blt 0,0,640,480,0, 11315,640,480:waittimer 73869 blt 0,0,640,480,0, 11316,640,480:waittimer 73875 blt 0,0,640,480,0, 11317,640,480:waittimer 73882 blt 0,0,640,480,0, 11318,640,480:waittimer 73888 blt 0,0,640,480,0, 11319,640,480:waittimer 73895 blt 0,0,640,480,0, 11320,640,480:waittimer 73901 blt 0,0,640,480,0, 11321,640,480:waittimer 73908 blt 0,0,640,480,0, 11322,640,480:waittimer 73914 blt 0,0,640,480,0, 11323,640,480:waittimer 73921 blt 0,0,640,480,0, 11324,640,480:waittimer 73927 blt 0,0,640,480,0, 11325,640,480:waittimer 73934 blt 0,0,640,480,0, 11326,640,480:waittimer 73940 blt 0,0,640,480,0, 11327,640,480:waittimer 73947 blt 0,0,640,480,0, 11328,640,480:waittimer 73953 blt 0,0,640,480,0, 11329,640,480:waittimer 73960 blt 0,0,640,480,0, 11330,640,480:waittimer 73966 blt 0,0,640,480,0, 11331,640,480:waittimer 73973 blt 0,0,640,480,0, 11332,640,480:waittimer 73980 blt 0,0,640,480,0, 11333,640,480:waittimer 73986 blt 0,0,640,480,0, 11334,640,480:waittimer 73993 blt 0,0,640,480,0, 11335,640,480:waittimer 73999 blt 0,0,640,480,0, 11336,640,480:waittimer 74006 blt 0,0,640,480,0, 11337,640,480:waittimer 74012 blt 0,0,640,480,0, 11338,640,480:waittimer 74019 blt 0,0,640,480,0, 11339,640,480:waittimer 74025 blt 0,0,640,480,0, 11340,640,480:waittimer 74032 blt 0,0,640,480,0, 11341,640,480:waittimer 74038 blt 0,0,640,480,0, 11342,640,480:waittimer 74045 blt 0,0,640,480,0, 11343,640,480:waittimer 74051 blt 0,0,640,480,0, 11344,640,480:waittimer 74058 blt 0,0,640,480,0, 11345,640,480:waittimer 74064 blt 0,0,640,480,0, 11346,640,480:waittimer 74071 blt 0,0,640,480,0, 11347,640,480:waittimer 74077 blt 0,0,640,480,0, 11348,640,480:waittimer 74084 blt 0,0,640,480,0, 11349,640,480:waittimer 74091 blt 0,0,640,480,0, 11350,640,480:waittimer 74097 blt 0,0,640,480,0, 11351,640,480:waittimer 74104 blt 0,0,640,480,0, 11352,640,480:waittimer 74110 blt 0,0,640,480,0, 11353,640,480:waittimer 74117 blt 0,0,640,480,0, 11354,640,480:waittimer 74123 blt 0,0,640,480,0, 11355,640,480:waittimer 74130 blt 0,0,640,480,0, 11356,640,480:waittimer 74136 blt 0,0,640,480,0, 11357,640,480:waittimer 74143 blt 0,0,640,480,0, 11358,640,480:waittimer 74149 blt 0,0,640,480,0, 11359,640,480:waittimer 74156 blt 0,0,640,480,0, 11360,640,480:waittimer 74162 blt 0,0,640,480,0, 11361,640,480:waittimer 74169 blt 0,0,640,480,0, 11362,640,480:waittimer 74175 blt 0,0,640,480,0, 11363,640,480:waittimer 74182 blt 0,0,640,480,0, 11364,640,480:waittimer 74188 blt 0,0,640,480,0, 11365,640,480:waittimer 74195 blt 0,0,640,480,0, 11366,640,480:waittimer 74201 blt 0,0,640,480,0, 11367,640,480:waittimer 74208 blt 0,0,640,480,0, 11368,640,480:waittimer 74215 blt 0,0,640,480,0, 11369,640,480:waittimer 74221 blt 0,0,640,480,0, 11370,640,480:waittimer 74228 blt 0,0,640,480,0, 11371,640,480:waittimer 74234 blt 0,0,640,480,0, 11372,640,480:waittimer 74241 blt 0,0,640,480,0, 11373,640,480:waittimer 74247 blt 0,0,640,480,0, 11374,640,480:waittimer 74254 blt 0,0,640,480,0, 11375,640,480:waittimer 74260 blt 0,0,640,480,0, 11376,640,480:waittimer 74267 blt 0,0,640,480,0, 11377,640,480:waittimer 74273 blt 0,0,640,480,0, 11378,640,480:waittimer 74280 blt 0,0,640,480,0, 11379,640,480:waittimer 74286 blt 0,0,640,480,0, 11380,640,480:waittimer 74293 blt 0,0,640,480,0, 11381,640,480:waittimer 74299 blt 0,0,640,480,0, 11382,640,480:waittimer 74306 blt 0,0,640,480,0, 11383,640,480:waittimer 74312 blt 0,0,640,480,0, 11384,640,480:waittimer 74319 blt 0,0,640,480,0, 11385,640,480:waittimer 74326 blt 0,0,640,480,0, 11386,640,480:waittimer 74332 blt 0,0,640,480,0, 11387,640,480:waittimer 74339 blt 0,0,640,480,0, 11388,640,480:waittimer 74345 blt 0,0,640,480,0, 11389,640,480:waittimer 74352 blt 0,0,640,480,0, 11390,640,480:waittimer 74358 blt 0,0,640,480,0, 11391,640,480:waittimer 74365 blt 0,0,640,480,0, 11392,640,480:waittimer 74371 blt 0,0,640,480,0, 11393,640,480:waittimer 74378 blt 0,0,640,480,0, 11394,640,480:waittimer 74384 blt 0,0,640,480,0, 11395,640,480:waittimer 74391 blt 0,0,640,480,0, 11396,640,480:waittimer 74397 blt 0,0,640,480,0, 11397,640,480:waittimer 74404 blt 0,0,640,480,0, 11398,640,480:waittimer 74410 blt 0,0,640,480,0, 11399,640,480:waittimer 74417 blt 0,0,640,480,0, 11400,640,480:waittimer 74423 blt 0,0,640,480,0, 11401,640,480:waittimer 74430 blt 0,0,640,480,0, 11402,640,480:waittimer 74437 blt 0,0,640,480,0, 11403,640,480:waittimer 74443 blt 0,0,640,480,0, 11404,640,480:waittimer 74450 blt 0,0,640,480,0, 11405,640,480:waittimer 74456 blt 0,0,640,480,0, 11406,640,480:waittimer 74463 blt 0,0,640,480,0, 11407,640,480:waittimer 74469 blt 0,0,640,480,0, 11408,640,480:waittimer 74476 blt 0,0,640,480,0, 11409,640,480:waittimer 74482 blt 0,0,640,480,0, 11410,640,480:waittimer 74489 blt 0,0,640,480,0, 11411,640,480:waittimer 74495 blt 0,0,640,480,0, 11412,640,480:waittimer 74502 blt 0,0,640,480,0, 11413,640,480:waittimer 74508 blt 0,0,640,480,0, 11414,640,480:waittimer 74515 blt 0,0,640,480,0, 11415,640,480:waittimer 74521 blt 0,0,640,480,0, 11416,640,480:waittimer 74528 blt 0,0,640,480,0, 11417,640,480:waittimer 74534 blt 0,0,640,480,0, 11418,640,480:waittimer 74541 blt 0,0,640,480,0, 11419,640,480:waittimer 74548 blt 0,0,640,480,0, 11420,640,480:waittimer 74554 blt 0,0,640,480,0, 11421,640,480:waittimer 74561 blt 0,0,640,480,0, 11422,640,480:waittimer 74567 blt 0,0,640,480,0, 11423,640,480:waittimer 74574 blt 0,0,640,480,0, 11424,640,480:waittimer 74580 blt 0,0,640,480,0, 11425,640,480:waittimer 74587 blt 0,0,640,480,0, 11426,640,480:waittimer 74593 blt 0,0,640,480,0, 11427,640,480:waittimer 74600 blt 0,0,640,480,0, 11428,640,480:waittimer 74606 blt 0,0,640,480,0, 11429,640,480:waittimer 74613 blt 0,0,640,480,0, 11430,640,480:waittimer 74619 blt 0,0,640,480,0, 11431,640,480:waittimer 74626 blt 0,0,640,480,0, 11432,640,480:waittimer 74632 blt 0,0,640,480,0, 11433,640,480:waittimer 74639 blt 0,0,640,480,0, 11434,640,480:waittimer 74645 blt 0,0,640,480,0, 11435,640,480:waittimer 74652 blt 0,0,640,480,0, 11436,640,480:waittimer 74658 blt 0,0,640,480,0, 11437,640,480:waittimer 74665 blt 0,0,640,480,0, 11438,640,480:waittimer 74672 blt 0,0,640,480,0, 11439,640,480:waittimer 74678 blt 0,0,640,480,0, 11440,640,480:waittimer 74685 blt 0,0,640,480,0, 11441,640,480:waittimer 74691 blt 0,0,640,480,0, 11442,640,480:waittimer 74698 blt 0,0,640,480,0, 11443,640,480:waittimer 74704 blt 0,0,640,480,0, 11444,640,480:waittimer 74711 blt 0,0,640,480,0, 11445,640,480:waittimer 74717 blt 0,0,640,480,0, 11446,640,480:waittimer 74724 blt 0,0,640,480,0, 11447,640,480:waittimer 74730 blt 0,0,640,480,0, 11448,640,480:waittimer 74737 blt 0,0,640,480,0, 11449,640,480:waittimer 74743 blt 0,0,640,480,0, 11450,640,480:waittimer 74750 blt 0,0,640,480,0, 11451,640,480:waittimer 74756 blt 0,0,640,480,0, 11452,640,480:waittimer 74763 blt 0,0,640,480,0, 11453,640,480:waittimer 74769 blt 0,0,640,480,0, 11454,640,480:waittimer 74776 blt 0,0,640,480,0, 11455,640,480:waittimer 74783 blt 0,0,640,480,0, 11456,640,480:waittimer 74789 blt 0,0,640,480,0, 11457,640,480:waittimer 74796 blt 0,0,640,480,0, 11458,640,480:waittimer 74802 blt 0,0,640,480,0, 11459,640,480:waittimer 74809 blt 0,0,640,480,0, 11460,640,480:waittimer 74815 blt 0,0,640,480,0, 11461,640,480:waittimer 74822 blt 0,0,640,480,0, 11462,640,480:waittimer 74828 blt 0,0,640,480,0, 11463,640,480:waittimer 74835 blt 0,0,640,480,0, 11464,640,480:waittimer 74841 blt 0,0,640,480,0, 11465,640,480:waittimer 74848 blt 0,0,640,480,0, 11466,640,480:waittimer 74854 blt 0,0,640,480,0, 11467,640,480:waittimer 74861 blt 0,0,640,480,0, 11468,640,480:waittimer 74867 blt 0,0,640,480,0, 11469,640,480:waittimer 74874 blt 0,0,640,480,0, 11470,640,480:waittimer 74880 blt 0,0,640,480,0, 11471,640,480:waittimer 74887 blt 0,0,640,480,0, 11472,640,480:waittimer 74894 blt 0,0,640,480,0, 11473,640,480:waittimer 74900 blt 0,0,640,480,0, 11474,640,480:waittimer 74907 blt 0,0,640,480,0, 11475,640,480:waittimer 74913 blt 0,0,640,480,0, 11476,640,480:waittimer 74920 blt 0,0,640,480,0, 11477,640,480:waittimer 74926 blt 0,0,640,480,0, 11478,640,480:waittimer 74933 blt 0,0,640,480,0, 11479,640,480:waittimer 74939 blt 0,0,640,480,0, 11480,640,480:waittimer 74946 blt 0,0,640,480,0, 11481,640,480:waittimer 74952 blt 0,0,640,480,0, 11482,640,480:waittimer 74959 blt 0,0,640,480,0, 11483,640,480:waittimer 74965 blt 0,0,640,480,0, 11484,640,480:waittimer 74972 blt 0,0,640,480,0, 11485,640,480:waittimer 74978 blt 0,0,640,480,0, 11486,640,480:waittimer 74985 blt 0,0,640,480,0, 11487,640,480:waittimer 74991 blt 0,0,640,480,0, 11488,640,480:waittimer 74998 blt 0,0,640,480,0, 11489,640,480:waittimer 75004 blt 0,0,640,480,0, 11490,640,480:waittimer 75011 blt 0,0,640,480,0, 11491,640,480:waittimer 75018 blt 0,0,640,480,0, 11492,640,480:waittimer 75024 blt 0,0,640,480,0, 11493,640,480:waittimer 75031 blt 0,0,640,480,0, 11494,640,480:waittimer 75037 blt 0,0,640,480,0, 11495,640,480:waittimer 75044 blt 0,0,640,480,0, 11496,640,480:waittimer 75050 blt 0,0,640,480,0, 11497,640,480:waittimer 75057 blt 0,0,640,480,0, 11498,640,480:waittimer 75063 blt 0,0,640,480,0, 11499,640,480:waittimer 75070 blt 0,0,640,480,0, 11500,640,480:waittimer 75076 blt 0,0,640,480,0, 11501,640,480:waittimer 75083 blt 0,0,640,480,0, 11502,640,480:waittimer 75089 blt 0,0,640,480,0, 11503,640,480:waittimer 75096 blt 0,0,640,480,0, 11504,640,480:waittimer 75102 blt 0,0,640,480,0, 11505,640,480:waittimer 75109 blt 0,0,640,480,0, 11506,640,480:waittimer 75115 blt 0,0,640,480,0, 11507,640,480:waittimer 75122 blt 0,0,640,480,0, 11508,640,480:waittimer 75129 blt 0,0,640,480,0, 11509,640,480:waittimer 75135 blt 0,0,640,480,0, 11510,640,480:waittimer 75142 blt 0,0,640,480,0, 11511,640,480:waittimer 75148 blt 0,0,640,480,0, 11512,640,480:waittimer 75155 blt 0,0,640,480,0, 11513,640,480:waittimer 75161 blt 0,0,640,480,0, 11514,640,480:waittimer 75168 blt 0,0,640,480,0, 11515,640,480:waittimer 75174 blt 0,0,640,480,0, 11516,640,480:waittimer 75181 blt 0,0,640,480,0, 11517,640,480:waittimer 75187 blt 0,0,640,480,0, 11518,640,480:waittimer 75194 blt 0,0,640,480,0, 11519,640,480:waittimer 75200 blt 0,0,640,480,0, 11520,640,480:waittimer 75207 blt 0,0,640,480,0, 11521,640,480:waittimer 75213 blt 0,0,640,480,0, 11522,640,480:waittimer 75220 blt 0,0,640,480,0, 11523,640,480:waittimer 75226 blt 0,0,640,480,0, 11524,640,480:waittimer 75233 blt 0,0,640,480,0, 11525,640,480:waittimer 75240 blt 0,0,640,480,0, 11526,640,480:waittimer 75246 blt 0,0,640,480,0, 11527,640,480:waittimer 75253 blt 0,0,640,480,0, 11528,640,480:waittimer 75259 blt 0,0,640,480,0, 11529,640,480:waittimer 75266 blt 0,0,640,480,0, 11530,640,480:waittimer 75272 blt 0,0,640,480,0, 11531,640,480:waittimer 75279 blt 0,0,640,480,0, 11532,640,480:waittimer 75285 blt 0,0,640,480,0, 11533,640,480:waittimer 75292 blt 0,0,640,480,0, 11534,640,480:waittimer 75298 blt 0,0,640,480,0, 11535,640,480:waittimer 75305 blt 0,0,640,480,0, 11536,640,480:waittimer 75311 blt 0,0,640,480,0, 11537,640,480:waittimer 75318 blt 0,0,640,480,0, 11538,640,480:waittimer 75324 blt 0,0,640,480,0, 11539,640,480:waittimer 75331 blt 0,0,640,480,0, 11540,640,480:waittimer 75337 blt 0,0,640,480,0, 11541,640,480:waittimer 75344 blt 0,0,640,480,0, 11542,640,480:waittimer 75350 blt 0,0,640,480,0, 11543,640,480:waittimer 75357 blt 0,0,640,480,0, 11544,640,480:waittimer 75364 blt 0,0,640,480,0, 11545,640,480:waittimer 75370 blt 0,0,640,480,0, 11546,640,480:waittimer 75377 blt 0,0,640,480,0, 11547,640,480:waittimer 75383 blt 0,0,640,480,0, 11548,640,480:waittimer 75390 blt 0,0,640,480,0, 11549,640,480:waittimer 75396 blt 0,0,640,480,0, 11550,640,480:waittimer 75403 blt 0,0,640,480,0, 11551,640,480:waittimer 75409 blt 0,0,640,480,0, 11552,640,480:waittimer 75416 blt 0,0,640,480,0, 11553,640,480:waittimer 75422 blt 0,0,640,480,0, 11554,640,480:waittimer 75429 blt 0,0,640,480,0, 11555,640,480:waittimer 75435 blt 0,0,640,480,0, 11556,640,480:waittimer 75442 blt 0,0,640,480,0, 11557,640,480:waittimer 75448 blt 0,0,640,480,0, 11558,640,480:waittimer 75455 blt 0,0,640,480,0, 11559,640,480:waittimer 75461 blt 0,0,640,480,0, 11560,640,480:waittimer 75468 blt 0,0,640,480,0, 11561,640,480:waittimer 75475 blt 0,0,640,480,0, 11562,640,480:waittimer 75481 blt 0,0,640,480,0, 11563,640,480:waittimer 75488 blt 0,0,640,480,0, 11564,640,480:waittimer 75494 blt 0,0,640,480,0, 11565,640,480:waittimer 75501 blt 0,0,640,480,0, 11566,640,480:waittimer 75507 blt 0,0,640,480,0, 11567,640,480:waittimer 75514 blt 0,0,640,480,0, 11568,640,480:waittimer 75520 blt 0,0,640,480,0, 11569,640,480:waittimer 75527 blt 0,0,640,480,0, 11570,640,480:waittimer 75533 blt 0,0,640,480,0, 11571,640,480:waittimer 75540 blt 0,0,640,480,0, 11572,640,480:waittimer 75546 blt 0,0,640,480,0, 11573,640,480:waittimer 75553 blt 0,0,640,480,0, 11574,640,480:waittimer 75559 blt 0,0,640,480,0, 11575,640,480:waittimer 75566 blt 0,0,640,480,0, 11576,640,480:waittimer 75572 blt 0,0,640,480,0, 11577,640,480:waittimer 75579 blt 0,0,640,480,0, 11578,640,480:waittimer 75586 blt 0,0,640,480,0, 11579,640,480:waittimer 75592 blt 0,0,640,480,0, 11580,640,480:waittimer 75599 blt 0,0,640,480,0, 11581,640,480:waittimer 75605 blt 0,0,640,480,0, 11582,640,480:waittimer 75612 blt 0,0,640,480,0, 11583,640,480:waittimer 75618 blt 0,0,640,480,0, 11584,640,480:waittimer 75625 blt 0,0,640,480,0, 11585,640,480:waittimer 75631 blt 0,0,640,480,0, 11586,640,480:waittimer 75638 blt 0,0,640,480,0, 11587,640,480:waittimer 75644 blt 0,0,640,480,0, 11588,640,480:waittimer 75651 blt 0,0,640,480,0, 11589,640,480:waittimer 75657 blt 0,0,640,480,0, 11590,640,480:waittimer 75664 blt 0,0,640,480,0, 11591,640,480:waittimer 75670 blt 0,0,640,480,0, 11592,640,480:waittimer 75677 blt 0,0,640,480,0, 11593,640,480:waittimer 75683 blt 0,0,640,480,0, 11594,640,480:waittimer 75690 blt 0,0,640,480,0, 11595,640,480:waittimer 75697 blt 0,0,640,480,0, 11596,640,480:waittimer 75703 blt 0,0,640,480,0, 11597,640,480:waittimer 75710 blt 0,0,640,480,0, 11598,640,480:waittimer 75716 blt 0,0,640,480,0, 11599,640,480:waittimer 75723 blt 0,0,640,480,0, 11600,640,480:waittimer 75729 blt 0,0,640,480,0, 11601,640,480:waittimer 75736 blt 0,0,640,480,0, 11602,640,480:waittimer 75742 blt 0,0,640,480,0, 11603,640,480:waittimer 75749 blt 0,0,640,480,0, 11604,640,480:waittimer 75755 blt 0,0,640,480,0, 11605,640,480:waittimer 75762 blt 0,0,640,480,0, 11606,640,480:waittimer 75768 blt 0,0,640,480,0, 11607,640,480:waittimer 75775 blt 0,0,640,480,0, 11608,640,480:waittimer 75781 blt 0,0,640,480,0, 11609,640,480:waittimer 75788 blt 0,0,640,480,0, 11610,640,480:waittimer 75794 blt 0,0,640,480,0, 11611,640,480:waittimer 75801 blt 0,0,640,480,0, 11612,640,480:waittimer 75807 blt 0,0,640,480,0, 11613,640,480:waittimer 75814 blt 0,0,640,480,0, 11614,640,480:waittimer 75821 blt 0,0,640,480,0, 11615,640,480:waittimer 75827 blt 0,0,640,480,0, 11616,640,480:waittimer 75834 blt 0,0,640,480,0, 11617,640,480:waittimer 75840 blt 0,0,640,480,0, 11618,640,480:waittimer 75847 blt 0,0,640,480,0, 11619,640,480:waittimer 75853 blt 0,0,640,480,0, 11620,640,480:waittimer 75860 blt 0,0,640,480,0, 11621,640,480:waittimer 75866 blt 0,0,640,480,0, 11622,640,480:waittimer 75873 blt 0,0,640,480,0, 11623,640,480:waittimer 75879 blt 0,0,640,480,0, 11624,640,480:waittimer 75886 blt 0,0,640,480,0, 11625,640,480:waittimer 75892 blt 0,0,640,480,0, 11626,640,480:waittimer 75899 blt 0,0,640,480,0, 11627,640,480:waittimer 75905 blt 0,0,640,480,0, 11628,640,480:waittimer 75912 blt 0,0,640,480,0, 11629,640,480:waittimer 75918 blt 0,0,640,480,0, 11630,640,480:waittimer 75925 blt 0,0,640,480,0, 11631,640,480:waittimer 75932 blt 0,0,640,480,0, 11632,640,480:waittimer 75938 blt 0,0,640,480,0, 11633,640,480:waittimer 75945 blt 0,0,640,480,0, 11634,640,480:waittimer 75951 blt 0,0,640,480,0, 11635,640,480:waittimer 75958 blt 0,0,640,480,0, 11636,640,480:waittimer 75964 blt 0,0,640,480,0, 11637,640,480:waittimer 75971 blt 0,0,640,480,0, 11638,640,480:waittimer 75977 blt 0,0,640,480,0, 11639,640,480:waittimer 75984 blt 0,0,640,480,0, 11640,640,480:waittimer 75990 blt 0,0,640,480,0, 11641,640,480:waittimer 75997 blt 0,0,640,480,0, 11642,640,480:waittimer 76003 blt 0,0,640,480,0, 11643,640,480:waittimer 76010 blt 0,0,640,480,0, 11644,640,480:waittimer 76016 blt 0,0,640,480,0, 11645,640,480:waittimer 76023 blt 0,0,640,480,0, 11646,640,480:waittimer 76029 blt 0,0,640,480,0, 11647,640,480:waittimer 76036 blt 0,0,640,480,0, 11648,640,480:waittimer 76043 blt 0,0,640,480,0, 11649,640,480:waittimer 76049 blt 0,0,640,480,0, 11650,640,480:waittimer 76056 blt 0,0,640,480,0, 11651,640,480:waittimer 76062 blt 0,0,640,480,0, 11652,640,480:waittimer 76069 blt 0,0,640,480,0, 11653,640,480:waittimer 76075 blt 0,0,640,480,0, 11654,640,480:waittimer 76082 blt 0,0,640,480,0, 11655,640,480:waittimer 76088 blt 0,0,640,480,0, 11656,640,480:waittimer 76095 blt 0,0,640,480,0, 11657,640,480:waittimer 76101 blt 0,0,640,480,0, 11658,640,480:waittimer 76108 blt 0,0,640,480,0, 11659,640,480:waittimer 76114 blt 0,0,640,480,0, 11660,640,480:waittimer 76121 blt 0,0,640,480,0, 11661,640,480:waittimer 76127 blt 0,0,640,480,0, 11662,640,480:waittimer 76134 blt 0,0,640,480,0, 11663,640,480:waittimer 76140 blt 0,0,640,480,0, 11664,640,480:waittimer 76147 blt 0,0,640,480,0, 11665,640,480:waittimer 76153 blt 0,0,640,480,0, 11666,640,480:waittimer 76160 blt 0,0,640,480,0, 11667,640,480:waittimer 76167 blt 0,0,640,480,0, 11668,640,480:waittimer 76173 blt 0,0,640,480,0, 11669,640,480:waittimer 76180 blt 0,0,640,480,0, 11670,640,480:waittimer 76186 blt 0,0,640,480,0, 11671,640,480:waittimer 76193 blt 0,0,640,480,0, 11672,640,480:waittimer 76199 blt 0,0,640,480,0, 11673,640,480:waittimer 76206 blt 0,0,640,480,0, 11674,640,480:waittimer 76212 blt 0,0,640,480,0, 11675,640,480:waittimer 76219 blt 0,0,640,480,0, 11676,640,480:waittimer 76225 blt 0,0,640,480,0, 11677,640,480:waittimer 76232 blt 0,0,640,480,0, 11678,640,480:waittimer 76238 blt 0,0,640,480,0, 11679,640,480:waittimer 76245 blt 0,0,640,480,0, 11680,640,480:waittimer 76251 blt 0,0,640,480,0, 11681,640,480:waittimer 76258 blt 0,0,640,480,0, 11682,640,480:waittimer 76264 blt 0,0,640,480,0, 11683,640,480:waittimer 76271 blt 0,0,640,480,0, 11684,640,480:waittimer 76278 blt 0,0,640,480,0, 11685,640,480:waittimer 76284 blt 0,0,640,480,0, 11686,640,480:waittimer 76291 blt 0,0,640,480,0, 11687,640,480:waittimer 76297 blt 0,0,640,480,0, 11688,640,480:waittimer 76304 blt 0,0,640,480,0, 11689,640,480:waittimer 76310 blt 0,0,640,480,0, 11690,640,480:waittimer 76317 blt 0,0,640,480,0, 11691,640,480:waittimer 76323 blt 0,0,640,480,0, 11692,640,480:waittimer 76330 blt 0,0,640,480,0, 11693,640,480:waittimer 76336 blt 0,0,640,480,0, 11694,640,480:waittimer 76343 blt 0,0,640,480,0, 11695,640,480:waittimer 76349 blt 0,0,640,480,0, 11696,640,480:waittimer 76356 blt 0,0,640,480,0, 11697,640,480:waittimer 76362 blt 0,0,640,480,0, 11698,640,480:waittimer 76369 blt 0,0,640,480,0, 11699,640,480:waittimer 76375 blt 0,0,640,480,0, 11700,640,480:waittimer 76382 blt 0,0,640,480,0, 11701,640,480:waittimer 76389 blt 0,0,640,480,0, 11702,640,480:waittimer 76395 blt 0,0,640,480,0, 11703,640,480:waittimer 76402 blt 0,0,640,480,0, 11704,640,480:waittimer 76408 blt 0,0,640,480,0, 11705,640,480:waittimer 76415 blt 0,0,640,480,0, 11706,640,480:waittimer 76421 blt 0,0,640,480,0, 11707,640,480:waittimer 76428 blt 0,0,640,480,0, 11708,640,480:waittimer 76434 blt 0,0,640,480,0, 11709,640,480:waittimer 76441 blt 0,0,640,480,0, 11710,640,480:waittimer 76447 blt 0,0,640,480,0, 11711,640,480:waittimer 76454 blt 0,0,640,480,0, 11712,640,480:waittimer 76460 blt 0,0,640,480,0, 11713,640,480:waittimer 76467 blt 0,0,640,480,0, 11714,640,480:waittimer 76473 blt 0,0,640,480,0, 11715,640,480:waittimer 76480 blt 0,0,640,480,0, 11716,640,480:waittimer 76486 blt 0,0,640,480,0, 11717,640,480:waittimer 76493 blt 0,0,640,480,0, 11718,640,480:waittimer 76499 blt 0,0,640,480,0, 11719,640,480:waittimer 76506 blt 0,0,640,480,0, 11720,640,480:waittimer 76513 blt 0,0,640,480,0, 11721,640,480:waittimer 76519 blt 0,0,640,480,0, 11722,640,480:waittimer 76526 blt 0,0,640,480,0, 11723,640,480:waittimer 76532 blt 0,0,640,480,0, 11724,640,480:waittimer 76539 blt 0,0,640,480,0, 11725,640,480:waittimer 76545 blt 0,0,640,480,0, 11726,640,480:waittimer 76552 blt 0,0,640,480,0, 11727,640,480:waittimer 76558 blt 0,0,640,480,0, 11728,640,480:waittimer 76565 blt 0,0,640,480,0, 11729,640,480:waittimer 76571 blt 0,0,640,480,0, 11730,640,480:waittimer 76578 blt 0,0,640,480,0, 11731,640,480:waittimer 76584 blt 0,0,640,480,0, 11732,640,480:waittimer 76591 blt 0,0,640,480,0, 11733,640,480:waittimer 76597 blt 0,0,640,480,0, 11734,640,480:waittimer 76604 blt 0,0,640,480,0, 11735,640,480:waittimer 76610 blt 0,0,640,480,0, 11736,640,480:waittimer 76617 blt 0,0,640,480,0, 11737,640,480:waittimer 76624 blt 0,0,640,480,0, 11738,640,480:waittimer 76630 blt 0,0,640,480,0, 11739,640,480:waittimer 76637 blt 0,0,640,480,0, 11740,640,480:waittimer 76643 blt 0,0,640,480,0, 11741,640,480:waittimer 76650 blt 0,0,640,480,0, 11742,640,480:waittimer 76656 blt 0,0,640,480,0, 11743,640,480:waittimer 76663 blt 0,0,640,480,0, 11744,640,480:waittimer 76669 blt 0,0,640,480,0, 11745,640,480:waittimer 76676 blt 0,0,640,480,0, 11746,640,480:waittimer 76682 blt 0,0,640,480,0, 11747,640,480:waittimer 76689 blt 0,0,640,480,0, 11748,640,480:waittimer 76695 blt 0,0,640,480,0, 11749,640,480:waittimer 76702 blt 0,0,640,480,0, 11750,640,480:waittimer 76708 blt 0,0,640,480,0, 11751,640,480:waittimer 76715 blt 0,0,640,480,0, 11752,640,480:waittimer 76721 blt 0,0,640,480,0, 11753,640,480:waittimer 76728 blt 0,0,640,480,0, 11754,640,480:waittimer 76735 blt 0,0,640,480,0, 11755,640,480:waittimer 76741 blt 0,0,640,480,0, 11756,640,480:waittimer 76748 blt 0,0,640,480,0, 11757,640,480:waittimer 76754 blt 0,0,640,480,0, 11758,640,480:waittimer 76761 blt 0,0,640,480,0, 11759,640,480:waittimer 76767 blt 0,0,640,480,0, 11760,640,480:waittimer 76774 blt 0,0,640,480,0, 11761,640,480:waittimer 76780 blt 0,0,640,480,0, 11762,640,480:waittimer 76787 blt 0,0,640,480,0, 11763,640,480:waittimer 76793 blt 0,0,640,480,0, 11764,640,480:waittimer 76800 blt 0,0,640,480,0, 11765,640,480:waittimer 76806 blt 0,0,640,480,0, 11766,640,480:waittimer 76813 blt 0,0,640,480,0, 11767,640,480:waittimer 76819 blt 0,0,640,480,0, 11768,640,480:waittimer 76826 blt 0,0,640,480,0, 11769,640,480:waittimer 76832 blt 0,0,640,480,0, 11770,640,480:waittimer 76839 blt 0,0,640,480,0, 11771,640,480:waittimer 76846 blt 0,0,640,480,0, 11772,640,480:waittimer 76852 blt 0,0,640,480,0, 11773,640,480:waittimer 76859 blt 0,0,640,480,0, 11774,640,480:waittimer 76865 blt 0,0,640,480,0, 11775,640,480:waittimer 76872 blt 0,0,640,480,0, 11776,640,480:waittimer 76878 blt 0,0,640,480,0, 11777,640,480:waittimer 76885 blt 0,0,640,480,0, 11778,640,480:waittimer 76891 blt 0,0,640,480,0, 11779,640,480:waittimer 76898 blt 0,0,640,480,0, 11780,640,480:waittimer 76904 blt 0,0,640,480,0, 11781,640,480:waittimer 76911 blt 0,0,640,480,0, 11782,640,480:waittimer 76917 blt 0,0,640,480,0, 11783,640,480:waittimer 76924 blt 0,0,640,480,0, 11784,640,480:waittimer 76930 blt 0,0,640,480,0, 11785,640,480:waittimer 76937 blt 0,0,640,480,0, 11786,640,480:waittimer 76943 blt 0,0,640,480,0, 11787,640,480:waittimer 76950 blt 0,0,640,480,0, 11788,640,480:waittimer 76956 blt 0,0,640,480,0, 11789,640,480:waittimer 76963 blt 0,0,640,480,0, 11790,640,480:waittimer 76970 blt 0,0,640,480,0, 11791,640,480:waittimer 76976 blt 0,0,640,480,0, 11792,640,480:waittimer 76983 blt 0,0,640,480,0, 11793,640,480:waittimer 76989 blt 0,0,640,480,0, 11794,640,480:waittimer 76996 blt 0,0,640,480,0, 11795,640,480:waittimer 77002 blt 0,0,640,480,0, 11796,640,480:waittimer 77009 blt 0,0,640,480,0, 11797,640,480:waittimer 77015 blt 0,0,640,480,0, 11798,640,480:waittimer 77022 blt 0,0,640,480,0, 11799,640,480:waittimer 77028 blt 0,0,640,480,0, 11800,640,480:waittimer 77035 blt 0,0,640,480,0, 11801,640,480:waittimer 77041 blt 0,0,640,480,0, 11802,640,480:waittimer 77048 blt 0,0,640,480,0, 11803,640,480:waittimer 77054 blt 0,0,640,480,0, 11804,640,480:waittimer 77061 blt 0,0,640,480,0, 11805,640,480:waittimer 77067 blt 0,0,640,480,0, 11806,640,480:waittimer 77074 blt 0,0,640,480,0, 11807,640,480:waittimer 77081 blt 0,0,640,480,0, 11808,640,480:waittimer 77087 blt 0,0,640,480,0, 11809,640,480:waittimer 77094 blt 0,0,640,480,0, 11810,640,480:waittimer 77100 blt 0,0,640,480,0, 11811,640,480:waittimer 77107 blt 0,0,640,480,0, 11812,640,480:waittimer 77113 blt 0,0,640,480,0, 11813,640,480:waittimer 77120 blt 0,0,640,480,0, 11814,640,480:waittimer 77126 blt 0,0,640,480,0, 11815,640,480:waittimer 77133 blt 0,0,640,480,0, 11816,640,480:waittimer 77139 blt 0,0,640,480,0, 11817,640,480:waittimer 77146 blt 0,0,640,480,0, 11818,640,480:waittimer 77152 blt 0,0,640,480,0, 11819,640,480:waittimer 77159 blt 0,0,640,480,0, 11820,640,480:waittimer 77165 blt 0,0,640,480,0, 11821,640,480:waittimer 77172 blt 0,0,640,480,0, 11822,640,480:waittimer 77178 blt 0,0,640,480,0, 11823,640,480:waittimer 77185 blt 0,0,640,480,0, 11824,640,480:waittimer 77192 blt 0,0,640,480,0, 11825,640,480:waittimer 77198 blt 0,0,640,480,0, 11826,640,480:waittimer 77205 blt 0,0,640,480,0, 11827,640,480:waittimer 77211 blt 0,0,640,480,0, 11828,640,480:waittimer 77218 blt 0,0,640,480,0, 11829,640,480:waittimer 77224 blt 0,0,640,480,0, 11830,640,480:waittimer 77231 blt 0,0,640,480,0, 11831,640,480:waittimer 77237 blt 0,0,640,480,0, 11832,640,480:waittimer 77244 blt 0,0,640,480,0, 11833,640,480:waittimer 77250 blt 0,0,640,480,0, 11834,640,480:waittimer 77257 blt 0,0,640,480,0, 11835,640,480:waittimer 77263 blt 0,0,640,480,0, 11836,640,480:waittimer 77270 blt 0,0,640,480,0, 11837,640,480:waittimer 77276 blt 0,0,640,480,0, 11838,640,480:waittimer 77283 blt 0,0,640,480,0, 11839,640,480:waittimer 77289 blt 0,0,640,480,0, 11840,640,480:waittimer 77296 blt 0,0,640,480,0, 11841,640,480:waittimer 77302 blt 0,0,640,480,0, 11842,640,480:waittimer 77309 blt 0,0,640,480,0, 11843,640,480:waittimer 77316 blt 0,0,640,480,0, 11844,640,480:waittimer 77322 blt 0,0,640,480,0, 11845,640,480:waittimer 77329 blt 0,0,640,480,0, 11846,640,480:waittimer 77335 blt 0,0,640,480,0, 11847,640,480:waittimer 77342 blt 0,0,640,480,0, 11848,640,480:waittimer 77348 blt 0,0,640,480,0, 11849,640,480:waittimer 77355 blt 0,0,640,480,0, 11850,640,480:waittimer 77361 blt 0,0,640,480,0, 11851,640,480:waittimer 77368 blt 0,0,640,480,0, 11852,640,480:waittimer 77374 blt 0,0,640,480,0, 11853,640,480:waittimer 77381 blt 0,0,640,480,0, 11854,640,480:waittimer 77387 blt 0,0,640,480,0, 11855,640,480:waittimer 77394 blt 0,0,640,480,0, 11856,640,480:waittimer 77400 blt 0,0,640,480,0, 11857,640,480:waittimer 77407 blt 0,0,640,480,0, 11858,640,480:waittimer 77413 blt 0,0,640,480,0, 11859,640,480:waittimer 77420 blt 0,0,640,480,0, 11860,640,480:waittimer 77427 blt 0,0,640,480,0, 11861,640,480:waittimer 77433 blt 0,0,640,480,0, 11862,640,480:waittimer 77440 blt 0,0,640,480,0, 11863,640,480:waittimer 77446 blt 0,0,640,480,0, 11864,640,480:waittimer 77453 blt 0,0,640,480,0, 11865,640,480:waittimer 77459 blt 0,0,640,480,0, 11866,640,480:waittimer 77466 blt 0,0,640,480,0, 11867,640,480:waittimer 77472 blt 0,0,640,480,0, 11868,640,480:waittimer 77479 blt 0,0,640,480,0, 11869,640,480:waittimer 77485 blt 0,0,640,480,0, 11870,640,480:waittimer 77492 blt 0,0,640,480,0, 11871,640,480:waittimer 77498 blt 0,0,640,480,0, 11872,640,480:waittimer 77505 blt 0,0,640,480,0, 11873,640,480:waittimer 77511 blt 0,0,640,480,0, 11874,640,480:waittimer 77518 blt 0,0,640,480,0, 11875,640,480:waittimer 77524 blt 0,0,640,480,0, 11876,640,480:waittimer 77531 blt 0,0,640,480,0, 11877,640,480:waittimer 77538 blt 0,0,640,480,0, 11878,640,480:waittimer 77544 blt 0,0,640,480,0, 11879,640,480:waittimer 77551 blt 0,0,640,480,0, 11880,640,480:waittimer 77557 blt 0,0,640,480,0, 11881,640,480:waittimer 77564 blt 0,0,640,480,0, 11882,640,480:waittimer 77570 blt 0,0,640,480,0, 11883,640,480:waittimer 77577 blt 0,0,640,480,0, 11884,640,480:waittimer 77583 blt 0,0,640,480,0, 11885,640,480:waittimer 77590 blt 0,0,640,480,0, 11886,640,480:waittimer 77596 blt 0,0,640,480,0, 11887,640,480:waittimer 77603 blt 0,0,640,480,0, 11888,640,480:waittimer 77609 blt 0,0,640,480,0, 11889,640,480:waittimer 77616 blt 0,0,640,480,0, 11890,640,480:waittimer 77622 blt 0,0,640,480,0, 11891,640,480:waittimer 77629 blt 0,0,640,480,0, 11892,640,480:waittimer 77635 blt 0,0,640,480,0, 11893,640,480:waittimer 77642 blt 0,0,640,480,0, 11894,640,480:waittimer 77648 blt 0,0,640,480,0, 11895,640,480:waittimer 77655 blt 0,0,640,480,0, 11896,640,480:waittimer 77662 blt 0,0,640,480,0, 11897,640,480:waittimer 77668 blt 0,0,640,480,0, 11898,640,480:waittimer 77675 blt 0,0,640,480,0, 11899,640,480:waittimer 77681 blt 0,0,640,480,0, 11900,640,480:waittimer 77688 blt 0,0,640,480,0, 11901,640,480:waittimer 77694 blt 0,0,640,480,0, 11902,640,480:waittimer 77701 blt 0,0,640,480,0, 11903,640,480:waittimer 77707 blt 0,0,640,480,0, 11904,640,480:waittimer 77714 blt 0,0,640,480,0, 11905,640,480:waittimer 77720 blt 0,0,640,480,0, 11906,640,480:waittimer 77727 blt 0,0,640,480,0, 11907,640,480:waittimer 77733 blt 0,0,640,480,0, 11908,640,480:waittimer 77740 blt 0,0,640,480,0, 11909,640,480:waittimer 77746 blt 0,0,640,480,0, 11910,640,480:waittimer 77753 blt 0,0,640,480,0, 11911,640,480:waittimer 77759 blt 0,0,640,480,0, 11912,640,480:waittimer 77766 blt 0,0,640,480,0, 11913,640,480:waittimer 77773 blt 0,0,640,480,0, 11914,640,480:waittimer 77779 blt 0,0,640,480,0, 11915,640,480:waittimer 77786 blt 0,0,640,480,0, 11916,640,480:waittimer 77792 blt 0,0,640,480,0, 11917,640,480:waittimer 77799 blt 0,0,640,480,0, 11918,640,480:waittimer 77805 blt 0,0,640,480,0, 11919,640,480:waittimer 77812 blt 0,0,640,480,0, 11920,640,480:waittimer 77818 blt 0,0,640,480,0, 11921,640,480:waittimer 77825 blt 0,0,640,480,0, 11922,640,480:waittimer 77831 blt 0,0,640,480,0, 11923,640,480:waittimer 77838 blt 0,0,640,480,0, 11924,640,480:waittimer 77844 blt 0,0,640,480,0, 11925,640,480:waittimer 77851 blt 0,0,640,480,0, 11926,640,480:waittimer 77857 blt 0,0,640,480,0, 11927,640,480:waittimer 77864 blt 0,0,640,480,0, 11928,640,480:waittimer 77870 blt 0,0,640,480,0, 11929,640,480:waittimer 77877 blt 0,0,640,480,0, 11930,640,480:waittimer 77884 blt 0,0,640,480,0, 11931,640,480:waittimer 77890 blt 0,0,640,480,0, 11932,640,480:waittimer 77897 blt 0,0,640,480,0, 11933,640,480:waittimer 77903 blt 0,0,640,480,0, 11934,640,480:waittimer 77910 blt 0,0,640,480,0, 11935,640,480:waittimer 77916 blt 0,0,640,480,0, 11936,640,480:waittimer 77923 blt 0,0,640,480,0, 11937,640,480:waittimer 77929 blt 0,0,640,480,0, 11938,640,480:waittimer 77936 blt 0,0,640,480,0, 11939,640,480:waittimer 77942 blt 0,0,640,480,0, 11940,640,480:waittimer 77949 blt 0,0,640,480,0, 11941,640,480:waittimer 77955 blt 0,0,640,480,0, 11942,640,480:waittimer 77962 blt 0,0,640,480,0, 11943,640,480:waittimer 77968 blt 0,0,640,480,0, 11944,640,480:waittimer 77975 blt 0,0,640,480,0, 11945,640,480:waittimer 77981 blt 0,0,640,480,0, 11946,640,480:waittimer 77988 blt 0,0,640,480,0, 11947,640,480:waittimer 77995 blt 0,0,640,480,0, 11948,640,480:waittimer 78001 blt 0,0,640,480,0, 11949,640,480:waittimer 78008 blt 0,0,640,480,0, 11950,640,480:waittimer 78014 blt 0,0,640,480,0, 11951,640,480:waittimer 78021 blt 0,0,640,480,0, 11952,640,480:waittimer 78027 blt 0,0,640,480,0, 11953,640,480:waittimer 78034 blt 0,0,640,480,0, 11954,640,480:waittimer 78040 blt 0,0,640,480,0, 11955,640,480:waittimer 78047 blt 0,0,640,480,0, 11956,640,480:waittimer 78053 blt 0,0,640,480,0, 11957,640,480:waittimer 78060 blt 0,0,640,480,0, 11958,640,480:waittimer 78066 blt 0,0,640,480,0, 11959,640,480:waittimer 78073 blt 0,0,640,480,0, 11960,640,480:waittimer 78079 blt 0,0,640,480,0, 11961,640,480:waittimer 78086 blt 0,0,640,480,0, 11962,640,480:waittimer 78092 blt 0,0,640,480,0, 11963,640,480:waittimer 78099 blt 0,0,640,480,0, 11964,640,480:waittimer 78105 blt 0,0,640,480,0, 11965,640,480:waittimer 78112 blt 0,0,640,480,0, 11966,640,480:waittimer 78119 blt 0,0,640,480,0, 11967,640,480:waittimer 78125 blt 0,0,640,480,0, 11968,640,480:waittimer 78132 blt 0,0,640,480,0, 11969,640,480:waittimer 78138 blt 0,0,640,480,0, 11970,640,480:waittimer 78145 blt 0,0,640,480,0, 11971,640,480:waittimer 78151 blt 0,0,640,480,0, 11972,640,480:waittimer 78158 blt 0,0,640,480,0, 11973,640,480:waittimer 78164 blt 0,0,640,480,0, 11974,640,480:waittimer 78171 blt 0,0,640,480,0, 11975,640,480:waittimer 78177 blt 0,0,640,480,0, 11976,640,480:waittimer 78184 blt 0,0,640,480,0, 11977,640,480:waittimer 78190 blt 0,0,640,480,0, 11978,640,480:waittimer 78197 blt 0,0,640,480,0, 11979,640,480:waittimer 78203 blt 0,0,640,480,0, 11980,640,480:waittimer 78210 blt 0,0,640,480,0, 11981,640,480:waittimer 78216 blt 0,0,640,480,0, 11982,640,480:waittimer 78223 blt 0,0,640,480,0, 11983,640,480:waittimer 78230 blt 0,0,640,480,0, 11984,640,480:waittimer 78236 blt 0,0,640,480,0, 11985,640,480:waittimer 78243 blt 0,0,640,480,0, 11986,640,480:waittimer 78249 blt 0,0,640,480,0, 11987,640,480:waittimer 78256 blt 0,0,640,480,0, 11988,640,480:waittimer 78262 blt 0,0,640,480,0, 11989,640,480:waittimer 78269 blt 0,0,640,480,0, 11990,640,480:waittimer 78275 blt 0,0,640,480,0, 11991,640,480:waittimer 78282 blt 0,0,640,480,0, 11992,640,480:waittimer 78288 blt 0,0,640,480,0, 11993,640,480:waittimer 78295 blt 0,0,640,480,0, 11994,640,480:waittimer 78301 blt 0,0,640,480,0, 11995,640,480:waittimer 78308 blt 0,0,640,480,0, 11996,640,480:waittimer 78314 blt 0,0,640,480,0, 11997,640,480:waittimer 78321 blt 0,0,640,480,0, 11998,640,480:waittimer 78327 blt 0,0,640,480,0, 11999,640,480:waittimer 78334 blt 0,0,640,480,0, 12000,640,480:waittimer 78341 blt 0,0,640,480,0, 12001,640,480:waittimer 78347 blt 0,0,640,480,0, 12002,640,480:waittimer 78354 blt 0,0,640,480,0, 12003,640,480:waittimer 78360 blt 0,0,640,480,0, 12004,640,480:waittimer 78367 blt 0,0,640,480,0, 12005,640,480:waittimer 78373 blt 0,0,640,480,0, 12006,640,480:waittimer 78380 blt 0,0,640,480,0, 12007,640,480:waittimer 78386 blt 0,0,640,480,0, 12008,640,480:waittimer 78393 blt 0,0,640,480,0, 12009,640,480:waittimer 78399 blt 0,0,640,480,0, 12010,640,480:waittimer 78406 blt 0,0,640,480,0, 12011,640,480:waittimer 78412 blt 0,0,640,480,0, 12012,640,480:waittimer 78419 blt 0,0,640,480,0, 12013,640,480:waittimer 78425 blt 0,0,640,480,0, 12014,640,480:waittimer 78432 blt 0,0,640,480,0, 12015,640,480:waittimer 78438 blt 0,0,640,480,0, 12016,640,480:waittimer 78445 blt 0,0,640,480,0, 12017,640,480:waittimer 78451 blt 0,0,640,480,0, 12018,640,480:waittimer 78458 blt 0,0,640,480,0, 12019,640,480:waittimer 78465 blt 0,0,640,480,0, 12020,640,480:waittimer 78471 blt 0,0,640,480,0, 12021,640,480:waittimer 78478 blt 0,0,640,480,0, 12022,640,480:waittimer 78484 blt 0,0,640,480,0, 12023,640,480:waittimer 78491 blt 0,0,640,480,0, 12024,640,480:waittimer 78497 blt 0,0,640,480,0, 12025,640,480:waittimer 78504 blt 0,0,640,480,0, 12026,640,480:waittimer 78510 blt 0,0,640,480,0, 12027,640,480:waittimer 78517 blt 0,0,640,480,0, 12028,640,480:waittimer 78523 blt 0,0,640,480,0, 12029,640,480:waittimer 78530 blt 0,0,640,480,0, 12030,640,480:waittimer 78536 blt 0,0,640,480,0, 12031,640,480:waittimer 78543 blt 0,0,640,480,0, 12032,640,480:waittimer 78549 blt 0,0,640,480,0, 12033,640,480:waittimer 78556 blt 0,0,640,480,0, 12034,640,480:waittimer 78562 blt 0,0,640,480,0, 12035,640,480:waittimer 78569 blt 0,0,640,480,0, 12036,640,480:waittimer 78576 blt 0,0,640,480,0, 12037,640,480:waittimer 78582 blt 0,0,640,480,0, 12038,640,480:waittimer 78589 blt 0,0,640,480,0, 12039,640,480:waittimer 78595 blt 0,0,640,480,0, 12040,640,480:waittimer 78602 blt 0,0,640,480,0, 12041,640,480:waittimer 78608 blt 0,0,640,480,0, 12042,640,480:waittimer 78615 blt 0,0,640,480,0, 12043,640,480:waittimer 78621 blt 0,0,640,480,0, 12044,640,480:waittimer 78628 blt 0,0,640,480,0, 12045,640,480:waittimer 78634 blt 0,0,640,480,0, 12046,640,480:waittimer 78641 blt 0,0,640,480,0, 12047,640,480:waittimer 78647 blt 0,0,640,480,0, 12048,640,480:waittimer 78654 blt 0,0,640,480,0, 12049,640,480:waittimer 78660 blt 0,0,640,480,0, 12050,640,480:waittimer 78667 blt 0,0,640,480,0, 12051,640,480:waittimer 78673 blt 0,0,640,480,0, 12052,640,480:waittimer 78680 blt 0,0,640,480,0, 12053,640,480:waittimer 78687 blt 0,0,640,480,0, 12054,640,480:waittimer 78693 blt 0,0,640,480,0, 12055,640,480:waittimer 78700 blt 0,0,640,480,0, 12056,640,480:waittimer 78706 blt 0,0,640,480,0, 12057,640,480:waittimer 78713 blt 0,0,640,480,0, 12058,640,480:waittimer 78719 blt 0,0,640,480,0, 12059,640,480:waittimer 78726 blt 0,0,640,480,0, 12060,640,480:waittimer 78732 blt 0,0,640,480,0, 12061,640,480:waittimer 78739 blt 0,0,640,480,0, 12062,640,480:waittimer 78745 blt 0,0,640,480,0, 12063,640,480:waittimer 78752 blt 0,0,640,480,0, 12064,640,480:waittimer 78758 blt 0,0,640,480,0, 12065,640,480:waittimer 78765 blt 0,0,640,480,0, 12066,640,480:waittimer 78771 blt 0,0,640,480,0, 12067,640,480:waittimer 78778 blt 0,0,640,480,0, 12068,640,480:waittimer 78784 blt 0,0,640,480,0, 12069,640,480:waittimer 78791 blt 0,0,640,480,0, 12070,640,480:waittimer 78798 blt 0,0,640,480,0, 12071,640,480:waittimer 78804 blt 0,0,640,480,0, 12072,640,480:waittimer 78811 blt 0,0,640,480,0, 12073,640,480:waittimer 78817 blt 0,0,640,480,0, 12074,640,480:waittimer 78824 blt 0,0,640,480,0, 12075,640,480:waittimer 78830 blt 0,0,640,480,0, 12076,640,480:waittimer 78837 blt 0,0,640,480,0, 12077,640,480:waittimer 78843 blt 0,0,640,480,0, 12078,640,480:waittimer 78850 blt 0,0,640,480,0, 12079,640,480:waittimer 78856 blt 0,0,640,480,0, 12080,640,480:waittimer 78863 blt 0,0,640,480,0, 12081,640,480:waittimer 78869 blt 0,0,640,480,0, 12082,640,480:waittimer 78876 blt 0,0,640,480,0, 12083,640,480:waittimer 78882 blt 0,0,640,480,0, 12084,640,480:waittimer 78889 blt 0,0,640,480,0, 12085,640,480:waittimer 78895 blt 0,0,640,480,0, 12086,640,480:waittimer 78902 blt 0,0,640,480,0, 12087,640,480:waittimer 78908 blt 0,0,640,480,0, 12088,640,480:waittimer 78915 blt 0,0,640,480,0, 12089,640,480:waittimer 78922 blt 0,0,640,480,0, 12090,640,480:waittimer 78928 blt 0,0,640,480,0, 12091,640,480:waittimer 78935 blt 0,0,640,480,0, 12092,640,480:waittimer 78941 blt 0,0,640,480,0, 12093,640,480:waittimer 78948 blt 0,0,640,480,0, 12094,640,480:waittimer 78954 blt 0,0,640,480,0, 12095,640,480:waittimer 78961 blt 0,0,640,480,0, 12096,640,480:waittimer 78967 blt 0,0,640,480,0, 12097,640,480:waittimer 78974 blt 0,0,640,480,0, 12098,640,480:waittimer 78980 blt 0,0,640,480,0, 12099,640,480:waittimer 78987 blt 0,0,640,480,0, 12100,640,480:waittimer 78993 blt 0,0,640,480,0, 12101,640,480:waittimer 79000 blt 0,0,640,480,0, 12102,640,480:waittimer 79006 blt 0,0,640,480,0, 12103,640,480:waittimer 79013 blt 0,0,640,480,0, 12104,640,480:waittimer 79019 blt 0,0,640,480,0, 12105,640,480:waittimer 79026 blt 0,0,640,480,0, 12106,640,480:waittimer 79033 blt 0,0,640,480,0, 12107,640,480:waittimer 79039 blt 0,0,640,480,0, 12108,640,480:waittimer 79046 blt 0,0,640,480,0, 12109,640,480:waittimer 79052 blt 0,0,640,480,0, 12110,640,480:waittimer 79059 blt 0,0,640,480,0, 12111,640,480:waittimer 79065 blt 0,0,640,480,0, 12112,640,480:waittimer 79072 blt 0,0,640,480,0, 12113,640,480:waittimer 79078 blt 0,0,640,480,0, 12114,640,480:waittimer 79085 blt 0,0,640,480,0, 12115,640,480:waittimer 79091 blt 0,0,640,480,0, 12116,640,480:waittimer 79098 blt 0,0,640,480,0, 12117,640,480:waittimer 79104 blt 0,0,640,480,0, 12118,640,480:waittimer 79111 blt 0,0,640,480,0, 12119,640,480:waittimer 79117 blt 0,0,640,480,0, 12120,640,480:waittimer 79124 blt 0,0,640,480,0, 12121,640,480:waittimer 79130 blt 0,0,640,480,0, 12122,640,480:waittimer 79137 blt 0,0,640,480,0, 12123,640,480:waittimer 79144 blt 0,0,640,480,0, 12124,640,480:waittimer 79150 blt 0,0,640,480,0, 12125,640,480:waittimer 79157 blt 0,0,640,480,0, 12126,640,480:waittimer 79163 blt 0,0,640,480,0, 12127,640,480:waittimer 79170 blt 0,0,640,480,0, 12128,640,480:waittimer 79176 blt 0,0,640,480,0, 12129,640,480:waittimer 79183 blt 0,0,640,480,0, 12130,640,480:waittimer 79189 blt 0,0,640,480,0, 12131,640,480:waittimer 79196 blt 0,0,640,480,0, 12132,640,480:waittimer 79202 blt 0,0,640,480,0, 12133,640,480:waittimer 79209 blt 0,0,640,480,0, 12134,640,480:waittimer 79215 blt 0,0,640,480,0, 12135,640,480:waittimer 79222 blt 0,0,640,480,0, 12136,640,480:waittimer 79228 blt 0,0,640,480,0, 12137,640,480:waittimer 79235 blt 0,0,640,480,0, 12138,640,480:waittimer 79241 blt 0,0,640,480,0, 12139,640,480:waittimer 79248 blt 0,0,640,480,0, 12140,640,480:waittimer 79254 blt 0,0,640,480,0, 12141,640,480:waittimer 79261 blt 0,0,640,480,0, 12142,640,480:waittimer 79268 blt 0,0,640,480,0, 12143,640,480:waittimer 79274 blt 0,0,640,480,0, 12144,640,480:waittimer 79281 blt 0,0,640,480,0, 12145,640,480:waittimer 79287 blt 0,0,640,480,0, 12146,640,480:waittimer 79294 blt 0,0,640,480,0, 12147,640,480:waittimer 79300 blt 0,0,640,480,0, 12148,640,480:waittimer 79307 blt 0,0,640,480,0, 12149,640,480:waittimer 79313 blt 0,0,640,480,0, 12150,640,480:waittimer 79320 blt 0,0,640,480,0, 12151,640,480:waittimer 79326 blt 0,0,640,480,0, 12152,640,480:waittimer 79333 blt 0,0,640,480,0, 12153,640,480:waittimer 79339 blt 0,0,640,480,0, 12154,640,480:waittimer 79346 blt 0,0,640,480,0, 12155,640,480:waittimer 79352 blt 0,0,640,480,0, 12156,640,480:waittimer 79359 blt 0,0,640,480,0, 12157,640,480:waittimer 79365 blt 0,0,640,480,0, 12158,640,480:waittimer 79372 blt 0,0,640,480,0, 12159,640,480:waittimer 79379 blt 0,0,640,480,0, 12160,640,480:waittimer 79385 blt 0,0,640,480,0, 12161,640,480:waittimer 79392 blt 0,0,640,480,0, 12162,640,480:waittimer 79398 blt 0,0,640,480,0, 12163,640,480:waittimer 79405 blt 0,0,640,480,0, 12164,640,480:waittimer 79411 blt 0,0,640,480,0, 12165,640,480:waittimer 79418 blt 0,0,640,480,0, 12166,640,480:waittimer 79424 blt 0,0,640,480,0, 12167,640,480:waittimer 79431 blt 0,0,640,480,0, 12168,640,480:waittimer 79437 blt 0,0,640,480,0, 12169,640,480:waittimer 79444 blt 0,0,640,480,0, 12170,640,480:waittimer 79450 blt 0,0,640,480,0, 12171,640,480:waittimer 79457 blt 0,0,640,480,0, 12172,640,480:waittimer 79463 blt 0,0,640,480,0, 12173,640,480:waittimer 79470 blt 0,0,640,480,0, 12174,640,480:waittimer 79476 blt 0,0,640,480,0, 12175,640,480:waittimer 79483 blt 0,0,640,480,0, 12176,640,480:waittimer 79490 blt 0,0,640,480,0, 12177,640,480:waittimer 79496 blt 0,0,640,480,0, 12178,640,480:waittimer 79503 blt 0,0,640,480,0, 12179,640,480:waittimer 79509 blt 0,0,640,480,0, 12180,640,480:waittimer 79516 blt 0,0,640,480,0, 12181,640,480:waittimer 79522 blt 0,0,640,480,0, 12182,640,480:waittimer 79529 blt 0,0,640,480,0, 12183,640,480:waittimer 79535 blt 0,0,640,480,0, 12184,640,480:waittimer 79542 blt 0,0,640,480,0, 12185,640,480:waittimer 79548 blt 0,0,640,480,0, 12186,640,480:waittimer 79555 blt 0,0,640,480,0, 12187,640,480:waittimer 79561 blt 0,0,640,480,0, 12188,640,480:waittimer 79568 blt 0,0,640,480,0, 12189,640,480:waittimer 79574 blt 0,0,640,480,0, 12190,640,480:waittimer 79581 blt 0,0,640,480,0, 12191,640,480:waittimer 79587 blt 0,0,640,480,0, 12192,640,480:waittimer 79594 blt 0,0,640,480,0, 12193,640,480:waittimer 79600 blt 0,0,640,480,0, 12194,640,480:waittimer 79607 blt 0,0,640,480,0, 12195,640,480:waittimer 79614 blt 0,0,640,480,0, 12196,640,480:waittimer 79620 blt 0,0,640,480,0, 12197,640,480:waittimer 79627 blt 0,0,640,480,0, 12198,640,480:waittimer 79633 blt 0,0,640,480,0, 12199,640,480:waittimer 79640 blt 0,0,640,480,0, 12200,640,480:waittimer 79646 blt 0,0,640,480,0, 12201,640,480:waittimer 79653 blt 0,0,640,480,0, 12202,640,480:waittimer 79659 blt 0,0,640,480,0, 12203,640,480:waittimer 79666 blt 0,0,640,480,0, 12204,640,480:waittimer 79672 blt 0,0,640,480,0, 12205,640,480:waittimer 79679 blt 0,0,640,480,0, 12206,640,480:waittimer 79685 blt 0,0,640,480,0, 12207,640,480:waittimer 79692 blt 0,0,640,480,0, 12208,640,480:waittimer 79698 blt 0,0,640,480,0, 12209,640,480:waittimer 79705 blt 0,0,640,480,0, 12210,640,480:waittimer 79711 blt 0,0,640,480,0, 12211,640,480:waittimer 79718 blt 0,0,640,480,0, 12212,640,480:waittimer 79725 blt 0,0,640,480,0, 12213,640,480:waittimer 79731 blt 0,0,640,480,0, 12214,640,480:waittimer 79738 blt 0,0,640,480,0, 12215,640,480:waittimer 79744 blt 0,0,640,480,0, 12216,640,480:waittimer 79751 blt 0,0,640,480,0, 12217,640,480:waittimer 79757 blt 0,0,640,480,0, 12218,640,480:waittimer 79764 blt 0,0,640,480,0, 12219,640,480:waittimer 79770 blt 0,0,640,480,0, 12220,640,480:waittimer 79777 blt 0,0,640,480,0, 12221,640,480:waittimer 79783 blt 0,0,640,480,0, 12222,640,480:waittimer 79790 blt 0,0,640,480,0, 12223,640,480:waittimer 79796 blt 0,0,640,480,0, 12224,640,480:waittimer 79803 blt 0,0,640,480,0, 12225,640,480:waittimer 79809 blt 0,0,640,480,0, 12226,640,480:waittimer 79816 blt 0,0,640,480,0, 12227,640,480:waittimer 79822 blt 0,0,640,480,0, 12228,640,480:waittimer 79829 blt 0,0,640,480,0, 12229,640,480:waittimer 79836 blt 0,0,640,480,0, 12230,640,480:waittimer 79842 blt 0,0,640,480,0, 12231,640,480:waittimer 79849 blt 0,0,640,480,0, 12232,640,480:waittimer 79855 blt 0,0,640,480,0, 12233,640,480:waittimer 79862 blt 0,0,640,480,0, 12234,640,480:waittimer 79868 blt 0,0,640,480,0, 12235,640,480:waittimer 79875 blt 0,0,640,480,0, 12236,640,480:waittimer 79881 blt 0,0,640,480,0, 12237,640,480:waittimer 79888 blt 0,0,640,480,0, 12238,640,480:waittimer 79894 blt 0,0,640,480,0, 12239,640,480:waittimer 79901 blt 0,0,640,480,0, 12240,640,480:waittimer 79907 blt 0,0,640,480,0, 12241,640,480:waittimer 79914 blt 0,0,640,480,0, 12242,640,480:waittimer 79920 blt 0,0,640,480,0, 12243,640,480:waittimer 79927 blt 0,0,640,480,0, 12244,640,480:waittimer 79933 blt 0,0,640,480,0, 12245,640,480:waittimer 79940 blt 0,0,640,480,0, 12246,640,480:waittimer 79947 blt 0,0,640,480,0, 12247,640,480:waittimer 79953 blt 0,0,640,480,0, 12248,640,480:waittimer 79960 blt 0,0,640,480,0, 12249,640,480:waittimer 79966 blt 0,0,640,480,0, 12250,640,480:waittimer 79973 blt 0,0,640,480,0, 12251,640,480:waittimer 79979 blt 0,0,640,480,0, 12252,640,480:waittimer 79986 blt 0,0,640,480,0, 12253,640,480:waittimer 79992 blt 0,0,640,480,0, 12254,640,480:waittimer 79999 blt 0,0,640,480,0, 12255,640,480:waittimer 80005 blt 0,0,640,480,0, 12256,640,480:waittimer 80012 blt 0,0,640,480,0, 12257,640,480:waittimer 80018 blt 0,0,640,480,0, 12258,640,480:waittimer 80025 blt 0,0,640,480,0, 12259,640,480:waittimer 80031 blt 0,0,640,480,0, 12260,640,480:waittimer 80038 blt 0,0,640,480,0, 12261,640,480:waittimer 80044 blt 0,0,640,480,0, 12262,640,480:waittimer 80051 blt 0,0,640,480,0, 12263,640,480:waittimer 80057 blt 0,0,640,480,0, 12264,640,480:waittimer 80064 blt 0,0,640,480,0, 12265,640,480:waittimer 80071 blt 0,0,640,480,0, 12266,640,480:waittimer 80077 blt 0,0,640,480,0, 12267,640,480:waittimer 80084 blt 0,0,640,480,0, 12268,640,480:waittimer 80090 blt 0,0,640,480,0, 12269,640,480:waittimer 80097 blt 0,0,640,480,0, 12270,640,480:waittimer 80103 blt 0,0,640,480,0, 12271,640,480:waittimer 80110 blt 0,0,640,480,0, 12272,640,480:waittimer 80116 blt 0,0,640,480,0, 12273,640,480:waittimer 80123 blt 0,0,640,480,0, 12274,640,480:waittimer 80129 blt 0,0,640,480,0, 12275,640,480:waittimer 80136 blt 0,0,640,480,0, 12276,640,480:waittimer 80142 blt 0,0,640,480,0, 12277,640,480:waittimer 80149 blt 0,0,640,480,0, 12278,640,480:waittimer 80155 blt 0,0,640,480,0, 12279,640,480:waittimer 80162 blt 0,0,640,480,0, 12280,640,480:waittimer 80168 blt 0,0,640,480,0, 12281,640,480:waittimer 80175 blt 0,0,640,480,0, 12282,640,480:waittimer 80182 blt 0,0,640,480,0, 12283,640,480:waittimer 80188 blt 0,0,640,480,0, 12284,640,480:waittimer 80195 blt 0,0,640,480,0, 12285,640,480:waittimer 80201 blt 0,0,640,480,0, 12286,640,480:waittimer 80208 blt 0,0,640,480,0, 12287,640,480:waittimer 80214 blt 0,0,640,480,0, 12288,640,480:waittimer 80221 blt 0,0,640,480,0, 12289,640,480:waittimer 80227 blt 0,0,640,480,0, 12290,640,480:waittimer 80234 blt 0,0,640,480,0, 12291,640,480:waittimer 80240 blt 0,0,640,480,0, 12292,640,480:waittimer 80247 blt 0,0,640,480,0, 12293,640,480:waittimer 80253 blt 0,0,640,480,0, 12294,640,480:waittimer 80260 blt 0,0,640,480,0, 12295,640,480:waittimer 80266 blt 0,0,640,480,0, 12296,640,480:waittimer 80273 blt 0,0,640,480,0, 12297,640,480:waittimer 80279 blt 0,0,640,480,0, 12298,640,480:waittimer 80286 blt 0,0,640,480,0, 12299,640,480:waittimer 80293 blt 0,0,640,480,0, 12300,640,480:waittimer 80299 blt 0,0,640,480,0, 12301,640,480:waittimer 80306 blt 0,0,640,480,0, 12302,640,480:waittimer 80312 blt 0,0,640,480,0, 12303,640,480:waittimer 80319 blt 0,0,640,480,0, 12304,640,480:waittimer 80325 blt 0,0,640,480,0, 12305,640,480:waittimer 80332 blt 0,0,640,480,0, 12306,640,480:waittimer 80338 blt 0,0,640,480,0, 12307,640,480:waittimer 80345 blt 0,0,640,480,0, 12308,640,480:waittimer 80351 blt 0,0,640,480,0, 12309,640,480:waittimer 80358 blt 0,0,640,480,0, 12310,640,480:waittimer 80364 blt 0,0,640,480,0, 12311,640,480:waittimer 80371 blt 0,0,640,480,0, 12312,640,480:waittimer 80377 blt 0,0,640,480,0, 12313,640,480:waittimer 80384 blt 0,0,640,480,0, 12314,640,480:waittimer 80390 blt 0,0,640,480,0, 12315,640,480:waittimer 80397 blt 0,0,640,480,0, 12316,640,480:waittimer 80403 blt 0,0,640,480,0, 12317,640,480:waittimer 80410 blt 0,0,640,480,0, 12318,640,480:waittimer 80417 blt 0,0,640,480,0, 12319,640,480:waittimer 80423 blt 0,0,640,480,0, 12320,640,480:waittimer 80430 blt 0,0,640,480,0, 12321,640,480:waittimer 80436 blt 0,0,640,480,0, 12322,640,480:waittimer 80443 blt 0,0,640,480,0, 12323,640,480:waittimer 80449 blt 0,0,640,480,0, 12324,640,480:waittimer 80456 blt 0,0,640,480,0, 12325,640,480:waittimer 80462 blt 0,0,640,480,0, 12326,640,480:waittimer 80469 blt 0,0,640,480,0, 12327,640,480:waittimer 80475 blt 0,0,640,480,0, 12328,640,480:waittimer 80482 blt 0,0,640,480,0, 12329,640,480:waittimer 80488 blt 0,0,640,480,0, 12330,640,480:waittimer 80495 blt 0,0,640,480,0, 12331,640,480:waittimer 80501 blt 0,0,640,480,0, 12332,640,480:waittimer 80508 blt 0,0,640,480,0, 12333,640,480:waittimer 80514 blt 0,0,640,480,0, 12334,640,480:waittimer 80521 blt 0,0,640,480,0, 12335,640,480:waittimer 80528 blt 0,0,640,480,0, 12336,640,480:waittimer 80534 blt 0,0,640,480,0, 12337,640,480:waittimer 80541 blt 0,0,640,480,0, 12338,640,480:waittimer 80547 blt 0,0,640,480,0, 12339,640,480:waittimer 80554 blt 0,0,640,480,0, 12340,640,480:waittimer 80560 blt 0,0,640,480,0, 12341,640,480:waittimer 80567 blt 0,0,640,480,0, 12342,640,480:waittimer 80573 blt 0,0,640,480,0, 12343,640,480:waittimer 80580 blt 0,0,640,480,0, 12344,640,480:waittimer 80586 blt 0,0,640,480,0, 12345,640,480:waittimer 80593 blt 0,0,640,480,0, 12346,640,480:waittimer 80599 blt 0,0,640,480,0, 12347,640,480:waittimer 80606 blt 0,0,640,480,0, 12348,640,480:waittimer 80612 blt 0,0,640,480,0, 12349,640,480:waittimer 80619 blt 0,0,640,480,0, 12350,640,480:waittimer 80625 blt 0,0,640,480,0, 12351,640,480:waittimer 80632 blt 0,0,640,480,0, 12352,640,480:waittimer 80639 blt 0,0,640,480,0, 12353,640,480:waittimer 80645 blt 0,0,640,480,0, 12354,640,480:waittimer 80652 blt 0,0,640,480,0, 12355,640,480:waittimer 80658 blt 0,0,640,480,0, 12356,640,480:waittimer 80665 blt 0,0,640,480,0, 12357,640,480:waittimer 80671 blt 0,0,640,480,0, 12358,640,480:waittimer 80678 blt 0,0,640,480,0, 12359,640,480:waittimer 80684 blt 0,0,640,480,0, 12360,640,480:waittimer 80691 blt 0,0,640,480,0, 12361,640,480:waittimer 80697 blt 0,0,640,480,0, 12362,640,480:waittimer 80704 blt 0,0,640,480,0, 12363,640,480:waittimer 80710 blt 0,0,640,480,0, 12364,640,480:waittimer 80717 blt 0,0,640,480,0, 12365,640,480:waittimer 80723 blt 0,0,640,480,0, 12366,640,480:waittimer 80730 blt 0,0,640,480,0, 12367,640,480:waittimer 80736 blt 0,0,640,480,0, 12368,640,480:waittimer 80743 blt 0,0,640,480,0, 12369,640,480:waittimer 80749 blt 0,0,640,480,0, 12370,640,480:waittimer 80756 blt 0,0,640,480,0, 12371,640,480:waittimer 80763 blt 0,0,640,480,0, 12372,640,480:waittimer 80769 blt 0,0,640,480,0, 12373,640,480:waittimer 80776 blt 0,0,640,480,0, 12374,640,480:waittimer 80782 blt 0,0,640,480,0, 12375,640,480:waittimer 80789 blt 0,0,640,480,0, 12376,640,480:waittimer 80795 blt 0,0,640,480,0, 12377,640,480:waittimer 80802 blt 0,0,640,480,0, 12378,640,480:waittimer 80808 blt 0,0,640,480,0, 12379,640,480:waittimer 80815 blt 0,0,640,480,0, 12380,640,480:waittimer 80821 blt 0,0,640,480,0, 12381,640,480:waittimer 80828 blt 0,0,640,480,0, 12382,640,480:waittimer 80834 blt 0,0,640,480,0, 12383,640,480:waittimer 80841 blt 0,0,640,480,0, 12384,640,480:waittimer 80847 blt 0,0,640,480,0, 12385,640,480:waittimer 80854 blt 0,0,640,480,0, 12386,640,480:waittimer 80860 blt 0,0,640,480,0, 12387,640,480:waittimer 80867 blt 0,0,640,480,0, 12388,640,480:waittimer 80874 blt 0,0,640,480,0, 12389,640,480:waittimer 80880 blt 0,0,640,480,0, 12390,640,480:waittimer 80887 blt 0,0,640,480,0, 12391,640,480:waittimer 80893 blt 0,0,640,480,0, 12392,640,480:waittimer 80900 blt 0,0,640,480,0, 12393,640,480:waittimer 80906 blt 0,0,640,480,0, 12394,640,480:waittimer 80913 blt 0,0,640,480,0, 12395,640,480:waittimer 80919 blt 0,0,640,480,0, 12396,640,480:waittimer 80926 blt 0,0,640,480,0, 12397,640,480:waittimer 80932 blt 0,0,640,480,0, 12398,640,480:waittimer 80939 blt 0,0,640,480,0, 12399,640,480:waittimer 80945 blt 0,0,640,480,0, 12400,640,480:waittimer 80952 blt 0,0,640,480,0, 12401,640,480:waittimer 80958 blt 0,0,640,480,0, 12402,640,480:waittimer 80965 blt 0,0,640,480,0, 12403,640,480:waittimer 80971 blt 0,0,640,480,0, 12404,640,480:waittimer 80978 blt 0,0,640,480,0, 12405,640,480:waittimer 80985 blt 0,0,640,480,0, 12406,640,480:waittimer 80991 blt 0,0,640,480,0, 12407,640,480:waittimer 80998 blt 0,0,640,480,0, 12408,640,480:waittimer 81004 blt 0,0,640,480,0, 12409,640,480:waittimer 81011 blt 0,0,640,480,0, 12410,640,480:waittimer 81017 blt 0,0,640,480,0, 12411,640,480:waittimer 81024 blt 0,0,640,480,0, 12412,640,480:waittimer 81030 blt 0,0,640,480,0, 12413,640,480:waittimer 81037 blt 0,0,640,480,0, 12414,640,480:waittimer 81043 blt 0,0,640,480,0, 12415,640,480:waittimer 81050 blt 0,0,640,480,0, 12416,640,480:waittimer 81056 blt 0,0,640,480,0, 12417,640,480:waittimer 81063 blt 0,0,640,480,0, 12418,640,480:waittimer 81069 blt 0,0,640,480,0, 12419,640,480:waittimer 81076 blt 0,0,640,480,0, 12420,640,480:waittimer 81082 blt 0,0,640,480,0, 12421,640,480:waittimer 81089 blt 0,0,640,480,0, 12422,640,480:waittimer 81096 blt 0,0,640,480,0, 12423,640,480:waittimer 81102 blt 0,0,640,480,0, 12424,640,480:waittimer 81109 blt 0,0,640,480,0, 12425,640,480:waittimer 81115 blt 0,0,640,480,0, 12426,640,480:waittimer 81122 blt 0,0,640,480,0, 12427,640,480:waittimer 81128 blt 0,0,640,480,0, 12428,640,480:waittimer 81135 blt 0,0,640,480,0, 12429,640,480:waittimer 81141 blt 0,0,640,480,0, 12430,640,480:waittimer 81148 blt 0,0,640,480,0, 12431,640,480:waittimer 81154 blt 0,0,640,480,0, 12432,640,480:waittimer 81161 blt 0,0,640,480,0, 12433,640,480:waittimer 81167 blt 0,0,640,480,0, 12434,640,480:waittimer 81174 blt 0,0,640,480,0, 12435,640,480:waittimer 81180 blt 0,0,640,480,0, 12436,640,480:waittimer 81187 blt 0,0,640,480,0, 12437,640,480:waittimer 81193 blt 0,0,640,480,0, 12438,640,480:waittimer 81200 blt 0,0,640,480,0, 12439,640,480:waittimer 81206 blt 0,0,640,480,0, 12440,640,480:waittimer 81213 blt 0,0,640,480,0, 12441,640,480:waittimer 81220 blt 0,0,640,480,0, 12442,640,480:waittimer 81226 blt 0,0,640,480,0, 12443,640,480:waittimer 81233 blt 0,0,640,480,0, 12444,640,480:waittimer 81239 blt 0,0,640,480,0, 12445,640,480:waittimer 81246 blt 0,0,640,480,0, 12446,640,480:waittimer 81252 blt 0,0,640,480,0, 12447,640,480:waittimer 81259 blt 0,0,640,480,0, 12448,640,480:waittimer 81265 blt 0,0,640,480,0, 12449,640,480:waittimer 81272 blt 0,0,640,480,0, 12450,640,480:waittimer 81278 blt 0,0,640,480,0, 12451,640,480:waittimer 81285 blt 0,0,640,480,0, 12452,640,480:waittimer 81291 blt 0,0,640,480,0, 12453,640,480:waittimer 81298 blt 0,0,640,480,0, 12454,640,480:waittimer 81304 blt 0,0,640,480,0, 12455,640,480:waittimer 81311 blt 0,0,640,480,0, 12456,640,480:waittimer 81317 blt 0,0,640,480,0, 12457,640,480:waittimer 81324 blt 0,0,640,480,0, 12458,640,480:waittimer 81331 blt 0,0,640,480,0, 12459,640,480:waittimer 81337 blt 0,0,640,480,0, 12460,640,480:waittimer 81344 blt 0,0,640,480,0, 12461,640,480:waittimer 81350 blt 0,0,640,480,0, 12462,640,480:waittimer 81357 blt 0,0,640,480,0, 12463,640,480:waittimer 81363 blt 0,0,640,480,0, 12464,640,480:waittimer 81370 blt 0,0,640,480,0, 12465,640,480:waittimer 81376 blt 0,0,640,480,0, 12466,640,480:waittimer 81383 blt 0,0,640,480,0, 12467,640,480:waittimer 81389 blt 0,0,640,480,0, 12468,640,480:waittimer 81396 blt 0,0,640,480,0, 12469,640,480:waittimer 81402 blt 0,0,640,480,0, 12470,640,480:waittimer 81409 blt 0,0,640,480,0, 12471,640,480:waittimer 81415 blt 0,0,640,480,0, 12472,640,480:waittimer 81422 blt 0,0,640,480,0, 12473,640,480:waittimer 81428 blt 0,0,640,480,0, 12474,640,480:waittimer 81435 blt 0,0,640,480,0, 12475,640,480:waittimer 81442 blt 0,0,640,480,0, 12476,640,480:waittimer 81448 blt 0,0,640,480,0, 12477,640,480:waittimer 81455 blt 0,0,640,480,0, 12478,640,480:waittimer 81461 blt 0,0,640,480,0, 12479,640,480:waittimer 81468 blt 0,0,640,480,0, 12480,640,480:waittimer 81474 blt 0,0,640,480,0, 12481,640,480:waittimer 81481 blt 0,0,640,480,0, 12482,640,480:waittimer 81487 blt 0,0,640,480,0, 12483,640,480:waittimer 81494 blt 0,0,640,480,0, 12484,640,480:waittimer 81500 blt 0,0,640,480,0, 12485,640,480:waittimer 81507 blt 0,0,640,480,0, 12486,640,480:waittimer 81513 blt 0,0,640,480,0, 12487,640,480:waittimer 81520 blt 0,0,640,480,0, 12488,640,480:waittimer 81526 blt 0,0,640,480,0, 12489,640,480:waittimer 81533 blt 0,0,640,480,0, 12490,640,480:waittimer 81539 blt 0,0,640,480,0, 12491,640,480:waittimer 81546 blt 0,0,640,480,0, 12492,640,480:waittimer 81552 blt 0,0,640,480,0, 12493,640,480:waittimer 81559 blt 0,0,640,480,0, 12494,640,480:waittimer 81566 blt 0,0,640,480,0, 12495,640,480:waittimer 81572 blt 0,0,640,480,0, 12496,640,480:waittimer 81579 blt 0,0,640,480,0, 12497,640,480:waittimer 81585 blt 0,0,640,480,0, 12498,640,480:waittimer 81592 blt 0,0,640,480,0, 12499,640,480:waittimer 81598 blt 0,0,640,480,0, 12500,640,480:waittimer 81605 blt 0,0,640,480,0, 12501,640,480:waittimer 81611 blt 0,0,640,480,0, 12502,640,480:waittimer 81618 blt 0,0,640,480,0, 12503,640,480:waittimer 81624 blt 0,0,640,480,0, 12504,640,480:waittimer 81631 blt 0,0,640,480,0, 12505,640,480:waittimer 81637 blt 0,0,640,480,0, 12506,640,480:waittimer 81644 blt 0,0,640,480,0, 12507,640,480:waittimer 81650 blt 0,0,640,480,0, 12508,640,480:waittimer 81657 blt 0,0,640,480,0, 12509,640,480:waittimer 81663 blt 0,0,640,480,0, 12510,640,480:waittimer 81670 blt 0,0,640,480,0, 12511,640,480:waittimer 81677 blt 0,0,640,480,0, 12512,640,480:waittimer 81683 blt 0,0,640,480,0, 12513,640,480:waittimer 81690 blt 0,0,640,480,0, 12514,640,480:waittimer 81696 blt 0,0,640,480,0, 12515,640,480:waittimer 81703 blt 0,0,640,480,0, 12516,640,480:waittimer 81709 blt 0,0,640,480,0, 12517,640,480:waittimer 81716 blt 0,0,640,480,0, 12518,640,480:waittimer 81722 blt 0,0,640,480,0, 12519,640,480:waittimer 81729 blt 0,0,640,480,0, 12520,640,480:waittimer 81735 blt 0,0,640,480,0, 12521,640,480:waittimer 81742 blt 0,0,640,480,0, 12522,640,480:waittimer 81748 blt 0,0,640,480,0, 12523,640,480:waittimer 81755 blt 0,0,640,480,0, 12524,640,480:waittimer 81761 blt 0,0,640,480,0, 12525,640,480:waittimer 81768 blt 0,0,640,480,0, 12526,640,480:waittimer 81774 blt 0,0,640,480,0, 12527,640,480:waittimer 81781 blt 0,0,640,480,0, 12528,640,480:waittimer 81788 blt 0,0,640,480,0, 12529,640,480:waittimer 81794 blt 0,0,640,480,0, 12530,640,480:waittimer 81801 blt 0,0,640,480,0, 12531,640,480:waittimer 81807 blt 0,0,640,480,0, 12532,640,480:waittimer 81814 blt 0,0,640,480,0, 12533,640,480:waittimer 81820 blt 0,0,640,480,0, 12534,640,480:waittimer 81827 blt 0,0,640,480,0, 12535,640,480:waittimer 81833 blt 0,0,640,480,0, 12536,640,480:waittimer 81840 blt 0,0,640,480,0, 12537,640,480:waittimer 81846 blt 0,0,640,480,0, 12538,640,480:waittimer 81853 blt 0,0,640,480,0, 12539,640,480:waittimer 81859 blt 0,0,640,480,0, 12540,640,480:waittimer 81866 blt 0,0,640,480,0, 12541,640,480:waittimer 81872 blt 0,0,640,480,0, 12542,640,480:waittimer 81879 blt 0,0,640,480,0, 12543,640,480:waittimer 81885 blt 0,0,640,480,0, 12544,640,480:waittimer 81892 blt 0,0,640,480,0, 12545,640,480:waittimer 81898 blt 0,0,640,480,0, 12546,640,480:waittimer 81905 blt 0,0,640,480,0, 12547,640,480:waittimer 81912 blt 0,0,640,480,0, 12548,640,480:waittimer 81918 blt 0,0,640,480,0, 12549,640,480:waittimer 81925 blt 0,0,640,480,0, 12550,640,480:waittimer 81931 blt 0,0,640,480,0, 12551,640,480:waittimer 81938 blt 0,0,640,480,0, 12552,640,480:waittimer 81944 blt 0,0,640,480,0, 12553,640,480:waittimer 81951 blt 0,0,640,480,0, 12554,640,480:waittimer 81957 blt 0,0,640,480,0, 12555,640,480:waittimer 81964 blt 0,0,640,480,0, 12556,640,480:waittimer 81970 blt 0,0,640,480,0, 12557,640,480:waittimer 81977 blt 0,0,640,480,0, 12558,640,480:waittimer 81983 blt 0,0,640,480,0, 12559,640,480:waittimer 81990 blt 0,0,640,480,0, 12560,640,480:waittimer 81996 blt 0,0,640,480,0, 12561,640,480:waittimer 82003 blt 0,0,640,480,0, 12562,640,480:waittimer 82009 blt 0,0,640,480,0, 12563,640,480:waittimer 82016 blt 0,0,640,480,0, 12564,640,480:waittimer 82023 blt 0,0,640,480,0, 12565,640,480:waittimer 82029 blt 0,0,640,480,0, 12566,640,480:waittimer 82036 blt 0,0,640,480,0, 12567,640,480:waittimer 82042 blt 0,0,640,480,0, 12568,640,480:waittimer 82049 blt 0,0,640,480,0, 12569,640,480:waittimer 82055 blt 0,0,640,480,0, 12570,640,480:waittimer 82062 blt 0,0,640,480,0, 12571,640,480:waittimer 82068 blt 0,0,640,480,0, 12572,640,480:waittimer 82075 blt 0,0,640,480,0, 12573,640,480:waittimer 82081 blt 0,0,640,480,0, 12574,640,480:waittimer 82088 blt 0,0,640,480,0, 12575,640,480:waittimer 82094 blt 0,0,640,480,0, 12576,640,480:waittimer 82101 blt 0,0,640,480,0, 12577,640,480:waittimer 82107 blt 0,0,640,480,0, 12578,640,480:waittimer 82114 blt 0,0,640,480,0, 12579,640,480:waittimer 82120 blt 0,0,640,480,0, 12580,640,480:waittimer 82127 blt 0,0,640,480,0, 12581,640,480:waittimer 82134 blt 0,0,640,480,0, 12582,640,480:waittimer 82140 blt 0,0,640,480,0, 12583,640,480:waittimer 82147 blt 0,0,640,480,0, 12584,640,480:waittimer 82153 blt 0,0,640,480,0, 12585,640,480:waittimer 82160 blt 0,0,640,480,0, 12586,640,480:waittimer 82166 blt 0,0,640,480,0, 12587,640,480:waittimer 82173 blt 0,0,640,480,0, 12588,640,480:waittimer 82179 blt 0,0,640,480,0, 12589,640,480:waittimer 82186 blt 0,0,640,480,0, 12590,640,480:waittimer 82192 blt 0,0,640,480,0, 12591,640,480:waittimer 82199 blt 0,0,640,480,0, 12592,640,480:waittimer 82205 blt 0,0,640,480,0, 12593,640,480:waittimer 82212 blt 0,0,640,480,0, 12594,640,480:waittimer 82218 blt 0,0,640,480,0, 12595,640,480:waittimer 82225 blt 0,0,640,480,0, 12596,640,480:waittimer 82231 blt 0,0,640,480,0, 12597,640,480:waittimer 82238 blt 0,0,640,480,0, 12598,640,480:waittimer 82245 blt 0,0,640,480,0, 12599,640,480:waittimer 82251 blt 0,0,640,480,0, 12600,640,480:waittimer 82258 blt 0,0,640,480,0, 12601,640,480:waittimer 82264 blt 0,0,640,480,0, 12602,640,480:waittimer 82271 blt 0,0,640,480,0, 12603,640,480:waittimer 82277 blt 0,0,640,480,0, 12604,640,480:waittimer 82284 blt 0,0,640,480,0, 12605,640,480:waittimer 82290 blt 0,0,640,480,0, 12606,640,480:waittimer 82297 blt 0,0,640,480,0, 12607,640,480:waittimer 82303 blt 0,0,640,480,0, 12608,640,480:waittimer 82310 blt 0,0,640,480,0, 12609,640,480:waittimer 82316 blt 0,0,640,480,0, 12610,640,480:waittimer 82323 blt 0,0,640,480,0, 12611,640,480:waittimer 82329 blt 0,0,640,480,0, 12612,640,480:waittimer 82336 blt 0,0,640,480,0, 12613,640,480:waittimer 82342 blt 0,0,640,480,0, 12614,640,480:waittimer 82349 blt 0,0,640,480,0, 12615,640,480:waittimer 82355 blt 0,0,640,480,0, 12616,640,480:waittimer 82362 blt 0,0,640,480,0, 12617,640,480:waittimer 82369 blt 0,0,640,480,0, 12618,640,480:waittimer 82375 blt 0,0,640,480,0, 12619,640,480:waittimer 82382 blt 0,0,640,480,0, 12620,640,480:waittimer 82388 blt 0,0,640,480,0, 12621,640,480:waittimer 82395 blt 0,0,640,480,0, 12622,640,480:waittimer 82401 blt 0,0,640,480,0, 12623,640,480:waittimer 82408 blt 0,0,640,480,0, 12624,640,480:waittimer 82414 blt 0,0,640,480,0, 12625,640,480:waittimer 82421 blt 0,0,640,480,0, 12626,640,480:waittimer 82427 blt 0,0,640,480,0, 12627,640,480:waittimer 82434 blt 0,0,640,480,0, 12628,640,480:waittimer 82440 blt 0,0,640,480,0, 12629,640,480:waittimer 82447 blt 0,0,640,480,0, 12630,640,480:waittimer 82453 blt 0,0,640,480,0, 12631,640,480:waittimer 82460 blt 0,0,640,480,0, 12632,640,480:waittimer 82466 blt 0,0,640,480,0, 12633,640,480:waittimer 82473 blt 0,0,640,480,0, 12634,640,480:waittimer 82480 blt 0,0,640,480,0, 12635,640,480:waittimer 82486 blt 0,0,640,480,0, 12636,640,480:waittimer 82493 blt 0,0,640,480,0, 12637,640,480:waittimer 82499 blt 0,0,640,480,0, 12638,640,480:waittimer 82506 blt 0,0,640,480,0, 12639,640,480:waittimer 82512 blt 0,0,640,480,0, 12640,640,480:waittimer 82519 blt 0,0,640,480,0, 12641,640,480:waittimer 82525 blt 0,0,640,480,0, 12642,640,480:waittimer 82532 blt 0,0,640,480,0, 12643,640,480:waittimer 82538 blt 0,0,640,480,0, 12644,640,480:waittimer 82545 blt 0,0,640,480,0, 12645,640,480:waittimer 82551 blt 0,0,640,480,0, 12646,640,480:waittimer 82558 blt 0,0,640,480,0, 12647,640,480:waittimer 82564 blt 0,0,640,480,0, 12648,640,480:waittimer 82571 blt 0,0,640,480,0, 12649,640,480:waittimer 82577 blt 0,0,640,480,0, 12650,640,480:waittimer 82584 blt 0,0,640,480,0, 12651,640,480:waittimer 82591 blt 0,0,640,480,0, 12652,640,480:waittimer 82597 blt 0,0,640,480,0, 12653,640,480:waittimer 82604 blt 0,0,640,480,0, 12654,640,480:waittimer 82610 blt 0,0,640,480,0, 12655,640,480:waittimer 82617 blt 0,0,640,480,0, 12656,640,480:waittimer 82623 blt 0,0,640,480,0, 12657,640,480:waittimer 82630 blt 0,0,640,480,0, 12658,640,480:waittimer 82636 blt 0,0,640,480,0, 12659,640,480:waittimer 82643 blt 0,0,640,480,0, 12660,640,480:waittimer 82649 blt 0,0,640,480,0, 12661,640,480:waittimer 82656 blt 0,0,640,480,0, 12662,640,480:waittimer 82662 blt 0,0,640,480,0, 12663,640,480:waittimer 82669 blt 0,0,640,480,0, 12664,640,480:waittimer 82675 blt 0,0,640,480,0, 12665,640,480:waittimer 82682 blt 0,0,640,480,0, 12666,640,480:waittimer 82688 blt 0,0,640,480,0, 12667,640,480:waittimer 82695 blt 0,0,640,480,0, 12668,640,480:waittimer 82701 blt 0,0,640,480,0, 12669,640,480:waittimer 82708 blt 0,0,640,480,0, 12670,640,480:waittimer 82715 blt 0,0,640,480,0, 12671,640,480:waittimer 82721 blt 0,0,640,480,0, 12672,640,480:waittimer 82728 blt 0,0,640,480,0, 12673,640,480:waittimer 82734 blt 0,0,640,480,0, 12674,640,480:waittimer 82741 blt 0,0,640,480,0, 12675,640,480:waittimer 82747 blt 0,0,640,480,0, 12676,640,480:waittimer 82754 blt 0,0,640,480,0, 12677,640,480:waittimer 82760 blt 0,0,640,480,0, 12678,640,480:waittimer 82767 blt 0,0,640,480,0, 12679,640,480:waittimer 82773 blt 0,0,640,480,0, 12680,640,480:waittimer 82780 blt 0,0,640,480,0, 12681,640,480:waittimer 82786 blt 0,0,640,480,0, 12682,640,480:waittimer 82793 blt 0,0,640,480,0, 12683,640,480:waittimer 82799 blt 0,0,640,480,0, 12684,640,480:waittimer 82806 blt 0,0,640,480,0, 12685,640,480:waittimer 82812 blt 0,0,640,480,0, 12686,640,480:waittimer 82819 blt 0,0,640,480,0, 12687,640,480:waittimer 82826 blt 0,0,640,480,0, 12688,640,480:waittimer 82832 blt 0,0,640,480,0, 12689,640,480:waittimer 82839 blt 0,0,640,480,0, 12690,640,480:waittimer 82845 blt 0,0,640,480,0, 12691,640,480:waittimer 82852 blt 0,0,640,480,0, 12692,640,480:waittimer 82858 blt 0,0,640,480,0, 12693,640,480:waittimer 82865 blt 0,0,640,480,0, 12694,640,480:waittimer 82871 blt 0,0,640,480,0, 12695,640,480:waittimer 82878 blt 0,0,640,480,0, 12696,640,480:waittimer 82884 blt 0,0,640,480,0, 12697,640,480:waittimer 82891 blt 0,0,640,480,0, 12698,640,480:waittimer 82897 blt 0,0,640,480,0, 12699,640,480:waittimer 82904 blt 0,0,640,480,0, 12700,640,480:waittimer 82910 blt 0,0,640,480,0, 12701,640,480:waittimer 82917 blt 0,0,640,480,0, 12702,640,480:waittimer 82923 blt 0,0,640,480,0, 12703,640,480:waittimer 82930 blt 0,0,640,480,0, 12704,640,480:waittimer 82937 blt 0,0,640,480,0, 12705,640,480:waittimer 82943 blt 0,0,640,480,0, 12706,640,480:waittimer 82950 blt 0,0,640,480,0, 12707,640,480:waittimer 82956 blt 0,0,640,480,0, 12708,640,480:waittimer 82963 blt 0,0,640,480,0, 12709,640,480:waittimer 82969 blt 0,0,640,480,0, 12710,640,480:waittimer 82976 blt 0,0,640,480,0, 12711,640,480:waittimer 82982 blt 0,0,640,480,0, 12712,640,480:waittimer 82989 blt 0,0,640,480,0, 12713,640,480:waittimer 82995 blt 0,0,640,480,0, 12714,640,480:waittimer 83002 blt 0,0,640,480,0, 12715,640,480:waittimer 83008 blt 0,0,640,480,0, 12716,640,480:waittimer 83015 blt 0,0,640,480,0, 12717,640,480:waittimer 83021 blt 0,0,640,480,0, 12718,640,480:waittimer 83028 blt 0,0,640,480,0, 12719,640,480:waittimer 83034 blt 0,0,640,480,0, 12720,640,480:waittimer 83041 blt 0,0,640,480,0, 12721,640,480:waittimer 83048 blt 0,0,640,480,0, 12722,640,480:waittimer 83054 blt 0,0,640,480,0, 12723,640,480:waittimer 83061 blt 0,0,640,480,0, 12724,640,480:waittimer 83067 blt 0,0,640,480,0, 12725,640,480:waittimer 83074 blt 0,0,640,480,0, 12726,640,480:waittimer 83080 blt 0,0,640,480,0, 12727,640,480:waittimer 83087 blt 0,0,640,480,0, 12728,640,480:waittimer 83093 blt 0,0,640,480,0, 12729,640,480:waittimer 83100 blt 0,0,640,480,0, 12730,640,480:waittimer 83106 blt 0,0,640,480,0, 12731,640,480:waittimer 83113 blt 0,0,640,480,0, 12732,640,480:waittimer 83119 blt 0,0,640,480,0, 12733,640,480:waittimer 83126 blt 0,0,640,480,0, 12734,640,480:waittimer 83132 blt 0,0,640,480,0, 12735,640,480:waittimer 83139 blt 0,0,640,480,0, 12736,640,480:waittimer 83145 blt 0,0,640,480,0, 12737,640,480:waittimer 83152 blt 0,0,640,480,0, 12738,640,480:waittimer 83158 blt 0,0,640,480,0, 12739,640,480:waittimer 83165 blt 0,0,640,480,0, 12740,640,480:waittimer 83172 blt 0,0,640,480,0, 12741,640,480:waittimer 83178 blt 0,0,640,480,0, 12742,640,480:waittimer 83185 blt 0,0,640,480,0, 12743,640,480:waittimer 83191 blt 0,0,640,480,0, 12744,640,480:waittimer 83198 blt 0,0,640,480,0, 12745,640,480:waittimer 83204 blt 0,0,640,480,0, 12746,640,480:waittimer 83211 blt 0,0,640,480,0, 12747,640,480:waittimer 83217 blt 0,0,640,480,0, 12748,640,480:waittimer 83224 blt 0,0,640,480,0, 12749,640,480:waittimer 83230 blt 0,0,640,480,0, 12750,640,480:waittimer 83237 blt 0,0,640,480,0, 12751,640,480:waittimer 83243 blt 0,0,640,480,0, 12752,640,480:waittimer 83250 blt 0,0,640,480,0, 12753,640,480:waittimer 83256 blt 0,0,640,480,0, 12754,640,480:waittimer 83263 blt 0,0,640,480,0, 12755,640,480:waittimer 83269 blt 0,0,640,480,0, 12756,640,480:waittimer 83276 blt 0,0,640,480,0, 12757,640,480:waittimer 83283 blt 0,0,640,480,0, 12758,640,480:waittimer 83289 blt 0,0,640,480,0, 12759,640,480:waittimer 83296 blt 0,0,640,480,0, 12760,640,480:waittimer 83302 blt 0,0,640,480,0, 12761,640,480:waittimer 83309 blt 0,0,640,480,0, 12762,640,480:waittimer 83315 blt 0,0,640,480,0, 12763,640,480:waittimer 83322 blt 0,0,640,480,0, 12764,640,480:waittimer 83328 blt 0,0,640,480,0, 12765,640,480:waittimer 83335 blt 0,0,640,480,0, 12766,640,480:waittimer 83341 blt 0,0,640,480,0, 12767,640,480:waittimer 83348 blt 0,0,640,480,0, 12768,640,480:waittimer 83354 blt 0,0,640,480,0, 12769,640,480:waittimer 83361 blt 0,0,640,480,0, 12770,640,480:waittimer 83367 blt 0,0,640,480,0, 12771,640,480:waittimer 83374 blt 0,0,640,480,0, 12772,640,480:waittimer 83380 blt 0,0,640,480,0, 12773,640,480:waittimer 83387 blt 0,0,640,480,0, 12774,640,480:waittimer 83394 blt 0,0,640,480,0, 12775,640,480:waittimer 83400 blt 0,0,640,480,0, 12776,640,480:waittimer 83407 blt 0,0,640,480,0, 12777,640,480:waittimer 83413 blt 0,0,640,480,0, 12778,640,480:waittimer 83420 blt 0,0,640,480,0, 12779,640,480:waittimer 83426 blt 0,0,640,480,0, 12780,640,480:waittimer 83433 blt 0,0,640,480,0, 12781,640,480:waittimer 83439 blt 0,0,640,480,0, 12782,640,480:waittimer 83446 blt 0,0,640,480,0, 12783,640,480:waittimer 83452 blt 0,0,640,480,0, 12784,640,480:waittimer 83459 blt 0,0,640,480,0, 12785,640,480:waittimer 83465 blt 0,0,640,480,0, 12786,640,480:waittimer 83472 blt 0,0,640,480,0, 12787,640,480:waittimer 83478 blt 0,0,640,480,0, 12788,640,480:waittimer 83485 blt 0,0,640,480,0, 12789,640,480:waittimer 83491 blt 0,0,640,480,0, 12790,640,480:waittimer 83498 blt 0,0,640,480,0, 12791,640,480:waittimer 83504 blt 0,0,640,480,0, 12792,640,480:waittimer 83511 blt 0,0,640,480,0, 12793,640,480:waittimer 83518 blt 0,0,640,480,0, 12794,640,480:waittimer 83524 blt 0,0,640,480,0, 12795,640,480:waittimer 83531 blt 0,0,640,480,0, 12796,640,480:waittimer 83537 blt 0,0,640,480,0, 12797,640,480:waittimer 83544 blt 0,0,640,480,0, 12798,640,480:waittimer 83550 blt 0,0,640,480,0, 12799,640,480:waittimer 83557 blt 0,0,640,480,0, 12800,640,480:waittimer 83563 blt 0,0,640,480,0, 12801,640,480:waittimer 83570 blt 0,0,640,480,0, 12802,640,480:waittimer 83576 blt 0,0,640,480,0, 12803,640,480:waittimer 83583 blt 0,0,640,480,0, 12804,640,480:waittimer 83589 blt 0,0,640,480,0, 12805,640,480:waittimer 83596 blt 0,0,640,480,0, 12806,640,480:waittimer 83602 blt 0,0,640,480,0, 12807,640,480:waittimer 83609 blt 0,0,640,480,0, 12808,640,480:waittimer 83615 blt 0,0,640,480,0, 12809,640,480:waittimer 83622 blt 0,0,640,480,0, 12810,640,480:waittimer 83629 blt 0,0,640,480,0, 12811,640,480:waittimer 83635 blt 0,0,640,480,0, 12812,640,480:waittimer 83642 blt 0,0,640,480,0, 12813,640,480:waittimer 83648 blt 0,0,640,480,0, 12814,640,480:waittimer 83655 blt 0,0,640,480,0, 12815,640,480:waittimer 83661 blt 0,0,640,480,0, 12816,640,480:waittimer 83668 blt 0,0,640,480,0, 12817,640,480:waittimer 83674 blt 0,0,640,480,0, 12818,640,480:waittimer 83681 blt 0,0,640,480,0, 12819,640,480:waittimer 83687 blt 0,0,640,480,0, 12820,640,480:waittimer 83694 blt 0,0,640,480,0, 12821,640,480:waittimer 83700 blt 0,0,640,480,0, 12822,640,480:waittimer 83707 blt 0,0,640,480,0, 12823,640,480:waittimer 83713 blt 0,0,640,480,0, 12824,640,480:waittimer 83720 blt 0,0,640,480,0, 12825,640,480:waittimer 83726 blt 0,0,640,480,0, 12826,640,480:waittimer 83733 blt 0,0,640,480,0, 12827,640,480:waittimer 83740 blt 0,0,640,480,0, 12828,640,480:waittimer 83746 blt 0,0,640,480,0, 12829,640,480:waittimer 83753 blt 0,0,640,480,0, 12830,640,480:waittimer 83759 blt 0,0,640,480,0, 12831,640,480:waittimer 83766 blt 0,0,640,480,0, 12832,640,480:waittimer 83772 blt 0,0,640,480,0, 12833,640,480:waittimer 83779 blt 0,0,640,480,0, 12834,640,480:waittimer 83785 blt 0,0,640,480,0, 12835,640,480:waittimer 83792 blt 0,0,640,480,0, 12836,640,480:waittimer 83798 blt 0,0,640,480,0, 12837,640,480:waittimer 83805 blt 0,0,640,480,0, 12838,640,480:waittimer 83811 blt 0,0,640,480,0, 12839,640,480:waittimer 83818 blt 0,0,640,480,0, 12840,640,480:waittimer 83824 blt 0,0,640,480,0, 12841,640,480:waittimer 83831 blt 0,0,640,480,0, 12842,640,480:waittimer 83837 blt 0,0,640,480,0, 12843,640,480:waittimer 83844 blt 0,0,640,480,0, 12844,640,480:waittimer 83850 blt 0,0,640,480,0, 12845,640,480:waittimer 83857 blt 0,0,640,480,0, 12846,640,480:waittimer 83864 blt 0,0,640,480,0, 12847,640,480:waittimer 83870 blt 0,0,640,480,0, 12848,640,480:waittimer 83877 blt 0,0,640,480,0, 12849,640,480:waittimer 83883 blt 0,0,640,480,0, 12850,640,480:waittimer 83890 blt 0,0,640,480,0, 12851,640,480:waittimer 83896 blt 0,0,640,480,0, 12852,640,480:waittimer 83903 blt 0,0,640,480,0, 12853,640,480:waittimer 83909 blt 0,0,640,480,0, 12854,640,480:waittimer 83916 blt 0,0,640,480,0, 12855,640,480:waittimer 83922 blt 0,0,640,480,0, 12856,640,480:waittimer 83929 blt 0,0,640,480,0, 12857,640,480:waittimer 83935 blt 0,0,640,480,0, 12858,640,480:waittimer 83942 blt 0,0,640,480,0, 12859,640,480:waittimer 83948 blt 0,0,640,480,0, 12860,640,480:waittimer 83955 blt 0,0,640,480,0, 12861,640,480:waittimer 83961 blt 0,0,640,480,0, 12862,640,480:waittimer 83968 blt 0,0,640,480,0, 12863,640,480:waittimer 83975 blt 0,0,640,480,0, 12864,640,480:waittimer 83981 blt 0,0,640,480,0, 12865,640,480:waittimer 83988 blt 0,0,640,480,0, 12866,640,480:waittimer 83994 blt 0,0,640,480,0, 12867,640,480:waittimer 84001 blt 0,0,640,480,0, 12868,640,480:waittimer 84007 blt 0,0,640,480,0, 12869,640,480:waittimer 84014 blt 0,0,640,480,0, 12870,640,480:waittimer 84020 blt 0,0,640,480,0, 12871,640,480:waittimer 84027 blt 0,0,640,480,0, 12872,640,480:waittimer 84033 blt 0,0,640,480,0, 12873,640,480:waittimer 84040 blt 0,0,640,480,0, 12874,640,480:waittimer 84046 blt 0,0,640,480,0, 12875,640,480:waittimer 84053 blt 0,0,640,480,0, 12876,640,480:waittimer 84059 blt 0,0,640,480,0, 12877,640,480:waittimer 84066 blt 0,0,640,480,0, 12878,640,480:waittimer 84072 blt 0,0,640,480,0, 12879,640,480:waittimer 84079 blt 0,0,640,480,0, 12880,640,480:waittimer 84086 blt 0,0,640,480,0, 12881,640,480:waittimer 84092 blt 0,0,640,480,0, 12882,640,480:waittimer 84099 blt 0,0,640,480,0, 12883,640,480:waittimer 84105 blt 0,0,640,480,0, 12884,640,480:waittimer 84112 blt 0,0,640,480,0, 12885,640,480:waittimer 84118 blt 0,0,640,480,0, 12886,640,480:waittimer 84125 blt 0,0,640,480,0, 12887,640,480:waittimer 84131 blt 0,0,640,480,0, 12888,640,480:waittimer 84138 blt 0,0,640,480,0, 12889,640,480:waittimer 84144 blt 0,0,640,480,0, 12890,640,480:waittimer 84151 blt 0,0,640,480,0, 12891,640,480:waittimer 84157 blt 0,0,640,480,0, 12892,640,480:waittimer 84164 blt 0,0,640,480,0, 12893,640,480:waittimer 84170 blt 0,0,640,480,0, 12894,640,480:waittimer 84177 blt 0,0,640,480,0, 12895,640,480:waittimer 84183 blt 0,0,640,480,0, 12896,640,480:waittimer 84190 blt 0,0,640,480,0, 12897,640,480:waittimer 84197 blt 0,0,640,480,0, 12898,640,480:waittimer 84203 blt 0,0,640,480,0, 12899,640,480:waittimer 84210 blt 0,0,640,480,0, 12900,640,480:waittimer 84216 blt 0,0,640,480,0, 12901,640,480:waittimer 84223 blt 0,0,640,480,0, 12902,640,480:waittimer 84229 blt 0,0,640,480,0, 12903,640,480:waittimer 84236 blt 0,0,640,480,0, 12904,640,480:waittimer 84242 blt 0,0,640,480,0, 12905,640,480:waittimer 84249 blt 0,0,640,480,0, 12906,640,480:waittimer 84255 blt 0,0,640,480,0, 12907,640,480:waittimer 84262 blt 0,0,640,480,0, 12908,640,480:waittimer 84268 blt 0,0,640,480,0, 12909,640,480:waittimer 84275 blt 0,0,640,480,0, 12910,640,480:waittimer 84281 blt 0,0,640,480,0, 12911,640,480:waittimer 84288 blt 0,0,640,480,0, 12912,640,480:waittimer 84294 blt 0,0,640,480,0, 12913,640,480:waittimer 84301 blt 0,0,640,480,0, 12914,640,480:waittimer 84307 blt 0,0,640,480,0, 12915,640,480:waittimer 84314 blt 0,0,640,480,0, 12916,640,480:waittimer 84321 blt 0,0,640,480,0, 12917,640,480:waittimer 84327 blt 0,0,640,480,0, 12918,640,480:waittimer 84334 blt 0,0,640,480,0, 12919,640,480:waittimer 84340 blt 0,0,640,480,0, 12920,640,480:waittimer 84347 blt 0,0,640,480,0, 12921,640,480:waittimer 84353 blt 0,0,640,480,0, 12922,640,480:waittimer 84360 blt 0,0,640,480,0, 12923,640,480:waittimer 84366 blt 0,0,640,480,0, 12924,640,480:waittimer 84373 blt 0,0,640,480,0, 12925,640,480:waittimer 84379 blt 0,0,640,480,0, 12926,640,480:waittimer 84386 blt 0,0,640,480,0, 12927,640,480:waittimer 84392 blt 0,0,640,480,0, 12928,640,480:waittimer 84399 blt 0,0,640,480,0, 12929,640,480:waittimer 84405 blt 0,0,640,480,0, 12930,640,480:waittimer 84412 blt 0,0,640,480,0, 12931,640,480:waittimer 84418 blt 0,0,640,480,0, 12932,640,480:waittimer 84425 blt 0,0,640,480,0, 12933,640,480:waittimer 84432 blt 0,0,640,480,0, 12934,640,480:waittimer 84438 blt 0,0,640,480,0, 12935,640,480:waittimer 84445 blt 0,0,640,480,0, 12936,640,480:waittimer 84451 blt 0,0,640,480,0, 12937,640,480:waittimer 84458 blt 0,0,640,480,0, 12938,640,480:waittimer 84464 blt 0,0,640,480,0, 12939,640,480:waittimer 84471 blt 0,0,640,480,0, 12940,640,480:waittimer 84477 blt 0,0,640,480,0, 12941,640,480:waittimer 84484 blt 0,0,640,480,0, 12942,640,480:waittimer 84490 blt 0,0,640,480,0, 12943,640,480:waittimer 84497 blt 0,0,640,480,0, 12944,640,480:waittimer 84503 blt 0,0,640,480,0, 12945,640,480:waittimer 84510 blt 0,0,640,480,0, 12946,640,480:waittimer 84516 blt 0,0,640,480,0, 12947,640,480:waittimer 84523 blt 0,0,640,480,0, 12948,640,480:waittimer 84529 blt 0,0,640,480,0, 12949,640,480:waittimer 84536 blt 0,0,640,480,0, 12950,640,480:waittimer 84543 blt 0,0,640,480,0, 12951,640,480:waittimer 84549 blt 0,0,640,480,0, 12952,640,480:waittimer 84556 blt 0,0,640,480,0, 12953,640,480:waittimer 84562 blt 0,0,640,480,0, 12954,640,480:waittimer 84569 blt 0,0,640,480,0, 12955,640,480:waittimer 84575 blt 0,0,640,480,0, 12956,640,480:waittimer 84582 blt 0,0,640,480,0, 12957,640,480:waittimer 84588 blt 0,0,640,480,0, 12958,640,480:waittimer 84595 blt 0,0,640,480,0, 12959,640,480:waittimer 84601 blt 0,0,640,480,0, 12960,640,480:waittimer 84608 blt 0,0,640,480,0, 12961,640,480:waittimer 84614 blt 0,0,640,480,0, 12962,640,480:waittimer 84621 blt 0,0,640,480,0, 12963,640,480:waittimer 84627 blt 0,0,640,480,0, 12964,640,480:waittimer 84634 blt 0,0,640,480,0, 12965,640,480:waittimer 84640 blt 0,0,640,480,0, 12966,640,480:waittimer 84647 blt 0,0,640,480,0, 12967,640,480:waittimer 84653 blt 0,0,640,480,0, 12968,640,480:waittimer 84660 blt 0,0,640,480,0, 12969,640,480:waittimer 84667 blt 0,0,640,480,0, 12970,640,480:waittimer 84673 blt 0,0,640,480,0, 12971,640,480:waittimer 84680 blt 0,0,640,480,0, 12972,640,480:waittimer 84686 blt 0,0,640,480,0, 12973,640,480:waittimer 84693 blt 0,0,640,480,0, 12974,640,480:waittimer 84699 blt 0,0,640,480,0, 12975,640,480:waittimer 84706 blt 0,0,640,480,0, 12976,640,480:waittimer 84712 blt 0,0,640,480,0, 12977,640,480:waittimer 84719 blt 0,0,640,480,0, 12978,640,480:waittimer 84725 blt 0,0,640,480,0, 12979,640,480:waittimer 84732 blt 0,0,640,480,0, 12980,640,480:waittimer 84738 blt 0,0,640,480,0, 12981,640,480:waittimer 84745 blt 0,0,640,480,0, 12982,640,480:waittimer 84751 blt 0,0,640,480,0, 12983,640,480:waittimer 84758 blt 0,0,640,480,0, 12984,640,480:waittimer 84764 blt 0,0,640,480,0, 12985,640,480:waittimer 84771 blt 0,0,640,480,0, 12986,640,480:waittimer 84778 blt 0,0,640,480,0, 12987,640,480:waittimer 84784 blt 0,0,640,480,0, 12988,640,480:waittimer 84791 blt 0,0,640,480,0, 12989,640,480:waittimer 84797 blt 0,0,640,480,0, 12990,640,480:waittimer 84804 blt 0,0,640,480,0, 12991,640,480:waittimer 84810 blt 0,0,640,480,0, 12992,640,480:waittimer 84817 blt 0,0,640,480,0, 12993,640,480:waittimer 84823 blt 0,0,640,480,0, 12994,640,480:waittimer 84830 blt 0,0,640,480,0, 12995,640,480:waittimer 84836 blt 0,0,640,480,0, 12996,640,480:waittimer 84843 blt 0,0,640,480,0, 12997,640,480:waittimer 84849 blt 0,0,640,480,0, 12998,640,480:waittimer 84856 blt 0,0,640,480,0, 12999,640,480:waittimer 84862 blt 0,0,640,480,0, 13000,640,480:waittimer 84869 blt 0,0,640,480,0, 13001,640,480:waittimer 84875 blt 0,0,640,480,0, 13002,640,480:waittimer 84882 blt 0,0,640,480,0, 13003,640,480:waittimer 84889 blt 0,0,640,480,0, 13004,640,480:waittimer 84895 blt 0,0,640,480,0, 13005,640,480:waittimer 84902 blt 0,0,640,480,0, 13006,640,480:waittimer 84908 blt 0,0,640,480,0, 13007,640,480:waittimer 84915 blt 0,0,640,480,0, 13008,640,480:waittimer 84921 blt 0,0,640,480,0, 13009,640,480:waittimer 84928 blt 0,0,640,480,0, 13010,640,480:waittimer 84934 blt 0,0,640,480,0, 13011,640,480:waittimer 84941 blt 0,0,640,480,0, 13012,640,480:waittimer 84947 blt 0,0,640,480,0, 13013,640,480:waittimer 84954 blt 0,0,640,480,0, 13014,640,480:waittimer 84960 blt 0,0,640,480,0, 13015,640,480:waittimer 84967 blt 0,0,640,480,0, 13016,640,480:waittimer 84973 blt 0,0,640,480,0, 13017,640,480:waittimer 84980 blt 0,0,640,480,0, 13018,640,480:waittimer 84986 blt 0,0,640,480,0, 13019,640,480:waittimer 84993 blt 0,0,640,480,0, 13020,640,480:waittimer 84999 resettimer return *ep8b_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 13 blt 0,0,640,480,0, 4,640,480:waittimer 27 blt 0,0,640,480,0, 6,640,480:waittimer 41 blt 0,0,640,480,0, 8,640,480:waittimer 55 blt 0,0,640,480,0, 10,640,480:waittimer 69 blt 0,0,640,480,0, 12,640,480:waittimer 83 blt 0,0,640,480,0, 14,640,480:waittimer 97 blt 0,0,640,480,0, 16,640,480:waittimer 111 blt 0,0,640,480,0, 18,640,480:waittimer 125 blt 0,0,640,480,0, 20,640,480:waittimer 138 blt 0,0,640,480,0, 22,640,480:waittimer 152 blt 0,0,640,480,0, 24,640,480:waittimer 166 blt 0,0,640,480,0, 26,640,480:waittimer 180 blt 0,0,640,480,0, 28,640,480:waittimer 194 blt 0,0,640,480,0, 30,640,480:waittimer 208 blt 0,0,640,480,0, 32,640,480:waittimer 222 blt 0,0,640,480,0, 34,640,480:waittimer 236 blt 0,0,640,480,0, 36,640,480:waittimer 250 blt 0,0,640,480,0, 38,640,480:waittimer 263 blt 0,0,640,480,0, 40,640,480:waittimer 277 blt 0,0,640,480,0, 42,640,480:waittimer 291 blt 0,0,640,480,0, 44,640,480:waittimer 305 blt 0,0,640,480,0, 46,640,480:waittimer 319 blt 0,0,640,480,0, 48,640,480:waittimer 333 blt 0,0,640,480,0, 50,640,480:waittimer 347 blt 0,0,640,480,0, 52,640,480:waittimer 361 blt 0,0,640,480,0, 54,640,480:waittimer 375 blt 0,0,640,480,0, 56,640,480:waittimer 388 blt 0,0,640,480,0, 58,640,480:waittimer 402 blt 0,0,640,480,0, 60,640,480:waittimer 416 blt 0,0,640,480,0, 62,640,480:waittimer 430 blt 0,0,640,480,0, 64,640,480:waittimer 444 blt 0,0,640,480,0, 66,640,480:waittimer 458 blt 0,0,640,480,0, 68,640,480:waittimer 472 blt 0,0,640,480,0, 70,640,480:waittimer 486 blt 0,0,640,480,0, 72,640,480:waittimer 500 blt 0,0,640,480,0, 74,640,480:waittimer 513 blt 0,0,640,480,0, 76,640,480:waittimer 527 blt 0,0,640,480,0, 78,640,480:waittimer 541 blt 0,0,640,480,0, 80,640,480:waittimer 555 blt 0,0,640,480,0, 82,640,480:waittimer 569 blt 0,0,640,480,0, 84,640,480:waittimer 583 blt 0,0,640,480,0, 86,640,480:waittimer 597 blt 0,0,640,480,0, 88,640,480:waittimer 611 blt 0,0,640,480,0, 90,640,480:waittimer 625 blt 0,0,640,480,0, 92,640,480:waittimer 638 blt 0,0,640,480,0, 94,640,480:waittimer 652 blt 0,0,640,480,0, 96,640,480:waittimer 666 blt 0,0,640,480,0, 98,640,480:waittimer 680 blt 0,0,640,480,0, 100,640,480:waittimer 694 blt 0,0,640,480,0, 102,640,480:waittimer 708 blt 0,0,640,480,0, 104,640,480:waittimer 722 blt 0,0,640,480,0, 106,640,480:waittimer 736 blt 0,0,640,480,0, 108,640,480:waittimer 750 blt 0,0,640,480,0, 110,640,480:waittimer 763 blt 0,0,640,480,0, 112,640,480:waittimer 777 blt 0,0,640,480,0, 114,640,480:waittimer 791 blt 0,0,640,480,0, 116,640,480:waittimer 805 blt 0,0,640,480,0, 118,640,480:waittimer 819 blt 0,0,640,480,0, 120,640,480:waittimer 833 blt 0,0,640,480,0, 122,640,480:waittimer 847 blt 0,0,640,480,0, 124,640,480:waittimer 861 blt 0,0,640,480,0, 126,640,480:waittimer 875 blt 0,0,640,480,0, 128,640,480:waittimer 888 blt 0,0,640,480,0, 130,640,480:waittimer 902 blt 0,0,640,480,0, 132,640,480:waittimer 916 blt 0,0,640,480,0, 134,640,480:waittimer 930 blt 0,0,640,480,0, 136,640,480:waittimer 944 blt 0,0,640,480,0, 138,640,480:waittimer 958 blt 0,0,640,480,0, 140,640,480:waittimer 972 blt 0,0,640,480,0, 142,640,480:waittimer 986 blt 0,0,640,480,0, 144,640,480:waittimer 1000 blt 0,0,640,480,0, 146,640,480:waittimer 1013 blt 0,0,640,480,0, 148,640,480:waittimer 1027 blt 0,0,640,480,0, 150,640,480:waittimer 1041 blt 0,0,640,480,0, 152,640,480:waittimer 1055 blt 0,0,640,480,0, 154,640,480:waittimer 1069 blt 0,0,640,480,0, 156,640,480:waittimer 1083 blt 0,0,640,480,0, 158,640,480:waittimer 1097 blt 0,0,640,480,0, 160,640,480:waittimer 1111 blt 0,0,640,480,0, 162,640,480:waittimer 1125 blt 0,0,640,480,0, 164,640,480:waittimer 1138 blt 0,0,640,480,0, 166,640,480:waittimer 1152 blt 0,0,640,480,0, 168,640,480:waittimer 1166 blt 0,0,640,480,0, 170,640,480:waittimer 1180 blt 0,0,640,480,0, 172,640,480:waittimer 1194 blt 0,0,640,480,0, 174,640,480:waittimer 1208 blt 0,0,640,480,0, 176,640,480:waittimer 1222 blt 0,0,640,480,0, 178,640,480:waittimer 1236 blt 0,0,640,480,0, 180,640,480:waittimer 1250 blt 0,0,640,480,0, 182,640,480:waittimer 1263 blt 0,0,640,480,0, 184,640,480:waittimer 1277 blt 0,0,640,480,0, 186,640,480:waittimer 1291 blt 0,0,640,480,0, 188,640,480:waittimer 1305 blt 0,0,640,480,0, 190,640,480:waittimer 1319 blt 0,0,640,480,0, 192,640,480:waittimer 1333 blt 0,0,640,480,0, 194,640,480:waittimer 1347 blt 0,0,640,480,0, 196,640,480:waittimer 1361 blt 0,0,640,480,0, 198,640,480:waittimer 1375 blt 0,0,640,480,0, 200,640,480:waittimer 1388 blt 0,0,640,480,0, 202,640,480:waittimer 1402 blt 0,0,640,480,0, 204,640,480:waittimer 1416 blt 0,0,640,480,0, 206,640,480:waittimer 1430 blt 0,0,640,480,0, 208,640,480:waittimer 1444 blt 0,0,640,480,0, 210,640,480:waittimer 1458 blt 0,0,640,480,0, 212,640,480:waittimer 1472 blt 0,0,640,480,0, 214,640,480:waittimer 1486 blt 0,0,640,480,0, 216,640,480:waittimer 1500 blt 0,0,640,480,0, 218,640,480:waittimer 1513 blt 0,0,640,480,0, 220,640,480:waittimer 1527 blt 0,0,640,480,0, 222,640,480:waittimer 1541 blt 0,0,640,480,0, 224,640,480:waittimer 1555 blt 0,0,640,480,0, 226,640,480:waittimer 1569 blt 0,0,640,480,0, 228,640,480:waittimer 1583 blt 0,0,640,480,0, 230,640,480:waittimer 1597 blt 0,0,640,480,0, 232,640,480:waittimer 1611 blt 0,0,640,480,0, 234,640,480:waittimer 1625 blt 0,0,640,480,0, 236,640,480:waittimer 1638 blt 0,0,640,480,0, 238,640,480:waittimer 1652 blt 0,0,640,480,0, 240,640,480:waittimer 1666 blt 0,0,640,480,0, 242,640,480:waittimer 1680 blt 0,0,640,480,0, 244,640,480:waittimer 1694 blt 0,0,640,480,0, 246,640,480:waittimer 1708 blt 0,0,640,480,0, 248,640,480:waittimer 1722 blt 0,0,640,480,0, 250,640,480:waittimer 1736 blt 0,0,640,480,0, 252,640,480:waittimer 1750 blt 0,0,640,480,0, 254,640,480:waittimer 1763 blt 0,0,640,480,0, 256,640,480:waittimer 1777 blt 0,0,640,480,0, 258,640,480:waittimer 1791 blt 0,0,640,480,0, 260,640,480:waittimer 1805 blt 0,0,640,480,0, 262,640,480:waittimer 1819 blt 0,0,640,480,0, 264,640,480:waittimer 1833 blt 0,0,640,480,0, 266,640,480:waittimer 1847 blt 0,0,640,480,0, 268,640,480:waittimer 1861 blt 0,0,640,480,0, 270,640,480:waittimer 1875 blt 0,0,640,480,0, 272,640,480:waittimer 1888 blt 0,0,640,480,0, 274,640,480:waittimer 1902 blt 0,0,640,480,0, 276,640,480:waittimer 1916 blt 0,0,640,480,0, 278,640,480:waittimer 1930 blt 0,0,640,480,0, 280,640,480:waittimer 1944 blt 0,0,640,480,0, 282,640,480:waittimer 1958 blt 0,0,640,480,0, 284,640,480:waittimer 1972 blt 0,0,640,480,0, 286,640,480:waittimer 1986 blt 0,0,640,480,0, 288,640,480:waittimer 2000 blt 0,0,640,480,0, 290,640,480:waittimer 2013 blt 0,0,640,480,0, 292,640,480:waittimer 2027 blt 0,0,640,480,0, 294,640,480:waittimer 2041 blt 0,0,640,480,0, 296,640,480:waittimer 2055 blt 0,0,640,480,0, 298,640,480:waittimer 2069 blt 0,0,640,480,0, 300,640,480:waittimer 2083 blt 0,0,640,480,0, 302,640,480:waittimer 2097 blt 0,0,640,480,0, 304,640,480:waittimer 2111 blt 0,0,640,480,0, 306,640,480:waittimer 2125 blt 0,0,640,480,0, 308,640,480:waittimer 2138 blt 0,0,640,480,0, 310,640,480:waittimer 2152 blt 0,0,640,480,0, 312,640,480:waittimer 2166 blt 0,0,640,480,0, 314,640,480:waittimer 2180 blt 0,0,640,480,0, 316,640,480:waittimer 2194 blt 0,0,640,480,0, 318,640,480:waittimer 2208 blt 0,0,640,480,0, 320,640,480:waittimer 2222 blt 0,0,640,480,0, 322,640,480:waittimer 2236 blt 0,0,640,480,0, 324,640,480:waittimer 2250 blt 0,0,640,480,0, 326,640,480:waittimer 2263 blt 0,0,640,480,0, 328,640,480:waittimer 2277 blt 0,0,640,480,0, 330,640,480:waittimer 2291 blt 0,0,640,480,0, 332,640,480:waittimer 2305 blt 0,0,640,480,0, 334,640,480:waittimer 2319 blt 0,0,640,480,0, 336,640,480:waittimer 2333 blt 0,0,640,480,0, 338,640,480:waittimer 2347 blt 0,0,640,480,0, 340,640,480:waittimer 2361 blt 0,0,640,480,0, 342,640,480:waittimer 2375 blt 0,0,640,480,0, 344,640,480:waittimer 2388 blt 0,0,640,480,0, 346,640,480:waittimer 2402 blt 0,0,640,480,0, 348,640,480:waittimer 2416 blt 0,0,640,480,0, 350,640,480:waittimer 2430 blt 0,0,640,480,0, 352,640,480:waittimer 2444 blt 0,0,640,480,0, 354,640,480:waittimer 2458 blt 0,0,640,480,0, 356,640,480:waittimer 2472 blt 0,0,640,480,0, 358,640,480:waittimer 2486 blt 0,0,640,480,0, 360,640,480:waittimer 2500 blt 0,0,640,480,0, 362,640,480:waittimer 2513 blt 0,0,640,480,0, 364,640,480:waittimer 2527 blt 0,0,640,480,0, 366,640,480:waittimer 2541 blt 0,0,640,480,0, 368,640,480:waittimer 2555 blt 0,0,640,480,0, 370,640,480:waittimer 2569 blt 0,0,640,480,0, 372,640,480:waittimer 2583 blt 0,0,640,480,0, 374,640,480:waittimer 2597 blt 0,0,640,480,0, 376,640,480:waittimer 2611 blt 0,0,640,480,0, 378,640,480:waittimer 2625 blt 0,0,640,480,0, 380,640,480:waittimer 2638 blt 0,0,640,480,0, 382,640,480:waittimer 2652 blt 0,0,640,480,0, 384,640,480:waittimer 2666 blt 0,0,640,480,0, 386,640,480:waittimer 2680 blt 0,0,640,480,0, 388,640,480:waittimer 2694 blt 0,0,640,480,0, 390,640,480:waittimer 2708 blt 0,0,640,480,0, 392,640,480:waittimer 2722 blt 0,0,640,480,0, 394,640,480:waittimer 2736 blt 0,0,640,480,0, 396,640,480:waittimer 2750 blt 0,0,640,480,0, 398,640,480:waittimer 2763 blt 0,0,640,480,0, 400,640,480:waittimer 2777 blt 0,0,640,480,0, 402,640,480:waittimer 2791 blt 0,0,640,480,0, 404,640,480:waittimer 2805 blt 0,0,640,480,0, 406,640,480:waittimer 2819 blt 0,0,640,480,0, 408,640,480:waittimer 2833 blt 0,0,640,480,0, 410,640,480:waittimer 2847 blt 0,0,640,480,0, 412,640,480:waittimer 2861 blt 0,0,640,480,0, 414,640,480:waittimer 2875 blt 0,0,640,480,0, 416,640,480:waittimer 2888 blt 0,0,640,480,0, 418,640,480:waittimer 2902 blt 0,0,640,480,0, 420,640,480:waittimer 2916 blt 0,0,640,480,0, 422,640,480:waittimer 2930 blt 0,0,640,480,0, 424,640,480:waittimer 2944 blt 0,0,640,480,0, 426,640,480:waittimer 2958 blt 0,0,640,480,0, 428,640,480:waittimer 2972 blt 0,0,640,480,0, 430,640,480:waittimer 2986 blt 0,0,640,480,0, 432,640,480:waittimer 3000 blt 0,0,640,480,0, 434,640,480:waittimer 3013 blt 0,0,640,480,0, 436,640,480:waittimer 3027 blt 0,0,640,480,0, 438,640,480:waittimer 3041 blt 0,0,640,480,0, 440,640,480:waittimer 3055 blt 0,0,640,480,0, 442,640,480:waittimer 3069 blt 0,0,640,480,0, 444,640,480:waittimer 3083 blt 0,0,640,480,0, 446,640,480:waittimer 3097 blt 0,0,640,480,0, 448,640,480:waittimer 3111 blt 0,0,640,480,0, 450,640,480:waittimer 3125 blt 0,0,640,480,0, 452,640,480:waittimer 3138 blt 0,0,640,480,0, 454,640,480:waittimer 3152 blt 0,0,640,480,0, 456,640,480:waittimer 3166 blt 0,0,640,480,0, 458,640,480:waittimer 3180 blt 0,0,640,480,0, 460,640,480:waittimer 3194 blt 0,0,640,480,0, 462,640,480:waittimer 3208 blt 0,0,640,480,0, 464,640,480:waittimer 3222 blt 0,0,640,480,0, 466,640,480:waittimer 3236 blt 0,0,640,480,0, 468,640,480:waittimer 3250 blt 0,0,640,480,0, 470,640,480:waittimer 3263 blt 0,0,640,480,0, 472,640,480:waittimer 3277 blt 0,0,640,480,0, 474,640,480:waittimer 3291 blt 0,0,640,480,0, 476,640,480:waittimer 3305 blt 0,0,640,480,0, 478,640,480:waittimer 3319 blt 0,0,640,480,0, 480,640,480:waittimer 3333 blt 0,0,640,480,0, 482,640,480:waittimer 3347 blt 0,0,640,480,0, 484,640,480:waittimer 3361 blt 0,0,640,480,0, 486,640,480:waittimer 3375 blt 0,0,640,480,0, 488,640,480:waittimer 3388 blt 0,0,640,480,0, 490,640,480:waittimer 3402 blt 0,0,640,480,0, 492,640,480:waittimer 3416 blt 0,0,640,480,0, 494,640,480:waittimer 3430 blt 0,0,640,480,0, 496,640,480:waittimer 3444 blt 0,0,640,480,0, 498,640,480:waittimer 3458 blt 0,0,640,480,0, 500,640,480:waittimer 3472 blt 0,0,640,480,0, 502,640,480:waittimer 3486 blt 0,0,640,480,0, 504,640,480:waittimer 3500 blt 0,0,640,480,0, 506,640,480:waittimer 3513 blt 0,0,640,480,0, 508,640,480:waittimer 3527 blt 0,0,640,480,0, 510,640,480:waittimer 3541 blt 0,0,640,480,0, 512,640,480:waittimer 3555 blt 0,0,640,480,0, 514,640,480:waittimer 3569 blt 0,0,640,480,0, 516,640,480:waittimer 3583 blt 0,0,640,480,0, 518,640,480:waittimer 3597 blt 0,0,640,480,0, 520,640,480:waittimer 3611 blt 0,0,640,480,0, 522,640,480:waittimer 3625 blt 0,0,640,480,0, 524,640,480:waittimer 3638 blt 0,0,640,480,0, 526,640,480:waittimer 3652 blt 0,0,640,480,0, 528,640,480:waittimer 3666 blt 0,0,640,480,0, 530,640,480:waittimer 3680 blt 0,0,640,480,0, 532,640,480:waittimer 3694 blt 0,0,640,480,0, 534,640,480:waittimer 3708 blt 0,0,640,480,0, 536,640,480:waittimer 3722 blt 0,0,640,480,0, 538,640,480:waittimer 3736 blt 0,0,640,480,0, 540,640,480:waittimer 3750 blt 0,0,640,480,0, 542,640,480:waittimer 3763 blt 0,0,640,480,0, 544,640,480:waittimer 3777 blt 0,0,640,480,0, 546,640,480:waittimer 3791 blt 0,0,640,480,0, 548,640,480:waittimer 3805 blt 0,0,640,480,0, 550,640,480:waittimer 3819 blt 0,0,640,480,0, 552,640,480:waittimer 3833 blt 0,0,640,480,0, 554,640,480:waittimer 3847 blt 0,0,640,480,0, 556,640,480:waittimer 3861 blt 0,0,640,480,0, 558,640,480:waittimer 3875 blt 0,0,640,480,0, 560,640,480:waittimer 3888 blt 0,0,640,480,0, 562,640,480:waittimer 3902 blt 0,0,640,480,0, 564,640,480:waittimer 3916 blt 0,0,640,480,0, 566,640,480:waittimer 3930 blt 0,0,640,480,0, 568,640,480:waittimer 3944 blt 0,0,640,480,0, 570,640,480:waittimer 3958 blt 0,0,640,480,0, 572,640,480:waittimer 3972 blt 0,0,640,480,0, 574,640,480:waittimer 3986 blt 0,0,640,480,0, 576,640,480:waittimer 4000 blt 0,0,640,480,0, 578,640,480:waittimer 4013 blt 0,0,640,480,0, 580,640,480:waittimer 4027 blt 0,0,640,480,0, 582,640,480:waittimer 4041 blt 0,0,640,480,0, 584,640,480:waittimer 4055 blt 0,0,640,480,0, 586,640,480:waittimer 4069 blt 0,0,640,480,0, 588,640,480:waittimer 4083 blt 0,0,640,480,0, 590,640,480:waittimer 4097 blt 0,0,640,480,0, 592,640,480:waittimer 4111 blt 0,0,640,480,0, 594,640,480:waittimer 4125 blt 0,0,640,480,0, 596,640,480:waittimer 4138 blt 0,0,640,480,0, 598,640,480:waittimer 4152 blt 0,0,640,480,0, 600,640,480:waittimer 4166 blt 0,0,640,480,0, 602,640,480:waittimer 4180 blt 0,0,640,480,0, 604,640,480:waittimer 4194 blt 0,0,640,480,0, 606,640,480:waittimer 4208 blt 0,0,640,480,0, 608,640,480:waittimer 4222 blt 0,0,640,480,0, 610,640,480:waittimer 4236 blt 0,0,640,480,0, 612,640,480:waittimer 4250 blt 0,0,640,480,0, 614,640,480:waittimer 4263 blt 0,0,640,480,0, 616,640,480:waittimer 4277 blt 0,0,640,480,0, 618,640,480:waittimer 4291 blt 0,0,640,480,0, 620,640,480:waittimer 4305 blt 0,0,640,480,0, 622,640,480:waittimer 4319 blt 0,0,640,480,0, 624,640,480:waittimer 4333 blt 0,0,640,480,0, 626,640,480:waittimer 4347 blt 0,0,640,480,0, 628,640,480:waittimer 4361 blt 0,0,640,480,0, 630,640,480:waittimer 4375 blt 0,0,640,480,0, 632,640,480:waittimer 4388 blt 0,0,640,480,0, 634,640,480:waittimer 4402 blt 0,0,640,480,0, 636,640,480:waittimer 4416 blt 0,0,640,480,0, 638,640,480:waittimer 4430 blt 0,0,640,480,0, 640,640,480:waittimer 4444 blt 0,0,640,480,0, 642,640,480:waittimer 4458 blt 0,0,640,480,0, 644,640,480:waittimer 4472 blt 0,0,640,480,0, 646,640,480:waittimer 4486 blt 0,0,640,480,0, 648,640,480:waittimer 4500 blt 0,0,640,480,0, 650,640,480:waittimer 4513 blt 0,0,640,480,0, 652,640,480:waittimer 4527 blt 0,0,640,480,0, 654,640,480:waittimer 4541 blt 0,0,640,480,0, 656,640,480:waittimer 4555 blt 0,0,640,480,0, 658,640,480:waittimer 4569 blt 0,0,640,480,0, 660,640,480:waittimer 4583 blt 0,0,640,480,0, 662,640,480:waittimer 4597 blt 0,0,640,480,0, 664,640,480:waittimer 4611 blt 0,0,640,480,0, 666,640,480:waittimer 4625 blt 0,0,640,480,0, 668,640,480:waittimer 4638 blt 0,0,640,480,0, 670,640,480:waittimer 4652 blt 0,0,640,480,0, 672,640,480:waittimer 4666 blt 0,0,640,480,0, 674,640,480:waittimer 4680 blt 0,0,640,480,0, 676,640,480:waittimer 4694 blt 0,0,640,480,0, 678,640,480:waittimer 4708 blt 0,0,640,480,0, 680,640,480:waittimer 4722 blt 0,0,640,480,0, 682,640,480:waittimer 4736 blt 0,0,640,480,0, 684,640,480:waittimer 4750 blt 0,0,640,480,0, 686,640,480:waittimer 4763 blt 0,0,640,480,0, 688,640,480:waittimer 4777 blt 0,0,640,480,0, 690,640,480:waittimer 4791 blt 0,0,640,480,0, 692,640,480:waittimer 4805 blt 0,0,640,480,0, 694,640,480:waittimer 4819 blt 0,0,640,480,0, 696,640,480:waittimer 4833 blt 0,0,640,480,0, 698,640,480:waittimer 4847 blt 0,0,640,480,0, 700,640,480:waittimer 4861 blt 0,0,640,480,0, 702,640,480:waittimer 4875 blt 0,0,640,480,0, 704,640,480:waittimer 4888 blt 0,0,640,480,0, 706,640,480:waittimer 4902 blt 0,0,640,480,0, 708,640,480:waittimer 4916 blt 0,0,640,480,0, 710,640,480:waittimer 4930 blt 0,0,640,480,0, 712,640,480:waittimer 4944 blt 0,0,640,480,0, 714,640,480:waittimer 4958 blt 0,0,640,480,0, 716,640,480:waittimer 4972 blt 0,0,640,480,0, 718,640,480:waittimer 4986 blt 0,0,640,480,0, 720,640,480:waittimer 5000 blt 0,0,640,480,0, 722,640,480:waittimer 5013 blt 0,0,640,480,0, 724,640,480:waittimer 5027 blt 0,0,640,480,0, 726,640,480:waittimer 5041 blt 0,0,640,480,0, 728,640,480:waittimer 5055 blt 0,0,640,480,0, 730,640,480:waittimer 5069 blt 0,0,640,480,0, 732,640,480:waittimer 5083 blt 0,0,640,480,0, 734,640,480:waittimer 5097 blt 0,0,640,480,0, 736,640,480:waittimer 5111 blt 0,0,640,480,0, 738,640,480:waittimer 5125 blt 0,0,640,480,0, 740,640,480:waittimer 5138 blt 0,0,640,480,0, 742,640,480:waittimer 5152 blt 0,0,640,480,0, 744,640,480:waittimer 5166 blt 0,0,640,480,0, 746,640,480:waittimer 5180 blt 0,0,640,480,0, 748,640,480:waittimer 5194 blt 0,0,640,480,0, 750,640,480:waittimer 5208 blt 0,0,640,480,0, 752,640,480:waittimer 5222 blt 0,0,640,480,0, 754,640,480:waittimer 5236 blt 0,0,640,480,0, 756,640,480:waittimer 5250 blt 0,0,640,480,0, 758,640,480:waittimer 5263 blt 0,0,640,480,0, 760,640,480:waittimer 5277 blt 0,0,640,480,0, 762,640,480:waittimer 5291 blt 0,0,640,480,0, 764,640,480:waittimer 5305 blt 0,0,640,480,0, 766,640,480:waittimer 5319 blt 0,0,640,480,0, 768,640,480:waittimer 5333 blt 0,0,640,480,0, 770,640,480:waittimer 5347 blt 0,0,640,480,0, 772,640,480:waittimer 5361 blt 0,0,640,480,0, 774,640,480:waittimer 5375 blt 0,0,640,480,0, 776,640,480:waittimer 5388 blt 0,0,640,480,0, 778,640,480:waittimer 5402 blt 0,0,640,480,0, 780,640,480:waittimer 5416 blt 0,0,640,480,0, 782,640,480:waittimer 5430 blt 0,0,640,480,0, 784,640,480:waittimer 5444 blt 0,0,640,480,0, 786,640,480:waittimer 5458 blt 0,0,640,480,0, 788,640,480:waittimer 5472 blt 0,0,640,480,0, 790,640,480:waittimer 5486 blt 0,0,640,480,0, 792,640,480:waittimer 5500 blt 0,0,640,480,0, 794,640,480:waittimer 5513 blt 0,0,640,480,0, 796,640,480:waittimer 5527 blt 0,0,640,480,0, 798,640,480:waittimer 5541 blt 0,0,640,480,0, 800,640,480:waittimer 5555 blt 0,0,640,480,0, 802,640,480:waittimer 5569 blt 0,0,640,480,0, 804,640,480:waittimer 5583 blt 0,0,640,480,0, 806,640,480:waittimer 5597 blt 0,0,640,480,0, 808,640,480:waittimer 5611 blt 0,0,640,480,0, 810,640,480:waittimer 5625 blt 0,0,640,480,0, 812,640,480:waittimer 5638 blt 0,0,640,480,0, 814,640,480:waittimer 5652 blt 0,0,640,480,0, 816,640,480:waittimer 5666 blt 0,0,640,480,0, 818,640,480:waittimer 5680 blt 0,0,640,480,0, 820,640,480:waittimer 5694 blt 0,0,640,480,0, 822,640,480:waittimer 5708 blt 0,0,640,480,0, 824,640,480:waittimer 5722 blt 0,0,640,480,0, 826,640,480:waittimer 5736 blt 0,0,640,480,0, 828,640,480:waittimer 5750 blt 0,0,640,480,0, 830,640,480:waittimer 5763 blt 0,0,640,480,0, 832,640,480:waittimer 5777 blt 0,0,640,480,0, 834,640,480:waittimer 5791 blt 0,0,640,480,0, 836,640,480:waittimer 5805 blt 0,0,640,480,0, 838,640,480:waittimer 5819 blt 0,0,640,480,0, 840,640,480:waittimer 5833 blt 0,0,640,480,0, 842,640,480:waittimer 5847 blt 0,0,640,480,0, 844,640,480:waittimer 5861 blt 0,0,640,480,0, 846,640,480:waittimer 5875 blt 0,0,640,480,0, 848,640,480:waittimer 5888 blt 0,0,640,480,0, 850,640,480:waittimer 5902 blt 0,0,640,480,0, 852,640,480:waittimer 5916 blt 0,0,640,480,0, 854,640,480:waittimer 5930 blt 0,0,640,480,0, 856,640,480:waittimer 5944 blt 0,0,640,480,0, 858,640,480:waittimer 5958 blt 0,0,640,480,0, 860,640,480:waittimer 5972 blt 0,0,640,480,0, 862,640,480:waittimer 5986 blt 0,0,640,480,0, 864,640,480:waittimer 6000 blt 0,0,640,480,0, 866,640,480:waittimer 6013 blt 0,0,640,480,0, 868,640,480:waittimer 6027 blt 0,0,640,480,0, 870,640,480:waittimer 6041 blt 0,0,640,480,0, 872,640,480:waittimer 6055 blt 0,0,640,480,0, 874,640,480:waittimer 6069 blt 0,0,640,480,0, 876,640,480:waittimer 6083 blt 0,0,640,480,0, 878,640,480:waittimer 6097 blt 0,0,640,480,0, 880,640,480:waittimer 6111 blt 0,0,640,480,0, 882,640,480:waittimer 6125 blt 0,0,640,480,0, 884,640,480:waittimer 6138 blt 0,0,640,480,0, 886,640,480:waittimer 6152 blt 0,0,640,480,0, 888,640,480:waittimer 6166 blt 0,0,640,480,0, 890,640,480:waittimer 6180 blt 0,0,640,480,0, 892,640,480:waittimer 6194 blt 0,0,640,480,0, 894,640,480:waittimer 6208 blt 0,0,640,480,0, 896,640,480:waittimer 6222 blt 0,0,640,480,0, 898,640,480:waittimer 6236 blt 0,0,640,480,0, 900,640,480:waittimer 6250 blt 0,0,640,480,0, 902,640,480:waittimer 6263 blt 0,0,640,480,0, 904,640,480:waittimer 6277 blt 0,0,640,480,0, 906,640,480:waittimer 6291 blt 0,0,640,480,0, 908,640,480:waittimer 6305 blt 0,0,640,480,0, 910,640,480:waittimer 6319 blt 0,0,640,480,0, 912,640,480:waittimer 6333 blt 0,0,640,480,0, 914,640,480:waittimer 6347 blt 0,0,640,480,0, 916,640,480:waittimer 6361 blt 0,0,640,480,0, 918,640,480:waittimer 6375 blt 0,0,640,480,0, 920,640,480:waittimer 6388 blt 0,0,640,480,0, 922,640,480:waittimer 6402 blt 0,0,640,480,0, 924,640,480:waittimer 6416 blt 0,0,640,480,0, 926,640,480:waittimer 6430 blt 0,0,640,480,0, 928,640,480:waittimer 6444 blt 0,0,640,480,0, 930,640,480:waittimer 6458 blt 0,0,640,480,0, 932,640,480:waittimer 6472 blt 0,0,640,480,0, 934,640,480:waittimer 6486 blt 0,0,640,480,0, 936,640,480:waittimer 6500 blt 0,0,640,480,0, 938,640,480:waittimer 6513 blt 0,0,640,480,0, 940,640,480:waittimer 6527 blt 0,0,640,480,0, 942,640,480:waittimer 6541 blt 0,0,640,480,0, 944,640,480:waittimer 6555 blt 0,0,640,480,0, 946,640,480:waittimer 6569 blt 0,0,640,480,0, 948,640,480:waittimer 6583 blt 0,0,640,480,0, 950,640,480:waittimer 6597 blt 0,0,640,480,0, 952,640,480:waittimer 6611 blt 0,0,640,480,0, 954,640,480:waittimer 6625 blt 0,0,640,480,0, 956,640,480:waittimer 6638 blt 0,0,640,480,0, 958,640,480:waittimer 6652 blt 0,0,640,480,0, 960,640,480:waittimer 6666 blt 0,0,640,480,0, 962,640,480:waittimer 6680 blt 0,0,640,480,0, 964,640,480:waittimer 6694 blt 0,0,640,480,0, 966,640,480:waittimer 6708 blt 0,0,640,480,0, 968,640,480:waittimer 6722 blt 0,0,640,480,0, 970,640,480:waittimer 6736 blt 0,0,640,480,0, 972,640,480:waittimer 6750 blt 0,0,640,480,0, 974,640,480:waittimer 6763 blt 0,0,640,480,0, 976,640,480:waittimer 6777 blt 0,0,640,480,0, 978,640,480:waittimer 6791 blt 0,0,640,480,0, 980,640,480:waittimer 6805 blt 0,0,640,480,0, 982,640,480:waittimer 6819 blt 0,0,640,480,0, 984,640,480:waittimer 6833 blt 0,0,640,480,0, 986,640,480:waittimer 6847 blt 0,0,640,480,0, 988,640,480:waittimer 6861 blt 0,0,640,480,0, 990,640,480:waittimer 6875 blt 0,0,640,480,0, 992,640,480:waittimer 6888 blt 0,0,640,480,0, 994,640,480:waittimer 6902 blt 0,0,640,480,0, 996,640,480:waittimer 6916 blt 0,0,640,480,0, 998,640,480:waittimer 6930 blt 0,0,640,480,0, 1000,640,480:waittimer 6944 blt 0,0,640,480,0, 1002,640,480:waittimer 6958 blt 0,0,640,480,0, 1004,640,480:waittimer 6972 blt 0,0,640,480,0, 1006,640,480:waittimer 6986 blt 0,0,640,480,0, 1008,640,480:waittimer 7000 blt 0,0,640,480,0, 1010,640,480:waittimer 7013 blt 0,0,640,480,0, 1012,640,480:waittimer 7027 blt 0,0,640,480,0, 1014,640,480:waittimer 7041 blt 0,0,640,480,0, 1016,640,480:waittimer 7055 blt 0,0,640,480,0, 1018,640,480:waittimer 7069 blt 0,0,640,480,0, 1020,640,480:waittimer 7083 blt 0,0,640,480,0, 1022,640,480:waittimer 7097 blt 0,0,640,480,0, 1024,640,480:waittimer 7111 blt 0,0,640,480,0, 1026,640,480:waittimer 7125 blt 0,0,640,480,0, 1028,640,480:waittimer 7138 blt 0,0,640,480,0, 1030,640,480:waittimer 7152 blt 0,0,640,480,0, 1032,640,480:waittimer 7166 blt 0,0,640,480,0, 1034,640,480:waittimer 7180 blt 0,0,640,480,0, 1036,640,480:waittimer 7194 blt 0,0,640,480,0, 1038,640,480:waittimer 7208 blt 0,0,640,480,0, 1040,640,480:waittimer 7222 blt 0,0,640,480,0, 1042,640,480:waittimer 7236 blt 0,0,640,480,0, 1044,640,480:waittimer 7250 blt 0,0,640,480,0, 1046,640,480:waittimer 7263 blt 0,0,640,480,0, 1048,640,480:waittimer 7277 blt 0,0,640,480,0, 1050,640,480:waittimer 7291 blt 0,0,640,480,0, 1052,640,480:waittimer 7305 blt 0,0,640,480,0, 1054,640,480:waittimer 7319 blt 0,0,640,480,0, 1056,640,480:waittimer 7333 blt 0,0,640,480,0, 1058,640,480:waittimer 7347 blt 0,0,640,480,0, 1060,640,480:waittimer 7361 blt 0,0,640,480,0, 1062,640,480:waittimer 7375 blt 0,0,640,480,0, 1064,640,480:waittimer 7388 blt 0,0,640,480,0, 1066,640,480:waittimer 7402 blt 0,0,640,480,0, 1068,640,480:waittimer 7416 blt 0,0,640,480,0, 1070,640,480:waittimer 7430 blt 0,0,640,480,0, 1072,640,480:waittimer 7444 blt 0,0,640,480,0, 1074,640,480:waittimer 7458 blt 0,0,640,480,0, 1076,640,480:waittimer 7472 blt 0,0,640,480,0, 1078,640,480:waittimer 7486 blt 0,0,640,480,0, 1080,640,480:waittimer 7500 blt 0,0,640,480,0, 1082,640,480:waittimer 7513 blt 0,0,640,480,0, 1084,640,480:waittimer 7527 blt 0,0,640,480,0, 1086,640,480:waittimer 7541 blt 0,0,640,480,0, 1088,640,480:waittimer 7555 blt 0,0,640,480,0, 1090,640,480:waittimer 7569 blt 0,0,640,480,0, 1092,640,480:waittimer 7583 blt 0,0,640,480,0, 1094,640,480:waittimer 7597 blt 0,0,640,480,0, 1096,640,480:waittimer 7611 blt 0,0,640,480,0, 1098,640,480:waittimer 7625 blt 0,0,640,480,0, 1100,640,480:waittimer 7638 blt 0,0,640,480,0, 1102,640,480:waittimer 7652 blt 0,0,640,480,0, 1104,640,480:waittimer 7666 blt 0,0,640,480,0, 1106,640,480:waittimer 7680 blt 0,0,640,480,0, 1108,640,480:waittimer 7694 blt 0,0,640,480,0, 1110,640,480:waittimer 7708 blt 0,0,640,480,0, 1112,640,480:waittimer 7722 blt 0,0,640,480,0, 1114,640,480:waittimer 7736 blt 0,0,640,480,0, 1116,640,480:waittimer 7750 blt 0,0,640,480,0, 1118,640,480:waittimer 7763 blt 0,0,640,480,0, 1120,640,480:waittimer 7777 blt 0,0,640,480,0, 1122,640,480:waittimer 7791 blt 0,0,640,480,0, 1124,640,480:waittimer 7805 blt 0,0,640,480,0, 1126,640,480:waittimer 7819 blt 0,0,640,480,0, 1128,640,480:waittimer 7833 blt 0,0,640,480,0, 1130,640,480:waittimer 7847 blt 0,0,640,480,0, 1132,640,480:waittimer 7861 blt 0,0,640,480,0, 1134,640,480:waittimer 7875 blt 0,0,640,480,0, 1136,640,480:waittimer 7888 blt 0,0,640,480,0, 1138,640,480:waittimer 7902 blt 0,0,640,480,0, 1140,640,480:waittimer 7916 blt 0,0,640,480,0, 1142,640,480:waittimer 7930 blt 0,0,640,480,0, 1144,640,480:waittimer 7944 blt 0,0,640,480,0, 1146,640,480:waittimer 7958 blt 0,0,640,480,0, 1148,640,480:waittimer 7972 blt 0,0,640,480,0, 1150,640,480:waittimer 7986 blt 0,0,640,480,0, 1152,640,480:waittimer 8000 blt 0,0,640,480,0, 1154,640,480:waittimer 8013 blt 0,0,640,480,0, 1156,640,480:waittimer 8027 blt 0,0,640,480,0, 1158,640,480:waittimer 8041 blt 0,0,640,480,0, 1160,640,480:waittimer 8055 blt 0,0,640,480,0, 1162,640,480:waittimer 8069 blt 0,0,640,480,0, 1164,640,480:waittimer 8083 blt 0,0,640,480,0, 1166,640,480:waittimer 8097 blt 0,0,640,480,0, 1168,640,480:waittimer 8111 blt 0,0,640,480,0, 1170,640,480:waittimer 8125 blt 0,0,640,480,0, 1172,640,480:waittimer 8138 blt 0,0,640,480,0, 1174,640,480:waittimer 8152 blt 0,0,640,480,0, 1176,640,480:waittimer 8166 blt 0,0,640,480,0, 1178,640,480:waittimer 8180 blt 0,0,640,480,0, 1180,640,480:waittimer 8194 blt 0,0,640,480,0, 1182,640,480:waittimer 8208 blt 0,0,640,480,0, 1184,640,480:waittimer 8222 blt 0,0,640,480,0, 1186,640,480:waittimer 8236 blt 0,0,640,480,0, 1188,640,480:waittimer 8250 blt 0,0,640,480,0, 1190,640,480:waittimer 8263 blt 0,0,640,480,0, 1192,640,480:waittimer 8277 blt 0,0,640,480,0, 1194,640,480:waittimer 8291 blt 0,0,640,480,0, 1196,640,480:waittimer 8305 blt 0,0,640,480,0, 1198,640,480:waittimer 8319 blt 0,0,640,480,0, 1200,640,480:waittimer 8333 blt 0,0,640,480,0, 1202,640,480:waittimer 8347 blt 0,0,640,480,0, 1204,640,480:waittimer 8361 blt 0,0,640,480,0, 1206,640,480:waittimer 8375 blt 0,0,640,480,0, 1208,640,480:waittimer 8388 blt 0,0,640,480,0, 1210,640,480:waittimer 8402 blt 0,0,640,480,0, 1212,640,480:waittimer 8416 blt 0,0,640,480,0, 1214,640,480:waittimer 8430 blt 0,0,640,480,0, 1216,640,480:waittimer 8444 blt 0,0,640,480,0, 1218,640,480:waittimer 8458 blt 0,0,640,480,0, 1220,640,480:waittimer 8472 blt 0,0,640,480,0, 1222,640,480:waittimer 8486 blt 0,0,640,480,0, 1224,640,480:waittimer 8500 blt 0,0,640,480,0, 1226,640,480:waittimer 8513 blt 0,0,640,480,0, 1228,640,480:waittimer 8527 blt 0,0,640,480,0, 1230,640,480:waittimer 8541 blt 0,0,640,480,0, 1232,640,480:waittimer 8555 blt 0,0,640,480,0, 1234,640,480:waittimer 8569 blt 0,0,640,480,0, 1236,640,480:waittimer 8583 blt 0,0,640,480,0, 1238,640,480:waittimer 8597 blt 0,0,640,480,0, 1240,640,480:waittimer 8611 blt 0,0,640,480,0, 1242,640,480:waittimer 8625 blt 0,0,640,480,0, 1244,640,480:waittimer 8638 blt 0,0,640,480,0, 1246,640,480:waittimer 8652 blt 0,0,640,480,0, 1248,640,480:waittimer 8666 blt 0,0,640,480,0, 1250,640,480:waittimer 8680 blt 0,0,640,480,0, 1252,640,480:waittimer 8694 blt 0,0,640,480,0, 1254,640,480:waittimer 8708 blt 0,0,640,480,0, 1256,640,480:waittimer 8722 blt 0,0,640,480,0, 1258,640,480:waittimer 8736 blt 0,0,640,480,0, 1260,640,480:waittimer 8750 blt 0,0,640,480,0, 1262,640,480:waittimer 8763 blt 0,0,640,480,0, 1264,640,480:waittimer 8777 blt 0,0,640,480,0, 1266,640,480:waittimer 8791 blt 0,0,640,480,0, 1268,640,480:waittimer 8805 blt 0,0,640,480,0, 1270,640,480:waittimer 8819 blt 0,0,640,480,0, 1272,640,480:waittimer 8833 blt 0,0,640,480,0, 1274,640,480:waittimer 8847 blt 0,0,640,480,0, 1276,640,480:waittimer 8861 blt 0,0,640,480,0, 1278,640,480:waittimer 8875 blt 0,0,640,480,0, 1280,640,480:waittimer 8888 blt 0,0,640,480,0, 1282,640,480:waittimer 8902 blt 0,0,640,480,0, 1284,640,480:waittimer 8916 blt 0,0,640,480,0, 1286,640,480:waittimer 8930 blt 0,0,640,480,0, 1288,640,480:waittimer 8944 blt 0,0,640,480,0, 1290,640,480:waittimer 8958 blt 0,0,640,480,0, 1292,640,480:waittimer 8972 blt 0,0,640,480,0, 1294,640,480:waittimer 8986 blt 0,0,640,480,0, 1296,640,480:waittimer 9000 blt 0,0,640,480,0, 1298,640,480:waittimer 9013 blt 0,0,640,480,0, 1300,640,480:waittimer 9027 blt 0,0,640,480,0, 1302,640,480:waittimer 9041 blt 0,0,640,480,0, 1304,640,480:waittimer 9055 blt 0,0,640,480,0, 1306,640,480:waittimer 9069 blt 0,0,640,480,0, 1308,640,480:waittimer 9083 blt 0,0,640,480,0, 1310,640,480:waittimer 9097 blt 0,0,640,480,0, 1312,640,480:waittimer 9111 blt 0,0,640,480,0, 1314,640,480:waittimer 9125 blt 0,0,640,480,0, 1316,640,480:waittimer 9138 blt 0,0,640,480,0, 1318,640,480:waittimer 9152 blt 0,0,640,480,0, 1320,640,480:waittimer 9166 blt 0,0,640,480,0, 1322,640,480:waittimer 9180 blt 0,0,640,480,0, 1324,640,480:waittimer 9194 blt 0,0,640,480,0, 1326,640,480:waittimer 9208 blt 0,0,640,480,0, 1328,640,480:waittimer 9222 blt 0,0,640,480,0, 1330,640,480:waittimer 9236 blt 0,0,640,480,0, 1332,640,480:waittimer 9250 blt 0,0,640,480,0, 1334,640,480:waittimer 9263 blt 0,0,640,480,0, 1336,640,480:waittimer 9277 blt 0,0,640,480,0, 1338,640,480:waittimer 9291 blt 0,0,640,480,0, 1340,640,480:waittimer 9305 blt 0,0,640,480,0, 1342,640,480:waittimer 9319 blt 0,0,640,480,0, 1344,640,480:waittimer 9333 blt 0,0,640,480,0, 1346,640,480:waittimer 9347 blt 0,0,640,480,0, 1348,640,480:waittimer 9361 blt 0,0,640,480,0, 1350,640,480:waittimer 9375 blt 0,0,640,480,0, 1352,640,480:waittimer 9388 blt 0,0,640,480,0, 1354,640,480:waittimer 9402 blt 0,0,640,480,0, 1356,640,480:waittimer 9416 blt 0,0,640,480,0, 1358,640,480:waittimer 9430 blt 0,0,640,480,0, 1360,640,480:waittimer 9444 blt 0,0,640,480,0, 1362,640,480:waittimer 9458 blt 0,0,640,480,0, 1364,640,480:waittimer 9472 blt 0,0,640,480,0, 1366,640,480:waittimer 9486 blt 0,0,640,480,0, 1368,640,480:waittimer 9500 blt 0,0,640,480,0, 1370,640,480:waittimer 9513 blt 0,0,640,480,0, 1372,640,480:waittimer 9527 blt 0,0,640,480,0, 1374,640,480:waittimer 9541 blt 0,0,640,480,0, 1376,640,480:waittimer 9555 blt 0,0,640,480,0, 1378,640,480:waittimer 9569 blt 0,0,640,480,0, 1380,640,480:waittimer 9583 blt 0,0,640,480,0, 1382,640,480:waittimer 9597 blt 0,0,640,480,0, 1384,640,480:waittimer 9611 blt 0,0,640,480,0, 1386,640,480:waittimer 9625 blt 0,0,640,480,0, 1388,640,480:waittimer 9638 blt 0,0,640,480,0, 1390,640,480:waittimer 9652 blt 0,0,640,480,0, 1392,640,480:waittimer 9666 blt 0,0,640,480,0, 1394,640,480:waittimer 9680 blt 0,0,640,480,0, 1396,640,480:waittimer 9694 blt 0,0,640,480,0, 1398,640,480:waittimer 9708 blt 0,0,640,480,0, 1400,640,480:waittimer 9722 blt 0,0,640,480,0, 1402,640,480:waittimer 9736 blt 0,0,640,480,0, 1404,640,480:waittimer 9750 blt 0,0,640,480,0, 1406,640,480:waittimer 9763 blt 0,0,640,480,0, 1408,640,480:waittimer 9777 blt 0,0,640,480,0, 1410,640,480:waittimer 9791 blt 0,0,640,480,0, 1412,640,480:waittimer 9805 blt 0,0,640,480,0, 1414,640,480:waittimer 9819 blt 0,0,640,480,0, 1416,640,480:waittimer 9833 blt 0,0,640,480,0, 1418,640,480:waittimer 9847 blt 0,0,640,480,0, 1420,640,480:waittimer 9861 blt 0,0,640,480,0, 1422,640,480:waittimer 9875 blt 0,0,640,480,0, 1424,640,480:waittimer 9888 blt 0,0,640,480,0, 1426,640,480:waittimer 9902 blt 0,0,640,480,0, 1428,640,480:waittimer 9916 blt 0,0,640,480,0, 1430,640,480:waittimer 9930 blt 0,0,640,480,0, 1432,640,480:waittimer 9944 blt 0,0,640,480,0, 1434,640,480:waittimer 9958 blt 0,0,640,480,0, 1436,640,480:waittimer 9972 blt 0,0,640,480,0, 1438,640,480:waittimer 9986 blt 0,0,640,480,0, 1440,640,480:waittimer 10000 blt 0,0,640,480,0, 1442,640,480:waittimer 10013 blt 0,0,640,480,0, 1444,640,480:waittimer 10027 blt 0,0,640,480,0, 1446,640,480:waittimer 10041 blt 0,0,640,480,0, 1448,640,480:waittimer 10055 blt 0,0,640,480,0, 1450,640,480:waittimer 10069 blt 0,0,640,480,0, 1452,640,480:waittimer 10083 blt 0,0,640,480,0, 1454,640,480:waittimer 10097 blt 0,0,640,480,0, 1456,640,480:waittimer 10111 blt 0,0,640,480,0, 1458,640,480:waittimer 10125 blt 0,0,640,480,0, 1460,640,480:waittimer 10138 blt 0,0,640,480,0, 1462,640,480:waittimer 10152 blt 0,0,640,480,0, 1464,640,480:waittimer 10166 blt 0,0,640,480,0, 1466,640,480:waittimer 10180 blt 0,0,640,480,0, 1468,640,480:waittimer 10194 blt 0,0,640,480,0, 1470,640,480:waittimer 10208 blt 0,0,640,480,0, 1472,640,480:waittimer 10222 blt 0,0,640,480,0, 1474,640,480:waittimer 10236 blt 0,0,640,480,0, 1476,640,480:waittimer 10250 blt 0,0,640,480,0, 1478,640,480:waittimer 10263 blt 0,0,640,480,0, 1480,640,480:waittimer 10277 blt 0,0,640,480,0, 1482,640,480:waittimer 10291 blt 0,0,640,480,0, 1484,640,480:waittimer 10305 blt 0,0,640,480,0, 1486,640,480:waittimer 10319 blt 0,0,640,480,0, 1488,640,480:waittimer 10333 blt 0,0,640,480,0, 1490,640,480:waittimer 10347 blt 0,0,640,480,0, 1492,640,480:waittimer 10361 blt 0,0,640,480,0, 1494,640,480:waittimer 10375 blt 0,0,640,480,0, 1496,640,480:waittimer 10388 blt 0,0,640,480,0, 1498,640,480:waittimer 10402 blt 0,0,640,480,0, 1500,640,480:waittimer 10416 blt 0,0,640,480,0, 1502,640,480:waittimer 10430 blt 0,0,640,480,0, 1504,640,480:waittimer 10444 blt 0,0,640,480,0, 1506,640,480:waittimer 10458 blt 0,0,640,480,0, 1508,640,480:waittimer 10472 blt 0,0,640,480,0, 1510,640,480:waittimer 10486 blt 0,0,640,480,0, 1512,640,480:waittimer 10500 blt 0,0,640,480,0, 1514,640,480:waittimer 10513 blt 0,0,640,480,0, 1516,640,480:waittimer 10527 blt 0,0,640,480,0, 1518,640,480:waittimer 10541 blt 0,0,640,480,0, 1520,640,480:waittimer 10555 blt 0,0,640,480,0, 1522,640,480:waittimer 10569 blt 0,0,640,480,0, 1524,640,480:waittimer 10583 blt 0,0,640,480,0, 1526,640,480:waittimer 10597 blt 0,0,640,480,0, 1528,640,480:waittimer 10611 blt 0,0,640,480,0, 1530,640,480:waittimer 10625 blt 0,0,640,480,0, 1532,640,480:waittimer 10638 blt 0,0,640,480,0, 1534,640,480:waittimer 10652 blt 0,0,640,480,0, 1536,640,480:waittimer 10666 blt 0,0,640,480,0, 1538,640,480:waittimer 10680 blt 0,0,640,480,0, 1540,640,480:waittimer 10694 blt 0,0,640,480,0, 1542,640,480:waittimer 10708 blt 0,0,640,480,0, 1544,640,480:waittimer 10722 blt 0,0,640,480,0, 1546,640,480:waittimer 10736 blt 0,0,640,480,0, 1548,640,480:waittimer 10750 blt 0,0,640,480,0, 1550,640,480:waittimer 10763 blt 0,0,640,480,0, 1552,640,480:waittimer 10777 blt 0,0,640,480,0, 1554,640,480:waittimer 10791 blt 0,0,640,480,0, 1556,640,480:waittimer 10805 blt 0,0,640,480,0, 1558,640,480:waittimer 10819 blt 0,0,640,480,0, 1560,640,480:waittimer 10833 blt 0,0,640,480,0, 1562,640,480:waittimer 10847 blt 0,0,640,480,0, 1564,640,480:waittimer 10861 blt 0,0,640,480,0, 1566,640,480:waittimer 10875 blt 0,0,640,480,0, 1568,640,480:waittimer 10888 blt 0,0,640,480,0, 1570,640,480:waittimer 10902 blt 0,0,640,480,0, 1572,640,480:waittimer 10916 blt 0,0,640,480,0, 1574,640,480:waittimer 10930 blt 0,0,640,480,0, 1576,640,480:waittimer 10944 blt 0,0,640,480,0, 1578,640,480:waittimer 10958 blt 0,0,640,480,0, 1580,640,480:waittimer 10972 blt 0,0,640,480,0, 1582,640,480:waittimer 10986 blt 0,0,640,480,0, 1584,640,480:waittimer 11000 blt 0,0,640,480,0, 1586,640,480:waittimer 11013 blt 0,0,640,480,0, 1588,640,480:waittimer 11027 blt 0,0,640,480,0, 1590,640,480:waittimer 11041 blt 0,0,640,480,0, 1592,640,480:waittimer 11055 blt 0,0,640,480,0, 1594,640,480:waittimer 11069 blt 0,0,640,480,0, 1596,640,480:waittimer 11083 blt 0,0,640,480,0, 1598,640,480:waittimer 11097 blt 0,0,640,480,0, 1600,640,480:waittimer 11111 blt 0,0,640,480,0, 1602,640,480:waittimer 11125 blt 0,0,640,480,0, 1604,640,480:waittimer 11138 blt 0,0,640,480,0, 1606,640,480:waittimer 11152 blt 0,0,640,480,0, 1608,640,480:waittimer 11166 blt 0,0,640,480,0, 1610,640,480:waittimer 11180 blt 0,0,640,480,0, 1612,640,480:waittimer 11194 blt 0,0,640,480,0, 1614,640,480:waittimer 11208 blt 0,0,640,480,0, 1616,640,480:waittimer 11222 blt 0,0,640,480,0, 1618,640,480:waittimer 11236 blt 0,0,640,480,0, 1620,640,480:waittimer 11250 blt 0,0,640,480,0, 1622,640,480:waittimer 11263 blt 0,0,640,480,0, 1624,640,480:waittimer 11277 blt 0,0,640,480,0, 1626,640,480:waittimer 11291 blt 0,0,640,480,0, 1628,640,480:waittimer 11305 blt 0,0,640,480,0, 1630,640,480:waittimer 11319 blt 0,0,640,480,0, 1632,640,480:waittimer 11333 blt 0,0,640,480,0, 1634,640,480:waittimer 11347 blt 0,0,640,480,0, 1636,640,480:waittimer 11361 blt 0,0,640,480,0, 1638,640,480:waittimer 11375 blt 0,0,640,480,0, 1640,640,480:waittimer 11388 blt 0,0,640,480,0, 1642,640,480:waittimer 11402 blt 0,0,640,480,0, 1644,640,480:waittimer 11416 blt 0,0,640,480,0, 1646,640,480:waittimer 11430 blt 0,0,640,480,0, 1648,640,480:waittimer 11444 blt 0,0,640,480,0, 1650,640,480:waittimer 11458 blt 0,0,640,480,0, 1652,640,480:waittimer 11472 blt 0,0,640,480,0, 1654,640,480:waittimer 11486 blt 0,0,640,480,0, 1656,640,480:waittimer 11500 blt 0,0,640,480,0, 1658,640,480:waittimer 11513 blt 0,0,640,480,0, 1660,640,480:waittimer 11527 blt 0,0,640,480,0, 1662,640,480:waittimer 11541 blt 0,0,640,480,0, 1664,640,480:waittimer 11555 blt 0,0,640,480,0, 1666,640,480:waittimer 11569 blt 0,0,640,480,0, 1668,640,480:waittimer 11583 blt 0,0,640,480,0, 1670,640,480:waittimer 11597 blt 0,0,640,480,0, 1672,640,480:waittimer 11611 blt 0,0,640,480,0, 1674,640,480:waittimer 11625 blt 0,0,640,480,0, 1676,640,480:waittimer 11638 blt 0,0,640,480,0, 1678,640,480:waittimer 11652 blt 0,0,640,480,0, 1680,640,480:waittimer 11666 blt 0,0,640,480,0, 1682,640,480:waittimer 11680 blt 0,0,640,480,0, 1684,640,480:waittimer 11694 blt 0,0,640,480,0, 1686,640,480:waittimer 11708 blt 0,0,640,480,0, 1688,640,480:waittimer 11722 blt 0,0,640,480,0, 1690,640,480:waittimer 11736 blt 0,0,640,480,0, 1692,640,480:waittimer 11750 blt 0,0,640,480,0, 1694,640,480:waittimer 11763 blt 0,0,640,480,0, 1696,640,480:waittimer 11777 blt 0,0,640,480,0, 1698,640,480:waittimer 11791 blt 0,0,640,480,0, 1700,640,480:waittimer 11805 blt 0,0,640,480,0, 1702,640,480:waittimer 11819 blt 0,0,640,480,0, 1704,640,480:waittimer 11833 blt 0,0,640,480,0, 1706,640,480:waittimer 11847 blt 0,0,640,480,0, 1708,640,480:waittimer 11861 blt 0,0,640,480,0, 1710,640,480:waittimer 11875 blt 0,0,640,480,0, 1712,640,480:waittimer 11888 blt 0,0,640,480,0, 1714,640,480:waittimer 11902 blt 0,0,640,480,0, 1716,640,480:waittimer 11916 blt 0,0,640,480,0, 1718,640,480:waittimer 11930 blt 0,0,640,480,0, 1720,640,480:waittimer 11944 blt 0,0,640,480,0, 1722,640,480:waittimer 11958 blt 0,0,640,480,0, 1724,640,480:waittimer 11972 blt 0,0,640,480,0, 1726,640,480:waittimer 11986 blt 0,0,640,480,0, 1728,640,480:waittimer 12000 blt 0,0,640,480,0, 1730,640,480:waittimer 12013 blt 0,0,640,480,0, 1732,640,480:waittimer 12027 blt 0,0,640,480,0, 1734,640,480:waittimer 12041 blt 0,0,640,480,0, 1736,640,480:waittimer 12055 blt 0,0,640,480,0, 1738,640,480:waittimer 12069 blt 0,0,640,480,0, 1740,640,480:waittimer 12083 blt 0,0,640,480,0, 1742,640,480:waittimer 12097 blt 0,0,640,480,0, 1744,640,480:waittimer 12111 blt 0,0,640,480,0, 1746,640,480:waittimer 12125 blt 0,0,640,480,0, 1748,640,480:waittimer 12138 blt 0,0,640,480,0, 1750,640,480:waittimer 12152 blt 0,0,640,480,0, 1752,640,480:waittimer 12166 blt 0,0,640,480,0, 1754,640,480:waittimer 12180 blt 0,0,640,480,0, 1756,640,480:waittimer 12194 blt 0,0,640,480,0, 1758,640,480:waittimer 12208 blt 0,0,640,480,0, 1760,640,480:waittimer 12222 blt 0,0,640,480,0, 1762,640,480:waittimer 12236 blt 0,0,640,480,0, 1764,640,480:waittimer 12250 blt 0,0,640,480,0, 1766,640,480:waittimer 12263 blt 0,0,640,480,0, 1768,640,480:waittimer 12277 blt 0,0,640,480,0, 1770,640,480:waittimer 12291 blt 0,0,640,480,0, 1772,640,480:waittimer 12305 blt 0,0,640,480,0, 1774,640,480:waittimer 12319 blt 0,0,640,480,0, 1776,640,480:waittimer 12333 blt 0,0,640,480,0, 1778,640,480:waittimer 12347 blt 0,0,640,480,0, 1780,640,480:waittimer 12361 blt 0,0,640,480,0, 1782,640,480:waittimer 12375 blt 0,0,640,480,0, 1784,640,480:waittimer 12388 blt 0,0,640,480,0, 1786,640,480:waittimer 12402 blt 0,0,640,480,0, 1788,640,480:waittimer 12416 blt 0,0,640,480,0, 1790,640,480:waittimer 12430 blt 0,0,640,480,0, 1792,640,480:waittimer 12444 blt 0,0,640,480,0, 1794,640,480:waittimer 12458 blt 0,0,640,480,0, 1796,640,480:waittimer 12472 blt 0,0,640,480,0, 1798,640,480:waittimer 12486 blt 0,0,640,480,0, 1800,640,480:waittimer 12500 blt 0,0,640,480,0, 1802,640,480:waittimer 12513 blt 0,0,640,480,0, 1804,640,480:waittimer 12527 blt 0,0,640,480,0, 1806,640,480:waittimer 12541 blt 0,0,640,480,0, 1808,640,480:waittimer 12555 blt 0,0,640,480,0, 1810,640,480:waittimer 12569 blt 0,0,640,480,0, 1812,640,480:waittimer 12583 blt 0,0,640,480,0, 1814,640,480:waittimer 12597 blt 0,0,640,480,0, 1816,640,480:waittimer 12611 blt 0,0,640,480,0, 1818,640,480:waittimer 12625 blt 0,0,640,480,0, 1820,640,480:waittimer 12638 blt 0,0,640,480,0, 1822,640,480:waittimer 12652 blt 0,0,640,480,0, 1824,640,480:waittimer 12666 blt 0,0,640,480,0, 1826,640,480:waittimer 12680 blt 0,0,640,480,0, 1828,640,480:waittimer 12694 blt 0,0,640,480,0, 1830,640,480:waittimer 12708 blt 0,0,640,480,0, 1832,640,480:waittimer 12722 blt 0,0,640,480,0, 1834,640,480:waittimer 12736 blt 0,0,640,480,0, 1836,640,480:waittimer 12750 blt 0,0,640,480,0, 1838,640,480:waittimer 12763 blt 0,0,640,480,0, 1840,640,480:waittimer 12777 blt 0,0,640,480,0, 1842,640,480:waittimer 12791 blt 0,0,640,480,0, 1844,640,480:waittimer 12805 blt 0,0,640,480,0, 1846,640,480:waittimer 12819 blt 0,0,640,480,0, 1848,640,480:waittimer 12833 blt 0,0,640,480,0, 1850,640,480:waittimer 12847 blt 0,0,640,480,0, 1852,640,480:waittimer 12861 blt 0,0,640,480,0, 1854,640,480:waittimer 12875 blt 0,0,640,480,0, 1856,640,480:waittimer 12888 blt 0,0,640,480,0, 1858,640,480:waittimer 12902 blt 0,0,640,480,0, 1860,640,480:waittimer 12916 blt 0,0,640,480,0, 1862,640,480:waittimer 12930 blt 0,0,640,480,0, 1864,640,480:waittimer 12944 blt 0,0,640,480,0, 1866,640,480:waittimer 12958 blt 0,0,640,480,0, 1868,640,480:waittimer 12972 blt 0,0,640,480,0, 1870,640,480:waittimer 12986 blt 0,0,640,480,0, 1872,640,480:waittimer 13000 blt 0,0,640,480,0, 1874,640,480:waittimer 13013 blt 0,0,640,480,0, 1876,640,480:waittimer 13027 blt 0,0,640,480,0, 1878,640,480:waittimer 13041 blt 0,0,640,480,0, 1880,640,480:waittimer 13055 blt 0,0,640,480,0, 1882,640,480:waittimer 13069 blt 0,0,640,480,0, 1884,640,480:waittimer 13083 blt 0,0,640,480,0, 1886,640,480:waittimer 13097 blt 0,0,640,480,0, 1888,640,480:waittimer 13111 blt 0,0,640,480,0, 1890,640,480:waittimer 13125 blt 0,0,640,480,0, 1892,640,480:waittimer 13138 blt 0,0,640,480,0, 1894,640,480:waittimer 13152 blt 0,0,640,480,0, 1896,640,480:waittimer 13166 blt 0,0,640,480,0, 1898,640,480:waittimer 13180 blt 0,0,640,480,0, 1900,640,480:waittimer 13194 blt 0,0,640,480,0, 1902,640,480:waittimer 13208 blt 0,0,640,480,0, 1904,640,480:waittimer 13222 blt 0,0,640,480,0, 1906,640,480:waittimer 13236 blt 0,0,640,480,0, 1908,640,480:waittimer 13250 blt 0,0,640,480,0, 1910,640,480:waittimer 13263 blt 0,0,640,480,0, 1912,640,480:waittimer 13277 blt 0,0,640,480,0, 1914,640,480:waittimer 13291 blt 0,0,640,480,0, 1916,640,480:waittimer 13305 blt 0,0,640,480,0, 1918,640,480:waittimer 13319 blt 0,0,640,480,0, 1920,640,480:waittimer 13333 blt 0,0,640,480,0, 1922,640,480:waittimer 13347 blt 0,0,640,480,0, 1924,640,480:waittimer 13361 blt 0,0,640,480,0, 1926,640,480:waittimer 13375 blt 0,0,640,480,0, 1928,640,480:waittimer 13388 blt 0,0,640,480,0, 1930,640,480:waittimer 13402 blt 0,0,640,480,0, 1932,640,480:waittimer 13416 blt 0,0,640,480,0, 1934,640,480:waittimer 13430 blt 0,0,640,480,0, 1936,640,480:waittimer 13444 blt 0,0,640,480,0, 1938,640,480:waittimer 13458 blt 0,0,640,480,0, 1940,640,480:waittimer 13472 blt 0,0,640,480,0, 1942,640,480:waittimer 13486 blt 0,0,640,480,0, 1944,640,480:waittimer 13500 blt 0,0,640,480,0, 1946,640,480:waittimer 13513 blt 0,0,640,480,0, 1948,640,480:waittimer 13527 blt 0,0,640,480,0, 1950,640,480:waittimer 13541 blt 0,0,640,480,0, 1952,640,480:waittimer 13555 blt 0,0,640,480,0, 1954,640,480:waittimer 13569 blt 0,0,640,480,0, 1956,640,480:waittimer 13583 blt 0,0,640,480,0, 1958,640,480:waittimer 13597 blt 0,0,640,480,0, 1960,640,480:waittimer 13611 blt 0,0,640,480,0, 1962,640,480:waittimer 13625 blt 0,0,640,480,0, 1964,640,480:waittimer 13638 blt 0,0,640,480,0, 1966,640,480:waittimer 13652 blt 0,0,640,480,0, 1968,640,480:waittimer 13666 blt 0,0,640,480,0, 1970,640,480:waittimer 13680 blt 0,0,640,480,0, 1972,640,480:waittimer 13694 blt 0,0,640,480,0, 1974,640,480:waittimer 13708 blt 0,0,640,480,0, 1976,640,480:waittimer 13722 blt 0,0,640,480,0, 1978,640,480:waittimer 13736 blt 0,0,640,480,0, 1980,640,480:waittimer 13750 blt 0,0,640,480,0, 1982,640,480:waittimer 13763 blt 0,0,640,480,0, 1984,640,480:waittimer 13777 blt 0,0,640,480,0, 1986,640,480:waittimer 13791 blt 0,0,640,480,0, 1988,640,480:waittimer 13805 blt 0,0,640,480,0, 1990,640,480:waittimer 13819 blt 0,0,640,480,0, 1992,640,480:waittimer 13833 blt 0,0,640,480,0, 1994,640,480:waittimer 13847 blt 0,0,640,480,0, 1996,640,480:waittimer 13861 blt 0,0,640,480,0, 1998,640,480:waittimer 13875 blt 0,0,640,480,0, 2000,640,480:waittimer 13888 blt 0,0,640,480,0, 2002,640,480:waittimer 13902 blt 0,0,640,480,0, 2004,640,480:waittimer 13916 blt 0,0,640,480,0, 2006,640,480:waittimer 13930 blt 0,0,640,480,0, 2008,640,480:waittimer 13944 blt 0,0,640,480,0, 2010,640,480:waittimer 13958 blt 0,0,640,480,0, 2012,640,480:waittimer 13972 blt 0,0,640,480,0, 2014,640,480:waittimer 13986 blt 0,0,640,480,0, 2016,640,480:waittimer 14000 blt 0,0,640,480,0, 2018,640,480:waittimer 14013 blt 0,0,640,480,0, 2020,640,480:waittimer 14027 blt 0,0,640,480,0, 2022,640,480:waittimer 14041 blt 0,0,640,480,0, 2024,640,480:waittimer 14055 blt 0,0,640,480,0, 2026,640,480:waittimer 14069 blt 0,0,640,480,0, 2028,640,480:waittimer 14083 blt 0,0,640,480,0, 2030,640,480:waittimer 14097 blt 0,0,640,480,0, 2032,640,480:waittimer 14111 blt 0,0,640,480,0, 2034,640,480:waittimer 14125 blt 0,0,640,480,0, 2036,640,480:waittimer 14138 blt 0,0,640,480,0, 2038,640,480:waittimer 14152 blt 0,0,640,480,0, 2040,640,480:waittimer 14166 blt 0,0,640,480,0, 2042,640,480:waittimer 14180 blt 0,0,640,480,0, 2044,640,480:waittimer 14194 blt 0,0,640,480,0, 2046,640,480:waittimer 14208 blt 0,0,640,480,0, 2048,640,480:waittimer 14222 blt 0,0,640,480,0, 2050,640,480:waittimer 14236 blt 0,0,640,480,0, 2052,640,480:waittimer 14250 blt 0,0,640,480,0, 2054,640,480:waittimer 14263 blt 0,0,640,480,0, 2056,640,480:waittimer 14277 blt 0,0,640,480,0, 2058,640,480:waittimer 14291 blt 0,0,640,480,0, 2060,640,480:waittimer 14305 blt 0,0,640,480,0, 2062,640,480:waittimer 14319 blt 0,0,640,480,0, 2064,640,480:waittimer 14333 blt 0,0,640,480,0, 2066,640,480:waittimer 14347 blt 0,0,640,480,0, 2068,640,480:waittimer 14361 blt 0,0,640,480,0, 2070,640,480:waittimer 14375 blt 0,0,640,480,0, 2072,640,480:waittimer 14388 blt 0,0,640,480,0, 2074,640,480:waittimer 14402 blt 0,0,640,480,0, 2076,640,480:waittimer 14416 blt 0,0,640,480,0, 2078,640,480:waittimer 14430 blt 0,0,640,480,0, 2080,640,480:waittimer 14444 blt 0,0,640,480,0, 2082,640,480:waittimer 14458 blt 0,0,640,480,0, 2084,640,480:waittimer 14472 blt 0,0,640,480,0, 2086,640,480:waittimer 14486 blt 0,0,640,480,0, 2088,640,480:waittimer 14500 blt 0,0,640,480,0, 2090,640,480:waittimer 14513 blt 0,0,640,480,0, 2092,640,480:waittimer 14527 blt 0,0,640,480,0, 2094,640,480:waittimer 14541 blt 0,0,640,480,0, 2096,640,480:waittimer 14555 blt 0,0,640,480,0, 2098,640,480:waittimer 14569 blt 0,0,640,480,0, 2100,640,480:waittimer 14583 blt 0,0,640,480,0, 2102,640,480:waittimer 14597 blt 0,0,640,480,0, 2104,640,480:waittimer 14611 blt 0,0,640,480,0, 2106,640,480:waittimer 14625 blt 0,0,640,480,0, 2108,640,480:waittimer 14638 blt 0,0,640,480,0, 2110,640,480:waittimer 14652 blt 0,0,640,480,0, 2112,640,480:waittimer 14666 blt 0,0,640,480,0, 2114,640,480:waittimer 14680 blt 0,0,640,480,0, 2116,640,480:waittimer 14694 blt 0,0,640,480,0, 2118,640,480:waittimer 14708 blt 0,0,640,480,0, 2120,640,480:waittimer 14722 blt 0,0,640,480,0, 2122,640,480:waittimer 14736 blt 0,0,640,480,0, 2124,640,480:waittimer 14750 blt 0,0,640,480,0, 2126,640,480:waittimer 14763 blt 0,0,640,480,0, 2128,640,480:waittimer 14777 blt 0,0,640,480,0, 2130,640,480:waittimer 14791 blt 0,0,640,480,0, 2132,640,480:waittimer 14805 blt 0,0,640,480,0, 2134,640,480:waittimer 14819 blt 0,0,640,480,0, 2136,640,480:waittimer 14833 blt 0,0,640,480,0, 2138,640,480:waittimer 14847 blt 0,0,640,480,0, 2140,640,480:waittimer 14861 blt 0,0,640,480,0, 2142,640,480:waittimer 14875 blt 0,0,640,480,0, 2144,640,480:waittimer 14888 blt 0,0,640,480,0, 2146,640,480:waittimer 14902 blt 0,0,640,480,0, 2148,640,480:waittimer 14916 blt 0,0,640,480,0, 2150,640,480:waittimer 14930 blt 0,0,640,480,0, 2152,640,480:waittimer 14944 blt 0,0,640,480,0, 2154,640,480:waittimer 14958 blt 0,0,640,480,0, 2156,640,480:waittimer 14972 blt 0,0,640,480,0, 2158,640,480:waittimer 14986 blt 0,0,640,480,0, 2160,640,480:waittimer 15000 blt 0,0,640,480,0, 2162,640,480:waittimer 15013 blt 0,0,640,480,0, 2164,640,480:waittimer 15027 blt 0,0,640,480,0, 2166,640,480:waittimer 15041 blt 0,0,640,480,0, 2168,640,480:waittimer 15055 blt 0,0,640,480,0, 2170,640,480:waittimer 15069 blt 0,0,640,480,0, 2172,640,480:waittimer 15083 blt 0,0,640,480,0, 2174,640,480:waittimer 15097 blt 0,0,640,480,0, 2176,640,480:waittimer 15111 blt 0,0,640,480,0, 2178,640,480:waittimer 15125 blt 0,0,640,480,0, 2180,640,480:waittimer 15138 blt 0,0,640,480,0, 2182,640,480:waittimer 15152 blt 0,0,640,480,0, 2184,640,480:waittimer 15166 blt 0,0,640,480,0, 2186,640,480:waittimer 15180 blt 0,0,640,480,0, 2188,640,480:waittimer 15194 blt 0,0,640,480,0, 2190,640,480:waittimer 15208 blt 0,0,640,480,0, 2192,640,480:waittimer 15222 blt 0,0,640,480,0, 2194,640,480:waittimer 15236 blt 0,0,640,480,0, 2196,640,480:waittimer 15250 blt 0,0,640,480,0, 2198,640,480:waittimer 15263 blt 0,0,640,480,0, 2200,640,480:waittimer 15277 blt 0,0,640,480,0, 2202,640,480:waittimer 15291 blt 0,0,640,480,0, 2204,640,480:waittimer 15305 blt 0,0,640,480,0, 2206,640,480:waittimer 15319 blt 0,0,640,480,0, 2208,640,480:waittimer 15333 blt 0,0,640,480,0, 2210,640,480:waittimer 15347 blt 0,0,640,480,0, 2212,640,480:waittimer 15361 blt 0,0,640,480,0, 2214,640,480:waittimer 15375 blt 0,0,640,480,0, 2216,640,480:waittimer 15388 blt 0,0,640,480,0, 2218,640,480:waittimer 15402 blt 0,0,640,480,0, 2220,640,480:waittimer 15416 blt 0,0,640,480,0, 2222,640,480:waittimer 15430 blt 0,0,640,480,0, 2224,640,480:waittimer 15444 blt 0,0,640,480,0, 2226,640,480:waittimer 15458 blt 0,0,640,480,0, 2228,640,480:waittimer 15472 blt 0,0,640,480,0, 2230,640,480:waittimer 15486 blt 0,0,640,480,0, 2232,640,480:waittimer 15500 blt 0,0,640,480,0, 2234,640,480:waittimer 15513 blt 0,0,640,480,0, 2236,640,480:waittimer 15527 blt 0,0,640,480,0, 2238,640,480:waittimer 15541 blt 0,0,640,480,0, 2240,640,480:waittimer 15555 blt 0,0,640,480,0, 2242,640,480:waittimer 15569 blt 0,0,640,480,0, 2244,640,480:waittimer 15583 blt 0,0,640,480,0, 2246,640,480:waittimer 15597 blt 0,0,640,480,0, 2248,640,480:waittimer 15611 blt 0,0,640,480,0, 2250,640,480:waittimer 15625 blt 0,0,640,480,0, 2252,640,480:waittimer 15638 blt 0,0,640,480,0, 2254,640,480:waittimer 15652 blt 0,0,640,480,0, 2256,640,480:waittimer 15666 blt 0,0,640,480,0, 2258,640,480:waittimer 15680 blt 0,0,640,480,0, 2260,640,480:waittimer 15694 blt 0,0,640,480,0, 2262,640,480:waittimer 15708 blt 0,0,640,480,0, 2264,640,480:waittimer 15722 blt 0,0,640,480,0, 2266,640,480:waittimer 15736 blt 0,0,640,480,0, 2268,640,480:waittimer 15750 blt 0,0,640,480,0, 2270,640,480:waittimer 15763 blt 0,0,640,480,0, 2272,640,480:waittimer 15777 blt 0,0,640,480,0, 2274,640,480:waittimer 15791 blt 0,0,640,480,0, 2276,640,480:waittimer 15805 blt 0,0,640,480,0, 2278,640,480:waittimer 15819 blt 0,0,640,480,0, 2280,640,480:waittimer 15833 blt 0,0,640,480,0, 2282,640,480:waittimer 15847 blt 0,0,640,480,0, 2284,640,480:waittimer 15861 blt 0,0,640,480,0, 2286,640,480:waittimer 15875 blt 0,0,640,480,0, 2288,640,480:waittimer 15888 blt 0,0,640,480,0, 2290,640,480:waittimer 15902 blt 0,0,640,480,0, 2292,640,480:waittimer 15916 blt 0,0,640,480,0, 2294,640,480:waittimer 15930 blt 0,0,640,480,0, 2296,640,480:waittimer 15944 blt 0,0,640,480,0, 2298,640,480:waittimer 15958 blt 0,0,640,480,0, 2300,640,480:waittimer 15972 blt 0,0,640,480,0, 2302,640,480:waittimer 15986 blt 0,0,640,480,0, 2304,640,480:waittimer 16000 blt 0,0,640,480,0, 2306,640,480:waittimer 16013 blt 0,0,640,480,0, 2308,640,480:waittimer 16027 blt 0,0,640,480,0, 2310,640,480:waittimer 16041 blt 0,0,640,480,0, 2312,640,480:waittimer 16055 blt 0,0,640,480,0, 2314,640,480:waittimer 16069 blt 0,0,640,480,0, 2316,640,480:waittimer 16083 blt 0,0,640,480,0, 2318,640,480:waittimer 16097 blt 0,0,640,480,0, 2320,640,480:waittimer 16111 blt 0,0,640,480,0, 2322,640,480:waittimer 16125 blt 0,0,640,480,0, 2324,640,480:waittimer 16138 blt 0,0,640,480,0, 2326,640,480:waittimer 16152 blt 0,0,640,480,0, 2328,640,480:waittimer 16166 blt 0,0,640,480,0, 2330,640,480:waittimer 16180 blt 0,0,640,480,0, 2332,640,480:waittimer 16194 blt 0,0,640,480,0, 2334,640,480:waittimer 16208 blt 0,0,640,480,0, 2336,640,480:waittimer 16222 blt 0,0,640,480,0, 2338,640,480:waittimer 16236 blt 0,0,640,480,0, 2340,640,480:waittimer 16250 blt 0,0,640,480,0, 2342,640,480:waittimer 16263 blt 0,0,640,480,0, 2344,640,480:waittimer 16277 blt 0,0,640,480,0, 2346,640,480:waittimer 16291 blt 0,0,640,480,0, 2348,640,480:waittimer 16305 blt 0,0,640,480,0, 2350,640,480:waittimer 16319 blt 0,0,640,480,0, 2352,640,480:waittimer 16333 blt 0,0,640,480,0, 2354,640,480:waittimer 16347 blt 0,0,640,480,0, 2356,640,480:waittimer 16361 blt 0,0,640,480,0, 2358,640,480:waittimer 16375 blt 0,0,640,480,0, 2360,640,480:waittimer 16388 blt 0,0,640,480,0, 2362,640,480:waittimer 16402 blt 0,0,640,480,0, 2364,640,480:waittimer 16416 blt 0,0,640,480,0, 2366,640,480:waittimer 16430 blt 0,0,640,480,0, 2368,640,480:waittimer 16444 blt 0,0,640,480,0, 2370,640,480:waittimer 16458 blt 0,0,640,480,0, 2372,640,480:waittimer 16472 blt 0,0,640,480,0, 2374,640,480:waittimer 16486 blt 0,0,640,480,0, 2376,640,480:waittimer 16500 blt 0,0,640,480,0, 2378,640,480:waittimer 16513 blt 0,0,640,480,0, 2380,640,480:waittimer 16527 blt 0,0,640,480,0, 2382,640,480:waittimer 16541 blt 0,0,640,480,0, 2384,640,480:waittimer 16555 blt 0,0,640,480,0, 2386,640,480:waittimer 16569 blt 0,0,640,480,0, 2388,640,480:waittimer 16583 blt 0,0,640,480,0, 2390,640,480:waittimer 16597 blt 0,0,640,480,0, 2392,640,480:waittimer 16611 blt 0,0,640,480,0, 2394,640,480:waittimer 16625 blt 0,0,640,480,0, 2396,640,480:waittimer 16638 blt 0,0,640,480,0, 2398,640,480:waittimer 16652 blt 0,0,640,480,0, 2400,640,480:waittimer 16666 blt 0,0,640,480,0, 2402,640,480:waittimer 16680 blt 0,0,640,480,0, 2404,640,480:waittimer 16694 blt 0,0,640,480,0, 2406,640,480:waittimer 16708 blt 0,0,640,480,0, 2408,640,480:waittimer 16722 blt 0,0,640,480,0, 2410,640,480:waittimer 16736 blt 0,0,640,480,0, 2412,640,480:waittimer 16750 blt 0,0,640,480,0, 2414,640,480:waittimer 16763 blt 0,0,640,480,0, 2416,640,480:waittimer 16777 blt 0,0,640,480,0, 2418,640,480:waittimer 16791 blt 0,0,640,480,0, 2420,640,480:waittimer 16805 blt 0,0,640,480,0, 2422,640,480:waittimer 16819 blt 0,0,640,480,0, 2424,640,480:waittimer 16833 blt 0,0,640,480,0, 2426,640,480:waittimer 16847 blt 0,0,640,480,0, 2428,640,480:waittimer 16861 blt 0,0,640,480,0, 2430,640,480:waittimer 16875 blt 0,0,640,480,0, 2432,640,480:waittimer 16888 blt 0,0,640,480,0, 2434,640,480:waittimer 16902 blt 0,0,640,480,0, 2436,640,480:waittimer 16916 blt 0,0,640,480,0, 2438,640,480:waittimer 16930 blt 0,0,640,480,0, 2440,640,480:waittimer 16944 blt 0,0,640,480,0, 2442,640,480:waittimer 16958 blt 0,0,640,480,0, 2444,640,480:waittimer 16972 blt 0,0,640,480,0, 2446,640,480:waittimer 16986 blt 0,0,640,480,0, 2448,640,480:waittimer 17000 blt 0,0,640,480,0, 2450,640,480:waittimer 17013 blt 0,0,640,480,0, 2452,640,480:waittimer 17027 blt 0,0,640,480,0, 2454,640,480:waittimer 17041 blt 0,0,640,480,0, 2456,640,480:waittimer 17055 blt 0,0,640,480,0, 2458,640,480:waittimer 17069 blt 0,0,640,480,0, 2460,640,480:waittimer 17083 blt 0,0,640,480,0, 2462,640,480:waittimer 17097 blt 0,0,640,480,0, 2464,640,480:waittimer 17111 blt 0,0,640,480,0, 2466,640,480:waittimer 17125 blt 0,0,640,480,0, 2468,640,480:waittimer 17138 blt 0,0,640,480,0, 2470,640,480:waittimer 17152 blt 0,0,640,480,0, 2472,640,480:waittimer 17166 blt 0,0,640,480,0, 2474,640,480:waittimer 17180 blt 0,0,640,480,0, 2476,640,480:waittimer 17194 blt 0,0,640,480,0, 2478,640,480:waittimer 17208 blt 0,0,640,480,0, 2480,640,480:waittimer 17222 blt 0,0,640,480,0, 2482,640,480:waittimer 17236 blt 0,0,640,480,0, 2484,640,480:waittimer 17250 blt 0,0,640,480,0, 2486,640,480:waittimer 17263 blt 0,0,640,480,0, 2488,640,480:waittimer 17277 blt 0,0,640,480,0, 2490,640,480:waittimer 17291 blt 0,0,640,480,0, 2492,640,480:waittimer 17305 blt 0,0,640,480,0, 2494,640,480:waittimer 17319 blt 0,0,640,480,0, 2496,640,480:waittimer 17333 blt 0,0,640,480,0, 2498,640,480:waittimer 17347 blt 0,0,640,480,0, 2500,640,480:waittimer 17361 blt 0,0,640,480,0, 2502,640,480:waittimer 17375 blt 0,0,640,480,0, 2504,640,480:waittimer 17388 blt 0,0,640,480,0, 2506,640,480:waittimer 17402 blt 0,0,640,480,0, 2508,640,480:waittimer 17416 blt 0,0,640,480,0, 2510,640,480:waittimer 17430 blt 0,0,640,480,0, 2512,640,480:waittimer 17444 blt 0,0,640,480,0, 2514,640,480:waittimer 17458 blt 0,0,640,480,0, 2516,640,480:waittimer 17472 blt 0,0,640,480,0, 2518,640,480:waittimer 17486 blt 0,0,640,480,0, 2520,640,480:waittimer 17500 blt 0,0,640,480,0, 2522,640,480:waittimer 17513 blt 0,0,640,480,0, 2524,640,480:waittimer 17527 blt 0,0,640,480,0, 2526,640,480:waittimer 17541 blt 0,0,640,480,0, 2528,640,480:waittimer 17555 blt 0,0,640,480,0, 2530,640,480:waittimer 17569 blt 0,0,640,480,0, 2532,640,480:waittimer 17583 blt 0,0,640,480,0, 2534,640,480:waittimer 17597 blt 0,0,640,480,0, 2536,640,480:waittimer 17611 blt 0,0,640,480,0, 2538,640,480:waittimer 17625 blt 0,0,640,480,0, 2540,640,480:waittimer 17638 blt 0,0,640,480,0, 2542,640,480:waittimer 17652 blt 0,0,640,480,0, 2544,640,480:waittimer 17666 blt 0,0,640,480,0, 2546,640,480:waittimer 17680 blt 0,0,640,480,0, 2548,640,480:waittimer 17694 blt 0,0,640,480,0, 2550,640,480:waittimer 17708 blt 0,0,640,480,0, 2552,640,480:waittimer 17722 blt 0,0,640,480,0, 2554,640,480:waittimer 17736 blt 0,0,640,480,0, 2556,640,480:waittimer 17750 blt 0,0,640,480,0, 2558,640,480:waittimer 17763 blt 0,0,640,480,0, 2560,640,480:waittimer 17777 blt 0,0,640,480,0, 2562,640,480:waittimer 17791 blt 0,0,640,480,0, 2564,640,480:waittimer 17805 blt 0,0,640,480,0, 2566,640,480:waittimer 17819 blt 0,0,640,480,0, 2568,640,480:waittimer 17833 blt 0,0,640,480,0, 2570,640,480:waittimer 17847 blt 0,0,640,480,0, 2572,640,480:waittimer 17861 blt 0,0,640,480,0, 2574,640,480:waittimer 17875 blt 0,0,640,480,0, 2576,640,480:waittimer 17888 blt 0,0,640,480,0, 2578,640,480:waittimer 17902 blt 0,0,640,480,0, 2580,640,480:waittimer 17916 blt 0,0,640,480,0, 2582,640,480:waittimer 17930 blt 0,0,640,480,0, 2584,640,480:waittimer 17944 blt 0,0,640,480,0, 2586,640,480:waittimer 17958 blt 0,0,640,480,0, 2588,640,480:waittimer 17972 blt 0,0,640,480,0, 2590,640,480:waittimer 17986 blt 0,0,640,480,0, 2592,640,480:waittimer 18000 blt 0,0,640,480,0, 2594,640,480:waittimer 18013 blt 0,0,640,480,0, 2596,640,480:waittimer 18027 blt 0,0,640,480,0, 2598,640,480:waittimer 18041 blt 0,0,640,480,0, 2600,640,480:waittimer 18055 blt 0,0,640,480,0, 2602,640,480:waittimer 18069 blt 0,0,640,480,0, 2604,640,480:waittimer 18083 blt 0,0,640,480,0, 2606,640,480:waittimer 18097 blt 0,0,640,480,0, 2608,640,480:waittimer 18111 blt 0,0,640,480,0, 2610,640,480:waittimer 18125 blt 0,0,640,480,0, 2612,640,480:waittimer 18138 blt 0,0,640,480,0, 2614,640,480:waittimer 18152 blt 0,0,640,480,0, 2616,640,480:waittimer 18166 blt 0,0,640,480,0, 2618,640,480:waittimer 18180 blt 0,0,640,480,0, 2620,640,480:waittimer 18194 blt 0,0,640,480,0, 2622,640,480:waittimer 18208 blt 0,0,640,480,0, 2624,640,480:waittimer 18222 blt 0,0,640,480,0, 2626,640,480:waittimer 18236 blt 0,0,640,480,0, 2628,640,480:waittimer 18250 blt 0,0,640,480,0, 2630,640,480:waittimer 18263 blt 0,0,640,480,0, 2632,640,480:waittimer 18277 blt 0,0,640,480,0, 2634,640,480:waittimer 18291 blt 0,0,640,480,0, 2636,640,480:waittimer 18305 blt 0,0,640,480,0, 2638,640,480:waittimer 18319 blt 0,0,640,480,0, 2640,640,480:waittimer 18333 blt 0,0,640,480,0, 2642,640,480:waittimer 18347 blt 0,0,640,480,0, 2644,640,480:waittimer 18361 blt 0,0,640,480,0, 2646,640,480:waittimer 18375 blt 0,0,640,480,0, 2648,640,480:waittimer 18388 blt 0,0,640,480,0, 2650,640,480:waittimer 18402 blt 0,0,640,480,0, 2652,640,480:waittimer 18416 blt 0,0,640,480,0, 2654,640,480:waittimer 18430 blt 0,0,640,480,0, 2656,640,480:waittimer 18444 blt 0,0,640,480,0, 2658,640,480:waittimer 18458 blt 0,0,640,480,0, 2660,640,480:waittimer 18472 blt 0,0,640,480,0, 2662,640,480:waittimer 18486 blt 0,0,640,480,0, 2664,640,480:waittimer 18500 blt 0,0,640,480,0, 2666,640,480:waittimer 18513 blt 0,0,640,480,0, 2668,640,480:waittimer 18527 blt 0,0,640,480,0, 2670,640,480:waittimer 18541 blt 0,0,640,480,0, 2672,640,480:waittimer 18555 blt 0,0,640,480,0, 2674,640,480:waittimer 18569 blt 0,0,640,480,0, 2676,640,480:waittimer 18583 blt 0,0,640,480,0, 2678,640,480:waittimer 18597 blt 0,0,640,480,0, 2680,640,480:waittimer 18611 blt 0,0,640,480,0, 2682,640,480:waittimer 18625 blt 0,0,640,480,0, 2684,640,480:waittimer 18638 blt 0,0,640,480,0, 2686,640,480:waittimer 18652 blt 0,0,640,480,0, 2688,640,480:waittimer 18666 blt 0,0,640,480,0, 2690,640,480:waittimer 18680 blt 0,0,640,480,0, 2692,640,480:waittimer 18694 blt 0,0,640,480,0, 2694,640,480:waittimer 18708 blt 0,0,640,480,0, 2696,640,480:waittimer 18722 blt 0,0,640,480,0, 2698,640,480:waittimer 18736 blt 0,0,640,480,0, 2700,640,480:waittimer 18750 blt 0,0,640,480,0, 2702,640,480:waittimer 18763 blt 0,0,640,480,0, 2704,640,480:waittimer 18777 blt 0,0,640,480,0, 2706,640,480:waittimer 18791 blt 0,0,640,480,0, 2708,640,480:waittimer 18805 blt 0,0,640,480,0, 2710,640,480:waittimer 18819 blt 0,0,640,480,0, 2712,640,480:waittimer 18833 blt 0,0,640,480,0, 2714,640,480:waittimer 18847 blt 0,0,640,480,0, 2716,640,480:waittimer 18861 blt 0,0,640,480,0, 2718,640,480:waittimer 18875 blt 0,0,640,480,0, 2720,640,480:waittimer 18888 blt 0,0,640,480,0, 2722,640,480:waittimer 18902 blt 0,0,640,480,0, 2724,640,480:waittimer 18916 blt 0,0,640,480,0, 2726,640,480:waittimer 18930 blt 0,0,640,480,0, 2728,640,480:waittimer 18944 blt 0,0,640,480,0, 2730,640,480:waittimer 18958 blt 0,0,640,480,0, 2732,640,480:waittimer 18972 blt 0,0,640,480,0, 2734,640,480:waittimer 18986 blt 0,0,640,480,0, 2736,640,480:waittimer 19000 blt 0,0,640,480,0, 2738,640,480:waittimer 19013 blt 0,0,640,480,0, 2740,640,480:waittimer 19027 blt 0,0,640,480,0, 2742,640,480:waittimer 19041 blt 0,0,640,480,0, 2744,640,480:waittimer 19055 blt 0,0,640,480,0, 2746,640,480:waittimer 19069 blt 0,0,640,480,0, 2748,640,480:waittimer 19083 blt 0,0,640,480,0, 2750,640,480:waittimer 19097 blt 0,0,640,480,0, 2752,640,480:waittimer 19111 blt 0,0,640,480,0, 2754,640,480:waittimer 19125 blt 0,0,640,480,0, 2756,640,480:waittimer 19138 blt 0,0,640,480,0, 2758,640,480:waittimer 19152 blt 0,0,640,480,0, 2760,640,480:waittimer 19166 blt 0,0,640,480,0, 2762,640,480:waittimer 19180 blt 0,0,640,480,0, 2764,640,480:waittimer 19194 blt 0,0,640,480,0, 2766,640,480:waittimer 19208 blt 0,0,640,480,0, 2768,640,480:waittimer 19222 blt 0,0,640,480,0, 2770,640,480:waittimer 19236 blt 0,0,640,480,0, 2772,640,480:waittimer 19250 blt 0,0,640,480,0, 2774,640,480:waittimer 19263 blt 0,0,640,480,0, 2776,640,480:waittimer 19277 blt 0,0,640,480,0, 2778,640,480:waittimer 19291 blt 0,0,640,480,0, 2780,640,480:waittimer 19305 blt 0,0,640,480,0, 2782,640,480:waittimer 19319 blt 0,0,640,480,0, 2784,640,480:waittimer 19333 blt 0,0,640,480,0, 2786,640,480:waittimer 19347 blt 0,0,640,480,0, 2788,640,480:waittimer 19361 blt 0,0,640,480,0, 2790,640,480:waittimer 19375 blt 0,0,640,480,0, 2792,640,480:waittimer 19388 blt 0,0,640,480,0, 2794,640,480:waittimer 19402 blt 0,0,640,480,0, 2796,640,480:waittimer 19416 blt 0,0,640,480,0, 2798,640,480:waittimer 19430 blt 0,0,640,480,0, 2800,640,480:waittimer 19444 blt 0,0,640,480,0, 2802,640,480:waittimer 19458 blt 0,0,640,480,0, 2804,640,480:waittimer 19472 blt 0,0,640,480,0, 2806,640,480:waittimer 19486 blt 0,0,640,480,0, 2808,640,480:waittimer 19500 blt 0,0,640,480,0, 2810,640,480:waittimer 19513 blt 0,0,640,480,0, 2812,640,480:waittimer 19527 blt 0,0,640,480,0, 2814,640,480:waittimer 19541 blt 0,0,640,480,0, 2816,640,480:waittimer 19555 blt 0,0,640,480,0, 2818,640,480:waittimer 19569 blt 0,0,640,480,0, 2820,640,480:waittimer 19583 blt 0,0,640,480,0, 2822,640,480:waittimer 19597 blt 0,0,640,480,0, 2824,640,480:waittimer 19611 blt 0,0,640,480,0, 2826,640,480:waittimer 19625 blt 0,0,640,480,0, 2828,640,480:waittimer 19638 blt 0,0,640,480,0, 2830,640,480:waittimer 19652 blt 0,0,640,480,0, 2832,640,480:waittimer 19666 blt 0,0,640,480,0, 2834,640,480:waittimer 19680 blt 0,0,640,480,0, 2836,640,480:waittimer 19694 blt 0,0,640,480,0, 2838,640,480:waittimer 19708 blt 0,0,640,480,0, 2840,640,480:waittimer 19722 blt 0,0,640,480,0, 2842,640,480:waittimer 19736 blt 0,0,640,480,0, 2844,640,480:waittimer 19750 blt 0,0,640,480,0, 2846,640,480:waittimer 19763 blt 0,0,640,480,0, 2848,640,480:waittimer 19777 blt 0,0,640,480,0, 2850,640,480:waittimer 19791 blt 0,0,640,480,0, 2852,640,480:waittimer 19805 blt 0,0,640,480,0, 2854,640,480:waittimer 19819 blt 0,0,640,480,0, 2856,640,480:waittimer 19833 blt 0,0,640,480,0, 2858,640,480:waittimer 19847 blt 0,0,640,480,0, 2860,640,480:waittimer 19861 blt 0,0,640,480,0, 2862,640,480:waittimer 19875 blt 0,0,640,480,0, 2864,640,480:waittimer 19888 blt 0,0,640,480,0, 2866,640,480:waittimer 19902 blt 0,0,640,480,0, 2868,640,480:waittimer 19916 blt 0,0,640,480,0, 2870,640,480:waittimer 19930 blt 0,0,640,480,0, 2872,640,480:waittimer 19944 blt 0,0,640,480,0, 2874,640,480:waittimer 19958 blt 0,0,640,480,0, 2876,640,480:waittimer 19972 blt 0,0,640,480,0, 2878,640,480:waittimer 19986 blt 0,0,640,480,0, 2880,640,480:waittimer 20000 blt 0,0,640,480,0, 2882,640,480:waittimer 20013 blt 0,0,640,480,0, 2884,640,480:waittimer 20027 blt 0,0,640,480,0, 2886,640,480:waittimer 20041 blt 0,0,640,480,0, 2888,640,480:waittimer 20055 blt 0,0,640,480,0, 2890,640,480:waittimer 20069 blt 0,0,640,480,0, 2892,640,480:waittimer 20083 blt 0,0,640,480,0, 2894,640,480:waittimer 20097 blt 0,0,640,480,0, 2896,640,480:waittimer 20111 blt 0,0,640,480,0, 2898,640,480:waittimer 20125 blt 0,0,640,480,0, 2900,640,480:waittimer 20138 blt 0,0,640,480,0, 2902,640,480:waittimer 20152 blt 0,0,640,480,0, 2904,640,480:waittimer 20166 blt 0,0,640,480,0, 2906,640,480:waittimer 20180 blt 0,0,640,480,0, 2908,640,480:waittimer 20194 blt 0,0,640,480,0, 2910,640,480:waittimer 20208 blt 0,0,640,480,0, 2912,640,480:waittimer 20222 blt 0,0,640,480,0, 2914,640,480:waittimer 20236 blt 0,0,640,480,0, 2916,640,480:waittimer 20250 blt 0,0,640,480,0, 2918,640,480:waittimer 20263 blt 0,0,640,480,0, 2920,640,480:waittimer 20277 blt 0,0,640,480,0, 2922,640,480:waittimer 20291 blt 0,0,640,480,0, 2924,640,480:waittimer 20305 blt 0,0,640,480,0, 2926,640,480:waittimer 20319 blt 0,0,640,480,0, 2928,640,480:waittimer 20333 blt 0,0,640,480,0, 2930,640,480:waittimer 20347 blt 0,0,640,480,0, 2932,640,480:waittimer 20361 blt 0,0,640,480,0, 2934,640,480:waittimer 20375 blt 0,0,640,480,0, 2936,640,480:waittimer 20388 blt 0,0,640,480,0, 2938,640,480:waittimer 20402 blt 0,0,640,480,0, 2940,640,480:waittimer 20416 blt 0,0,640,480,0, 2942,640,480:waittimer 20430 blt 0,0,640,480,0, 2944,640,480:waittimer 20444 blt 0,0,640,480,0, 2946,640,480:waittimer 20458 blt 0,0,640,480,0, 2948,640,480:waittimer 20472 blt 0,0,640,480,0, 2950,640,480:waittimer 20486 blt 0,0,640,480,0, 2952,640,480:waittimer 20500 blt 0,0,640,480,0, 2954,640,480:waittimer 20513 blt 0,0,640,480,0, 2956,640,480:waittimer 20527 blt 0,0,640,480,0, 2958,640,480:waittimer 20541 blt 0,0,640,480,0, 2960,640,480:waittimer 20555 blt 0,0,640,480,0, 2962,640,480:waittimer 20569 blt 0,0,640,480,0, 2964,640,480:waittimer 20583 blt 0,0,640,480,0, 2966,640,480:waittimer 20597 blt 0,0,640,480,0, 2968,640,480:waittimer 20611 blt 0,0,640,480,0, 2970,640,480:waittimer 20625 blt 0,0,640,480,0, 2972,640,480:waittimer 20638 blt 0,0,640,480,0, 2974,640,480:waittimer 20652 blt 0,0,640,480,0, 2976,640,480:waittimer 20666 blt 0,0,640,480,0, 2978,640,480:waittimer 20680 blt 0,0,640,480,0, 2980,640,480:waittimer 20694 blt 0,0,640,480,0, 2982,640,480:waittimer 20708 blt 0,0,640,480,0, 2984,640,480:waittimer 20722 blt 0,0,640,480,0, 2986,640,480:waittimer 20736 blt 0,0,640,480,0, 2988,640,480:waittimer 20750 blt 0,0,640,480,0, 2990,640,480:waittimer 20763 blt 0,0,640,480,0, 2992,640,480:waittimer 20777 blt 0,0,640,480,0, 2994,640,480:waittimer 20791 blt 0,0,640,480,0, 2996,640,480:waittimer 20805 blt 0,0,640,480,0, 2998,640,480:waittimer 20819 blt 0,0,640,480,0, 3000,640,480:waittimer 20833 blt 0,0,640,480,0, 3002,640,480:waittimer 20847 blt 0,0,640,480,0, 3004,640,480:waittimer 20861 blt 0,0,640,480,0, 3006,640,480:waittimer 20875 blt 0,0,640,480,0, 3008,640,480:waittimer 20888 blt 0,0,640,480,0, 3010,640,480:waittimer 20902 blt 0,0,640,480,0, 3012,640,480:waittimer 20916 blt 0,0,640,480,0, 3014,640,480:waittimer 20930 blt 0,0,640,480,0, 3016,640,480:waittimer 20944 blt 0,0,640,480,0, 3018,640,480:waittimer 20958 blt 0,0,640,480,0, 3020,640,480:waittimer 20972 blt 0,0,640,480,0, 3022,640,480:waittimer 20986 blt 0,0,640,480,0, 3024,640,480:waittimer 21000 blt 0,0,640,480,0, 3026,640,480:waittimer 21013 blt 0,0,640,480,0, 3028,640,480:waittimer 21027 blt 0,0,640,480,0, 3030,640,480:waittimer 21041 blt 0,0,640,480,0, 3032,640,480:waittimer 21055 blt 0,0,640,480,0, 3034,640,480:waittimer 21069 blt 0,0,640,480,0, 3036,640,480:waittimer 21083 blt 0,0,640,480,0, 3038,640,480:waittimer 21097 blt 0,0,640,480,0, 3040,640,480:waittimer 21111 blt 0,0,640,480,0, 3042,640,480:waittimer 21125 blt 0,0,640,480,0, 3044,640,480:waittimer 21138 blt 0,0,640,480,0, 3046,640,480:waittimer 21152 blt 0,0,640,480,0, 3048,640,480:waittimer 21166 blt 0,0,640,480,0, 3050,640,480:waittimer 21180 blt 0,0,640,480,0, 3052,640,480:waittimer 21194 blt 0,0,640,480,0, 3054,640,480:waittimer 21208 blt 0,0,640,480,0, 3056,640,480:waittimer 21222 blt 0,0,640,480,0, 3058,640,480:waittimer 21236 blt 0,0,640,480,0, 3060,640,480:waittimer 21250 blt 0,0,640,480,0, 3062,640,480:waittimer 21263 blt 0,0,640,480,0, 3064,640,480:waittimer 21277 blt 0,0,640,480,0, 3066,640,480:waittimer 21291 blt 0,0,640,480,0, 3068,640,480:waittimer 21305 blt 0,0,640,480,0, 3070,640,480:waittimer 21319 blt 0,0,640,480,0, 3072,640,480:waittimer 21333 blt 0,0,640,480,0, 3074,640,480:waittimer 21347 blt 0,0,640,480,0, 3076,640,480:waittimer 21361 blt 0,0,640,480,0, 3078,640,480:waittimer 21375 blt 0,0,640,480,0, 3080,640,480:waittimer 21388 blt 0,0,640,480,0, 3082,640,480:waittimer 21402 blt 0,0,640,480,0, 3084,640,480:waittimer 21416 blt 0,0,640,480,0, 3086,640,480:waittimer 21430 blt 0,0,640,480,0, 3088,640,480:waittimer 21444 blt 0,0,640,480,0, 3090,640,480:waittimer 21458 blt 0,0,640,480,0, 3092,640,480:waittimer 21472 blt 0,0,640,480,0, 3094,640,480:waittimer 21486 blt 0,0,640,480,0, 3096,640,480:waittimer 21500 blt 0,0,640,480,0, 3098,640,480:waittimer 21513 blt 0,0,640,480,0, 3100,640,480:waittimer 21527 blt 0,0,640,480,0, 3102,640,480:waittimer 21541 blt 0,0,640,480,0, 3104,640,480:waittimer 21555 blt 0,0,640,480,0, 3106,640,480:waittimer 21569 blt 0,0,640,480,0, 3108,640,480:waittimer 21583 blt 0,0,640,480,0, 3110,640,480:waittimer 21597 blt 0,0,640,480,0, 3112,640,480:waittimer 21611 blt 0,0,640,480,0, 3114,640,480:waittimer 21625 blt 0,0,640,480,0, 3116,640,480:waittimer 21638 blt 0,0,640,480,0, 3118,640,480:waittimer 21652 blt 0,0,640,480,0, 3120,640,480:waittimer 21666 blt 0,0,640,480,0, 3122,640,480:waittimer 21680 blt 0,0,640,480,0, 3124,640,480:waittimer 21694 blt 0,0,640,480,0, 3126,640,480:waittimer 21708 blt 0,0,640,480,0, 3128,640,480:waittimer 21722 blt 0,0,640,480,0, 3130,640,480:waittimer 21736 blt 0,0,640,480,0, 3132,640,480:waittimer 21750 blt 0,0,640,480,0, 3134,640,480:waittimer 21763 blt 0,0,640,480,0, 3136,640,480:waittimer 21777 blt 0,0,640,480,0, 3138,640,480:waittimer 21791 blt 0,0,640,480,0, 3140,640,480:waittimer 21805 blt 0,0,640,480,0, 3142,640,480:waittimer 21819 blt 0,0,640,480,0, 3144,640,480:waittimer 21833 blt 0,0,640,480,0, 3146,640,480:waittimer 21847 blt 0,0,640,480,0, 3148,640,480:waittimer 21861 blt 0,0,640,480,0, 3150,640,480:waittimer 21875 blt 0,0,640,480,0, 3152,640,480:waittimer 21888 blt 0,0,640,480,0, 3154,640,480:waittimer 21902 blt 0,0,640,480,0, 3156,640,480:waittimer 21916 blt 0,0,640,480,0, 3158,640,480:waittimer 21930 blt 0,0,640,480,0, 3160,640,480:waittimer 21944 blt 0,0,640,480,0, 3162,640,480:waittimer 21958 blt 0,0,640,480,0, 3164,640,480:waittimer 21972 blt 0,0,640,480,0, 3166,640,480:waittimer 21986 blt 0,0,640,480,0, 3168,640,480:waittimer 22000 blt 0,0,640,480,0, 3170,640,480:waittimer 22013 blt 0,0,640,480,0, 3172,640,480:waittimer 22027 blt 0,0,640,480,0, 3174,640,480:waittimer 22041 blt 0,0,640,480,0, 3176,640,480:waittimer 22055 blt 0,0,640,480,0, 3178,640,480:waittimer 22069 blt 0,0,640,480,0, 3180,640,480:waittimer 22083 blt 0,0,640,480,0, 3182,640,480:waittimer 22097 blt 0,0,640,480,0, 3184,640,480:waittimer 22111 blt 0,0,640,480,0, 3186,640,480:waittimer 22125 blt 0,0,640,480,0, 3188,640,480:waittimer 22138 blt 0,0,640,480,0, 3190,640,480:waittimer 22152 blt 0,0,640,480,0, 3192,640,480:waittimer 22166 blt 0,0,640,480,0, 3194,640,480:waittimer 22180 blt 0,0,640,480,0, 3196,640,480:waittimer 22194 blt 0,0,640,480,0, 3198,640,480:waittimer 22208 blt 0,0,640,480,0, 3200,640,480:waittimer 22222 blt 0,0,640,480,0, 3202,640,480:waittimer 22236 blt 0,0,640,480,0, 3204,640,480:waittimer 22250 blt 0,0,640,480,0, 3206,640,480:waittimer 22263 blt 0,0,640,480,0, 3208,640,480:waittimer 22277 blt 0,0,640,480,0, 3210,640,480:waittimer 22291 blt 0,0,640,480,0, 3212,640,480:waittimer 22305 blt 0,0,640,480,0, 3214,640,480:waittimer 22319 blt 0,0,640,480,0, 3216,640,480:waittimer 22333 blt 0,0,640,480,0, 3218,640,480:waittimer 22347 blt 0,0,640,480,0, 3220,640,480:waittimer 22361 blt 0,0,640,480,0, 3222,640,480:waittimer 22375 blt 0,0,640,480,0, 3224,640,480:waittimer 22388 blt 0,0,640,480,0, 3226,640,480:waittimer 22402 blt 0,0,640,480,0, 3228,640,480:waittimer 22416 blt 0,0,640,480,0, 3230,640,480:waittimer 22430 blt 0,0,640,480,0, 3232,640,480:waittimer 22444 blt 0,0,640,480,0, 3234,640,480:waittimer 22458 blt 0,0,640,480,0, 3236,640,480:waittimer 22472 blt 0,0,640,480,0, 3238,640,480:waittimer 22486 blt 0,0,640,480,0, 3240,640,480:waittimer 22500 blt 0,0,640,480,0, 3242,640,480:waittimer 22513 blt 0,0,640,480,0, 3244,640,480:waittimer 22527 blt 0,0,640,480,0, 3246,640,480:waittimer 22541 blt 0,0,640,480,0, 3248,640,480:waittimer 22555 blt 0,0,640,480,0, 3250,640,480:waittimer 22569 blt 0,0,640,480,0, 3252,640,480:waittimer 22583 blt 0,0,640,480,0, 3254,640,480:waittimer 22597 blt 0,0,640,480,0, 3256,640,480:waittimer 22611 blt 0,0,640,480,0, 3258,640,480:waittimer 22625 blt 0,0,640,480,0, 3260,640,480:waittimer 22638 blt 0,0,640,480,0, 3262,640,480:waittimer 22652 blt 0,0,640,480,0, 3264,640,480:waittimer 22666 blt 0,0,640,480,0, 3266,640,480:waittimer 22680 blt 0,0,640,480,0, 3268,640,480:waittimer 22694 blt 0,0,640,480,0, 3270,640,480:waittimer 22708 blt 0,0,640,480,0, 3272,640,480:waittimer 22722 blt 0,0,640,480,0, 3274,640,480:waittimer 22736 blt 0,0,640,480,0, 3276,640,480:waittimer 22750 blt 0,0,640,480,0, 3278,640,480:waittimer 22763 blt 0,0,640,480,0, 3280,640,480:waittimer 22777 blt 0,0,640,480,0, 3282,640,480:waittimer 22791 blt 0,0,640,480,0, 3284,640,480:waittimer 22805 blt 0,0,640,480,0, 3286,640,480:waittimer 22819 blt 0,0,640,480,0, 3288,640,480:waittimer 22833 blt 0,0,640,480,0, 3290,640,480:waittimer 22847 blt 0,0,640,480,0, 3292,640,480:waittimer 22861 blt 0,0,640,480,0, 3294,640,480:waittimer 22875 blt 0,0,640,480,0, 3296,640,480:waittimer 22888 blt 0,0,640,480,0, 3298,640,480:waittimer 22902 blt 0,0,640,480,0, 3300,640,480:waittimer 22916 blt 0,0,640,480,0, 3302,640,480:waittimer 22930 blt 0,0,640,480,0, 3304,640,480:waittimer 22944 blt 0,0,640,480,0, 3306,640,480:waittimer 22958 blt 0,0,640,480,0, 3308,640,480:waittimer 22972 blt 0,0,640,480,0, 3310,640,480:waittimer 22986 blt 0,0,640,480,0, 3312,640,480:waittimer 23000 blt 0,0,640,480,0, 3314,640,480:waittimer 23013 blt 0,0,640,480,0, 3316,640,480:waittimer 23027 blt 0,0,640,480,0, 3318,640,480:waittimer 23041 blt 0,0,640,480,0, 3320,640,480:waittimer 23055 blt 0,0,640,480,0, 3322,640,480:waittimer 23069 blt 0,0,640,480,0, 3324,640,480:waittimer 23083 blt 0,0,640,480,0, 3326,640,480:waittimer 23097 blt 0,0,640,480,0, 3328,640,480:waittimer 23111 blt 0,0,640,480,0, 3330,640,480:waittimer 23125 blt 0,0,640,480,0, 3332,640,480:waittimer 23138 blt 0,0,640,480,0, 3334,640,480:waittimer 23152 blt 0,0,640,480,0, 3336,640,480:waittimer 23166 blt 0,0,640,480,0, 3338,640,480:waittimer 23180 blt 0,0,640,480,0, 3340,640,480:waittimer 23194 blt 0,0,640,480,0, 3342,640,480:waittimer 23208 blt 0,0,640,480,0, 3344,640,480:waittimer 23222 blt 0,0,640,480,0, 3346,640,480:waittimer 23236 blt 0,0,640,480,0, 3348,640,480:waittimer 23250 blt 0,0,640,480,0, 3350,640,480:waittimer 23263 blt 0,0,640,480,0, 3352,640,480:waittimer 23277 blt 0,0,640,480,0, 3354,640,480:waittimer 23291 blt 0,0,640,480,0, 3356,640,480:waittimer 23305 blt 0,0,640,480,0, 3358,640,480:waittimer 23319 blt 0,0,640,480,0, 3360,640,480:waittimer 23333 blt 0,0,640,480,0, 3362,640,480:waittimer 23347 blt 0,0,640,480,0, 3364,640,480:waittimer 23361 blt 0,0,640,480,0, 3366,640,480:waittimer 23375 blt 0,0,640,480,0, 3368,640,480:waittimer 23388 blt 0,0,640,480,0, 3370,640,480:waittimer 23402 blt 0,0,640,480,0, 3372,640,480:waittimer 23416 blt 0,0,640,480,0, 3374,640,480:waittimer 23430 blt 0,0,640,480,0, 3376,640,480:waittimer 23444 blt 0,0,640,480,0, 3378,640,480:waittimer 23458 blt 0,0,640,480,0, 3380,640,480:waittimer 23472 blt 0,0,640,480,0, 3382,640,480:waittimer 23486 blt 0,0,640,480,0, 3384,640,480:waittimer 23500 blt 0,0,640,480,0, 3386,640,480:waittimer 23513 blt 0,0,640,480,0, 3388,640,480:waittimer 23527 blt 0,0,640,480,0, 3390,640,480:waittimer 23541 blt 0,0,640,480,0, 3392,640,480:waittimer 23555 blt 0,0,640,480,0, 3394,640,480:waittimer 23569 blt 0,0,640,480,0, 3396,640,480:waittimer 23583 blt 0,0,640,480,0, 3398,640,480:waittimer 23597 blt 0,0,640,480,0, 3400,640,480:waittimer 23611 blt 0,0,640,480,0, 3402,640,480:waittimer 23625 blt 0,0,640,480,0, 3404,640,480:waittimer 23638 blt 0,0,640,480,0, 3406,640,480:waittimer 23652 blt 0,0,640,480,0, 3408,640,480:waittimer 23666 blt 0,0,640,480,0, 3410,640,480:waittimer 23680 blt 0,0,640,480,0, 3412,640,480:waittimer 23694 blt 0,0,640,480,0, 3414,640,480:waittimer 23708 blt 0,0,640,480,0, 3416,640,480:waittimer 23722 blt 0,0,640,480,0, 3418,640,480:waittimer 23736 blt 0,0,640,480,0, 3420,640,480:waittimer 23750 blt 0,0,640,480,0, 3422,640,480:waittimer 23763 blt 0,0,640,480,0, 3424,640,480:waittimer 23777 blt 0,0,640,480,0, 3426,640,480:waittimer 23791 blt 0,0,640,480,0, 3428,640,480:waittimer 23805 blt 0,0,640,480,0, 3430,640,480:waittimer 23819 blt 0,0,640,480,0, 3432,640,480:waittimer 23833 blt 0,0,640,480,0, 3434,640,480:waittimer 23847 blt 0,0,640,480,0, 3436,640,480:waittimer 23861 blt 0,0,640,480,0, 3438,640,480:waittimer 23875 blt 0,0,640,480,0, 3440,640,480:waittimer 23888 blt 0,0,640,480,0, 3442,640,480:waittimer 23902 blt 0,0,640,480,0, 3444,640,480:waittimer 23916 blt 0,0,640,480,0, 3446,640,480:waittimer 23930 blt 0,0,640,480,0, 3448,640,480:waittimer 23944 blt 0,0,640,480,0, 3450,640,480:waittimer 23958 blt 0,0,640,480,0, 3452,640,480:waittimer 23972 blt 0,0,640,480,0, 3454,640,480:waittimer 23986 blt 0,0,640,480,0, 3456,640,480:waittimer 24000 blt 0,0,640,480,0, 3458,640,480:waittimer 24013 blt 0,0,640,480,0, 3460,640,480:waittimer 24027 blt 0,0,640,480,0, 3462,640,480:waittimer 24041 blt 0,0,640,480,0, 3464,640,480:waittimer 24055 blt 0,0,640,480,0, 3466,640,480:waittimer 24069 blt 0,0,640,480,0, 3468,640,480:waittimer 24083 blt 0,0,640,480,0, 3470,640,480:waittimer 24097 blt 0,0,640,480,0, 3472,640,480:waittimer 24111 blt 0,0,640,480,0, 3474,640,480:waittimer 24125 blt 0,0,640,480,0, 3476,640,480:waittimer 24138 blt 0,0,640,480,0, 3478,640,480:waittimer 24152 blt 0,0,640,480,0, 3480,640,480:waittimer 24166 blt 0,0,640,480,0, 3482,640,480:waittimer 24180 blt 0,0,640,480,0, 3484,640,480:waittimer 24194 blt 0,0,640,480,0, 3486,640,480:waittimer 24208 blt 0,0,640,480,0, 3488,640,480:waittimer 24222 blt 0,0,640,480,0, 3490,640,480:waittimer 24236 blt 0,0,640,480,0, 3492,640,480:waittimer 24250 blt 0,0,640,480,0, 3494,640,480:waittimer 24263 blt 0,0,640,480,0, 3496,640,480:waittimer 24277 blt 0,0,640,480,0, 3498,640,480:waittimer 24291 blt 0,0,640,480,0, 3500,640,480:waittimer 24305 blt 0,0,640,480,0, 3502,640,480:waittimer 24319 blt 0,0,640,480,0, 3504,640,480:waittimer 24333 blt 0,0,640,480,0, 3506,640,480:waittimer 24347 blt 0,0,640,480,0, 3508,640,480:waittimer 24361 blt 0,0,640,480,0, 3510,640,480:waittimer 24375 blt 0,0,640,480,0, 3512,640,480:waittimer 24388 blt 0,0,640,480,0, 3514,640,480:waittimer 24402 blt 0,0,640,480,0, 3516,640,480:waittimer 24416 blt 0,0,640,480,0, 3518,640,480:waittimer 24430 blt 0,0,640,480,0, 3520,640,480:waittimer 24444 blt 0,0,640,480,0, 3522,640,480:waittimer 24458 blt 0,0,640,480,0, 3524,640,480:waittimer 24472 blt 0,0,640,480,0, 3526,640,480:waittimer 24486 blt 0,0,640,480,0, 3528,640,480:waittimer 24500 blt 0,0,640,480,0, 3530,640,480:waittimer 24513 blt 0,0,640,480,0, 3532,640,480:waittimer 24527 blt 0,0,640,480,0, 3534,640,480:waittimer 24541 blt 0,0,640,480,0, 3536,640,480:waittimer 24555 blt 0,0,640,480,0, 3538,640,480:waittimer 24569 blt 0,0,640,480,0, 3540,640,480:waittimer 24583 blt 0,0,640,480,0, 3542,640,480:waittimer 24597 blt 0,0,640,480,0, 3544,640,480:waittimer 24611 blt 0,0,640,480,0, 3546,640,480:waittimer 24625 blt 0,0,640,480,0, 3548,640,480:waittimer 24638 blt 0,0,640,480,0, 3550,640,480:waittimer 24652 blt 0,0,640,480,0, 3552,640,480:waittimer 24666 blt 0,0,640,480,0, 3554,640,480:waittimer 24680 blt 0,0,640,480,0, 3556,640,480:waittimer 24694 blt 0,0,640,480,0, 3558,640,480:waittimer 24708 blt 0,0,640,480,0, 3560,640,480:waittimer 24722 blt 0,0,640,480,0, 3562,640,480:waittimer 24736 blt 0,0,640,480,0, 3564,640,480:waittimer 24750 blt 0,0,640,480,0, 3566,640,480:waittimer 24763 blt 0,0,640,480,0, 3568,640,480:waittimer 24777 blt 0,0,640,480,0, 3570,640,480:waittimer 24791 blt 0,0,640,480,0, 3572,640,480:waittimer 24805 blt 0,0,640,480,0, 3574,640,480:waittimer 24819 blt 0,0,640,480,0, 3576,640,480:waittimer 24833 blt 0,0,640,480,0, 3578,640,480:waittimer 24847 blt 0,0,640,480,0, 3580,640,480:waittimer 24861 blt 0,0,640,480,0, 3582,640,480:waittimer 24875 blt 0,0,640,480,0, 3584,640,480:waittimer 24888 blt 0,0,640,480,0, 3586,640,480:waittimer 24902 blt 0,0,640,480,0, 3588,640,480:waittimer 24916 blt 0,0,640,480,0, 3590,640,480:waittimer 24930 blt 0,0,640,480,0, 3592,640,480:waittimer 24944 blt 0,0,640,480,0, 3594,640,480:waittimer 24958 blt 0,0,640,480,0, 3596,640,480:waittimer 24972 blt 0,0,640,480,0, 3598,640,480:waittimer 24986 blt 0,0,640,480,0, 3600,640,480:waittimer 25000 blt 0,0,640,480,0, 3602,640,480:waittimer 25013 blt 0,0,640,480,0, 3604,640,480:waittimer 25027 blt 0,0,640,480,0, 3606,640,480:waittimer 25041 blt 0,0,640,480,0, 3608,640,480:waittimer 25055 blt 0,0,640,480,0, 3610,640,480:waittimer 25069 blt 0,0,640,480,0, 3612,640,480:waittimer 25083 blt 0,0,640,480,0, 3614,640,480:waittimer 25097 blt 0,0,640,480,0, 3616,640,480:waittimer 25111 blt 0,0,640,480,0, 3618,640,480:waittimer 25125 blt 0,0,640,480,0, 3620,640,480:waittimer 25138 blt 0,0,640,480,0, 3622,640,480:waittimer 25152 blt 0,0,640,480,0, 3624,640,480:waittimer 25166 blt 0,0,640,480,0, 3626,640,480:waittimer 25180 blt 0,0,640,480,0, 3628,640,480:waittimer 25194 blt 0,0,640,480,0, 3630,640,480:waittimer 25208 blt 0,0,640,480,0, 3632,640,480:waittimer 25222 blt 0,0,640,480,0, 3634,640,480:waittimer 25236 blt 0,0,640,480,0, 3636,640,480:waittimer 25250 blt 0,0,640,480,0, 3638,640,480:waittimer 25263 blt 0,0,640,480,0, 3640,640,480:waittimer 25277 blt 0,0,640,480,0, 3642,640,480:waittimer 25291 blt 0,0,640,480,0, 3644,640,480:waittimer 25305 blt 0,0,640,480,0, 3646,640,480:waittimer 25319 blt 0,0,640,480,0, 3648,640,480:waittimer 25333 blt 0,0,640,480,0, 3650,640,480:waittimer 25347 blt 0,0,640,480,0, 3652,640,480:waittimer 25361 blt 0,0,640,480,0, 3654,640,480:waittimer 25375 blt 0,0,640,480,0, 3656,640,480:waittimer 25388 blt 0,0,640,480,0, 3658,640,480:waittimer 25402 blt 0,0,640,480,0, 3660,640,480:waittimer 25416 blt 0,0,640,480,0, 3662,640,480:waittimer 25430 blt 0,0,640,480,0, 3664,640,480:waittimer 25444 blt 0,0,640,480,0, 3666,640,480:waittimer 25458 blt 0,0,640,480,0, 3668,640,480:waittimer 25472 blt 0,0,640,480,0, 3670,640,480:waittimer 25486 blt 0,0,640,480,0, 3672,640,480:waittimer 25500 blt 0,0,640,480,0, 3674,640,480:waittimer 25513 blt 0,0,640,480,0, 3676,640,480:waittimer 25527 blt 0,0,640,480,0, 3678,640,480:waittimer 25541 blt 0,0,640,480,0, 3680,640,480:waittimer 25555 blt 0,0,640,480,0, 3682,640,480:waittimer 25569 blt 0,0,640,480,0, 3684,640,480:waittimer 25583 blt 0,0,640,480,0, 3686,640,480:waittimer 25597 blt 0,0,640,480,0, 3688,640,480:waittimer 25611 blt 0,0,640,480,0, 3690,640,480:waittimer 25625 blt 0,0,640,480,0, 3692,640,480:waittimer 25638 blt 0,0,640,480,0, 3694,640,480:waittimer 25652 blt 0,0,640,480,0, 3696,640,480:waittimer 25666 blt 0,0,640,480,0, 3698,640,480:waittimer 25680 blt 0,0,640,480,0, 3700,640,480:waittimer 25694 blt 0,0,640,480,0, 3702,640,480:waittimer 25708 blt 0,0,640,480,0, 3704,640,480:waittimer 25722 blt 0,0,640,480,0, 3706,640,480:waittimer 25736 blt 0,0,640,480,0, 3708,640,480:waittimer 25750 blt 0,0,640,480,0, 3710,640,480:waittimer 25763 blt 0,0,640,480,0, 3712,640,480:waittimer 25777 blt 0,0,640,480,0, 3714,640,480:waittimer 25791 blt 0,0,640,480,0, 3716,640,480:waittimer 25805 blt 0,0,640,480,0, 3718,640,480:waittimer 25819 blt 0,0,640,480,0, 3720,640,480:waittimer 25833 blt 0,0,640,480,0, 3722,640,480:waittimer 25847 blt 0,0,640,480,0, 3724,640,480:waittimer 25861 blt 0,0,640,480,0, 3726,640,480:waittimer 25875 blt 0,0,640,480,0, 3728,640,480:waittimer 25888 blt 0,0,640,480,0, 3730,640,480:waittimer 25902 blt 0,0,640,480,0, 3732,640,480:waittimer 25916 blt 0,0,640,480,0, 3734,640,480:waittimer 25930 blt 0,0,640,480,0, 3736,640,480:waittimer 25944 blt 0,0,640,480,0, 3738,640,480:waittimer 25958 blt 0,0,640,480,0, 3740,640,480:waittimer 25972 blt 0,0,640,480,0, 3742,640,480:waittimer 25986 blt 0,0,640,480,0, 3744,640,480:waittimer 26000 blt 0,0,640,480,0, 3746,640,480:waittimer 26013 blt 0,0,640,480,0, 3748,640,480:waittimer 26027 blt 0,0,640,480,0, 3750,640,480:waittimer 26041 blt 0,0,640,480,0, 3752,640,480:waittimer 26055 blt 0,0,640,480,0, 3754,640,480:waittimer 26069 blt 0,0,640,480,0, 3756,640,480:waittimer 26083 blt 0,0,640,480,0, 3758,640,480:waittimer 26097 blt 0,0,640,480,0, 3760,640,480:waittimer 26111 blt 0,0,640,480,0, 3762,640,480:waittimer 26125 blt 0,0,640,480,0, 3764,640,480:waittimer 26138 blt 0,0,640,480,0, 3766,640,480:waittimer 26152 blt 0,0,640,480,0, 3768,640,480:waittimer 26166 blt 0,0,640,480,0, 3770,640,480:waittimer 26180 blt 0,0,640,480,0, 3772,640,480:waittimer 26194 blt 0,0,640,480,0, 3774,640,480:waittimer 26208 blt 0,0,640,480,0, 3776,640,480:waittimer 26222 blt 0,0,640,480,0, 3778,640,480:waittimer 26236 blt 0,0,640,480,0, 3780,640,480:waittimer 26250 blt 0,0,640,480,0, 3782,640,480:waittimer 26263 blt 0,0,640,480,0, 3784,640,480:waittimer 26277 blt 0,0,640,480,0, 3786,640,480:waittimer 26291 blt 0,0,640,480,0, 3788,640,480:waittimer 26305 blt 0,0,640,480,0, 3790,640,480:waittimer 26319 blt 0,0,640,480,0, 3792,640,480:waittimer 26333 blt 0,0,640,480,0, 3794,640,480:waittimer 26347 blt 0,0,640,480,0, 3796,640,480:waittimer 26361 blt 0,0,640,480,0, 3798,640,480:waittimer 26375 blt 0,0,640,480,0, 3800,640,480:waittimer 26388 blt 0,0,640,480,0, 3802,640,480:waittimer 26402 blt 0,0,640,480,0, 3804,640,480:waittimer 26416 blt 0,0,640,480,0, 3806,640,480:waittimer 26430 blt 0,0,640,480,0, 3808,640,480:waittimer 26444 blt 0,0,640,480,0, 3810,640,480:waittimer 26458 blt 0,0,640,480,0, 3812,640,480:waittimer 26472 blt 0,0,640,480,0, 3814,640,480:waittimer 26486 blt 0,0,640,480,0, 3816,640,480:waittimer 26500 blt 0,0,640,480,0, 3818,640,480:waittimer 26513 blt 0,0,640,480,0, 3820,640,480:waittimer 26527 blt 0,0,640,480,0, 3822,640,480:waittimer 26541 blt 0,0,640,480,0, 3824,640,480:waittimer 26555 blt 0,0,640,480,0, 3826,640,480:waittimer 26569 blt 0,0,640,480,0, 3828,640,480:waittimer 26583 blt 0,0,640,480,0, 3830,640,480:waittimer 26597 blt 0,0,640,480,0, 3832,640,480:waittimer 26611 blt 0,0,640,480,0, 3834,640,480:waittimer 26625 blt 0,0,640,480,0, 3836,640,480:waittimer 26638 blt 0,0,640,480,0, 3838,640,480:waittimer 26652 blt 0,0,640,480,0, 3840,640,480:waittimer 26666 blt 0,0,640,480,0, 3842,640,480:waittimer 26680 blt 0,0,640,480,0, 3844,640,480:waittimer 26694 blt 0,0,640,480,0, 3846,640,480:waittimer 26708 blt 0,0,640,480,0, 3848,640,480:waittimer 26722 blt 0,0,640,480,0, 3850,640,480:waittimer 26736 blt 0,0,640,480,0, 3852,640,480:waittimer 26750 blt 0,0,640,480,0, 3854,640,480:waittimer 26763 blt 0,0,640,480,0, 3856,640,480:waittimer 26777 blt 0,0,640,480,0, 3858,640,480:waittimer 26791 blt 0,0,640,480,0, 3860,640,480:waittimer 26805 blt 0,0,640,480,0, 3862,640,480:waittimer 26819 blt 0,0,640,480,0, 3864,640,480:waittimer 26833 blt 0,0,640,480,0, 3866,640,480:waittimer 26847 blt 0,0,640,480,0, 3868,640,480:waittimer 26861 blt 0,0,640,480,0, 3870,640,480:waittimer 26875 blt 0,0,640,480,0, 3872,640,480:waittimer 26888 blt 0,0,640,480,0, 3874,640,480:waittimer 26902 blt 0,0,640,480,0, 3876,640,480:waittimer 26916 blt 0,0,640,480,0, 3878,640,480:waittimer 26930 blt 0,0,640,480,0, 3880,640,480:waittimer 26944 blt 0,0,640,480,0, 3882,640,480:waittimer 26958 blt 0,0,640,480,0, 3884,640,480:waittimer 26972 blt 0,0,640,480,0, 3886,640,480:waittimer 26986 blt 0,0,640,480,0, 3888,640,480:waittimer 27000 blt 0,0,640,480,0, 3890,640,480:waittimer 27013 blt 0,0,640,480,0, 3892,640,480:waittimer 27027 blt 0,0,640,480,0, 3894,640,480:waittimer 27041 blt 0,0,640,480,0, 3896,640,480:waittimer 27055 blt 0,0,640,480,0, 3898,640,480:waittimer 27069 blt 0,0,640,480,0, 3900,640,480:waittimer 27083 blt 0,0,640,480,0, 3902,640,480:waittimer 27097 blt 0,0,640,480,0, 3904,640,480:waittimer 27111 blt 0,0,640,480,0, 3906,640,480:waittimer 27125 blt 0,0,640,480,0, 3908,640,480:waittimer 27138 blt 0,0,640,480,0, 3910,640,480:waittimer 27152 blt 0,0,640,480,0, 3912,640,480:waittimer 27166 blt 0,0,640,480,0, 3914,640,480:waittimer 27180 blt 0,0,640,480,0, 3916,640,480:waittimer 27194 blt 0,0,640,480,0, 3918,640,480:waittimer 27208 blt 0,0,640,480,0, 3920,640,480:waittimer 27222 blt 0,0,640,480,0, 3922,640,480:waittimer 27236 blt 0,0,640,480,0, 3924,640,480:waittimer 27250 blt 0,0,640,480,0, 3926,640,480:waittimer 27263 blt 0,0,640,480,0, 3928,640,480:waittimer 27277 blt 0,0,640,480,0, 3930,640,480:waittimer 27291 blt 0,0,640,480,0, 3932,640,480:waittimer 27305 blt 0,0,640,480,0, 3934,640,480:waittimer 27319 blt 0,0,640,480,0, 3936,640,480:waittimer 27333 blt 0,0,640,480,0, 3938,640,480:waittimer 27347 blt 0,0,640,480,0, 3940,640,480:waittimer 27361 blt 0,0,640,480,0, 3942,640,480:waittimer 27375 blt 0,0,640,480,0, 3944,640,480:waittimer 27388 blt 0,0,640,480,0, 3946,640,480:waittimer 27402 blt 0,0,640,480,0, 3948,640,480:waittimer 27416 blt 0,0,640,480,0, 3950,640,480:waittimer 27430 blt 0,0,640,480,0, 3952,640,480:waittimer 27444 blt 0,0,640,480,0, 3954,640,480:waittimer 27458 blt 0,0,640,480,0, 3956,640,480:waittimer 27472 blt 0,0,640,480,0, 3958,640,480:waittimer 27486 blt 0,0,640,480,0, 3960,640,480:waittimer 27500 blt 0,0,640,480,0, 3962,640,480:waittimer 27513 blt 0,0,640,480,0, 3964,640,480:waittimer 27527 blt 0,0,640,480,0, 3966,640,480:waittimer 27541 blt 0,0,640,480,0, 3968,640,480:waittimer 27555 blt 0,0,640,480,0, 3970,640,480:waittimer 27569 blt 0,0,640,480,0, 3972,640,480:waittimer 27583 blt 0,0,640,480,0, 3974,640,480:waittimer 27597 blt 0,0,640,480,0, 3976,640,480:waittimer 27611 blt 0,0,640,480,0, 3978,640,480:waittimer 27625 blt 0,0,640,480,0, 3980,640,480:waittimer 27638 blt 0,0,640,480,0, 3982,640,480:waittimer 27652 blt 0,0,640,480,0, 3984,640,480:waittimer 27666 blt 0,0,640,480,0, 3986,640,480:waittimer 27680 blt 0,0,640,480,0, 3988,640,480:waittimer 27694 blt 0,0,640,480,0, 3990,640,480:waittimer 27708 blt 0,0,640,480,0, 3992,640,480:waittimer 27722 blt 0,0,640,480,0, 3994,640,480:waittimer 27736 blt 0,0,640,480,0, 3996,640,480:waittimer 27750 blt 0,0,640,480,0, 3998,640,480:waittimer 27763 blt 0,0,640,480,0, 4000,640,480:waittimer 27777 blt 0,0,640,480,0, 4002,640,480:waittimer 27791 blt 0,0,640,480,0, 4004,640,480:waittimer 27805 blt 0,0,640,480,0, 4006,640,480:waittimer 27819 blt 0,0,640,480,0, 4008,640,480:waittimer 27833 blt 0,0,640,480,0, 4010,640,480:waittimer 27847 blt 0,0,640,480,0, 4012,640,480:waittimer 27861 blt 0,0,640,480,0, 4014,640,480:waittimer 27875 blt 0,0,640,480,0, 4016,640,480:waittimer 27888 blt 0,0,640,480,0, 4018,640,480:waittimer 27902 blt 0,0,640,480,0, 4020,640,480:waittimer 27916 blt 0,0,640,480,0, 4022,640,480:waittimer 27930 blt 0,0,640,480,0, 4024,640,480:waittimer 27944 blt 0,0,640,480,0, 4026,640,480:waittimer 27958 blt 0,0,640,480,0, 4028,640,480:waittimer 27972 blt 0,0,640,480,0, 4030,640,480:waittimer 27986 blt 0,0,640,480,0, 4032,640,480:waittimer 28000 blt 0,0,640,480,0, 4034,640,480:waittimer 28013 blt 0,0,640,480,0, 4036,640,480:waittimer 28027 blt 0,0,640,480,0, 4038,640,480:waittimer 28041 blt 0,0,640,480,0, 4040,640,480:waittimer 28055 blt 0,0,640,480,0, 4042,640,480:waittimer 28069 blt 0,0,640,480,0, 4044,640,480:waittimer 28083 blt 0,0,640,480,0, 4046,640,480:waittimer 28097 blt 0,0,640,480,0, 4048,640,480:waittimer 28111 blt 0,0,640,480,0, 4050,640,480:waittimer 28125 blt 0,0,640,480,0, 4052,640,480:waittimer 28138 blt 0,0,640,480,0, 4054,640,480:waittimer 28152 blt 0,0,640,480,0, 4056,640,480:waittimer 28166 blt 0,0,640,480,0, 4058,640,480:waittimer 28180 blt 0,0,640,480,0, 4060,640,480:waittimer 28194 blt 0,0,640,480,0, 4062,640,480:waittimer 28208 blt 0,0,640,480,0, 4064,640,480:waittimer 28222 blt 0,0,640,480,0, 4066,640,480:waittimer 28236 blt 0,0,640,480,0, 4068,640,480:waittimer 28250 blt 0,0,640,480,0, 4070,640,480:waittimer 28263 blt 0,0,640,480,0, 4072,640,480:waittimer 28277 blt 0,0,640,480,0, 4074,640,480:waittimer 28291 blt 0,0,640,480,0, 4076,640,480:waittimer 28305 blt 0,0,640,480,0, 4078,640,480:waittimer 28319 blt 0,0,640,480,0, 4080,640,480:waittimer 28333 blt 0,0,640,480,0, 4082,640,480:waittimer 28347 blt 0,0,640,480,0, 4084,640,480:waittimer 28361 blt 0,0,640,480,0, 4086,640,480:waittimer 28375 blt 0,0,640,480,0, 4088,640,480:waittimer 28388 blt 0,0,640,480,0, 4090,640,480:waittimer 28402 blt 0,0,640,480,0, 4092,640,480:waittimer 28416 blt 0,0,640,480,0, 4094,640,480:waittimer 28430 blt 0,0,640,480,0, 4096,640,480:waittimer 28444 blt 0,0,640,480,0, 4098,640,480:waittimer 28458 blt 0,0,640,480,0, 4100,640,480:waittimer 28472 blt 0,0,640,480,0, 4102,640,480:waittimer 28486 blt 0,0,640,480,0, 4104,640,480:waittimer 28500 blt 0,0,640,480,0, 4106,640,480:waittimer 28513 blt 0,0,640,480,0, 4108,640,480:waittimer 28527 blt 0,0,640,480,0, 4110,640,480:waittimer 28541 blt 0,0,640,480,0, 4112,640,480:waittimer 28555 blt 0,0,640,480,0, 4114,640,480:waittimer 28569 blt 0,0,640,480,0, 4116,640,480:waittimer 28583 blt 0,0,640,480,0, 4118,640,480:waittimer 28597 blt 0,0,640,480,0, 4120,640,480:waittimer 28611 blt 0,0,640,480,0, 4122,640,480:waittimer 28625 blt 0,0,640,480,0, 4124,640,480:waittimer 28638 blt 0,0,640,480,0, 4126,640,480:waittimer 28652 blt 0,0,640,480,0, 4128,640,480:waittimer 28666 blt 0,0,640,480,0, 4130,640,480:waittimer 28680 blt 0,0,640,480,0, 4132,640,480:waittimer 28694 blt 0,0,640,480,0, 4134,640,480:waittimer 28708 blt 0,0,640,480,0, 4136,640,480:waittimer 28722 blt 0,0,640,480,0, 4138,640,480:waittimer 28736 blt 0,0,640,480,0, 4140,640,480:waittimer 28750 blt 0,0,640,480,0, 4142,640,480:waittimer 28763 blt 0,0,640,480,0, 4144,640,480:waittimer 28777 blt 0,0,640,480,0, 4146,640,480:waittimer 28791 blt 0,0,640,480,0, 4148,640,480:waittimer 28805 blt 0,0,640,480,0, 4150,640,480:waittimer 28819 blt 0,0,640,480,0, 4152,640,480:waittimer 28833 blt 0,0,640,480,0, 4154,640,480:waittimer 28847 blt 0,0,640,480,0, 4156,640,480:waittimer 28861 blt 0,0,640,480,0, 4158,640,480:waittimer 28875 blt 0,0,640,480,0, 4160,640,480:waittimer 28888 blt 0,0,640,480,0, 4162,640,480:waittimer 28902 blt 0,0,640,480,0, 4164,640,480:waittimer 28916 blt 0,0,640,480,0, 4166,640,480:waittimer 28930 blt 0,0,640,480,0, 4168,640,480:waittimer 28944 blt 0,0,640,480,0, 4170,640,480:waittimer 28958 blt 0,0,640,480,0, 4172,640,480:waittimer 28972 blt 0,0,640,480,0, 4174,640,480:waittimer 28986 blt 0,0,640,480,0, 4176,640,480:waittimer 29000 blt 0,0,640,480,0, 4178,640,480:waittimer 29013 blt 0,0,640,480,0, 4180,640,480:waittimer 29027 blt 0,0,640,480,0, 4182,640,480:waittimer 29041 blt 0,0,640,480,0, 4184,640,480:waittimer 29055 blt 0,0,640,480,0, 4186,640,480:waittimer 29069 blt 0,0,640,480,0, 4188,640,480:waittimer 29083 blt 0,0,640,480,0, 4190,640,480:waittimer 29097 blt 0,0,640,480,0, 4192,640,480:waittimer 29111 blt 0,0,640,480,0, 4194,640,480:waittimer 29125 blt 0,0,640,480,0, 4196,640,480:waittimer 29138 blt 0,0,640,480,0, 4198,640,480:waittimer 29152 blt 0,0,640,480,0, 4200,640,480:waittimer 29166 blt 0,0,640,480,0, 4202,640,480:waittimer 29180 blt 0,0,640,480,0, 4204,640,480:waittimer 29194 blt 0,0,640,480,0, 4206,640,480:waittimer 29208 blt 0,0,640,480,0, 4208,640,480:waittimer 29222 blt 0,0,640,480,0, 4210,640,480:waittimer 29236 blt 0,0,640,480,0, 4212,640,480:waittimer 29250 blt 0,0,640,480,0, 4214,640,480:waittimer 29263 blt 0,0,640,480,0, 4216,640,480:waittimer 29277 blt 0,0,640,480,0, 4218,640,480:waittimer 29291 blt 0,0,640,480,0, 4220,640,480:waittimer 29305 blt 0,0,640,480,0, 4222,640,480:waittimer 29319 blt 0,0,640,480,0, 4224,640,480:waittimer 29333 blt 0,0,640,480,0, 4226,640,480:waittimer 29347 blt 0,0,640,480,0, 4228,640,480:waittimer 29361 blt 0,0,640,480,0, 4230,640,480:waittimer 29375 blt 0,0,640,480,0, 4232,640,480:waittimer 29388 blt 0,0,640,480,0, 4234,640,480:waittimer 29402 blt 0,0,640,480,0, 4236,640,480:waittimer 29416 blt 0,0,640,480,0, 4238,640,480:waittimer 29430 blt 0,0,640,480,0, 4240,640,480:waittimer 29444 blt 0,0,640,480,0, 4242,640,480:waittimer 29458 blt 0,0,640,480,0, 4244,640,480:waittimer 29472 blt 0,0,640,480,0, 4246,640,480:waittimer 29486 blt 0,0,640,480,0, 4248,640,480:waittimer 29500 blt 0,0,640,480,0, 4250,640,480:waittimer 29513 blt 0,0,640,480,0, 4252,640,480:waittimer 29527 blt 0,0,640,480,0, 4254,640,480:waittimer 29541 blt 0,0,640,480,0, 4256,640,480:waittimer 29555 blt 0,0,640,480,0, 4258,640,480:waittimer 29569 blt 0,0,640,480,0, 4260,640,480:waittimer 29583 blt 0,0,640,480,0, 4262,640,480:waittimer 29597 blt 0,0,640,480,0, 4264,640,480:waittimer 29611 blt 0,0,640,480,0, 4266,640,480:waittimer 29625 blt 0,0,640,480,0, 4268,640,480:waittimer 29638 blt 0,0,640,480,0, 4270,640,480:waittimer 29652 blt 0,0,640,480,0, 4272,640,480:waittimer 29666 blt 0,0,640,480,0, 4274,640,480:waittimer 29680 blt 0,0,640,480,0, 4276,640,480:waittimer 29694 blt 0,0,640,480,0, 4278,640,480:waittimer 29708 blt 0,0,640,480,0, 4280,640,480:waittimer 29722 blt 0,0,640,480,0, 4282,640,480:waittimer 29736 blt 0,0,640,480,0, 4284,640,480:waittimer 29750 blt 0,0,640,480,0, 4286,640,480:waittimer 29763 blt 0,0,640,480,0, 4288,640,480:waittimer 29777 blt 0,0,640,480,0, 4290,640,480:waittimer 29791 blt 0,0,640,480,0, 4292,640,480:waittimer 29805 blt 0,0,640,480,0, 4294,640,480:waittimer 29819 blt 0,0,640,480,0, 4296,640,480:waittimer 29833 blt 0,0,640,480,0, 4298,640,480:waittimer 29847 blt 0,0,640,480,0, 4300,640,480:waittimer 29861 blt 0,0,640,480,0, 4302,640,480:waittimer 29875 blt 0,0,640,480,0, 4304,640,480:waittimer 29888 blt 0,0,640,480,0, 4306,640,480:waittimer 29902 blt 0,0,640,480,0, 4308,640,480:waittimer 29916 blt 0,0,640,480,0, 4310,640,480:waittimer 29930 blt 0,0,640,480,0, 4312,640,480:waittimer 29944 blt 0,0,640,480,0, 4314,640,480:waittimer 29958 blt 0,0,640,480,0, 4316,640,480:waittimer 29972 blt 0,0,640,480,0, 4318,640,480:waittimer 29986 blt 0,0,640,480,0, 4320,640,480:waittimer 30000 blt 0,0,640,480,0, 4322,640,480:waittimer 30013 blt 0,0,640,480,0, 4324,640,480:waittimer 30027 blt 0,0,640,480,0, 4326,640,480:waittimer 30041 blt 0,0,640,480,0, 4328,640,480:waittimer 30055 blt 0,0,640,480,0, 4330,640,480:waittimer 30069 blt 0,0,640,480,0, 4332,640,480:waittimer 30083 blt 0,0,640,480,0, 4334,640,480:waittimer 30097 blt 0,0,640,480,0, 4336,640,480:waittimer 30111 blt 0,0,640,480,0, 4338,640,480:waittimer 30125 blt 0,0,640,480,0, 4340,640,480:waittimer 30138 blt 0,0,640,480,0, 4342,640,480:waittimer 30152 blt 0,0,640,480,0, 4344,640,480:waittimer 30166 blt 0,0,640,480,0, 4346,640,480:waittimer 30180 blt 0,0,640,480,0, 4348,640,480:waittimer 30194 blt 0,0,640,480,0, 4350,640,480:waittimer 30208 blt 0,0,640,480,0, 4352,640,480:waittimer 30222 blt 0,0,640,480,0, 4354,640,480:waittimer 30236 blt 0,0,640,480,0, 4356,640,480:waittimer 30250 blt 0,0,640,480,0, 4358,640,480:waittimer 30263 blt 0,0,640,480,0, 4360,640,480:waittimer 30277 blt 0,0,640,480,0, 4362,640,480:waittimer 30291 blt 0,0,640,480,0, 4364,640,480:waittimer 30305 blt 0,0,640,480,0, 4366,640,480:waittimer 30319 blt 0,0,640,480,0, 4368,640,480:waittimer 30333 blt 0,0,640,480,0, 4370,640,480:waittimer 30347 blt 0,0,640,480,0, 4372,640,480:waittimer 30361 blt 0,0,640,480,0, 4374,640,480:waittimer 30375 blt 0,0,640,480,0, 4376,640,480:waittimer 30388 blt 0,0,640,480,0, 4378,640,480:waittimer 30402 blt 0,0,640,480,0, 4380,640,480:waittimer 30416 blt 0,0,640,480,0, 4382,640,480:waittimer 30430 blt 0,0,640,480,0, 4384,640,480:waittimer 30444 blt 0,0,640,480,0, 4386,640,480:waittimer 30458 blt 0,0,640,480,0, 4388,640,480:waittimer 30472 blt 0,0,640,480,0, 4390,640,480:waittimer 30486 blt 0,0,640,480,0, 4392,640,480:waittimer 30500 blt 0,0,640,480,0, 4394,640,480:waittimer 30513 blt 0,0,640,480,0, 4396,640,480:waittimer 30527 blt 0,0,640,480,0, 4398,640,480:waittimer 30541 blt 0,0,640,480,0, 4400,640,480:waittimer 30555 blt 0,0,640,480,0, 4402,640,480:waittimer 30569 blt 0,0,640,480,0, 4404,640,480:waittimer 30583 blt 0,0,640,480,0, 4406,640,480:waittimer 30597 blt 0,0,640,480,0, 4408,640,480:waittimer 30611 blt 0,0,640,480,0, 4410,640,480:waittimer 30625 blt 0,0,640,480,0, 4412,640,480:waittimer 30638 blt 0,0,640,480,0, 4414,640,480:waittimer 30652 blt 0,0,640,480,0, 4416,640,480:waittimer 30666 blt 0,0,640,480,0, 4418,640,480:waittimer 30680 blt 0,0,640,480,0, 4420,640,480:waittimer 30694 blt 0,0,640,480,0, 4422,640,480:waittimer 30708 blt 0,0,640,480,0, 4424,640,480:waittimer 30722 blt 0,0,640,480,0, 4426,640,480:waittimer 30736 blt 0,0,640,480,0, 4428,640,480:waittimer 30750 blt 0,0,640,480,0, 4430,640,480:waittimer 30763 blt 0,0,640,480,0, 4432,640,480:waittimer 30777 blt 0,0,640,480,0, 4434,640,480:waittimer 30791 blt 0,0,640,480,0, 4436,640,480:waittimer 30805 blt 0,0,640,480,0, 4438,640,480:waittimer 30819 blt 0,0,640,480,0, 4440,640,480:waittimer 30833 blt 0,0,640,480,0, 4442,640,480:waittimer 30847 blt 0,0,640,480,0, 4444,640,480:waittimer 30861 blt 0,0,640,480,0, 4446,640,480:waittimer 30875 blt 0,0,640,480,0, 4448,640,480:waittimer 30888 blt 0,0,640,480,0, 4450,640,480:waittimer 30902 blt 0,0,640,480,0, 4452,640,480:waittimer 30916 blt 0,0,640,480,0, 4454,640,480:waittimer 30930 blt 0,0,640,480,0, 4456,640,480:waittimer 30944 blt 0,0,640,480,0, 4458,640,480:waittimer 30958 blt 0,0,640,480,0, 4460,640,480:waittimer 30972 blt 0,0,640,480,0, 4462,640,480:waittimer 30986 blt 0,0,640,480,0, 4464,640,480:waittimer 31000 blt 0,0,640,480,0, 4466,640,480:waittimer 31013 blt 0,0,640,480,0, 4468,640,480:waittimer 31027 blt 0,0,640,480,0, 4470,640,480:waittimer 31041 blt 0,0,640,480,0, 4472,640,480:waittimer 31055 blt 0,0,640,480,0, 4474,640,480:waittimer 31069 blt 0,0,640,480,0, 4476,640,480:waittimer 31083 blt 0,0,640,480,0, 4478,640,480:waittimer 31097 blt 0,0,640,480,0, 4480,640,480:waittimer 31111 blt 0,0,640,480,0, 4482,640,480:waittimer 31125 blt 0,0,640,480,0, 4484,640,480:waittimer 31138 blt 0,0,640,480,0, 4486,640,480:waittimer 31152 blt 0,0,640,480,0, 4488,640,480:waittimer 31166 blt 0,0,640,480,0, 4490,640,480:waittimer 31180 blt 0,0,640,480,0, 4492,640,480:waittimer 31194 blt 0,0,640,480,0, 4494,640,480:waittimer 31208 blt 0,0,640,480,0, 4496,640,480:waittimer 31222 blt 0,0,640,480,0, 4498,640,480:waittimer 31236 blt 0,0,640,480,0, 4500,640,480:waittimer 31250 blt 0,0,640,480,0, 4502,640,480:waittimer 31263 blt 0,0,640,480,0, 4504,640,480:waittimer 31277 blt 0,0,640,480,0, 4506,640,480:waittimer 31291 blt 0,0,640,480,0, 4508,640,480:waittimer 31305 blt 0,0,640,480,0, 4510,640,480:waittimer 31319 blt 0,0,640,480,0, 4512,640,480:waittimer 31333 blt 0,0,640,480,0, 4514,640,480:waittimer 31347 blt 0,0,640,480,0, 4516,640,480:waittimer 31361 blt 0,0,640,480,0, 4518,640,480:waittimer 31375 blt 0,0,640,480,0, 4520,640,480:waittimer 31388 blt 0,0,640,480,0, 4522,640,480:waittimer 31402 blt 0,0,640,480,0, 4524,640,480:waittimer 31416 blt 0,0,640,480,0, 4526,640,480:waittimer 31430 blt 0,0,640,480,0, 4528,640,480:waittimer 31444 blt 0,0,640,480,0, 4530,640,480:waittimer 31458 blt 0,0,640,480,0, 4532,640,480:waittimer 31472 blt 0,0,640,480,0, 4534,640,480:waittimer 31486 blt 0,0,640,480,0, 4536,640,480:waittimer 31500 blt 0,0,640,480,0, 4538,640,480:waittimer 31513 blt 0,0,640,480,0, 4540,640,480:waittimer 31527 blt 0,0,640,480,0, 4542,640,480:waittimer 31541 blt 0,0,640,480,0, 4544,640,480:waittimer 31555 blt 0,0,640,480,0, 4546,640,480:waittimer 31569 blt 0,0,640,480,0, 4548,640,480:waittimer 31583 blt 0,0,640,480,0, 4550,640,480:waittimer 31597 blt 0,0,640,480,0, 4552,640,480:waittimer 31611 blt 0,0,640,480,0, 4554,640,480:waittimer 31625 blt 0,0,640,480,0, 4556,640,480:waittimer 31638 blt 0,0,640,480,0, 4558,640,480:waittimer 31652 blt 0,0,640,480,0, 4560,640,480:waittimer 31666 blt 0,0,640,480,0, 4562,640,480:waittimer 31680 blt 0,0,640,480,0, 4564,640,480:waittimer 31694 blt 0,0,640,480,0, 4566,640,480:waittimer 31708 blt 0,0,640,480,0, 4568,640,480:waittimer 31722 blt 0,0,640,480,0, 4570,640,480:waittimer 31736 blt 0,0,640,480,0, 4572,640,480:waittimer 31750 blt 0,0,640,480,0, 4574,640,480:waittimer 31763 blt 0,0,640,480,0, 4576,640,480:waittimer 31777 blt 0,0,640,480,0, 4578,640,480:waittimer 31791 blt 0,0,640,480,0, 4580,640,480:waittimer 31805 blt 0,0,640,480,0, 4582,640,480:waittimer 31819 blt 0,0,640,480,0, 4584,640,480:waittimer 31833 blt 0,0,640,480,0, 4586,640,480:waittimer 31847 blt 0,0,640,480,0, 4588,640,480:waittimer 31861 blt 0,0,640,480,0, 4590,640,480:waittimer 31875 blt 0,0,640,480,0, 4592,640,480:waittimer 31888 blt 0,0,640,480,0, 4594,640,480:waittimer 31902 blt 0,0,640,480,0, 4596,640,480:waittimer 31916 blt 0,0,640,480,0, 4598,640,480:waittimer 31930 blt 0,0,640,480,0, 4600,640,480:waittimer 31944 blt 0,0,640,480,0, 4602,640,480:waittimer 31958 blt 0,0,640,480,0, 4604,640,480:waittimer 31972 blt 0,0,640,480,0, 4606,640,480:waittimer 31986 blt 0,0,640,480,0, 4608,640,480:waittimer 32000 blt 0,0,640,480,0, 4610,640,480:waittimer 32013 blt 0,0,640,480,0, 4612,640,480:waittimer 32027 blt 0,0,640,480,0, 4614,640,480:waittimer 32041 blt 0,0,640,480,0, 4616,640,480:waittimer 32055 blt 0,0,640,480,0, 4618,640,480:waittimer 32069 blt 0,0,640,480,0, 4620,640,480:waittimer 32083 blt 0,0,640,480,0, 4622,640,480:waittimer 32097 blt 0,0,640,480,0, 4624,640,480:waittimer 32111 blt 0,0,640,480,0, 4626,640,480:waittimer 32125 blt 0,0,640,480,0, 4628,640,480:waittimer 32138 blt 0,0,640,480,0, 4630,640,480:waittimer 32152 blt 0,0,640,480,0, 4632,640,480:waittimer 32166 blt 0,0,640,480,0, 4634,640,480:waittimer 32180 blt 0,0,640,480,0, 4636,640,480:waittimer 32194 blt 0,0,640,480,0, 4638,640,480:waittimer 32208 blt 0,0,640,480,0, 4640,640,480:waittimer 32222 blt 0,0,640,480,0, 4642,640,480:waittimer 32236 blt 0,0,640,480,0, 4644,640,480:waittimer 32250 blt 0,0,640,480,0, 4646,640,480:waittimer 32263 blt 0,0,640,480,0, 4648,640,480:waittimer 32277 blt 0,0,640,480,0, 4650,640,480:waittimer 32291 blt 0,0,640,480,0, 4652,640,480:waittimer 32305 blt 0,0,640,480,0, 4654,640,480:waittimer 32319 blt 0,0,640,480,0, 4656,640,480:waittimer 32333 blt 0,0,640,480,0, 4658,640,480:waittimer 32347 blt 0,0,640,480,0, 4660,640,480:waittimer 32361 blt 0,0,640,480,0, 4662,640,480:waittimer 32375 blt 0,0,640,480,0, 4664,640,480:waittimer 32388 blt 0,0,640,480,0, 4666,640,480:waittimer 32402 blt 0,0,640,480,0, 4668,640,480:waittimer 32416 blt 0,0,640,480,0, 4670,640,480:waittimer 32430 blt 0,0,640,480,0, 4672,640,480:waittimer 32444 blt 0,0,640,480,0, 4674,640,480:waittimer 32458 blt 0,0,640,480,0, 4676,640,480:waittimer 32472 blt 0,0,640,480,0, 4678,640,480:waittimer 32486 blt 0,0,640,480,0, 4680,640,480:waittimer 32500 blt 0,0,640,480,0, 4682,640,480:waittimer 32513 blt 0,0,640,480,0, 4684,640,480:waittimer 32527 blt 0,0,640,480,0, 4686,640,480:waittimer 32541 blt 0,0,640,480,0, 4688,640,480:waittimer 32555 blt 0,0,640,480,0, 4690,640,480:waittimer 32569 blt 0,0,640,480,0, 4692,640,480:waittimer 32583 blt 0,0,640,480,0, 4694,640,480:waittimer 32597 blt 0,0,640,480,0, 4696,640,480:waittimer 32611 blt 0,0,640,480,0, 4698,640,480:waittimer 32625 blt 0,0,640,480,0, 4700,640,480:waittimer 32638 blt 0,0,640,480,0, 4702,640,480:waittimer 32652 blt 0,0,640,480,0, 4704,640,480:waittimer 32666 blt 0,0,640,480,0, 4706,640,480:waittimer 32680 blt 0,0,640,480,0, 4708,640,480:waittimer 32694 blt 0,0,640,480,0, 4710,640,480:waittimer 32708 blt 0,0,640,480,0, 4712,640,480:waittimer 32722 blt 0,0,640,480,0, 4714,640,480:waittimer 32736 blt 0,0,640,480,0, 4716,640,480:waittimer 32750 blt 0,0,640,480,0, 4718,640,480:waittimer 32763 blt 0,0,640,480,0, 4720,640,480:waittimer 32777 blt 0,0,640,480,0, 4722,640,480:waittimer 32791 blt 0,0,640,480,0, 4724,640,480:waittimer 32805 blt 0,0,640,480,0, 4726,640,480:waittimer 32819 blt 0,0,640,480,0, 4728,640,480:waittimer 32833 blt 0,0,640,480,0, 4730,640,480:waittimer 32847 blt 0,0,640,480,0, 4732,640,480:waittimer 32861 blt 0,0,640,480,0, 4734,640,480:waittimer 32875 blt 0,0,640,480,0, 4736,640,480:waittimer 32888 blt 0,0,640,480,0, 4738,640,480:waittimer 32902 blt 0,0,640,480,0, 4740,640,480:waittimer 32916 blt 0,0,640,480,0, 4742,640,480:waittimer 32930 blt 0,0,640,480,0, 4744,640,480:waittimer 32944 blt 0,0,640,480,0, 4746,640,480:waittimer 32958 blt 0,0,640,480,0, 4748,640,480:waittimer 32972 blt 0,0,640,480,0, 4750,640,480:waittimer 32986 blt 0,0,640,480,0, 4752,640,480:waittimer 33000 blt 0,0,640,480,0, 4754,640,480:waittimer 33013 blt 0,0,640,480,0, 4756,640,480:waittimer 33027 blt 0,0,640,480,0, 4758,640,480:waittimer 33041 blt 0,0,640,480,0, 4760,640,480:waittimer 33055 blt 0,0,640,480,0, 4762,640,480:waittimer 33069 blt 0,0,640,480,0, 4764,640,480:waittimer 33083 blt 0,0,640,480,0, 4766,640,480:waittimer 33097 blt 0,0,640,480,0, 4768,640,480:waittimer 33111 blt 0,0,640,480,0, 4770,640,480:waittimer 33125 blt 0,0,640,480,0, 4772,640,480:waittimer 33138 blt 0,0,640,480,0, 4774,640,480:waittimer 33152 blt 0,0,640,480,0, 4776,640,480:waittimer 33166 blt 0,0,640,480,0, 4778,640,480:waittimer 33180 blt 0,0,640,480,0, 4780,640,480:waittimer 33194 blt 0,0,640,480,0, 4782,640,480:waittimer 33208 blt 0,0,640,480,0, 4784,640,480:waittimer 33222 blt 0,0,640,480,0, 4786,640,480:waittimer 33236 blt 0,0,640,480,0, 4788,640,480:waittimer 33250 blt 0,0,640,480,0, 4790,640,480:waittimer 33263 blt 0,0,640,480,0, 4792,640,480:waittimer 33277 blt 0,0,640,480,0, 4794,640,480:waittimer 33291 blt 0,0,640,480,0, 4796,640,480:waittimer 33305 blt 0,0,640,480,0, 4798,640,480:waittimer 33319 blt 0,0,640,480,0, 4800,640,480:waittimer 33333 blt 0,0,640,480,0, 4802,640,480:waittimer 33347 blt 0,0,640,480,0, 4804,640,480:waittimer 33361 blt 0,0,640,480,0, 4806,640,480:waittimer 33375 blt 0,0,640,480,0, 4808,640,480:waittimer 33388 blt 0,0,640,480,0, 4810,640,480:waittimer 33402 blt 0,0,640,480,0, 4812,640,480:waittimer 33416 blt 0,0,640,480,0, 4814,640,480:waittimer 33430 blt 0,0,640,480,0, 4816,640,480:waittimer 33444 blt 0,0,640,480,0, 4818,640,480:waittimer 33458 blt 0,0,640,480,0, 4820,640,480:waittimer 33472 blt 0,0,640,480,0, 4822,640,480:waittimer 33486 blt 0,0,640,480,0, 4824,640,480:waittimer 33500 blt 0,0,640,480,0, 4826,640,480:waittimer 33513 blt 0,0,640,480,0, 4828,640,480:waittimer 33527 blt 0,0,640,480,0, 4830,640,480:waittimer 33541 blt 0,0,640,480,0, 4832,640,480:waittimer 33555 blt 0,0,640,480,0, 4834,640,480:waittimer 33569 blt 0,0,640,480,0, 4836,640,480:waittimer 33583 blt 0,0,640,480,0, 4838,640,480:waittimer 33597 blt 0,0,640,480,0, 4840,640,480:waittimer 33611 blt 0,0,640,480,0, 4842,640,480:waittimer 33625 blt 0,0,640,480,0, 4844,640,480:waittimer 33638 blt 0,0,640,480,0, 4846,640,480:waittimer 33652 blt 0,0,640,480,0, 4848,640,480:waittimer 33666 blt 0,0,640,480,0, 4850,640,480:waittimer 33680 blt 0,0,640,480,0, 4852,640,480:waittimer 33694 blt 0,0,640,480,0, 4854,640,480:waittimer 33708 blt 0,0,640,480,0, 4856,640,480:waittimer 33722 blt 0,0,640,480,0, 4858,640,480:waittimer 33736 blt 0,0,640,480,0, 4860,640,480:waittimer 33750 blt 0,0,640,480,0, 4862,640,480:waittimer 33763 blt 0,0,640,480,0, 4864,640,480:waittimer 33777 blt 0,0,640,480,0, 4866,640,480:waittimer 33791 blt 0,0,640,480,0, 4868,640,480:waittimer 33805 blt 0,0,640,480,0, 4870,640,480:waittimer 33819 blt 0,0,640,480,0, 4872,640,480:waittimer 33833 blt 0,0,640,480,0, 4874,640,480:waittimer 33847 blt 0,0,640,480,0, 4876,640,480:waittimer 33861 blt 0,0,640,480,0, 4878,640,480:waittimer 33875 blt 0,0,640,480,0, 4880,640,480:waittimer 33888 blt 0,0,640,480,0, 4882,640,480:waittimer 33902 blt 0,0,640,480,0, 4884,640,480:waittimer 33916 blt 0,0,640,480,0, 4886,640,480:waittimer 33930 blt 0,0,640,480,0, 4888,640,480:waittimer 33944 blt 0,0,640,480,0, 4890,640,480:waittimer 33958 blt 0,0,640,480,0, 4892,640,480:waittimer 33972 blt 0,0,640,480,0, 4894,640,480:waittimer 33986 blt 0,0,640,480,0, 4896,640,480:waittimer 34000 blt 0,0,640,480,0, 4898,640,480:waittimer 34013 blt 0,0,640,480,0, 4900,640,480:waittimer 34027 blt 0,0,640,480,0, 4902,640,480:waittimer 34041 blt 0,0,640,480,0, 4904,640,480:waittimer 34055 blt 0,0,640,480,0, 4906,640,480:waittimer 34069 blt 0,0,640,480,0, 4908,640,480:waittimer 34083 blt 0,0,640,480,0, 4910,640,480:waittimer 34097 blt 0,0,640,480,0, 4912,640,480:waittimer 34111 blt 0,0,640,480,0, 4914,640,480:waittimer 34125 blt 0,0,640,480,0, 4916,640,480:waittimer 34138 blt 0,0,640,480,0, 4918,640,480:waittimer 34152 blt 0,0,640,480,0, 4920,640,480:waittimer 34166 blt 0,0,640,480,0, 4922,640,480:waittimer 34180 blt 0,0,640,480,0, 4924,640,480:waittimer 34194 blt 0,0,640,480,0, 4926,640,480:waittimer 34208 blt 0,0,640,480,0, 4928,640,480:waittimer 34222 blt 0,0,640,480,0, 4930,640,480:waittimer 34236 blt 0,0,640,480,0, 4932,640,480:waittimer 34250 blt 0,0,640,480,0, 4934,640,480:waittimer 34263 blt 0,0,640,480,0, 4936,640,480:waittimer 34277 blt 0,0,640,480,0, 4938,640,480:waittimer 34291 blt 0,0,640,480,0, 4940,640,480:waittimer 34305 blt 0,0,640,480,0, 4942,640,480:waittimer 34319 blt 0,0,640,480,0, 4944,640,480:waittimer 34333 blt 0,0,640,480,0, 4946,640,480:waittimer 34347 blt 0,0,640,480,0, 4948,640,480:waittimer 34361 blt 0,0,640,480,0, 4950,640,480:waittimer 34375 blt 0,0,640,480,0, 4952,640,480:waittimer 34388 blt 0,0,640,480,0, 4954,640,480:waittimer 34402 blt 0,0,640,480,0, 4956,640,480:waittimer 34416 blt 0,0,640,480,0, 4958,640,480:waittimer 34430 blt 0,0,640,480,0, 4960,640,480:waittimer 34444 blt 0,0,640,480,0, 4962,640,480:waittimer 34458 blt 0,0,640,480,0, 4964,640,480:waittimer 34472 blt 0,0,640,480,0, 4966,640,480:waittimer 34486 blt 0,0,640,480,0, 4968,640,480:waittimer 34500 blt 0,0,640,480,0, 4970,640,480:waittimer 34513 blt 0,0,640,480,0, 4972,640,480:waittimer 34527 blt 0,0,640,480,0, 4974,640,480:waittimer 34541 blt 0,0,640,480,0, 4976,640,480:waittimer 34555 blt 0,0,640,480,0, 4978,640,480:waittimer 34569 blt 0,0,640,480,0, 4980,640,480:waittimer 34583 blt 0,0,640,480,0, 4982,640,480:waittimer 34597 blt 0,0,640,480,0, 4984,640,480:waittimer 34611 blt 0,0,640,480,0, 4986,640,480:waittimer 34625 blt 0,0,640,480,0, 4988,640,480:waittimer 34638 blt 0,0,640,480,0, 4990,640,480:waittimer 34652 blt 0,0,640,480,0, 4992,640,480:waittimer 34666 blt 0,0,640,480,0, 4994,640,480:waittimer 34680 blt 0,0,640,480,0, 4996,640,480:waittimer 34694 blt 0,0,640,480,0, 4998,640,480:waittimer 34708 blt 0,0,640,480,0, 5000,640,480:waittimer 34722 blt 0,0,640,480,0, 5002,640,480:waittimer 34736 blt 0,0,640,480,0, 5004,640,480:waittimer 34750 blt 0,0,640,480,0, 5006,640,480:waittimer 34763 blt 0,0,640,480,0, 5008,640,480:waittimer 34777 blt 0,0,640,480,0, 5010,640,480:waittimer 34791 blt 0,0,640,480,0, 5012,640,480:waittimer 34805 blt 0,0,640,480,0, 5014,640,480:waittimer 34819 blt 0,0,640,480,0, 5016,640,480:waittimer 34833 blt 0,0,640,480,0, 5018,640,480:waittimer 34847 blt 0,0,640,480,0, 5020,640,480:waittimer 34861 blt 0,0,640,480,0, 5022,640,480:waittimer 34875 blt 0,0,640,480,0, 5024,640,480:waittimer 34888 blt 0,0,640,480,0, 5026,640,480:waittimer 34902 blt 0,0,640,480,0, 5028,640,480:waittimer 34916 blt 0,0,640,480,0, 5030,640,480:waittimer 34930 blt 0,0,640,480,0, 5032,640,480:waittimer 34944 blt 0,0,640,480,0, 5034,640,480:waittimer 34958 blt 0,0,640,480,0, 5036,640,480:waittimer 34972 blt 0,0,640,480,0, 5038,640,480:waittimer 34986 blt 0,0,640,480,0, 5040,640,480:waittimer 35000 blt 0,0,640,480,0, 5042,640,480:waittimer 35013 blt 0,0,640,480,0, 5044,640,480:waittimer 35027 blt 0,0,640,480,0, 5046,640,480:waittimer 35041 blt 0,0,640,480,0, 5048,640,480:waittimer 35055 blt 0,0,640,480,0, 5050,640,480:waittimer 35069 blt 0,0,640,480,0, 5052,640,480:waittimer 35083 blt 0,0,640,480,0, 5054,640,480:waittimer 35097 blt 0,0,640,480,0, 5056,640,480:waittimer 35111 blt 0,0,640,480,0, 5058,640,480:waittimer 35125 blt 0,0,640,480,0, 5060,640,480:waittimer 35138 blt 0,0,640,480,0, 5062,640,480:waittimer 35152 blt 0,0,640,480,0, 5064,640,480:waittimer 35166 blt 0,0,640,480,0, 5066,640,480:waittimer 35180 blt 0,0,640,480,0, 5068,640,480:waittimer 35194 blt 0,0,640,480,0, 5070,640,480:waittimer 35208 blt 0,0,640,480,0, 5072,640,480:waittimer 35222 blt 0,0,640,480,0, 5074,640,480:waittimer 35236 blt 0,0,640,480,0, 5076,640,480:waittimer 35250 blt 0,0,640,480,0, 5078,640,480:waittimer 35263 blt 0,0,640,480,0, 5080,640,480:waittimer 35277 blt 0,0,640,480,0, 5082,640,480:waittimer 35291 blt 0,0,640,480,0, 5084,640,480:waittimer 35305 blt 0,0,640,480,0, 5086,640,480:waittimer 35319 blt 0,0,640,480,0, 5088,640,480:waittimer 35333 blt 0,0,640,480,0, 5090,640,480:waittimer 35347 blt 0,0,640,480,0, 5092,640,480:waittimer 35361 blt 0,0,640,480,0, 5094,640,480:waittimer 35375 blt 0,0,640,480,0, 5096,640,480:waittimer 35388 blt 0,0,640,480,0, 5098,640,480:waittimer 35402 blt 0,0,640,480,0, 5100,640,480:waittimer 35416 blt 0,0,640,480,0, 5102,640,480:waittimer 35430 blt 0,0,640,480,0, 5104,640,480:waittimer 35444 blt 0,0,640,480,0, 5106,640,480:waittimer 35458 blt 0,0,640,480,0, 5108,640,480:waittimer 35472 blt 0,0,640,480,0, 5110,640,480:waittimer 35486 blt 0,0,640,480,0, 5112,640,480:waittimer 35500 blt 0,0,640,480,0, 5114,640,480:waittimer 35513 blt 0,0,640,480,0, 5116,640,480:waittimer 35527 blt 0,0,640,480,0, 5118,640,480:waittimer 35541 blt 0,0,640,480,0, 5120,640,480:waittimer 35555 blt 0,0,640,480,0, 5122,640,480:waittimer 35569 blt 0,0,640,480,0, 5124,640,480:waittimer 35583 blt 0,0,640,480,0, 5126,640,480:waittimer 35597 blt 0,0,640,480,0, 5128,640,480:waittimer 35611 blt 0,0,640,480,0, 5130,640,480:waittimer 35625 blt 0,0,640,480,0, 5132,640,480:waittimer 35638 blt 0,0,640,480,0, 5134,640,480:waittimer 35652 blt 0,0,640,480,0, 5136,640,480:waittimer 35666 blt 0,0,640,480,0, 5138,640,480:waittimer 35680 blt 0,0,640,480,0, 5140,640,480:waittimer 35694 blt 0,0,640,480,0, 5142,640,480:waittimer 35708 blt 0,0,640,480,0, 5144,640,480:waittimer 35722 blt 0,0,640,480,0, 5146,640,480:waittimer 35736 blt 0,0,640,480,0, 5148,640,480:waittimer 35750 blt 0,0,640,480,0, 5150,640,480:waittimer 35763 blt 0,0,640,480,0, 5152,640,480:waittimer 35777 blt 0,0,640,480,0, 5154,640,480:waittimer 35791 blt 0,0,640,480,0, 5156,640,480:waittimer 35805 blt 0,0,640,480,0, 5158,640,480:waittimer 35819 blt 0,0,640,480,0, 5160,640,480:waittimer 35833 blt 0,0,640,480,0, 5162,640,480:waittimer 35847 blt 0,0,640,480,0, 5164,640,480:waittimer 35861 blt 0,0,640,480,0, 5166,640,480:waittimer 35875 blt 0,0,640,480,0, 5168,640,480:waittimer 35888 blt 0,0,640,480,0, 5170,640,480:waittimer 35902 blt 0,0,640,480,0, 5172,640,480:waittimer 35916 blt 0,0,640,480,0, 5174,640,480:waittimer 35930 blt 0,0,640,480,0, 5176,640,480:waittimer 35944 blt 0,0,640,480,0, 5178,640,480:waittimer 35958 blt 0,0,640,480,0, 5180,640,480:waittimer 35972 blt 0,0,640,480,0, 5182,640,480:waittimer 35986 blt 0,0,640,480,0, 5184,640,480:waittimer 36000 blt 0,0,640,480,0, 5186,640,480:waittimer 36013 blt 0,0,640,480,0, 5188,640,480:waittimer 36027 blt 0,0,640,480,0, 5190,640,480:waittimer 36041 blt 0,0,640,480,0, 5192,640,480:waittimer 36055 blt 0,0,640,480,0, 5194,640,480:waittimer 36069 blt 0,0,640,480,0, 5196,640,480:waittimer 36083 blt 0,0,640,480,0, 5198,640,480:waittimer 36097 blt 0,0,640,480,0, 5200,640,480:waittimer 36111 blt 0,0,640,480,0, 5202,640,480:waittimer 36125 blt 0,0,640,480,0, 5204,640,480:waittimer 36138 blt 0,0,640,480,0, 5206,640,480:waittimer 36152 blt 0,0,640,480,0, 5208,640,480:waittimer 36166 blt 0,0,640,480,0, 5210,640,480:waittimer 36180 blt 0,0,640,480,0, 5212,640,480:waittimer 36194 blt 0,0,640,480,0, 5214,640,480:waittimer 36208 blt 0,0,640,480,0, 5216,640,480:waittimer 36222 blt 0,0,640,480,0, 5218,640,480:waittimer 36236 blt 0,0,640,480,0, 5220,640,480:waittimer 36250 blt 0,0,640,480,0, 5222,640,480:waittimer 36263 blt 0,0,640,480,0, 5224,640,480:waittimer 36277 blt 0,0,640,480,0, 5226,640,480:waittimer 36291 blt 0,0,640,480,0, 5228,640,480:waittimer 36305 blt 0,0,640,480,0, 5230,640,480:waittimer 36319 blt 0,0,640,480,0, 5232,640,480:waittimer 36333 blt 0,0,640,480,0, 5234,640,480:waittimer 36347 blt 0,0,640,480,0, 5236,640,480:waittimer 36361 blt 0,0,640,480,0, 5238,640,480:waittimer 36375 blt 0,0,640,480,0, 5240,640,480:waittimer 36388 blt 0,0,640,480,0, 5242,640,480:waittimer 36402 blt 0,0,640,480,0, 5244,640,480:waittimer 36416 blt 0,0,640,480,0, 5246,640,480:waittimer 36430 blt 0,0,640,480,0, 5248,640,480:waittimer 36444 blt 0,0,640,480,0, 5250,640,480:waittimer 36458 blt 0,0,640,480,0, 5252,640,480:waittimer 36472 blt 0,0,640,480,0, 5254,640,480:waittimer 36486 blt 0,0,640,480,0, 5256,640,480:waittimer 36500 blt 0,0,640,480,0, 5258,640,480:waittimer 36513 blt 0,0,640,480,0, 5260,640,480:waittimer 36527 blt 0,0,640,480,0, 5262,640,480:waittimer 36541 blt 0,0,640,480,0, 5264,640,480:waittimer 36555 blt 0,0,640,480,0, 5266,640,480:waittimer 36569 blt 0,0,640,480,0, 5268,640,480:waittimer 36583 blt 0,0,640,480,0, 5270,640,480:waittimer 36597 blt 0,0,640,480,0, 5272,640,480:waittimer 36611 blt 0,0,640,480,0, 5274,640,480:waittimer 36625 blt 0,0,640,480,0, 5276,640,480:waittimer 36638 blt 0,0,640,480,0, 5278,640,480:waittimer 36652 blt 0,0,640,480,0, 5280,640,480:waittimer 36666 blt 0,0,640,480,0, 5282,640,480:waittimer 36680 blt 0,0,640,480,0, 5284,640,480:waittimer 36694 blt 0,0,640,480,0, 5286,640,480:waittimer 36708 blt 0,0,640,480,0, 5288,640,480:waittimer 36722 blt 0,0,640,480,0, 5290,640,480:waittimer 36736 blt 0,0,640,480,0, 5292,640,480:waittimer 36750 blt 0,0,640,480,0, 5294,640,480:waittimer 36763 blt 0,0,640,480,0, 5296,640,480:waittimer 36777 blt 0,0,640,480,0, 5298,640,480:waittimer 36791 blt 0,0,640,480,0, 5300,640,480:waittimer 36805 blt 0,0,640,480,0, 5302,640,480:waittimer 36819 blt 0,0,640,480,0, 5304,640,480:waittimer 36833 blt 0,0,640,480,0, 5306,640,480:waittimer 36847 blt 0,0,640,480,0, 5308,640,480:waittimer 36861 blt 0,0,640,480,0, 5310,640,480:waittimer 36875 blt 0,0,640,480,0, 5312,640,480:waittimer 36888 blt 0,0,640,480,0, 5314,640,480:waittimer 36902 blt 0,0,640,480,0, 5316,640,480:waittimer 36916 blt 0,0,640,480,0, 5318,640,480:waittimer 36930 blt 0,0,640,480,0, 5320,640,480:waittimer 36944 blt 0,0,640,480,0, 5322,640,480:waittimer 36958 blt 0,0,640,480,0, 5324,640,480:waittimer 36972 blt 0,0,640,480,0, 5326,640,480:waittimer 36986 blt 0,0,640,480,0, 5328,640,480:waittimer 37000 blt 0,0,640,480,0, 5330,640,480:waittimer 37013 blt 0,0,640,480,0, 5332,640,480:waittimer 37027 blt 0,0,640,480,0, 5334,640,480:waittimer 37041 blt 0,0,640,480,0, 5336,640,480:waittimer 37055 blt 0,0,640,480,0, 5338,640,480:waittimer 37069 blt 0,0,640,480,0, 5340,640,480:waittimer 37083 blt 0,0,640,480,0, 5342,640,480:waittimer 37097 blt 0,0,640,480,0, 5344,640,480:waittimer 37111 blt 0,0,640,480,0, 5346,640,480:waittimer 37125 blt 0,0,640,480,0, 5348,640,480:waittimer 37138 blt 0,0,640,480,0, 5350,640,480:waittimer 37152 blt 0,0,640,480,0, 5352,640,480:waittimer 37166 blt 0,0,640,480,0, 5354,640,480:waittimer 37180 blt 0,0,640,480,0, 5356,640,480:waittimer 37194 blt 0,0,640,480,0, 5358,640,480:waittimer 37208 blt 0,0,640,480,0, 5360,640,480:waittimer 37222 blt 0,0,640,480,0, 5362,640,480:waittimer 37236 blt 0,0,640,480,0, 5364,640,480:waittimer 37250 blt 0,0,640,480,0, 5366,640,480:waittimer 37263 blt 0,0,640,480,0, 5368,640,480:waittimer 37277 blt 0,0,640,480,0, 5370,640,480:waittimer 37291 blt 0,0,640,480,0, 5372,640,480:waittimer 37305 blt 0,0,640,480,0, 5374,640,480:waittimer 37319 blt 0,0,640,480,0, 5376,640,480:waittimer 37333 blt 0,0,640,480,0, 5378,640,480:waittimer 37347 blt 0,0,640,480,0, 5380,640,480:waittimer 37361 blt 0,0,640,480,0, 5382,640,480:waittimer 37375 blt 0,0,640,480,0, 5384,640,480:waittimer 37388 blt 0,0,640,480,0, 5386,640,480:waittimer 37402 blt 0,0,640,480,0, 5388,640,480:waittimer 37416 blt 0,0,640,480,0, 5390,640,480:waittimer 37430 blt 0,0,640,480,0, 5392,640,480:waittimer 37444 blt 0,0,640,480,0, 5394,640,480:waittimer 37458 blt 0,0,640,480,0, 5396,640,480:waittimer 37472 blt 0,0,640,480,0, 5398,640,480:waittimer 37486 blt 0,0,640,480,0, 5400,640,480:waittimer 37500 blt 0,0,640,480,0, 5402,640,480:waittimer 37513 blt 0,0,640,480,0, 5404,640,480:waittimer 37527 blt 0,0,640,480,0, 5406,640,480:waittimer 37541 blt 0,0,640,480,0, 5408,640,480:waittimer 37555 blt 0,0,640,480,0, 5410,640,480:waittimer 37569 blt 0,0,640,480,0, 5412,640,480:waittimer 37583 blt 0,0,640,480,0, 5414,640,480:waittimer 37597 blt 0,0,640,480,0, 5416,640,480:waittimer 37611 blt 0,0,640,480,0, 5418,640,480:waittimer 37625 blt 0,0,640,480,0, 5420,640,480:waittimer 37638 blt 0,0,640,480,0, 5422,640,480:waittimer 37652 blt 0,0,640,480,0, 5424,640,480:waittimer 37666 blt 0,0,640,480,0, 5426,640,480:waittimer 37680 blt 0,0,640,480,0, 5428,640,480:waittimer 37694 blt 0,0,640,480,0, 5430,640,480:waittimer 37708 blt 0,0,640,480,0, 5432,640,480:waittimer 37722 blt 0,0,640,480,0, 5434,640,480:waittimer 37736 blt 0,0,640,480,0, 5436,640,480:waittimer 37750 blt 0,0,640,480,0, 5438,640,480:waittimer 37763 blt 0,0,640,480,0, 5440,640,480:waittimer 37777 blt 0,0,640,480,0, 5442,640,480:waittimer 37791 blt 0,0,640,480,0, 5444,640,480:waittimer 37805 blt 0,0,640,480,0, 5446,640,480:waittimer 37819 blt 0,0,640,480,0, 5448,640,480:waittimer 37833 blt 0,0,640,480,0, 5450,640,480:waittimer 37847 blt 0,0,640,480,0, 5452,640,480:waittimer 37861 blt 0,0,640,480,0, 5454,640,480:waittimer 37875 blt 0,0,640,480,0, 5456,640,480:waittimer 37888 blt 0,0,640,480,0, 5458,640,480:waittimer 37902 blt 0,0,640,480,0, 5460,640,480:waittimer 37916 blt 0,0,640,480,0, 5462,640,480:waittimer 37930 blt 0,0,640,480,0, 5464,640,480:waittimer 37944 blt 0,0,640,480,0, 5466,640,480:waittimer 37958 blt 0,0,640,480,0, 5468,640,480:waittimer 37972 blt 0,0,640,480,0, 5470,640,480:waittimer 37986 blt 0,0,640,480,0, 5472,640,480:waittimer 38000 blt 0,0,640,480,0, 5474,640,480:waittimer 38013 blt 0,0,640,480,0, 5476,640,480:waittimer 38027 blt 0,0,640,480,0, 5478,640,480:waittimer 38041 blt 0,0,640,480,0, 5480,640,480:waittimer 38055 blt 0,0,640,480,0, 5482,640,480:waittimer 38069 blt 0,0,640,480,0, 5484,640,480:waittimer 38083 blt 0,0,640,480,0, 5486,640,480:waittimer 38097 blt 0,0,640,480,0, 5488,640,480:waittimer 38111 blt 0,0,640,480,0, 5490,640,480:waittimer 38125 blt 0,0,640,480,0, 5492,640,480:waittimer 38138 blt 0,0,640,480,0, 5494,640,480:waittimer 38152 blt 0,0,640,480,0, 5496,640,480:waittimer 38166 blt 0,0,640,480,0, 5498,640,480:waittimer 38180 blt 0,0,640,480,0, 5500,640,480:waittimer 38194 blt 0,0,640,480,0, 5502,640,480:waittimer 38208 blt 0,0,640,480,0, 5504,640,480:waittimer 38222 blt 0,0,640,480,0, 5506,640,480:waittimer 38236 blt 0,0,640,480,0, 5508,640,480:waittimer 38250 blt 0,0,640,480,0, 5510,640,480:waittimer 38263 blt 0,0,640,480,0, 5512,640,480:waittimer 38277 blt 0,0,640,480,0, 5514,640,480:waittimer 38291 blt 0,0,640,480,0, 5516,640,480:waittimer 38305 blt 0,0,640,480,0, 5518,640,480:waittimer 38319 blt 0,0,640,480,0, 5520,640,480:waittimer 38333 blt 0,0,640,480,0, 5522,640,480:waittimer 38347 blt 0,0,640,480,0, 5524,640,480:waittimer 38361 blt 0,0,640,480,0, 5526,640,480:waittimer 38375 blt 0,0,640,480,0, 5528,640,480:waittimer 38388 blt 0,0,640,480,0, 5530,640,480:waittimer 38402 blt 0,0,640,480,0, 5532,640,480:waittimer 38416 blt 0,0,640,480,0, 5534,640,480:waittimer 38430 blt 0,0,640,480,0, 5536,640,480:waittimer 38444 blt 0,0,640,480,0, 5538,640,480:waittimer 38458 blt 0,0,640,480,0, 5540,640,480:waittimer 38472 blt 0,0,640,480,0, 5542,640,480:waittimer 38486 blt 0,0,640,480,0, 5544,640,480:waittimer 38500 blt 0,0,640,480,0, 5546,640,480:waittimer 38513 blt 0,0,640,480,0, 5548,640,480:waittimer 38527 blt 0,0,640,480,0, 5550,640,480:waittimer 38541 blt 0,0,640,480,0, 5552,640,480:waittimer 38555 blt 0,0,640,480,0, 5554,640,480:waittimer 38569 blt 0,0,640,480,0, 5556,640,480:waittimer 38583 blt 0,0,640,480,0, 5558,640,480:waittimer 38597 blt 0,0,640,480,0, 5560,640,480:waittimer 38611 blt 0,0,640,480,0, 5562,640,480:waittimer 38625 blt 0,0,640,480,0, 5564,640,480:waittimer 38638 blt 0,0,640,480,0, 5566,640,480:waittimer 38652 blt 0,0,640,480,0, 5568,640,480:waittimer 38666 blt 0,0,640,480,0, 5570,640,480:waittimer 38680 blt 0,0,640,480,0, 5572,640,480:waittimer 38694 blt 0,0,640,480,0, 5574,640,480:waittimer 38708 blt 0,0,640,480,0, 5576,640,480:waittimer 38722 blt 0,0,640,480,0, 5578,640,480:waittimer 38736 blt 0,0,640,480,0, 5580,640,480:waittimer 38750 blt 0,0,640,480,0, 5582,640,480:waittimer 38763 blt 0,0,640,480,0, 5584,640,480:waittimer 38777 blt 0,0,640,480,0, 5586,640,480:waittimer 38791 blt 0,0,640,480,0, 5588,640,480:waittimer 38805 blt 0,0,640,480,0, 5590,640,480:waittimer 38819 blt 0,0,640,480,0, 5592,640,480:waittimer 38833 blt 0,0,640,480,0, 5594,640,480:waittimer 38847 blt 0,0,640,480,0, 5596,640,480:waittimer 38861 blt 0,0,640,480,0, 5598,640,480:waittimer 38875 blt 0,0,640,480,0, 5600,640,480:waittimer 38888 blt 0,0,640,480,0, 5602,640,480:waittimer 38902 blt 0,0,640,480,0, 5604,640,480:waittimer 38916 blt 0,0,640,480,0, 5606,640,480:waittimer 38930 blt 0,0,640,480,0, 5608,640,480:waittimer 38944 blt 0,0,640,480,0, 5610,640,480:waittimer 38958 blt 0,0,640,480,0, 5612,640,480:waittimer 38972 blt 0,0,640,480,0, 5614,640,480:waittimer 38986 blt 0,0,640,480,0, 5616,640,480:waittimer 39000 blt 0,0,640,480,0, 5618,640,480:waittimer 39013 blt 0,0,640,480,0, 5620,640,480:waittimer 39027 blt 0,0,640,480,0, 5622,640,480:waittimer 39041 blt 0,0,640,480,0, 5624,640,480:waittimer 39055 blt 0,0,640,480,0, 5626,640,480:waittimer 39069 blt 0,0,640,480,0, 5628,640,480:waittimer 39083 blt 0,0,640,480,0, 5630,640,480:waittimer 39097 blt 0,0,640,480,0, 5632,640,480:waittimer 39111 blt 0,0,640,480,0, 5634,640,480:waittimer 39125 blt 0,0,640,480,0, 5636,640,480:waittimer 39138 blt 0,0,640,480,0, 5638,640,480:waittimer 39152 blt 0,0,640,480,0, 5640,640,480:waittimer 39166 blt 0,0,640,480,0, 5642,640,480:waittimer 39180 blt 0,0,640,480,0, 5644,640,480:waittimer 39194 blt 0,0,640,480,0, 5646,640,480:waittimer 39208 blt 0,0,640,480,0, 5648,640,480:waittimer 39222 blt 0,0,640,480,0, 5650,640,480:waittimer 39236 blt 0,0,640,480,0, 5652,640,480:waittimer 39250 blt 0,0,640,480,0, 5654,640,480:waittimer 39263 blt 0,0,640,480,0, 5656,640,480:waittimer 39277 blt 0,0,640,480,0, 5658,640,480:waittimer 39291 blt 0,0,640,480,0, 5660,640,480:waittimer 39305 blt 0,0,640,480,0, 5662,640,480:waittimer 39319 blt 0,0,640,480,0, 5664,640,480:waittimer 39333 blt 0,0,640,480,0, 5666,640,480:waittimer 39347 blt 0,0,640,480,0, 5668,640,480:waittimer 39361 blt 0,0,640,480,0, 5670,640,480:waittimer 39375 blt 0,0,640,480,0, 5672,640,480:waittimer 39388 blt 0,0,640,480,0, 5674,640,480:waittimer 39402 blt 0,0,640,480,0, 5676,640,480:waittimer 39416 blt 0,0,640,480,0, 5678,640,480:waittimer 39430 blt 0,0,640,480,0, 5680,640,480:waittimer 39444 blt 0,0,640,480,0, 5682,640,480:waittimer 39458 blt 0,0,640,480,0, 5684,640,480:waittimer 39472 blt 0,0,640,480,0, 5686,640,480:waittimer 39486 blt 0,0,640,480,0, 5688,640,480:waittimer 39500 blt 0,0,640,480,0, 5690,640,480:waittimer 39513 blt 0,0,640,480,0, 5692,640,480:waittimer 39527 blt 0,0,640,480,0, 5694,640,480:waittimer 39541 blt 0,0,640,480,0, 5696,640,480:waittimer 39555 blt 0,0,640,480,0, 5698,640,480:waittimer 39569 blt 0,0,640,480,0, 5700,640,480:waittimer 39583 blt 0,0,640,480,0, 5702,640,480:waittimer 39597 blt 0,0,640,480,0, 5704,640,480:waittimer 39611 blt 0,0,640,480,0, 5706,640,480:waittimer 39625 blt 0,0,640,480,0, 5708,640,480:waittimer 39638 blt 0,0,640,480,0, 5710,640,480:waittimer 39652 blt 0,0,640,480,0, 5712,640,480:waittimer 39666 blt 0,0,640,480,0, 5714,640,480:waittimer 39680 blt 0,0,640,480,0, 5716,640,480:waittimer 39694 blt 0,0,640,480,0, 5718,640,480:waittimer 39708 blt 0,0,640,480,0, 5720,640,480:waittimer 39722 blt 0,0,640,480,0, 5722,640,480:waittimer 39736 blt 0,0,640,480,0, 5724,640,480:waittimer 39750 blt 0,0,640,480,0, 5726,640,480:waittimer 39763 blt 0,0,640,480,0, 5728,640,480:waittimer 39777 blt 0,0,640,480,0, 5730,640,480:waittimer 39791 blt 0,0,640,480,0, 5732,640,480:waittimer 39805 blt 0,0,640,480,0, 5734,640,480:waittimer 39819 blt 0,0,640,480,0, 5736,640,480:waittimer 39833 blt 0,0,640,480,0, 5738,640,480:waittimer 39847 blt 0,0,640,480,0, 5740,640,480:waittimer 39861 blt 0,0,640,480,0, 5742,640,480:waittimer 39875 blt 0,0,640,480,0, 5744,640,480:waittimer 39888 blt 0,0,640,480,0, 5746,640,480:waittimer 39902 blt 0,0,640,480,0, 5748,640,480:waittimer 39916 blt 0,0,640,480,0, 5750,640,480:waittimer 39930 blt 0,0,640,480,0, 5752,640,480:waittimer 39944 blt 0,0,640,480,0, 5754,640,480:waittimer 39958 blt 0,0,640,480,0, 5756,640,480:waittimer 39972 blt 0,0,640,480,0, 5758,640,480:waittimer 39986 blt 0,0,640,480,0, 5760,640,480:waittimer 40000 blt 0,0,640,480,0, 5762,640,480:waittimer 40013 blt 0,0,640,480,0, 5764,640,480:waittimer 40027 blt 0,0,640,480,0, 5766,640,480:waittimer 40041 blt 0,0,640,480,0, 5768,640,480:waittimer 40055 blt 0,0,640,480,0, 5770,640,480:waittimer 40069 blt 0,0,640,480,0, 5772,640,480:waittimer 40083 blt 0,0,640,480,0, 5774,640,480:waittimer 40097 blt 0,0,640,480,0, 5776,640,480:waittimer 40111 blt 0,0,640,480,0, 5778,640,480:waittimer 40125 blt 0,0,640,480,0, 5780,640,480:waittimer 40138 blt 0,0,640,480,0, 5782,640,480:waittimer 40152 blt 0,0,640,480,0, 5784,640,480:waittimer 40166 blt 0,0,640,480,0, 5786,640,480:waittimer 40180 blt 0,0,640,480,0, 5788,640,480:waittimer 40194 blt 0,0,640,480,0, 5790,640,480:waittimer 40208 blt 0,0,640,480,0, 5792,640,480:waittimer 40222 blt 0,0,640,480,0, 5794,640,480:waittimer 40236 blt 0,0,640,480,0, 5796,640,480:waittimer 40250 blt 0,0,640,480,0, 5798,640,480:waittimer 40263 blt 0,0,640,480,0, 5800,640,480:waittimer 40277 blt 0,0,640,480,0, 5802,640,480:waittimer 40291 blt 0,0,640,480,0, 5804,640,480:waittimer 40305 blt 0,0,640,480,0, 5806,640,480:waittimer 40319 blt 0,0,640,480,0, 5808,640,480:waittimer 40333 blt 0,0,640,480,0, 5810,640,480:waittimer 40347 blt 0,0,640,480,0, 5812,640,480:waittimer 40361 blt 0,0,640,480,0, 5814,640,480:waittimer 40375 blt 0,0,640,480,0, 5816,640,480:waittimer 40388 blt 0,0,640,480,0, 5818,640,480:waittimer 40402 blt 0,0,640,480,0, 5820,640,480:waittimer 40416 blt 0,0,640,480,0, 5822,640,480:waittimer 40430 blt 0,0,640,480,0, 5824,640,480:waittimer 40444 blt 0,0,640,480,0, 5826,640,480:waittimer 40458 blt 0,0,640,480,0, 5828,640,480:waittimer 40472 blt 0,0,640,480,0, 5830,640,480:waittimer 40486 blt 0,0,640,480,0, 5832,640,480:waittimer 40500 blt 0,0,640,480,0, 5834,640,480:waittimer 40513 blt 0,0,640,480,0, 5836,640,480:waittimer 40527 blt 0,0,640,480,0, 5838,640,480:waittimer 40541 blt 0,0,640,480,0, 5840,640,480:waittimer 40555 blt 0,0,640,480,0, 5842,640,480:waittimer 40569 blt 0,0,640,480,0, 5844,640,480:waittimer 40583 blt 0,0,640,480,0, 5846,640,480:waittimer 40597 blt 0,0,640,480,0, 5848,640,480:waittimer 40611 blt 0,0,640,480,0, 5850,640,480:waittimer 40625 blt 0,0,640,480,0, 5852,640,480:waittimer 40638 blt 0,0,640,480,0, 5854,640,480:waittimer 40652 blt 0,0,640,480,0, 5856,640,480:waittimer 40666 blt 0,0,640,480,0, 5858,640,480:waittimer 40680 blt 0,0,640,480,0, 5860,640,480:waittimer 40694 blt 0,0,640,480,0, 5862,640,480:waittimer 40708 blt 0,0,640,480,0, 5864,640,480:waittimer 40722 blt 0,0,640,480,0, 5866,640,480:waittimer 40736 blt 0,0,640,480,0, 5868,640,480:waittimer 40750 blt 0,0,640,480,0, 5870,640,480:waittimer 40763 blt 0,0,640,480,0, 5872,640,480:waittimer 40777 blt 0,0,640,480,0, 5874,640,480:waittimer 40791 blt 0,0,640,480,0, 5876,640,480:waittimer 40805 blt 0,0,640,480,0, 5878,640,480:waittimer 40819 blt 0,0,640,480,0, 5880,640,480:waittimer 40833 blt 0,0,640,480,0, 5882,640,480:waittimer 40847 blt 0,0,640,480,0, 5884,640,480:waittimer 40861 blt 0,0,640,480,0, 5886,640,480:waittimer 40875 blt 0,0,640,480,0, 5888,640,480:waittimer 40888 blt 0,0,640,480,0, 5890,640,480:waittimer 40902 blt 0,0,640,480,0, 5892,640,480:waittimer 40916 blt 0,0,640,480,0, 5894,640,480:waittimer 40930 blt 0,0,640,480,0, 5896,640,480:waittimer 40944 blt 0,0,640,480,0, 5898,640,480:waittimer 40958 blt 0,0,640,480,0, 5900,640,480:waittimer 40972 blt 0,0,640,480,0, 5902,640,480:waittimer 40986 blt 0,0,640,480,0, 5904,640,480:waittimer 41000 blt 0,0,640,480,0, 5906,640,480:waittimer 41013 blt 0,0,640,480,0, 5908,640,480:waittimer 41027 blt 0,0,640,480,0, 5910,640,480:waittimer 41041 blt 0,0,640,480,0, 5912,640,480:waittimer 41055 blt 0,0,640,480,0, 5914,640,480:waittimer 41069 blt 0,0,640,480,0, 5916,640,480:waittimer 41083 blt 0,0,640,480,0, 5918,640,480:waittimer 41097 blt 0,0,640,480,0, 5920,640,480:waittimer 41111 blt 0,0,640,480,0, 5922,640,480:waittimer 41125 blt 0,0,640,480,0, 5924,640,480:waittimer 41138 blt 0,0,640,480,0, 5926,640,480:waittimer 41152 blt 0,0,640,480,0, 5928,640,480:waittimer 41166 blt 0,0,640,480,0, 5930,640,480:waittimer 41180 blt 0,0,640,480,0, 5932,640,480:waittimer 41194 blt 0,0,640,480,0, 5934,640,480:waittimer 41208 blt 0,0,640,480,0, 5936,640,480:waittimer 41222 blt 0,0,640,480,0, 5938,640,480:waittimer 41236 blt 0,0,640,480,0, 5940,640,480:waittimer 41250 blt 0,0,640,480,0, 5942,640,480:waittimer 41263 blt 0,0,640,480,0, 5944,640,480:waittimer 41277 blt 0,0,640,480,0, 5946,640,480:waittimer 41291 blt 0,0,640,480,0, 5948,640,480:waittimer 41305 blt 0,0,640,480,0, 5950,640,480:waittimer 41319 blt 0,0,640,480,0, 5952,640,480:waittimer 41333 blt 0,0,640,480,0, 5954,640,480:waittimer 41347 blt 0,0,640,480,0, 5956,640,480:waittimer 41361 blt 0,0,640,480,0, 5958,640,480:waittimer 41375 blt 0,0,640,480,0, 5960,640,480:waittimer 41388 blt 0,0,640,480,0, 5962,640,480:waittimer 41402 blt 0,0,640,480,0, 5964,640,480:waittimer 41416 blt 0,0,640,480,0, 5966,640,480:waittimer 41430 blt 0,0,640,480,0, 5968,640,480:waittimer 41444 blt 0,0,640,480,0, 5970,640,480:waittimer 41458 blt 0,0,640,480,0, 5972,640,480:waittimer 41472 blt 0,0,640,480,0, 5974,640,480:waittimer 41486 blt 0,0,640,480,0, 5976,640,480:waittimer 41500 blt 0,0,640,480,0, 5978,640,480:waittimer 41513 blt 0,0,640,480,0, 5980,640,480:waittimer 41527 blt 0,0,640,480,0, 5982,640,480:waittimer 41541 blt 0,0,640,480,0, 5984,640,480:waittimer 41555 blt 0,0,640,480,0, 5986,640,480:waittimer 41569 blt 0,0,640,480,0, 5988,640,480:waittimer 41583 blt 0,0,640,480,0, 5990,640,480:waittimer 41597 blt 0,0,640,480,0, 5992,640,480:waittimer 41611 blt 0,0,640,480,0, 5994,640,480:waittimer 41625 blt 0,0,640,480,0, 5996,640,480:waittimer 41638 blt 0,0,640,480,0, 5998,640,480:waittimer 41652 blt 0,0,640,480,0, 6000,640,480:waittimer 41666 blt 0,0,640,480,0, 6002,640,480:waittimer 41680 blt 0,0,640,480,0, 6004,640,480:waittimer 41694 blt 0,0,640,480,0, 6006,640,480:waittimer 41708 blt 0,0,640,480,0, 6008,640,480:waittimer 41722 blt 0,0,640,480,0, 6010,640,480:waittimer 41736 blt 0,0,640,480,0, 6012,640,480:waittimer 41750 blt 0,0,640,480,0, 6014,640,480:waittimer 41763 blt 0,0,640,480,0, 6016,640,480:waittimer 41777 blt 0,0,640,480,0, 6018,640,480:waittimer 41791 blt 0,0,640,480,0, 6020,640,480:waittimer 41805 blt 0,0,640,480,0, 6022,640,480:waittimer 41819 blt 0,0,640,480,0, 6024,640,480:waittimer 41833 blt 0,0,640,480,0, 6026,640,480:waittimer 41847 blt 0,0,640,480,0, 6028,640,480:waittimer 41861 blt 0,0,640,480,0, 6030,640,480:waittimer 41875 blt 0,0,640,480,0, 6032,640,480:waittimer 41888 blt 0,0,640,480,0, 6034,640,480:waittimer 41902 blt 0,0,640,480,0, 6036,640,480:waittimer 41916 blt 0,0,640,480,0, 6038,640,480:waittimer 41930 blt 0,0,640,480,0, 6040,640,480:waittimer 41944 blt 0,0,640,480,0, 6042,640,480:waittimer 41958 blt 0,0,640,480,0, 6044,640,480:waittimer 41972 blt 0,0,640,480,0, 6046,640,480:waittimer 41986 blt 0,0,640,480,0, 6048,640,480:waittimer 42000 blt 0,0,640,480,0, 6050,640,480:waittimer 42013 blt 0,0,640,480,0, 6052,640,480:waittimer 42027 blt 0,0,640,480,0, 6054,640,480:waittimer 42041 blt 0,0,640,480,0, 6056,640,480:waittimer 42055 blt 0,0,640,480,0, 6058,640,480:waittimer 42069 blt 0,0,640,480,0, 6060,640,480:waittimer 42083 blt 0,0,640,480,0, 6062,640,480:waittimer 42097 blt 0,0,640,480,0, 6064,640,480:waittimer 42111 blt 0,0,640,480,0, 6066,640,480:waittimer 42125 blt 0,0,640,480,0, 6068,640,480:waittimer 42138 blt 0,0,640,480,0, 6070,640,480:waittimer 42152 blt 0,0,640,480,0, 6072,640,480:waittimer 42166 blt 0,0,640,480,0, 6074,640,480:waittimer 42180 blt 0,0,640,480,0, 6076,640,480:waittimer 42194 blt 0,0,640,480,0, 6078,640,480:waittimer 42208 blt 0,0,640,480,0, 6080,640,480:waittimer 42222 blt 0,0,640,480,0, 6082,640,480:waittimer 42236 blt 0,0,640,480,0, 6084,640,480:waittimer 42250 blt 0,0,640,480,0, 6086,640,480:waittimer 42263 blt 0,0,640,480,0, 6088,640,480:waittimer 42277 blt 0,0,640,480,0, 6090,640,480:waittimer 42291 blt 0,0,640,480,0, 6092,640,480:waittimer 42305 blt 0,0,640,480,0, 6094,640,480:waittimer 42319 blt 0,0,640,480,0, 6096,640,480:waittimer 42333 blt 0,0,640,480,0, 6098,640,480:waittimer 42347 blt 0,0,640,480,0, 6100,640,480:waittimer 42361 blt 0,0,640,480,0, 6102,640,480:waittimer 42375 blt 0,0,640,480,0, 6104,640,480:waittimer 42388 blt 0,0,640,480,0, 6106,640,480:waittimer 42402 blt 0,0,640,480,0, 6108,640,480:waittimer 42416 blt 0,0,640,480,0, 6110,640,480:waittimer 42430 blt 0,0,640,480,0, 6112,640,480:waittimer 42444 blt 0,0,640,480,0, 6114,640,480:waittimer 42458 blt 0,0,640,480,0, 6116,640,480:waittimer 42472 blt 0,0,640,480,0, 6118,640,480:waittimer 42486 blt 0,0,640,480,0, 6120,640,480:waittimer 42500 blt 0,0,640,480,0, 6122,640,480:waittimer 42513 blt 0,0,640,480,0, 6124,640,480:waittimer 42527 blt 0,0,640,480,0, 6126,640,480:waittimer 42541 blt 0,0,640,480,0, 6128,640,480:waittimer 42555 blt 0,0,640,480,0, 6130,640,480:waittimer 42569 blt 0,0,640,480,0, 6132,640,480:waittimer 42583 blt 0,0,640,480,0, 6134,640,480:waittimer 42597 blt 0,0,640,480,0, 6136,640,480:waittimer 42611 blt 0,0,640,480,0, 6138,640,480:waittimer 42625 blt 0,0,640,480,0, 6140,640,480:waittimer 42638 blt 0,0,640,480,0, 6142,640,480:waittimer 42652 blt 0,0,640,480,0, 6144,640,480:waittimer 42666 blt 0,0,640,480,0, 6146,640,480:waittimer 42680 blt 0,0,640,480,0, 6148,640,480:waittimer 42694 blt 0,0,640,480,0, 6150,640,480:waittimer 42708 blt 0,0,640,480,0, 6152,640,480:waittimer 42722 blt 0,0,640,480,0, 6154,640,480:waittimer 42736 blt 0,0,640,480,0, 6156,640,480:waittimer 42750 blt 0,0,640,480,0, 6158,640,480:waittimer 42763 blt 0,0,640,480,0, 6160,640,480:waittimer 42777 blt 0,0,640,480,0, 6162,640,480:waittimer 42791 blt 0,0,640,480,0, 6164,640,480:waittimer 42805 blt 0,0,640,480,0, 6166,640,480:waittimer 42819 blt 0,0,640,480,0, 6168,640,480:waittimer 42833 blt 0,0,640,480,0, 6170,640,480:waittimer 42847 blt 0,0,640,480,0, 6172,640,480:waittimer 42861 blt 0,0,640,480,0, 6174,640,480:waittimer 42875 blt 0,0,640,480,0, 6176,640,480:waittimer 42888 blt 0,0,640,480,0, 6178,640,480:waittimer 42902 blt 0,0,640,480,0, 6180,640,480:waittimer 42916 blt 0,0,640,480,0, 6182,640,480:waittimer 42930 blt 0,0,640,480,0, 6184,640,480:waittimer 42944 blt 0,0,640,480,0, 6186,640,480:waittimer 42958 blt 0,0,640,480,0, 6188,640,480:waittimer 42972 blt 0,0,640,480,0, 6190,640,480:waittimer 42986 blt 0,0,640,480,0, 6192,640,480:waittimer 43000 blt 0,0,640,480,0, 6194,640,480:waittimer 43013 blt 0,0,640,480,0, 6196,640,480:waittimer 43027 blt 0,0,640,480,0, 6198,640,480:waittimer 43041 blt 0,0,640,480,0, 6200,640,480:waittimer 43055 blt 0,0,640,480,0, 6202,640,480:waittimer 43069 blt 0,0,640,480,0, 6204,640,480:waittimer 43083 blt 0,0,640,480,0, 6206,640,480:waittimer 43097 blt 0,0,640,480,0, 6208,640,480:waittimer 43111 blt 0,0,640,480,0, 6210,640,480:waittimer 43125 blt 0,0,640,480,0, 6212,640,480:waittimer 43138 blt 0,0,640,480,0, 6214,640,480:waittimer 43152 blt 0,0,640,480,0, 6216,640,480:waittimer 43166 blt 0,0,640,480,0, 6218,640,480:waittimer 43180 blt 0,0,640,480,0, 6220,640,480:waittimer 43194 blt 0,0,640,480,0, 6222,640,480:waittimer 43208 blt 0,0,640,480,0, 6224,640,480:waittimer 43222 blt 0,0,640,480,0, 6226,640,480:waittimer 43236 blt 0,0,640,480,0, 6228,640,480:waittimer 43250 blt 0,0,640,480,0, 6230,640,480:waittimer 43263 blt 0,0,640,480,0, 6232,640,480:waittimer 43277 blt 0,0,640,480,0, 6234,640,480:waittimer 43291 blt 0,0,640,480,0, 6236,640,480:waittimer 43305 blt 0,0,640,480,0, 6238,640,480:waittimer 43319 blt 0,0,640,480,0, 6240,640,480:waittimer 43333 blt 0,0,640,480,0, 6242,640,480:waittimer 43347 blt 0,0,640,480,0, 6244,640,480:waittimer 43361 blt 0,0,640,480,0, 6246,640,480:waittimer 43375 blt 0,0,640,480,0, 6248,640,480:waittimer 43388 blt 0,0,640,480,0, 6250,640,480:waittimer 43402 blt 0,0,640,480,0, 6252,640,480:waittimer 43416 blt 0,0,640,480,0, 6254,640,480:waittimer 43430 blt 0,0,640,480,0, 6256,640,480:waittimer 43444 blt 0,0,640,480,0, 6258,640,480:waittimer 43458 blt 0,0,640,480,0, 6260,640,480:waittimer 43472 blt 0,0,640,480,0, 6262,640,480:waittimer 43486 blt 0,0,640,480,0, 6264,640,480:waittimer 43500 blt 0,0,640,480,0, 6266,640,480:waittimer 43513 blt 0,0,640,480,0, 6268,640,480:waittimer 43527 blt 0,0,640,480,0, 6270,640,480:waittimer 43541 blt 0,0,640,480,0, 6272,640,480:waittimer 43555 blt 0,0,640,480,0, 6274,640,480:waittimer 43569 blt 0,0,640,480,0, 6276,640,480:waittimer 43583 blt 0,0,640,480,0, 6278,640,480:waittimer 43597 blt 0,0,640,480,0, 6280,640,480:waittimer 43611 blt 0,0,640,480,0, 6282,640,480:waittimer 43625 blt 0,0,640,480,0, 6284,640,480:waittimer 43638 blt 0,0,640,480,0, 6286,640,480:waittimer 43652 blt 0,0,640,480,0, 6288,640,480:waittimer 43666 blt 0,0,640,480,0, 6290,640,480:waittimer 43680 blt 0,0,640,480,0, 6292,640,480:waittimer 43694 blt 0,0,640,480,0, 6294,640,480:waittimer 43708 blt 0,0,640,480,0, 6296,640,480:waittimer 43722 blt 0,0,640,480,0, 6298,640,480:waittimer 43736 blt 0,0,640,480,0, 6300,640,480:waittimer 43750 blt 0,0,640,480,0, 6302,640,480:waittimer 43763 blt 0,0,640,480,0, 6304,640,480:waittimer 43777 blt 0,0,640,480,0, 6306,640,480:waittimer 43791 blt 0,0,640,480,0, 6308,640,480:waittimer 43805 blt 0,0,640,480,0, 6310,640,480:waittimer 43819 blt 0,0,640,480,0, 6312,640,480:waittimer 43833 blt 0,0,640,480,0, 6314,640,480:waittimer 43847 blt 0,0,640,480,0, 6316,640,480:waittimer 43861 blt 0,0,640,480,0, 6318,640,480:waittimer 43875 blt 0,0,640,480,0, 6320,640,480:waittimer 43888 blt 0,0,640,480,0, 6322,640,480:waittimer 43902 blt 0,0,640,480,0, 6324,640,480:waittimer 43916 blt 0,0,640,480,0, 6326,640,480:waittimer 43930 blt 0,0,640,480,0, 6328,640,480:waittimer 43944 blt 0,0,640,480,0, 6330,640,480:waittimer 43958 blt 0,0,640,480,0, 6332,640,480:waittimer 43972 blt 0,0,640,480,0, 6334,640,480:waittimer 43986 blt 0,0,640,480,0, 6336,640,480:waittimer 44000 blt 0,0,640,480,0, 6338,640,480:waittimer 44013 blt 0,0,640,480,0, 6340,640,480:waittimer 44027 blt 0,0,640,480,0, 6342,640,480:waittimer 44041 blt 0,0,640,480,0, 6344,640,480:waittimer 44055 blt 0,0,640,480,0, 6346,640,480:waittimer 44069 blt 0,0,640,480,0, 6348,640,480:waittimer 44083 blt 0,0,640,480,0, 6350,640,480:waittimer 44097 blt 0,0,640,480,0, 6352,640,480:waittimer 44111 blt 0,0,640,480,0, 6354,640,480:waittimer 44125 blt 0,0,640,480,0, 6356,640,480:waittimer 44138 blt 0,0,640,480,0, 6358,640,480:waittimer 44152 blt 0,0,640,480,0, 6360,640,480:waittimer 44166 blt 0,0,640,480,0, 6362,640,480:waittimer 44180 blt 0,0,640,480,0, 6364,640,480:waittimer 44194 blt 0,0,640,480,0, 6366,640,480:waittimer 44208 blt 0,0,640,480,0, 6368,640,480:waittimer 44222 blt 0,0,640,480,0, 6370,640,480:waittimer 44236 blt 0,0,640,480,0, 6372,640,480:waittimer 44250 blt 0,0,640,480,0, 6374,640,480:waittimer 44263 blt 0,0,640,480,0, 6376,640,480:waittimer 44277 blt 0,0,640,480,0, 6378,640,480:waittimer 44291 blt 0,0,640,480,0, 6380,640,480:waittimer 44305 blt 0,0,640,480,0, 6382,640,480:waittimer 44319 blt 0,0,640,480,0, 6384,640,480:waittimer 44333 blt 0,0,640,480,0, 6386,640,480:waittimer 44347 blt 0,0,640,480,0, 6388,640,480:waittimer 44361 blt 0,0,640,480,0, 6390,640,480:waittimer 44375 blt 0,0,640,480,0, 6392,640,480:waittimer 44388 blt 0,0,640,480,0, 6394,640,480:waittimer 44402 blt 0,0,640,480,0, 6396,640,480:waittimer 44416 blt 0,0,640,480,0, 6398,640,480:waittimer 44430 blt 0,0,640,480,0, 6400,640,480:waittimer 44444 blt 0,0,640,480,0, 6402,640,480:waittimer 44458 blt 0,0,640,480,0, 6404,640,480:waittimer 44472 blt 0,0,640,480,0, 6406,640,480:waittimer 44486 blt 0,0,640,480,0, 6408,640,480:waittimer 44500 blt 0,0,640,480,0, 6410,640,480:waittimer 44513 blt 0,0,640,480,0, 6412,640,480:waittimer 44527 blt 0,0,640,480,0, 6414,640,480:waittimer 44541 blt 0,0,640,480,0, 6416,640,480:waittimer 44555 blt 0,0,640,480,0, 6418,640,480:waittimer 44569 blt 0,0,640,480,0, 6420,640,480:waittimer 44583 blt 0,0,640,480,0, 6422,640,480:waittimer 44597 blt 0,0,640,480,0, 6424,640,480:waittimer 44611 blt 0,0,640,480,0, 6426,640,480:waittimer 44625 blt 0,0,640,480,0, 6428,640,480:waittimer 44638 blt 0,0,640,480,0, 6430,640,480:waittimer 44652 blt 0,0,640,480,0, 6432,640,480:waittimer 44666 blt 0,0,640,480,0, 6434,640,480:waittimer 44680 blt 0,0,640,480,0, 6436,640,480:waittimer 44694 blt 0,0,640,480,0, 6438,640,480:waittimer 44708 blt 0,0,640,480,0, 6440,640,480:waittimer 44722 blt 0,0,640,480,0, 6442,640,480:waittimer 44736 blt 0,0,640,480,0, 6444,640,480:waittimer 44750 blt 0,0,640,480,0, 6446,640,480:waittimer 44763 blt 0,0,640,480,0, 6448,640,480:waittimer 44777 blt 0,0,640,480,0, 6450,640,480:waittimer 44791 blt 0,0,640,480,0, 6452,640,480:waittimer 44805 blt 0,0,640,480,0, 6454,640,480:waittimer 44819 blt 0,0,640,480,0, 6456,640,480:waittimer 44833 blt 0,0,640,480,0, 6458,640,480:waittimer 44847 blt 0,0,640,480,0, 6460,640,480:waittimer 44861 blt 0,0,640,480,0, 6462,640,480:waittimer 44875 blt 0,0,640,480,0, 6464,640,480:waittimer 44888 blt 0,0,640,480,0, 6466,640,480:waittimer 44902 blt 0,0,640,480,0, 6468,640,480:waittimer 44916 blt 0,0,640,480,0, 6470,640,480:waittimer 44930 blt 0,0,640,480,0, 6472,640,480:waittimer 44944 blt 0,0,640,480,0, 6474,640,480:waittimer 44958 blt 0,0,640,480,0, 6476,640,480:waittimer 44972 blt 0,0,640,480,0, 6478,640,480:waittimer 44986 blt 0,0,640,480,0, 6480,640,480:waittimer 45000 blt 0,0,640,480,0, 6482,640,480:waittimer 45013 blt 0,0,640,480,0, 6484,640,480:waittimer 45027 blt 0,0,640,480,0, 6486,640,480:waittimer 45041 blt 0,0,640,480,0, 6488,640,480:waittimer 45055 blt 0,0,640,480,0, 6490,640,480:waittimer 45069 blt 0,0,640,480,0, 6492,640,480:waittimer 45083 blt 0,0,640,480,0, 6494,640,480:waittimer 45097 blt 0,0,640,480,0, 6496,640,480:waittimer 45111 blt 0,0,640,480,0, 6498,640,480:waittimer 45125 blt 0,0,640,480,0, 6500,640,480:waittimer 45138 blt 0,0,640,480,0, 6502,640,480:waittimer 45152 blt 0,0,640,480,0, 6504,640,480:waittimer 45166 blt 0,0,640,480,0, 6506,640,480:waittimer 45180 blt 0,0,640,480,0, 6508,640,480:waittimer 45194 blt 0,0,640,480,0, 6510,640,480:waittimer 45208 blt 0,0,640,480,0, 6512,640,480:waittimer 45222 blt 0,0,640,480,0, 6514,640,480:waittimer 45236 blt 0,0,640,480,0, 6516,640,480:waittimer 45250 blt 0,0,640,480,0, 6518,640,480:waittimer 45263 blt 0,0,640,480,0, 6520,640,480:waittimer 45277 blt 0,0,640,480,0, 6522,640,480:waittimer 45291 blt 0,0,640,480,0, 6524,640,480:waittimer 45305 blt 0,0,640,480,0, 6526,640,480:waittimer 45319 blt 0,0,640,480,0, 6528,640,480:waittimer 45333 blt 0,0,640,480,0, 6530,640,480:waittimer 45347 blt 0,0,640,480,0, 6532,640,480:waittimer 45361 blt 0,0,640,480,0, 6534,640,480:waittimer 45375 blt 0,0,640,480,0, 6536,640,480:waittimer 45388 blt 0,0,640,480,0, 6538,640,480:waittimer 45402 blt 0,0,640,480,0, 6540,640,480:waittimer 45416 blt 0,0,640,480,0, 6542,640,480:waittimer 45430 blt 0,0,640,480,0, 6544,640,480:waittimer 45444 blt 0,0,640,480,0, 6546,640,480:waittimer 45458 blt 0,0,640,480,0, 6548,640,480:waittimer 45472 blt 0,0,640,480,0, 6550,640,480:waittimer 45486 blt 0,0,640,480,0, 6552,640,480:waittimer 45500 blt 0,0,640,480,0, 6554,640,480:waittimer 45513 blt 0,0,640,480,0, 6556,640,480:waittimer 45527 blt 0,0,640,480,0, 6558,640,480:waittimer 45541 blt 0,0,640,480,0, 6560,640,480:waittimer 45555 blt 0,0,640,480,0, 6562,640,480:waittimer 45569 blt 0,0,640,480,0, 6564,640,480:waittimer 45583 blt 0,0,640,480,0, 6566,640,480:waittimer 45597 blt 0,0,640,480,0, 6568,640,480:waittimer 45611 blt 0,0,640,480,0, 6570,640,480:waittimer 45625 blt 0,0,640,480,0, 6572,640,480:waittimer 45638 blt 0,0,640,480,0, 6574,640,480:waittimer 45652 blt 0,0,640,480,0, 6576,640,480:waittimer 45666 blt 0,0,640,480,0, 6578,640,480:waittimer 45680 blt 0,0,640,480,0, 6580,640,480:waittimer 45694 blt 0,0,640,480,0, 6582,640,480:waittimer 45708 blt 0,0,640,480,0, 6584,640,480:waittimer 45722 blt 0,0,640,480,0, 6586,640,480:waittimer 45736 blt 0,0,640,480,0, 6588,640,480:waittimer 45750 blt 0,0,640,480,0, 6590,640,480:waittimer 45763 blt 0,0,640,480,0, 6592,640,480:waittimer 45777 blt 0,0,640,480,0, 6594,640,480:waittimer 45791 blt 0,0,640,480,0, 6596,640,480:waittimer 45805 blt 0,0,640,480,0, 6598,640,480:waittimer 45819 blt 0,0,640,480,0, 6600,640,480:waittimer 45833 blt 0,0,640,480,0, 6602,640,480:waittimer 45847 blt 0,0,640,480,0, 6604,640,480:waittimer 45861 blt 0,0,640,480,0, 6606,640,480:waittimer 45875 blt 0,0,640,480,0, 6608,640,480:waittimer 45888 blt 0,0,640,480,0, 6610,640,480:waittimer 45902 blt 0,0,640,480,0, 6612,640,480:waittimer 45916 blt 0,0,640,480,0, 6614,640,480:waittimer 45930 blt 0,0,640,480,0, 6616,640,480:waittimer 45944 blt 0,0,640,480,0, 6618,640,480:waittimer 45958 blt 0,0,640,480,0, 6620,640,480:waittimer 45972 blt 0,0,640,480,0, 6622,640,480:waittimer 45986 blt 0,0,640,480,0, 6624,640,480:waittimer 46000 blt 0,0,640,480,0, 6626,640,480:waittimer 46013 blt 0,0,640,480,0, 6628,640,480:waittimer 46027 blt 0,0,640,480,0, 6630,640,480:waittimer 46041 blt 0,0,640,480,0, 6632,640,480:waittimer 46055 blt 0,0,640,480,0, 6634,640,480:waittimer 46069 blt 0,0,640,480,0, 6636,640,480:waittimer 46083 blt 0,0,640,480,0, 6638,640,480:waittimer 46097 blt 0,0,640,480,0, 6640,640,480:waittimer 46111 blt 0,0,640,480,0, 6642,640,480:waittimer 46125 blt 0,0,640,480,0, 6644,640,480:waittimer 46138 blt 0,0,640,480,0, 6646,640,480:waittimer 46152 blt 0,0,640,480,0, 6648,640,480:waittimer 46166 blt 0,0,640,480,0, 6650,640,480:waittimer 46180 blt 0,0,640,480,0, 6652,640,480:waittimer 46194 blt 0,0,640,480,0, 6654,640,480:waittimer 46208 blt 0,0,640,480,0, 6656,640,480:waittimer 46222 blt 0,0,640,480,0, 6658,640,480:waittimer 46236 blt 0,0,640,480,0, 6660,640,480:waittimer 46250 blt 0,0,640,480,0, 6662,640,480:waittimer 46263 blt 0,0,640,480,0, 6664,640,480:waittimer 46277 blt 0,0,640,480,0, 6666,640,480:waittimer 46291 blt 0,0,640,480,0, 6668,640,480:waittimer 46305 blt 0,0,640,480,0, 6670,640,480:waittimer 46319 blt 0,0,640,480,0, 6672,640,480:waittimer 46333 blt 0,0,640,480,0, 6674,640,480:waittimer 46347 blt 0,0,640,480,0, 6676,640,480:waittimer 46361 blt 0,0,640,480,0, 6678,640,480:waittimer 46375 blt 0,0,640,480,0, 6680,640,480:waittimer 46388 blt 0,0,640,480,0, 6682,640,480:waittimer 46402 blt 0,0,640,480,0, 6684,640,480:waittimer 46416 blt 0,0,640,480,0, 6686,640,480:waittimer 46430 blt 0,0,640,480,0, 6688,640,480:waittimer 46444 blt 0,0,640,480,0, 6690,640,480:waittimer 46458 blt 0,0,640,480,0, 6692,640,480:waittimer 46472 blt 0,0,640,480,0, 6694,640,480:waittimer 46486 blt 0,0,640,480,0, 6696,640,480:waittimer 46500 blt 0,0,640,480,0, 6698,640,480:waittimer 46513 blt 0,0,640,480,0, 6700,640,480:waittimer 46527 blt 0,0,640,480,0, 6702,640,480:waittimer 46541 blt 0,0,640,480,0, 6704,640,480:waittimer 46555 blt 0,0,640,480,0, 6706,640,480:waittimer 46569 blt 0,0,640,480,0, 6708,640,480:waittimer 46583 blt 0,0,640,480,0, 6710,640,480:waittimer 46597 blt 0,0,640,480,0, 6712,640,480:waittimer 46611 blt 0,0,640,480,0, 6714,640,480:waittimer 46625 blt 0,0,640,480,0, 6716,640,480:waittimer 46638 blt 0,0,640,480,0, 6718,640,480:waittimer 46652 blt 0,0,640,480,0, 6720,640,480:waittimer 46666 blt 0,0,640,480,0, 6722,640,480:waittimer 46680 blt 0,0,640,480,0, 6724,640,480:waittimer 46694 blt 0,0,640,480,0, 6726,640,480:waittimer 46708 blt 0,0,640,480,0, 6728,640,480:waittimer 46722 blt 0,0,640,480,0, 6730,640,480:waittimer 46736 blt 0,0,640,480,0, 6732,640,480:waittimer 46750 blt 0,0,640,480,0, 6734,640,480:waittimer 46763 blt 0,0,640,480,0, 6736,640,480:waittimer 46777 blt 0,0,640,480,0, 6738,640,480:waittimer 46791 blt 0,0,640,480,0, 6740,640,480:waittimer 46805 blt 0,0,640,480,0, 6742,640,480:waittimer 46819 blt 0,0,640,480,0, 6744,640,480:waittimer 46833 blt 0,0,640,480,0, 6746,640,480:waittimer 46847 blt 0,0,640,480,0, 6748,640,480:waittimer 46861 blt 0,0,640,480,0, 6750,640,480:waittimer 46875 blt 0,0,640,480,0, 6752,640,480:waittimer 46888 blt 0,0,640,480,0, 6754,640,480:waittimer 46902 blt 0,0,640,480,0, 6756,640,480:waittimer 46916 blt 0,0,640,480,0, 6758,640,480:waittimer 46930 blt 0,0,640,480,0, 6760,640,480:waittimer 46944 blt 0,0,640,480,0, 6762,640,480:waittimer 46958 blt 0,0,640,480,0, 6764,640,480:waittimer 46972 blt 0,0,640,480,0, 6766,640,480:waittimer 46986 blt 0,0,640,480,0, 6768,640,480:waittimer 47000 blt 0,0,640,480,0, 6770,640,480:waittimer 47013 blt 0,0,640,480,0, 6772,640,480:waittimer 47027 blt 0,0,640,480,0, 6774,640,480:waittimer 47041 blt 0,0,640,480,0, 6776,640,480:waittimer 47055 blt 0,0,640,480,0, 6778,640,480:waittimer 47069 blt 0,0,640,480,0, 6780,640,480:waittimer 47083 blt 0,0,640,480,0, 6782,640,480:waittimer 47097 blt 0,0,640,480,0, 6784,640,480:waittimer 47111 blt 0,0,640,480,0, 6786,640,480:waittimer 47125 blt 0,0,640,480,0, 6788,640,480:waittimer 47138 blt 0,0,640,480,0, 6790,640,480:waittimer 47152 blt 0,0,640,480,0, 6792,640,480:waittimer 47166 blt 0,0,640,480,0, 6794,640,480:waittimer 47180 blt 0,0,640,480,0, 6796,640,480:waittimer 47194 blt 0,0,640,480,0, 6798,640,480:waittimer 47208 blt 0,0,640,480,0, 6800,640,480:waittimer 47222 blt 0,0,640,480,0, 6802,640,480:waittimer 47236 blt 0,0,640,480,0, 6804,640,480:waittimer 47250 blt 0,0,640,480,0, 6806,640,480:waittimer 47263 blt 0,0,640,480,0, 6808,640,480:waittimer 47277 blt 0,0,640,480,0, 6810,640,480:waittimer 47291 blt 0,0,640,480,0, 6812,640,480:waittimer 47305 blt 0,0,640,480,0, 6814,640,480:waittimer 47319 blt 0,0,640,480,0, 6816,640,480:waittimer 47333 blt 0,0,640,480,0, 6818,640,480:waittimer 47347 blt 0,0,640,480,0, 6820,640,480:waittimer 47361 blt 0,0,640,480,0, 6822,640,480:waittimer 47375 blt 0,0,640,480,0, 6824,640,480:waittimer 47388 blt 0,0,640,480,0, 6826,640,480:waittimer 47402 blt 0,0,640,480,0, 6828,640,480:waittimer 47416 blt 0,0,640,480,0, 6830,640,480:waittimer 47430 blt 0,0,640,480,0, 6832,640,480:waittimer 47444 blt 0,0,640,480,0, 6834,640,480:waittimer 47458 blt 0,0,640,480,0, 6836,640,480:waittimer 47472 blt 0,0,640,480,0, 6838,640,480:waittimer 47486 blt 0,0,640,480,0, 6840,640,480:waittimer 47500 blt 0,0,640,480,0, 6842,640,480:waittimer 47513 blt 0,0,640,480,0, 6844,640,480:waittimer 47527 blt 0,0,640,480,0, 6846,640,480:waittimer 47541 blt 0,0,640,480,0, 6848,640,480:waittimer 47555 blt 0,0,640,480,0, 6850,640,480:waittimer 47569 blt 0,0,640,480,0, 6852,640,480:waittimer 47583 blt 0,0,640,480,0, 6854,640,480:waittimer 47597 blt 0,0,640,480,0, 6856,640,480:waittimer 47611 blt 0,0,640,480,0, 6858,640,480:waittimer 47625 blt 0,0,640,480,0, 6860,640,480:waittimer 47638 blt 0,0,640,480,0, 6862,640,480:waittimer 47652 blt 0,0,640,480,0, 6864,640,480:waittimer 47666 blt 0,0,640,480,0, 6866,640,480:waittimer 47680 blt 0,0,640,480,0, 6868,640,480:waittimer 47694 blt 0,0,640,480,0, 6870,640,480:waittimer 47708 blt 0,0,640,480,0, 6872,640,480:waittimer 47722 blt 0,0,640,480,0, 6874,640,480:waittimer 47736 blt 0,0,640,480,0, 6876,640,480:waittimer 47750 blt 0,0,640,480,0, 6878,640,480:waittimer 47763 blt 0,0,640,480,0, 6880,640,480:waittimer 47777 blt 0,0,640,480,0, 6882,640,480:waittimer 47791 blt 0,0,640,480,0, 6884,640,480:waittimer 47805 blt 0,0,640,480,0, 6886,640,480:waittimer 47819 blt 0,0,640,480,0, 6888,640,480:waittimer 47833 blt 0,0,640,480,0, 6890,640,480:waittimer 47847 blt 0,0,640,480,0, 6892,640,480:waittimer 47861 blt 0,0,640,480,0, 6894,640,480:waittimer 47875 blt 0,0,640,480,0, 6896,640,480:waittimer 47888 blt 0,0,640,480,0, 6898,640,480:waittimer 47902 blt 0,0,640,480,0, 6900,640,480:waittimer 47916 blt 0,0,640,480,0, 6902,640,480:waittimer 47930 blt 0,0,640,480,0, 6904,640,480:waittimer 47944 blt 0,0,640,480,0, 6906,640,480:waittimer 47958 blt 0,0,640,480,0, 6908,640,480:waittimer 47972 blt 0,0,640,480,0, 6910,640,480:waittimer 47986 blt 0,0,640,480,0, 6912,640,480:waittimer 48000 blt 0,0,640,480,0, 6914,640,480:waittimer 48013 blt 0,0,640,480,0, 6916,640,480:waittimer 48027 blt 0,0,640,480,0, 6918,640,480:waittimer 48041 blt 0,0,640,480,0, 6920,640,480:waittimer 48055 blt 0,0,640,480,0, 6922,640,480:waittimer 48069 blt 0,0,640,480,0, 6924,640,480:waittimer 48083 blt 0,0,640,480,0, 6926,640,480:waittimer 48097 blt 0,0,640,480,0, 6928,640,480:waittimer 48111 blt 0,0,640,480,0, 6930,640,480:waittimer 48125 blt 0,0,640,480,0, 6932,640,480:waittimer 48138 blt 0,0,640,480,0, 6934,640,480:waittimer 48152 blt 0,0,640,480,0, 6936,640,480:waittimer 48166 blt 0,0,640,480,0, 6938,640,480:waittimer 48180 blt 0,0,640,480,0, 6940,640,480:waittimer 48194 blt 0,0,640,480,0, 6942,640,480:waittimer 48208 blt 0,0,640,480,0, 6944,640,480:waittimer 48222 blt 0,0,640,480,0, 6946,640,480:waittimer 48236 blt 0,0,640,480,0, 6948,640,480:waittimer 48250 blt 0,0,640,480,0, 6950,640,480:waittimer 48263 blt 0,0,640,480,0, 6952,640,480:waittimer 48277 blt 0,0,640,480,0, 6954,640,480:waittimer 48291 blt 0,0,640,480,0, 6956,640,480:waittimer 48305 blt 0,0,640,480,0, 6958,640,480:waittimer 48319 blt 0,0,640,480,0, 6960,640,480:waittimer 48333 blt 0,0,640,480,0, 6962,640,480:waittimer 48347 blt 0,0,640,480,0, 6964,640,480:waittimer 48361 blt 0,0,640,480,0, 6966,640,480:waittimer 48375 blt 0,0,640,480,0, 6968,640,480:waittimer 48388 blt 0,0,640,480,0, 6970,640,480:waittimer 48402 blt 0,0,640,480,0, 6972,640,480:waittimer 48416 blt 0,0,640,480,0, 6974,640,480:waittimer 48430 blt 0,0,640,480,0, 6976,640,480:waittimer 48444 blt 0,0,640,480,0, 6978,640,480:waittimer 48458 blt 0,0,640,480,0, 6980,640,480:waittimer 48472 blt 0,0,640,480,0, 6982,640,480:waittimer 48486 blt 0,0,640,480,0, 6984,640,480:waittimer 48500 blt 0,0,640,480,0, 6986,640,480:waittimer 48513 blt 0,0,640,480,0, 6988,640,480:waittimer 48527 blt 0,0,640,480,0, 6990,640,480:waittimer 48541 blt 0,0,640,480,0, 6992,640,480:waittimer 48555 blt 0,0,640,480,0, 6994,640,480:waittimer 48569 blt 0,0,640,480,0, 6996,640,480:waittimer 48583 blt 0,0,640,480,0, 6998,640,480:waittimer 48597 blt 0,0,640,480,0, 7000,640,480:waittimer 48611 blt 0,0,640,480,0, 7002,640,480:waittimer 48625 blt 0,0,640,480,0, 7004,640,480:waittimer 48638 blt 0,0,640,480,0, 7006,640,480:waittimer 48652 blt 0,0,640,480,0, 7008,640,480:waittimer 48666 blt 0,0,640,480,0, 7010,640,480:waittimer 48680 blt 0,0,640,480,0, 7012,640,480:waittimer 48694 blt 0,0,640,480,0, 7014,640,480:waittimer 48708 blt 0,0,640,480,0, 7016,640,480:waittimer 48722 blt 0,0,640,480,0, 7018,640,480:waittimer 48736 blt 0,0,640,480,0, 7020,640,480:waittimer 48750 blt 0,0,640,480,0, 7022,640,480:waittimer 48763 blt 0,0,640,480,0, 7024,640,480:waittimer 48777 blt 0,0,640,480,0, 7026,640,480:waittimer 48791 blt 0,0,640,480,0, 7028,640,480:waittimer 48805 blt 0,0,640,480,0, 7030,640,480:waittimer 48819 blt 0,0,640,480,0, 7032,640,480:waittimer 48833 blt 0,0,640,480,0, 7034,640,480:waittimer 48847 blt 0,0,640,480,0, 7036,640,480:waittimer 48861 blt 0,0,640,480,0, 7038,640,480:waittimer 48875 blt 0,0,640,480,0, 7040,640,480:waittimer 48888 blt 0,0,640,480,0, 7042,640,480:waittimer 48902 blt 0,0,640,480,0, 7044,640,480:waittimer 48916 blt 0,0,640,480,0, 7046,640,480:waittimer 48930 blt 0,0,640,480,0, 7048,640,480:waittimer 48944 blt 0,0,640,480,0, 7050,640,480:waittimer 48958 blt 0,0,640,480,0, 7052,640,480:waittimer 48972 blt 0,0,640,480,0, 7054,640,480:waittimer 48986 blt 0,0,640,480,0, 7056,640,480:waittimer 49000 blt 0,0,640,480,0, 7058,640,480:waittimer 49013 blt 0,0,640,480,0, 7060,640,480:waittimer 49027 blt 0,0,640,480,0, 7062,640,480:waittimer 49041 blt 0,0,640,480,0, 7064,640,480:waittimer 49055 blt 0,0,640,480,0, 7066,640,480:waittimer 49069 blt 0,0,640,480,0, 7068,640,480:waittimer 49083 blt 0,0,640,480,0, 7070,640,480:waittimer 49097 blt 0,0,640,480,0, 7072,640,480:waittimer 49111 blt 0,0,640,480,0, 7074,640,480:waittimer 49125 blt 0,0,640,480,0, 7076,640,480:waittimer 49138 blt 0,0,640,480,0, 7078,640,480:waittimer 49152 blt 0,0,640,480,0, 7080,640,480:waittimer 49166 blt 0,0,640,480,0, 7082,640,480:waittimer 49180 blt 0,0,640,480,0, 7084,640,480:waittimer 49194 blt 0,0,640,480,0, 7086,640,480:waittimer 49208 blt 0,0,640,480,0, 7088,640,480:waittimer 49222 blt 0,0,640,480,0, 7090,640,480:waittimer 49236 blt 0,0,640,480,0, 7092,640,480:waittimer 49250 blt 0,0,640,480,0, 7094,640,480:waittimer 49263 blt 0,0,640,480,0, 7096,640,480:waittimer 49277 blt 0,0,640,480,0, 7098,640,480:waittimer 49291 blt 0,0,640,480,0, 7100,640,480:waittimer 49305 blt 0,0,640,480,0, 7102,640,480:waittimer 49319 blt 0,0,640,480,0, 7104,640,480:waittimer 49333 blt 0,0,640,480,0, 7106,640,480:waittimer 49347 blt 0,0,640,480,0, 7108,640,480:waittimer 49361 blt 0,0,640,480,0, 7110,640,480:waittimer 49375 blt 0,0,640,480,0, 7112,640,480:waittimer 49388 blt 0,0,640,480,0, 7114,640,480:waittimer 49402 blt 0,0,640,480,0, 7116,640,480:waittimer 49416 blt 0,0,640,480,0, 7118,640,480:waittimer 49430 blt 0,0,640,480,0, 7120,640,480:waittimer 49444 blt 0,0,640,480,0, 7122,640,480:waittimer 49458 blt 0,0,640,480,0, 7124,640,480:waittimer 49472 blt 0,0,640,480,0, 7126,640,480:waittimer 49486 blt 0,0,640,480,0, 7128,640,480:waittimer 49500 blt 0,0,640,480,0, 7130,640,480:waittimer 49513 blt 0,0,640,480,0, 7132,640,480:waittimer 49527 blt 0,0,640,480,0, 7134,640,480:waittimer 49541 blt 0,0,640,480,0, 7136,640,480:waittimer 49555 blt 0,0,640,480,0, 7138,640,480:waittimer 49569 blt 0,0,640,480,0, 7140,640,480:waittimer 49583 blt 0,0,640,480,0, 7142,640,480:waittimer 49597 blt 0,0,640,480,0, 7144,640,480:waittimer 49611 blt 0,0,640,480,0, 7146,640,480:waittimer 49625 blt 0,0,640,480,0, 7148,640,480:waittimer 49638 blt 0,0,640,480,0, 7150,640,480:waittimer 49652 blt 0,0,640,480,0, 7152,640,480:waittimer 49666 blt 0,0,640,480,0, 7154,640,480:waittimer 49680 blt 0,0,640,480,0, 7156,640,480:waittimer 49694 blt 0,0,640,480,0, 7158,640,480:waittimer 49708 blt 0,0,640,480,0, 7160,640,480:waittimer 49722 blt 0,0,640,480,0, 7162,640,480:waittimer 49736 blt 0,0,640,480,0, 7164,640,480:waittimer 49750 blt 0,0,640,480,0, 7166,640,480:waittimer 49763 blt 0,0,640,480,0, 7168,640,480:waittimer 49777 blt 0,0,640,480,0, 7170,640,480:waittimer 49791 blt 0,0,640,480,0, 7172,640,480:waittimer 49805 blt 0,0,640,480,0, 7174,640,480:waittimer 49819 blt 0,0,640,480,0, 7176,640,480:waittimer 49833 blt 0,0,640,480,0, 7178,640,480:waittimer 49847 blt 0,0,640,480,0, 7180,640,480:waittimer 49861 blt 0,0,640,480,0, 7182,640,480:waittimer 49875 blt 0,0,640,480,0, 7184,640,480:waittimer 49888 blt 0,0,640,480,0, 7186,640,480:waittimer 49902 blt 0,0,640,480,0, 7188,640,480:waittimer 49916 blt 0,0,640,480,0, 7190,640,480:waittimer 49930 blt 0,0,640,480,0, 7192,640,480:waittimer 49944 blt 0,0,640,480,0, 7194,640,480:waittimer 49958 blt 0,0,640,480,0, 7196,640,480:waittimer 49972 blt 0,0,640,480,0, 7198,640,480:waittimer 49986 blt 0,0,640,480,0, 7200,640,480:waittimer 50000 blt 0,0,640,480,0, 7202,640,480:waittimer 50013 blt 0,0,640,480,0, 7204,640,480:waittimer 50027 blt 0,0,640,480,0, 7206,640,480:waittimer 50041 blt 0,0,640,480,0, 7208,640,480:waittimer 50055 blt 0,0,640,480,0, 7210,640,480:waittimer 50069 blt 0,0,640,480,0, 7212,640,480:waittimer 50083 blt 0,0,640,480,0, 7214,640,480:waittimer 50097 blt 0,0,640,480,0, 7216,640,480:waittimer 50111 blt 0,0,640,480,0, 7218,640,480:waittimer 50125 blt 0,0,640,480,0, 7220,640,480:waittimer 50138 blt 0,0,640,480,0, 7222,640,480:waittimer 50152 blt 0,0,640,480,0, 7224,640,480:waittimer 50166 blt 0,0,640,480,0, 7226,640,480:waittimer 50180 blt 0,0,640,480,0, 7228,640,480:waittimer 50194 blt 0,0,640,480,0, 7230,640,480:waittimer 50208 blt 0,0,640,480,0, 7232,640,480:waittimer 50222 blt 0,0,640,480,0, 7234,640,480:waittimer 50236 blt 0,0,640,480,0, 7236,640,480:waittimer 50250 blt 0,0,640,480,0, 7238,640,480:waittimer 50263 blt 0,0,640,480,0, 7240,640,480:waittimer 50277 blt 0,0,640,480,0, 7242,640,480:waittimer 50291 blt 0,0,640,480,0, 7244,640,480:waittimer 50305 blt 0,0,640,480,0, 7246,640,480:waittimer 50319 blt 0,0,640,480,0, 7248,640,480:waittimer 50333 blt 0,0,640,480,0, 7250,640,480:waittimer 50347 blt 0,0,640,480,0, 7252,640,480:waittimer 50361 blt 0,0,640,480,0, 7254,640,480:waittimer 50375 blt 0,0,640,480,0, 7256,640,480:waittimer 50388 blt 0,0,640,480,0, 7258,640,480:waittimer 50402 blt 0,0,640,480,0, 7260,640,480:waittimer 50416 blt 0,0,640,480,0, 7262,640,480:waittimer 50430 blt 0,0,640,480,0, 7264,640,480:waittimer 50444 blt 0,0,640,480,0, 7266,640,480:waittimer 50458 blt 0,0,640,480,0, 7268,640,480:waittimer 50472 blt 0,0,640,480,0, 7270,640,480:waittimer 50486 blt 0,0,640,480,0, 7272,640,480:waittimer 50500 blt 0,0,640,480,0, 7274,640,480:waittimer 50513 blt 0,0,640,480,0, 7276,640,480:waittimer 50527 blt 0,0,640,480,0, 7278,640,480:waittimer 50541 blt 0,0,640,480,0, 7280,640,480:waittimer 50555 blt 0,0,640,480,0, 7282,640,480:waittimer 50569 blt 0,0,640,480,0, 7284,640,480:waittimer 50583 blt 0,0,640,480,0, 7286,640,480:waittimer 50597 blt 0,0,640,480,0, 7288,640,480:waittimer 50611 blt 0,0,640,480,0, 7290,640,480:waittimer 50625 blt 0,0,640,480,0, 7292,640,480:waittimer 50638 blt 0,0,640,480,0, 7294,640,480:waittimer 50652 blt 0,0,640,480,0, 7296,640,480:waittimer 50666 blt 0,0,640,480,0, 7298,640,480:waittimer 50680 blt 0,0,640,480,0, 7300,640,480:waittimer 50694 blt 0,0,640,480,0, 7302,640,480:waittimer 50708 blt 0,0,640,480,0, 7304,640,480:waittimer 50722 blt 0,0,640,480,0, 7306,640,480:waittimer 50736 blt 0,0,640,480,0, 7308,640,480:waittimer 50750 blt 0,0,640,480,0, 7310,640,480:waittimer 50763 blt 0,0,640,480,0, 7312,640,480:waittimer 50777 blt 0,0,640,480,0, 7314,640,480:waittimer 50791 blt 0,0,640,480,0, 7316,640,480:waittimer 50805 blt 0,0,640,480,0, 7318,640,480:waittimer 50819 blt 0,0,640,480,0, 7320,640,480:waittimer 50833 blt 0,0,640,480,0, 7322,640,480:waittimer 50847 blt 0,0,640,480,0, 7324,640,480:waittimer 50861 blt 0,0,640,480,0, 7326,640,480:waittimer 50875 blt 0,0,640,480,0, 7328,640,480:waittimer 50888 blt 0,0,640,480,0, 7330,640,480:waittimer 50902 blt 0,0,640,480,0, 7332,640,480:waittimer 50916 blt 0,0,640,480,0, 7334,640,480:waittimer 50930 blt 0,0,640,480,0, 7336,640,480:waittimer 50944 blt 0,0,640,480,0, 7338,640,480:waittimer 50958 blt 0,0,640,480,0, 7340,640,480:waittimer 50972 blt 0,0,640,480,0, 7342,640,480:waittimer 50986 blt 0,0,640,480,0, 7344,640,480:waittimer 51000 blt 0,0,640,480,0, 7346,640,480:waittimer 51013 blt 0,0,640,480,0, 7348,640,480:waittimer 51027 blt 0,0,640,480,0, 7350,640,480:waittimer 51041 blt 0,0,640,480,0, 7352,640,480:waittimer 51055 blt 0,0,640,480,0, 7354,640,480:waittimer 51069 blt 0,0,640,480,0, 7356,640,480:waittimer 51083 blt 0,0,640,480,0, 7358,640,480:waittimer 51097 blt 0,0,640,480,0, 7360,640,480:waittimer 51111 blt 0,0,640,480,0, 7362,640,480:waittimer 51125 blt 0,0,640,480,0, 7364,640,480:waittimer 51138 blt 0,0,640,480,0, 7366,640,480:waittimer 51152 blt 0,0,640,480,0, 7368,640,480:waittimer 51166 blt 0,0,640,480,0, 7370,640,480:waittimer 51180 blt 0,0,640,480,0, 7372,640,480:waittimer 51194 blt 0,0,640,480,0, 7374,640,480:waittimer 51208 blt 0,0,640,480,0, 7376,640,480:waittimer 51222 blt 0,0,640,480,0, 7378,640,480:waittimer 51236 blt 0,0,640,480,0, 7380,640,480:waittimer 51250 blt 0,0,640,480,0, 7382,640,480:waittimer 51263 blt 0,0,640,480,0, 7384,640,480:waittimer 51277 blt 0,0,640,480,0, 7386,640,480:waittimer 51291 blt 0,0,640,480,0, 7388,640,480:waittimer 51305 blt 0,0,640,480,0, 7390,640,480:waittimer 51319 blt 0,0,640,480,0, 7392,640,480:waittimer 51333 blt 0,0,640,480,0, 7394,640,480:waittimer 51347 blt 0,0,640,480,0, 7396,640,480:waittimer 51361 blt 0,0,640,480,0, 7398,640,480:waittimer 51375 blt 0,0,640,480,0, 7400,640,480:waittimer 51388 blt 0,0,640,480,0, 7402,640,480:waittimer 51402 blt 0,0,640,480,0, 7404,640,480:waittimer 51416 blt 0,0,640,480,0, 7406,640,480:waittimer 51430 blt 0,0,640,480,0, 7408,640,480:waittimer 51444 blt 0,0,640,480,0, 7410,640,480:waittimer 51458 blt 0,0,640,480,0, 7412,640,480:waittimer 51472 blt 0,0,640,480,0, 7414,640,480:waittimer 51486 blt 0,0,640,480,0, 7416,640,480:waittimer 51500 blt 0,0,640,480,0, 7418,640,480:waittimer 51513 blt 0,0,640,480,0, 7420,640,480:waittimer 51527 blt 0,0,640,480,0, 7422,640,480:waittimer 51541 blt 0,0,640,480,0, 7424,640,480:waittimer 51555 blt 0,0,640,480,0, 7426,640,480:waittimer 51569 blt 0,0,640,480,0, 7428,640,480:waittimer 51583 blt 0,0,640,480,0, 7430,640,480:waittimer 51597 blt 0,0,640,480,0, 7432,640,480:waittimer 51611 blt 0,0,640,480,0, 7434,640,480:waittimer 51625 blt 0,0,640,480,0, 7436,640,480:waittimer 51638 blt 0,0,640,480,0, 7438,640,480:waittimer 51652 blt 0,0,640,480,0, 7440,640,480:waittimer 51666 blt 0,0,640,480,0, 7442,640,480:waittimer 51680 blt 0,0,640,480,0, 7444,640,480:waittimer 51694 blt 0,0,640,480,0, 7446,640,480:waittimer 51708 blt 0,0,640,480,0, 7448,640,480:waittimer 51722 blt 0,0,640,480,0, 7450,640,480:waittimer 51736 blt 0,0,640,480,0, 7452,640,480:waittimer 51750 blt 0,0,640,480,0, 7454,640,480:waittimer 51763 blt 0,0,640,480,0, 7456,640,480:waittimer 51777 blt 0,0,640,480,0, 7458,640,480:waittimer 51791 blt 0,0,640,480,0, 7460,640,480:waittimer 51805 blt 0,0,640,480,0, 7462,640,480:waittimer 51819 blt 0,0,640,480,0, 7464,640,480:waittimer 51833 blt 0,0,640,480,0, 7466,640,480:waittimer 51847 blt 0,0,640,480,0, 7468,640,480:waittimer 51861 blt 0,0,640,480,0, 7470,640,480:waittimer 51875 blt 0,0,640,480,0, 7472,640,480:waittimer 51888 blt 0,0,640,480,0, 7474,640,480:waittimer 51902 blt 0,0,640,480,0, 7476,640,480:waittimer 51916 blt 0,0,640,480,0, 7478,640,480:waittimer 51930 blt 0,0,640,480,0, 7480,640,480:waittimer 51944 blt 0,0,640,480,0, 7482,640,480:waittimer 51958 blt 0,0,640,480,0, 7484,640,480:waittimer 51972 blt 0,0,640,480,0, 7486,640,480:waittimer 51986 blt 0,0,640,480,0, 7488,640,480:waittimer 52000 blt 0,0,640,480,0, 7490,640,480:waittimer 52013 blt 0,0,640,480,0, 7492,640,480:waittimer 52027 blt 0,0,640,480,0, 7494,640,480:waittimer 52041 blt 0,0,640,480,0, 7496,640,480:waittimer 52055 blt 0,0,640,480,0, 7498,640,480:waittimer 52069 blt 0,0,640,480,0, 7500,640,480:waittimer 52083 blt 0,0,640,480,0, 7502,640,480:waittimer 52097 blt 0,0,640,480,0, 7504,640,480:waittimer 52111 blt 0,0,640,480,0, 7506,640,480:waittimer 52125 blt 0,0,640,480,0, 7508,640,480:waittimer 52138 blt 0,0,640,480,0, 7510,640,480:waittimer 52152 blt 0,0,640,480,0, 7512,640,480:waittimer 52166 blt 0,0,640,480,0, 7514,640,480:waittimer 52180 blt 0,0,640,480,0, 7516,640,480:waittimer 52194 blt 0,0,640,480,0, 7518,640,480:waittimer 52208 blt 0,0,640,480,0, 7520,640,480:waittimer 52222 blt 0,0,640,480,0, 7522,640,480:waittimer 52236 blt 0,0,640,480,0, 7524,640,480:waittimer 52250 blt 0,0,640,480,0, 7526,640,480:waittimer 52263 blt 0,0,640,480,0, 7528,640,480:waittimer 52277 blt 0,0,640,480,0, 7530,640,480:waittimer 52291 blt 0,0,640,480,0, 7532,640,480:waittimer 52305 blt 0,0,640,480,0, 7534,640,480:waittimer 52319 blt 0,0,640,480,0, 7536,640,480:waittimer 52333 blt 0,0,640,480,0, 7538,640,480:waittimer 52347 blt 0,0,640,480,0, 7540,640,480:waittimer 52361 blt 0,0,640,480,0, 7542,640,480:waittimer 52375 blt 0,0,640,480,0, 7544,640,480:waittimer 52388 blt 0,0,640,480,0, 7546,640,480:waittimer 52402 blt 0,0,640,480,0, 7548,640,480:waittimer 52416 blt 0,0,640,480,0, 7550,640,480:waittimer 52430 blt 0,0,640,480,0, 7552,640,480:waittimer 52444 blt 0,0,640,480,0, 7554,640,480:waittimer 52458 blt 0,0,640,480,0, 7556,640,480:waittimer 52472 blt 0,0,640,480,0, 7558,640,480:waittimer 52486 blt 0,0,640,480,0, 7560,640,480:waittimer 52500 blt 0,0,640,480,0, 7562,640,480:waittimer 52513 blt 0,0,640,480,0, 7564,640,480:waittimer 52527 blt 0,0,640,480,0, 7566,640,480:waittimer 52541 blt 0,0,640,480,0, 7568,640,480:waittimer 52555 blt 0,0,640,480,0, 7570,640,480:waittimer 52569 blt 0,0,640,480,0, 7572,640,480:waittimer 52583 blt 0,0,640,480,0, 7574,640,480:waittimer 52597 blt 0,0,640,480,0, 7576,640,480:waittimer 52611 blt 0,0,640,480,0, 7578,640,480:waittimer 52625 blt 0,0,640,480,0, 7580,640,480:waittimer 52638 blt 0,0,640,480,0, 7582,640,480:waittimer 52652 blt 0,0,640,480,0, 7584,640,480:waittimer 52666 blt 0,0,640,480,0, 7586,640,480:waittimer 52680 blt 0,0,640,480,0, 7588,640,480:waittimer 52694 blt 0,0,640,480,0, 7590,640,480:waittimer 52708 blt 0,0,640,480,0, 7592,640,480:waittimer 52722 blt 0,0,640,480,0, 7594,640,480:waittimer 52736 blt 0,0,640,480,0, 7596,640,480:waittimer 52750 blt 0,0,640,480,0, 7598,640,480:waittimer 52763 blt 0,0,640,480,0, 7600,640,480:waittimer 52777 blt 0,0,640,480,0, 7602,640,480:waittimer 52791 blt 0,0,640,480,0, 7604,640,480:waittimer 52805 blt 0,0,640,480,0, 7606,640,480:waittimer 52819 blt 0,0,640,480,0, 7608,640,480:waittimer 52833 blt 0,0,640,480,0, 7610,640,480:waittimer 52847 blt 0,0,640,480,0, 7612,640,480:waittimer 52861 blt 0,0,640,480,0, 7614,640,480:waittimer 52875 blt 0,0,640,480,0, 7616,640,480:waittimer 52888 blt 0,0,640,480,0, 7618,640,480:waittimer 52902 blt 0,0,640,480,0, 7620,640,480:waittimer 52916 blt 0,0,640,480,0, 7622,640,480:waittimer 52930 blt 0,0,640,480,0, 7624,640,480:waittimer 52944 blt 0,0,640,480,0, 7626,640,480:waittimer 52958 blt 0,0,640,480,0, 7628,640,480:waittimer 52972 blt 0,0,640,480,0, 7630,640,480:waittimer 52986 blt 0,0,640,480,0, 7632,640,480:waittimer 53000 blt 0,0,640,480,0, 7634,640,480:waittimer 53013 blt 0,0,640,480,0, 7636,640,480:waittimer 53027 blt 0,0,640,480,0, 7638,640,480:waittimer 53041 blt 0,0,640,480,0, 7640,640,480:waittimer 53055 blt 0,0,640,480,0, 7642,640,480:waittimer 53069 blt 0,0,640,480,0, 7644,640,480:waittimer 53083 blt 0,0,640,480,0, 7646,640,480:waittimer 53097 blt 0,0,640,480,0, 7648,640,480:waittimer 53111 blt 0,0,640,480,0, 7650,640,480:waittimer 53125 blt 0,0,640,480,0, 7652,640,480:waittimer 53138 blt 0,0,640,480,0, 7654,640,480:waittimer 53152 blt 0,0,640,480,0, 7656,640,480:waittimer 53166 blt 0,0,640,480,0, 7658,640,480:waittimer 53180 blt 0,0,640,480,0, 7660,640,480:waittimer 53194 blt 0,0,640,480,0, 7662,640,480:waittimer 53208 blt 0,0,640,480,0, 7664,640,480:waittimer 53222 blt 0,0,640,480,0, 7666,640,480:waittimer 53236 blt 0,0,640,480,0, 7668,640,480:waittimer 53250 blt 0,0,640,480,0, 7670,640,480:waittimer 53263 blt 0,0,640,480,0, 7672,640,480:waittimer 53277 blt 0,0,640,480,0, 7674,640,480:waittimer 53291 blt 0,0,640,480,0, 7676,640,480:waittimer 53305 blt 0,0,640,480,0, 7678,640,480:waittimer 53319 blt 0,0,640,480,0, 7680,640,480:waittimer 53333 blt 0,0,640,480,0, 7682,640,480:waittimer 53347 blt 0,0,640,480,0, 7684,640,480:waittimer 53361 blt 0,0,640,480,0, 7686,640,480:waittimer 53375 blt 0,0,640,480,0, 7688,640,480:waittimer 53388 blt 0,0,640,480,0, 7690,640,480:waittimer 53402 blt 0,0,640,480,0, 7692,640,480:waittimer 53416 blt 0,0,640,480,0, 7694,640,480:waittimer 53430 blt 0,0,640,480,0, 7696,640,480:waittimer 53444 blt 0,0,640,480,0, 7698,640,480:waittimer 53458 blt 0,0,640,480,0, 7700,640,480:waittimer 53472 blt 0,0,640,480,0, 7702,640,480:waittimer 53486 blt 0,0,640,480,0, 7704,640,480:waittimer 53500 blt 0,0,640,480,0, 7706,640,480:waittimer 53513 blt 0,0,640,480,0, 7708,640,480:waittimer 53527 blt 0,0,640,480,0, 7710,640,480:waittimer 53541 blt 0,0,640,480,0, 7712,640,480:waittimer 53555 blt 0,0,640,480,0, 7714,640,480:waittimer 53569 blt 0,0,640,480,0, 7716,640,480:waittimer 53583 blt 0,0,640,480,0, 7718,640,480:waittimer 53597 blt 0,0,640,480,0, 7720,640,480:waittimer 53611 blt 0,0,640,480,0, 7722,640,480:waittimer 53625 blt 0,0,640,480,0, 7724,640,480:waittimer 53638 blt 0,0,640,480,0, 7726,640,480:waittimer 53652 blt 0,0,640,480,0, 7728,640,480:waittimer 53666 blt 0,0,640,480,0, 7730,640,480:waittimer 53680 blt 0,0,640,480,0, 7732,640,480:waittimer 53694 blt 0,0,640,480,0, 7734,640,480:waittimer 53708 blt 0,0,640,480,0, 7736,640,480:waittimer 53722 blt 0,0,640,480,0, 7738,640,480:waittimer 53736 blt 0,0,640,480,0, 7740,640,480:waittimer 53750 blt 0,0,640,480,0, 7742,640,480:waittimer 53763 blt 0,0,640,480,0, 7744,640,480:waittimer 53777 blt 0,0,640,480,0, 7746,640,480:waittimer 53791 blt 0,0,640,480,0, 7748,640,480:waittimer 53805 blt 0,0,640,480,0, 7750,640,480:waittimer 53819 blt 0,0,640,480,0, 7752,640,480:waittimer 53833 blt 0,0,640,480,0, 7754,640,480:waittimer 53847 blt 0,0,640,480,0, 7756,640,480:waittimer 53861 blt 0,0,640,480,0, 7758,640,480:waittimer 53875 blt 0,0,640,480,0, 7760,640,480:waittimer 53888 blt 0,0,640,480,0, 7762,640,480:waittimer 53902 blt 0,0,640,480,0, 7764,640,480:waittimer 53916 blt 0,0,640,480,0, 7766,640,480:waittimer 53930 blt 0,0,640,480,0, 7768,640,480:waittimer 53944 blt 0,0,640,480,0, 7770,640,480:waittimer 53958 blt 0,0,640,480,0, 7772,640,480:waittimer 53972 blt 0,0,640,480,0, 7774,640,480:waittimer 53986 blt 0,0,640,480,0, 7776,640,480:waittimer 54000 blt 0,0,640,480,0, 7778,640,480:waittimer 54013 blt 0,0,640,480,0, 7780,640,480:waittimer 54027 blt 0,0,640,480,0, 7782,640,480:waittimer 54041 blt 0,0,640,480,0, 7784,640,480:waittimer 54055 blt 0,0,640,480,0, 7786,640,480:waittimer 54069 blt 0,0,640,480,0, 7788,640,480:waittimer 54083 blt 0,0,640,480,0, 7790,640,480:waittimer 54097 blt 0,0,640,480,0, 7792,640,480:waittimer 54111 blt 0,0,640,480,0, 7794,640,480:waittimer 54125 blt 0,0,640,480,0, 7796,640,480:waittimer 54138 blt 0,0,640,480,0, 7798,640,480:waittimer 54152 blt 0,0,640,480,0, 7800,640,480:waittimer 54166 blt 0,0,640,480,0, 7802,640,480:waittimer 54180 blt 0,0,640,480,0, 7804,640,480:waittimer 54194 blt 0,0,640,480,0, 7806,640,480:waittimer 54208 blt 0,0,640,480,0, 7808,640,480:waittimer 54222 blt 0,0,640,480,0, 7810,640,480:waittimer 54236 blt 0,0,640,480,0, 7812,640,480:waittimer 54250 blt 0,0,640,480,0, 7814,640,480:waittimer 54263 blt 0,0,640,480,0, 7816,640,480:waittimer 54277 blt 0,0,640,480,0, 7818,640,480:waittimer 54291 blt 0,0,640,480,0, 7820,640,480:waittimer 54305 blt 0,0,640,480,0, 7822,640,480:waittimer 54319 blt 0,0,640,480,0, 7824,640,480:waittimer 54333 blt 0,0,640,480,0, 7826,640,480:waittimer 54347 blt 0,0,640,480,0, 7828,640,480:waittimer 54361 blt 0,0,640,480,0, 7830,640,480:waittimer 54375 blt 0,0,640,480,0, 7832,640,480:waittimer 54388 blt 0,0,640,480,0, 7834,640,480:waittimer 54402 blt 0,0,640,480,0, 7836,640,480:waittimer 54416 blt 0,0,640,480,0, 7838,640,480:waittimer 54430 blt 0,0,640,480,0, 7840,640,480:waittimer 54444 blt 0,0,640,480,0, 7842,640,480:waittimer 54458 blt 0,0,640,480,0, 7844,640,480:waittimer 54472 blt 0,0,640,480,0, 7846,640,480:waittimer 54486 blt 0,0,640,480,0, 7848,640,480:waittimer 54500 blt 0,0,640,480,0, 7850,640,480:waittimer 54513 blt 0,0,640,480,0, 7852,640,480:waittimer 54527 blt 0,0,640,480,0, 7854,640,480:waittimer 54541 blt 0,0,640,480,0, 7856,640,480:waittimer 54555 blt 0,0,640,480,0, 7858,640,480:waittimer 54569 blt 0,0,640,480,0, 7860,640,480:waittimer 54583 blt 0,0,640,480,0, 7862,640,480:waittimer 54597 blt 0,0,640,480,0, 7864,640,480:waittimer 54611 blt 0,0,640,480,0, 7866,640,480:waittimer 54625 blt 0,0,640,480,0, 7868,640,480:waittimer 54638 blt 0,0,640,480,0, 7870,640,480:waittimer 54652 blt 0,0,640,480,0, 7872,640,480:waittimer 54666 blt 0,0,640,480,0, 7874,640,480:waittimer 54680 blt 0,0,640,480,0, 7876,640,480:waittimer 54694 blt 0,0,640,480,0, 7878,640,480:waittimer 54708 blt 0,0,640,480,0, 7880,640,480:waittimer 54722 blt 0,0,640,480,0, 7882,640,480:waittimer 54736 blt 0,0,640,480,0, 7884,640,480:waittimer 54750 blt 0,0,640,480,0, 7886,640,480:waittimer 54763 blt 0,0,640,480,0, 7888,640,480:waittimer 54777 blt 0,0,640,480,0, 7890,640,480:waittimer 54791 blt 0,0,640,480,0, 7892,640,480:waittimer 54805 blt 0,0,640,480,0, 7894,640,480:waittimer 54819 blt 0,0,640,480,0, 7896,640,480:waittimer 54833 blt 0,0,640,480,0, 7898,640,480:waittimer 54847 blt 0,0,640,480,0, 7900,640,480:waittimer 54861 blt 0,0,640,480,0, 7902,640,480:waittimer 54875 blt 0,0,640,480,0, 7904,640,480:waittimer 54888 blt 0,0,640,480,0, 7906,640,480:waittimer 54902 blt 0,0,640,480,0, 7908,640,480:waittimer 54916 blt 0,0,640,480,0, 7910,640,480:waittimer 54930 blt 0,0,640,480,0, 7912,640,480:waittimer 54944 blt 0,0,640,480,0, 7914,640,480:waittimer 54958 blt 0,0,640,480,0, 7916,640,480:waittimer 54972 blt 0,0,640,480,0, 7918,640,480:waittimer 54986 blt 0,0,640,480,0, 7920,640,480:waittimer 55000 blt 0,0,640,480,0, 7922,640,480:waittimer 55013 blt 0,0,640,480,0, 7924,640,480:waittimer 55027 blt 0,0,640,480,0, 7926,640,480:waittimer 55041 blt 0,0,640,480,0, 7928,640,480:waittimer 55055 blt 0,0,640,480,0, 7930,640,480:waittimer 55069 blt 0,0,640,480,0, 7932,640,480:waittimer 55083 blt 0,0,640,480,0, 7934,640,480:waittimer 55097 blt 0,0,640,480,0, 7936,640,480:waittimer 55111 blt 0,0,640,480,0, 7938,640,480:waittimer 55125 blt 0,0,640,480,0, 7940,640,480:waittimer 55138 blt 0,0,640,480,0, 7942,640,480:waittimer 55152 blt 0,0,640,480,0, 7944,640,480:waittimer 55166 blt 0,0,640,480,0, 7946,640,480:waittimer 55180 blt 0,0,640,480,0, 7948,640,480:waittimer 55194 blt 0,0,640,480,0, 7950,640,480:waittimer 55208 blt 0,0,640,480,0, 7952,640,480:waittimer 55222 blt 0,0,640,480,0, 7954,640,480:waittimer 55236 blt 0,0,640,480,0, 7956,640,480:waittimer 55250 blt 0,0,640,480,0, 7958,640,480:waittimer 55263 blt 0,0,640,480,0, 7960,640,480:waittimer 55277 blt 0,0,640,480,0, 7962,640,480:waittimer 55291 blt 0,0,640,480,0, 7964,640,480:waittimer 55305 blt 0,0,640,480,0, 7966,640,480:waittimer 55319 blt 0,0,640,480,0, 7968,640,480:waittimer 55333 blt 0,0,640,480,0, 7970,640,480:waittimer 55347 blt 0,0,640,480,0, 7972,640,480:waittimer 55361 blt 0,0,640,480,0, 7974,640,480:waittimer 55375 blt 0,0,640,480,0, 7976,640,480:waittimer 55388 blt 0,0,640,480,0, 7978,640,480:waittimer 55402 blt 0,0,640,480,0, 7980,640,480:waittimer 55416 blt 0,0,640,480,0, 7982,640,480:waittimer 55430 blt 0,0,640,480,0, 7984,640,480:waittimer 55444 blt 0,0,640,480,0, 7986,640,480:waittimer 55458 blt 0,0,640,480,0, 7988,640,480:waittimer 55472 blt 0,0,640,480,0, 7990,640,480:waittimer 55486 blt 0,0,640,480,0, 7992,640,480:waittimer 55500 blt 0,0,640,480,0, 7994,640,480:waittimer 55513 blt 0,0,640,480,0, 7996,640,480:waittimer 55527 blt 0,0,640,480,0, 7998,640,480:waittimer 55541 blt 0,0,640,480,0, 8000,640,480:waittimer 55555 blt 0,0,640,480,0, 8002,640,480:waittimer 55569 blt 0,0,640,480,0, 8004,640,480:waittimer 55583 blt 0,0,640,480,0, 8006,640,480:waittimer 55597 blt 0,0,640,480,0, 8008,640,480:waittimer 55611 blt 0,0,640,480,0, 8010,640,480:waittimer 55625 blt 0,0,640,480,0, 8012,640,480:waittimer 55638 blt 0,0,640,480,0, 8014,640,480:waittimer 55652 blt 0,0,640,480,0, 8016,640,480:waittimer 55666 blt 0,0,640,480,0, 8018,640,480:waittimer 55680 blt 0,0,640,480,0, 8020,640,480:waittimer 55694 blt 0,0,640,480,0, 8022,640,480:waittimer 55708 blt 0,0,640,480,0, 8024,640,480:waittimer 55722 blt 0,0,640,480,0, 8026,640,480:waittimer 55736 blt 0,0,640,480,0, 8028,640,480:waittimer 55750 blt 0,0,640,480,0, 8030,640,480:waittimer 55763 blt 0,0,640,480,0, 8032,640,480:waittimer 55777 blt 0,0,640,480,0, 8034,640,480:waittimer 55791 blt 0,0,640,480,0, 8036,640,480:waittimer 55805 blt 0,0,640,480,0, 8038,640,480:waittimer 55819 blt 0,0,640,480,0, 8040,640,480:waittimer 55833 blt 0,0,640,480,0, 8042,640,480:waittimer 55847 blt 0,0,640,480,0, 8044,640,480:waittimer 55861 blt 0,0,640,480,0, 8046,640,480:waittimer 55875 blt 0,0,640,480,0, 8048,640,480:waittimer 55888 blt 0,0,640,480,0, 8050,640,480:waittimer 55902 blt 0,0,640,480,0, 8052,640,480:waittimer 55916 blt 0,0,640,480,0, 8054,640,480:waittimer 55930 blt 0,0,640,480,0, 8056,640,480:waittimer 55944 blt 0,0,640,480,0, 8058,640,480:waittimer 55958 blt 0,0,640,480,0, 8060,640,480:waittimer 55972 blt 0,0,640,480,0, 8062,640,480:waittimer 55986 blt 0,0,640,480,0, 8064,640,480:waittimer 56000 blt 0,0,640,480,0, 8066,640,480:waittimer 56013 blt 0,0,640,480,0, 8068,640,480:waittimer 56027 blt 0,0,640,480,0, 8070,640,480:waittimer 56041 blt 0,0,640,480,0, 8072,640,480:waittimer 56055 blt 0,0,640,480,0, 8074,640,480:waittimer 56069 blt 0,0,640,480,0, 8076,640,480:waittimer 56083 blt 0,0,640,480,0, 8078,640,480:waittimer 56097 blt 0,0,640,480,0, 8080,640,480:waittimer 56111 blt 0,0,640,480,0, 8082,640,480:waittimer 56125 blt 0,0,640,480,0, 8084,640,480:waittimer 56138 blt 0,0,640,480,0, 8086,640,480:waittimer 56152 blt 0,0,640,480,0, 8088,640,480:waittimer 56166 blt 0,0,640,480,0, 8090,640,480:waittimer 56180 blt 0,0,640,480,0, 8092,640,480:waittimer 56194 blt 0,0,640,480,0, 8094,640,480:waittimer 56208 blt 0,0,640,480,0, 8096,640,480:waittimer 56222 blt 0,0,640,480,0, 8098,640,480:waittimer 56236 blt 0,0,640,480,0, 8100,640,480:waittimer 56250 blt 0,0,640,480,0, 8102,640,480:waittimer 56263 blt 0,0,640,480,0, 8104,640,480:waittimer 56277 blt 0,0,640,480,0, 8106,640,480:waittimer 56291 blt 0,0,640,480,0, 8108,640,480:waittimer 56305 blt 0,0,640,480,0, 8110,640,480:waittimer 56319 blt 0,0,640,480,0, 8112,640,480:waittimer 56333 blt 0,0,640,480,0, 8114,640,480:waittimer 56347 blt 0,0,640,480,0, 8116,640,480:waittimer 56361 blt 0,0,640,480,0, 8118,640,480:waittimer 56375 blt 0,0,640,480,0, 8120,640,480:waittimer 56388 blt 0,0,640,480,0, 8122,640,480:waittimer 56402 blt 0,0,640,480,0, 8124,640,480:waittimer 56416 blt 0,0,640,480,0, 8126,640,480:waittimer 56430 blt 0,0,640,480,0, 8128,640,480:waittimer 56444 blt 0,0,640,480,0, 8130,640,480:waittimer 56458 blt 0,0,640,480,0, 8132,640,480:waittimer 56472 blt 0,0,640,480,0, 8134,640,480:waittimer 56486 blt 0,0,640,480,0, 8136,640,480:waittimer 56500 blt 0,0,640,480,0, 8138,640,480:waittimer 56513 blt 0,0,640,480,0, 8140,640,480:waittimer 56527 blt 0,0,640,480,0, 8142,640,480:waittimer 56541 blt 0,0,640,480,0, 8144,640,480:waittimer 56555 blt 0,0,640,480,0, 8146,640,480:waittimer 56569 blt 0,0,640,480,0, 8148,640,480:waittimer 56583 blt 0,0,640,480,0, 8150,640,480:waittimer 56597 blt 0,0,640,480,0, 8152,640,480:waittimer 56611 blt 0,0,640,480,0, 8154,640,480:waittimer 56625 blt 0,0,640,480,0, 8156,640,480:waittimer 56638 blt 0,0,640,480,0, 8158,640,480:waittimer 56652 blt 0,0,640,480,0, 8160,640,480:waittimer 56666 blt 0,0,640,480,0, 8162,640,480:waittimer 56680 blt 0,0,640,480,0, 8164,640,480:waittimer 56694 blt 0,0,640,480,0, 8166,640,480:waittimer 56708 blt 0,0,640,480,0, 8168,640,480:waittimer 56722 blt 0,0,640,480,0, 8170,640,480:waittimer 56736 blt 0,0,640,480,0, 8172,640,480:waittimer 56750 blt 0,0,640,480,0, 8174,640,480:waittimer 56763 blt 0,0,640,480,0, 8176,640,480:waittimer 56777 blt 0,0,640,480,0, 8178,640,480:waittimer 56791 blt 0,0,640,480,0, 8180,640,480:waittimer 56805 blt 0,0,640,480,0, 8182,640,480:waittimer 56819 blt 0,0,640,480,0, 8184,640,480:waittimer 56833 blt 0,0,640,480,0, 8186,640,480:waittimer 56847 blt 0,0,640,480,0, 8188,640,480:waittimer 56861 blt 0,0,640,480,0, 8190,640,480:waittimer 56875 blt 0,0,640,480,0, 8192,640,480:waittimer 56888 blt 0,0,640,480,0, 8194,640,480:waittimer 56902 blt 0,0,640,480,0, 8196,640,480:waittimer 56916 blt 0,0,640,480,0, 8198,640,480:waittimer 56930 blt 0,0,640,480,0, 8200,640,480:waittimer 56944 blt 0,0,640,480,0, 8202,640,480:waittimer 56958 blt 0,0,640,480,0, 8204,640,480:waittimer 56972 blt 0,0,640,480,0, 8206,640,480:waittimer 56986 blt 0,0,640,480,0, 8208,640,480:waittimer 57000 blt 0,0,640,480,0, 8210,640,480:waittimer 57013 blt 0,0,640,480,0, 8212,640,480:waittimer 57027 blt 0,0,640,480,0, 8214,640,480:waittimer 57041 blt 0,0,640,480,0, 8216,640,480:waittimer 57055 blt 0,0,640,480,0, 8218,640,480:waittimer 57069 blt 0,0,640,480,0, 8220,640,480:waittimer 57083 blt 0,0,640,480,0, 8222,640,480:waittimer 57097 blt 0,0,640,480,0, 8224,640,480:waittimer 57111 blt 0,0,640,480,0, 8226,640,480:waittimer 57125 blt 0,0,640,480,0, 8228,640,480:waittimer 57138 blt 0,0,640,480,0, 8230,640,480:waittimer 57152 blt 0,0,640,480,0, 8232,640,480:waittimer 57166 blt 0,0,640,480,0, 8234,640,480:waittimer 57180 blt 0,0,640,480,0, 8236,640,480:waittimer 57194 blt 0,0,640,480,0, 8238,640,480:waittimer 57208 blt 0,0,640,480,0, 8240,640,480:waittimer 57222 blt 0,0,640,480,0, 8242,640,480:waittimer 57236 blt 0,0,640,480,0, 8244,640,480:waittimer 57250 blt 0,0,640,480,0, 8246,640,480:waittimer 57263 blt 0,0,640,480,0, 8248,640,480:waittimer 57277 blt 0,0,640,480,0, 8250,640,480:waittimer 57291 blt 0,0,640,480,0, 8252,640,480:waittimer 57305 blt 0,0,640,480,0, 8254,640,480:waittimer 57319 blt 0,0,640,480,0, 8256,640,480:waittimer 57333 blt 0,0,640,480,0, 8258,640,480:waittimer 57347 blt 0,0,640,480,0, 8260,640,480:waittimer 57361 blt 0,0,640,480,0, 8262,640,480:waittimer 57375 blt 0,0,640,480,0, 8264,640,480:waittimer 57388 blt 0,0,640,480,0, 8266,640,480:waittimer 57402 blt 0,0,640,480,0, 8268,640,480:waittimer 57416 blt 0,0,640,480,0, 8270,640,480:waittimer 57430 blt 0,0,640,480,0, 8272,640,480:waittimer 57444 blt 0,0,640,480,0, 8274,640,480:waittimer 57458 blt 0,0,640,480,0, 8276,640,480:waittimer 57472 blt 0,0,640,480,0, 8278,640,480:waittimer 57486 blt 0,0,640,480,0, 8280,640,480:waittimer 57500 blt 0,0,640,480,0, 8282,640,480:waittimer 57513 blt 0,0,640,480,0, 8284,640,480:waittimer 57527 blt 0,0,640,480,0, 8286,640,480:waittimer 57541 blt 0,0,640,480,0, 8288,640,480:waittimer 57555 blt 0,0,640,480,0, 8290,640,480:waittimer 57569 blt 0,0,640,480,0, 8292,640,480:waittimer 57583 blt 0,0,640,480,0, 8294,640,480:waittimer 57597 blt 0,0,640,480,0, 8296,640,480:waittimer 57611 blt 0,0,640,480,0, 8298,640,480:waittimer 57625 blt 0,0,640,480,0, 8300,640,480:waittimer 57638 blt 0,0,640,480,0, 8302,640,480:waittimer 57652 blt 0,0,640,480,0, 8304,640,480:waittimer 57666 blt 0,0,640,480,0, 8306,640,480:waittimer 57680 blt 0,0,640,480,0, 8308,640,480:waittimer 57694 blt 0,0,640,480,0, 8310,640,480:waittimer 57708 blt 0,0,640,480,0, 8312,640,480:waittimer 57722 blt 0,0,640,480,0, 8314,640,480:waittimer 57736 blt 0,0,640,480,0, 8316,640,480:waittimer 57750 blt 0,0,640,480,0, 8318,640,480:waittimer 57763 blt 0,0,640,480,0, 8320,640,480:waittimer 57777 blt 0,0,640,480,0, 8322,640,480:waittimer 57791 blt 0,0,640,480,0, 8324,640,480:waittimer 57805 blt 0,0,640,480,0, 8326,640,480:waittimer 57819 blt 0,0,640,480,0, 8328,640,480:waittimer 57833 blt 0,0,640,480,0, 8330,640,480:waittimer 57847 blt 0,0,640,480,0, 8332,640,480:waittimer 57861 blt 0,0,640,480,0, 8334,640,480:waittimer 57875 blt 0,0,640,480,0, 8336,640,480:waittimer 57888 blt 0,0,640,480,0, 8338,640,480:waittimer 57902 blt 0,0,640,480,0, 8340,640,480:waittimer 57916 blt 0,0,640,480,0, 8342,640,480:waittimer 57930 blt 0,0,640,480,0, 8344,640,480:waittimer 57944 blt 0,0,640,480,0, 8346,640,480:waittimer 57958 blt 0,0,640,480,0, 8348,640,480:waittimer 57972 blt 0,0,640,480,0, 8350,640,480:waittimer 57986 blt 0,0,640,480,0, 8352,640,480:waittimer 58000 blt 0,0,640,480,0, 8354,640,480:waittimer 58013 blt 0,0,640,480,0, 8356,640,480:waittimer 58027 blt 0,0,640,480,0, 8358,640,480:waittimer 58041 blt 0,0,640,480,0, 8360,640,480:waittimer 58055 blt 0,0,640,480,0, 8362,640,480:waittimer 58069 blt 0,0,640,480,0, 8364,640,480:waittimer 58083 blt 0,0,640,480,0, 8366,640,480:waittimer 58097 blt 0,0,640,480,0, 8368,640,480:waittimer 58111 blt 0,0,640,480,0, 8370,640,480:waittimer 58125 blt 0,0,640,480,0, 8372,640,480:waittimer 58138 blt 0,0,640,480,0, 8374,640,480:waittimer 58152 blt 0,0,640,480,0, 8376,640,480:waittimer 58166 blt 0,0,640,480,0, 8378,640,480:waittimer 58180 blt 0,0,640,480,0, 8380,640,480:waittimer 58194 blt 0,0,640,480,0, 8382,640,480:waittimer 58208 blt 0,0,640,480,0, 8384,640,480:waittimer 58222 blt 0,0,640,480,0, 8386,640,480:waittimer 58236 blt 0,0,640,480,0, 8388,640,480:waittimer 58250 blt 0,0,640,480,0, 8390,640,480:waittimer 58263 blt 0,0,640,480,0, 8392,640,480:waittimer 58277 blt 0,0,640,480,0, 8394,640,480:waittimer 58291 blt 0,0,640,480,0, 8396,640,480:waittimer 58305 blt 0,0,640,480,0, 8398,640,480:waittimer 58319 blt 0,0,640,480,0, 8400,640,480:waittimer 58333 blt 0,0,640,480,0, 8402,640,480:waittimer 58347 blt 0,0,640,480,0, 8404,640,480:waittimer 58361 blt 0,0,640,480,0, 8406,640,480:waittimer 58375 blt 0,0,640,480,0, 8408,640,480:waittimer 58388 blt 0,0,640,480,0, 8410,640,480:waittimer 58402 blt 0,0,640,480,0, 8412,640,480:waittimer 58416 blt 0,0,640,480,0, 8414,640,480:waittimer 58430 blt 0,0,640,480,0, 8416,640,480:waittimer 58444 blt 0,0,640,480,0, 8418,640,480:waittimer 58458 blt 0,0,640,480,0, 8420,640,480:waittimer 58472 blt 0,0,640,480,0, 8422,640,480:waittimer 58486 blt 0,0,640,480,0, 8424,640,480:waittimer 58500 blt 0,0,640,480,0, 8426,640,480:waittimer 58513 blt 0,0,640,480,0, 8428,640,480:waittimer 58527 blt 0,0,640,480,0, 8430,640,480:waittimer 58541 blt 0,0,640,480,0, 8432,640,480:waittimer 58555 blt 0,0,640,480,0, 8434,640,480:waittimer 58569 blt 0,0,640,480,0, 8436,640,480:waittimer 58583 blt 0,0,640,480,0, 8438,640,480:waittimer 58597 blt 0,0,640,480,0, 8440,640,480:waittimer 58611 blt 0,0,640,480,0, 8442,640,480:waittimer 58625 blt 0,0,640,480,0, 8444,640,480:waittimer 58638 blt 0,0,640,480,0, 8446,640,480:waittimer 58652 blt 0,0,640,480,0, 8448,640,480:waittimer 58666 blt 0,0,640,480,0, 8450,640,480:waittimer 58680 blt 0,0,640,480,0, 8452,640,480:waittimer 58694 blt 0,0,640,480,0, 8454,640,480:waittimer 58708 blt 0,0,640,480,0, 8456,640,480:waittimer 58722 blt 0,0,640,480,0, 8458,640,480:waittimer 58736 blt 0,0,640,480,0, 8460,640,480:waittimer 58750 blt 0,0,640,480,0, 8462,640,480:waittimer 58763 blt 0,0,640,480,0, 8464,640,480:waittimer 58777 blt 0,0,640,480,0, 8466,640,480:waittimer 58791 blt 0,0,640,480,0, 8468,640,480:waittimer 58805 blt 0,0,640,480,0, 8470,640,480:waittimer 58819 blt 0,0,640,480,0, 8472,640,480:waittimer 58833 blt 0,0,640,480,0, 8474,640,480:waittimer 58847 blt 0,0,640,480,0, 8476,640,480:waittimer 58861 blt 0,0,640,480,0, 8478,640,480:waittimer 58875 blt 0,0,640,480,0, 8480,640,480:waittimer 58888 blt 0,0,640,480,0, 8482,640,480:waittimer 58902 blt 0,0,640,480,0, 8484,640,480:waittimer 58916 blt 0,0,640,480,0, 8486,640,480:waittimer 58930 blt 0,0,640,480,0, 8488,640,480:waittimer 58944 blt 0,0,640,480,0, 8490,640,480:waittimer 58958 blt 0,0,640,480,0, 8492,640,480:waittimer 58972 blt 0,0,640,480,0, 8494,640,480:waittimer 58986 blt 0,0,640,480,0, 8496,640,480:waittimer 59000 blt 0,0,640,480,0, 8498,640,480:waittimer 59013 blt 0,0,640,480,0, 8500,640,480:waittimer 59027 blt 0,0,640,480,0, 8502,640,480:waittimer 59041 blt 0,0,640,480,0, 8504,640,480:waittimer 59055 blt 0,0,640,480,0, 8506,640,480:waittimer 59069 blt 0,0,640,480,0, 8508,640,480:waittimer 59083 blt 0,0,640,480,0, 8510,640,480:waittimer 59097 blt 0,0,640,480,0, 8512,640,480:waittimer 59111 blt 0,0,640,480,0, 8514,640,480:waittimer 59125 blt 0,0,640,480,0, 8516,640,480:waittimer 59138 blt 0,0,640,480,0, 8518,640,480:waittimer 59152 blt 0,0,640,480,0, 8520,640,480:waittimer 59166 blt 0,0,640,480,0, 8522,640,480:waittimer 59180 blt 0,0,640,480,0, 8524,640,480:waittimer 59194 blt 0,0,640,480,0, 8526,640,480:waittimer 59208 blt 0,0,640,480,0, 8528,640,480:waittimer 59222 blt 0,0,640,480,0, 8530,640,480:waittimer 59236 blt 0,0,640,480,0, 8532,640,480:waittimer 59250 blt 0,0,640,480,0, 8534,640,480:waittimer 59263 blt 0,0,640,480,0, 8536,640,480:waittimer 59277 blt 0,0,640,480,0, 8538,640,480:waittimer 59291 blt 0,0,640,480,0, 8540,640,480:waittimer 59305 blt 0,0,640,480,0, 8542,640,480:waittimer 59319 blt 0,0,640,480,0, 8544,640,480:waittimer 59333 blt 0,0,640,480,0, 8546,640,480:waittimer 59347 blt 0,0,640,480,0, 8548,640,480:waittimer 59361 blt 0,0,640,480,0, 8550,640,480:waittimer 59375 blt 0,0,640,480,0, 8552,640,480:waittimer 59388 blt 0,0,640,480,0, 8554,640,480:waittimer 59402 blt 0,0,640,480,0, 8556,640,480:waittimer 59416 blt 0,0,640,480,0, 8558,640,480:waittimer 59430 blt 0,0,640,480,0, 8560,640,480:waittimer 59444 blt 0,0,640,480,0, 8562,640,480:waittimer 59458 blt 0,0,640,480,0, 8564,640,480:waittimer 59472 blt 0,0,640,480,0, 8566,640,480:waittimer 59486 blt 0,0,640,480,0, 8568,640,480:waittimer 59500 blt 0,0,640,480,0, 8570,640,480:waittimer 59513 blt 0,0,640,480,0, 8572,640,480:waittimer 59527 blt 0,0,640,480,0, 8574,640,480:waittimer 59541 blt 0,0,640,480,0, 8576,640,480:waittimer 59555 blt 0,0,640,480,0, 8578,640,480:waittimer 59569 blt 0,0,640,480,0, 8580,640,480:waittimer 59583 blt 0,0,640,480,0, 8582,640,480:waittimer 59597 blt 0,0,640,480,0, 8584,640,480:waittimer 59611 blt 0,0,640,480,0, 8586,640,480:waittimer 59625 blt 0,0,640,480,0, 8588,640,480:waittimer 59638 blt 0,0,640,480,0, 8590,640,480:waittimer 59652 blt 0,0,640,480,0, 8592,640,480:waittimer 59666 blt 0,0,640,480,0, 8594,640,480:waittimer 59680 blt 0,0,640,480,0, 8596,640,480:waittimer 59694 blt 0,0,640,480,0, 8598,640,480:waittimer 59708 blt 0,0,640,480,0, 8600,640,480:waittimer 59722 blt 0,0,640,480,0, 8602,640,480:waittimer 59736 blt 0,0,640,480,0, 8604,640,480:waittimer 59750 blt 0,0,640,480,0, 8606,640,480:waittimer 59763 blt 0,0,640,480,0, 8608,640,480:waittimer 59777 blt 0,0,640,480,0, 8610,640,480:waittimer 59791 blt 0,0,640,480,0, 8612,640,480:waittimer 59805 blt 0,0,640,480,0, 8614,640,480:waittimer 59819 blt 0,0,640,480,0, 8616,640,480:waittimer 59833 blt 0,0,640,480,0, 8618,640,480:waittimer 59847 blt 0,0,640,480,0, 8620,640,480:waittimer 59861 blt 0,0,640,480,0, 8622,640,480:waittimer 59875 blt 0,0,640,480,0, 8624,640,480:waittimer 59888 blt 0,0,640,480,0, 8626,640,480:waittimer 59902 blt 0,0,640,480,0, 8628,640,480:waittimer 59916 blt 0,0,640,480,0, 8630,640,480:waittimer 59930 blt 0,0,640,480,0, 8632,640,480:waittimer 59944 blt 0,0,640,480,0, 8634,640,480:waittimer 59958 blt 0,0,640,480,0, 8636,640,480:waittimer 59972 blt 0,0,640,480,0, 8638,640,480:waittimer 59986 blt 0,0,640,480,0, 8640,640,480:waittimer 60000 blt 0,0,640,480,0, 8642,640,480:waittimer 60013 blt 0,0,640,480,0, 8644,640,480:waittimer 60027 blt 0,0,640,480,0, 8646,640,480:waittimer 60041 blt 0,0,640,480,0, 8648,640,480:waittimer 60055 blt 0,0,640,480,0, 8650,640,480:waittimer 60069 blt 0,0,640,480,0, 8652,640,480:waittimer 60083 blt 0,0,640,480,0, 8654,640,480:waittimer 60097 blt 0,0,640,480,0, 8656,640,480:waittimer 60111 blt 0,0,640,480,0, 8658,640,480:waittimer 60125 blt 0,0,640,480,0, 8660,640,480:waittimer 60138 blt 0,0,640,480,0, 8662,640,480:waittimer 60152 blt 0,0,640,480,0, 8664,640,480:waittimer 60166 blt 0,0,640,480,0, 8666,640,480:waittimer 60180 blt 0,0,640,480,0, 8668,640,480:waittimer 60194 blt 0,0,640,480,0, 8670,640,480:waittimer 60208 blt 0,0,640,480,0, 8672,640,480:waittimer 60222 blt 0,0,640,480,0, 8674,640,480:waittimer 60236 blt 0,0,640,480,0, 8676,640,480:waittimer 60250 blt 0,0,640,480,0, 8678,640,480:waittimer 60263 blt 0,0,640,480,0, 8680,640,480:waittimer 60277 blt 0,0,640,480,0, 8682,640,480:waittimer 60291 blt 0,0,640,480,0, 8684,640,480:waittimer 60305 blt 0,0,640,480,0, 8686,640,480:waittimer 60319 blt 0,0,640,480,0, 8688,640,480:waittimer 60333 blt 0,0,640,480,0, 8690,640,480:waittimer 60347 blt 0,0,640,480,0, 8692,640,480:waittimer 60361 blt 0,0,640,480,0, 8694,640,480:waittimer 60375 blt 0,0,640,480,0, 8696,640,480:waittimer 60388 blt 0,0,640,480,0, 8698,640,480:waittimer 60402 blt 0,0,640,480,0, 8700,640,480:waittimer 60416 blt 0,0,640,480,0, 8702,640,480:waittimer 60430 blt 0,0,640,480,0, 8704,640,480:waittimer 60444 blt 0,0,640,480,0, 8706,640,480:waittimer 60458 blt 0,0,640,480,0, 8708,640,480:waittimer 60472 blt 0,0,640,480,0, 8710,640,480:waittimer 60486 blt 0,0,640,480,0, 8712,640,480:waittimer 60500 blt 0,0,640,480,0, 8714,640,480:waittimer 60513 blt 0,0,640,480,0, 8716,640,480:waittimer 60527 blt 0,0,640,480,0, 8718,640,480:waittimer 60541 blt 0,0,640,480,0, 8720,640,480:waittimer 60555 blt 0,0,640,480,0, 8722,640,480:waittimer 60569 blt 0,0,640,480,0, 8724,640,480:waittimer 60583 blt 0,0,640,480,0, 8726,640,480:waittimer 60597 blt 0,0,640,480,0, 8728,640,480:waittimer 60611 blt 0,0,640,480,0, 8730,640,480:waittimer 60625 blt 0,0,640,480,0, 8732,640,480:waittimer 60638 blt 0,0,640,480,0, 8734,640,480:waittimer 60652 blt 0,0,640,480,0, 8736,640,480:waittimer 60666 blt 0,0,640,480,0, 8738,640,480:waittimer 60680 blt 0,0,640,480,0, 8740,640,480:waittimer 60694 blt 0,0,640,480,0, 8742,640,480:waittimer 60708 blt 0,0,640,480,0, 8744,640,480:waittimer 60722 blt 0,0,640,480,0, 8746,640,480:waittimer 60736 blt 0,0,640,480,0, 8748,640,480:waittimer 60750 blt 0,0,640,480,0, 8750,640,480:waittimer 60763 blt 0,0,640,480,0, 8752,640,480:waittimer 60777 blt 0,0,640,480,0, 8754,640,480:waittimer 60791 blt 0,0,640,480,0, 8756,640,480:waittimer 60805 blt 0,0,640,480,0, 8758,640,480:waittimer 60819 blt 0,0,640,480,0, 8760,640,480:waittimer 60833 blt 0,0,640,480,0, 8762,640,480:waittimer 60847 blt 0,0,640,480,0, 8764,640,480:waittimer 60861 blt 0,0,640,480,0, 8766,640,480:waittimer 60875 blt 0,0,640,480,0, 8768,640,480:waittimer 60888 blt 0,0,640,480,0, 8770,640,480:waittimer 60902 blt 0,0,640,480,0, 8772,640,480:waittimer 60916 blt 0,0,640,480,0, 8774,640,480:waittimer 60930 blt 0,0,640,480,0, 8776,640,480:waittimer 60944 blt 0,0,640,480,0, 8778,640,480:waittimer 60958 blt 0,0,640,480,0, 8780,640,480:waittimer 60972 blt 0,0,640,480,0, 8782,640,480:waittimer 60986 blt 0,0,640,480,0, 8784,640,480:waittimer 61000 blt 0,0,640,480,0, 8786,640,480:waittimer 61013 blt 0,0,640,480,0, 8788,640,480:waittimer 61027 blt 0,0,640,480,0, 8790,640,480:waittimer 61041 blt 0,0,640,480,0, 8792,640,480:waittimer 61055 blt 0,0,640,480,0, 8794,640,480:waittimer 61069 blt 0,0,640,480,0, 8796,640,480:waittimer 61083 blt 0,0,640,480,0, 8798,640,480:waittimer 61097 blt 0,0,640,480,0, 8800,640,480:waittimer 61111 blt 0,0,640,480,0, 8802,640,480:waittimer 61125 blt 0,0,640,480,0, 8804,640,480:waittimer 61138 blt 0,0,640,480,0, 8806,640,480:waittimer 61152 blt 0,0,640,480,0, 8808,640,480:waittimer 61166 blt 0,0,640,480,0, 8810,640,480:waittimer 61180 blt 0,0,640,480,0, 8812,640,480:waittimer 61194 blt 0,0,640,480,0, 8814,640,480:waittimer 61208 blt 0,0,640,480,0, 8816,640,480:waittimer 61222 blt 0,0,640,480,0, 8818,640,480:waittimer 61236 blt 0,0,640,480,0, 8820,640,480:waittimer 61250 blt 0,0,640,480,0, 8822,640,480:waittimer 61263 blt 0,0,640,480,0, 8824,640,480:waittimer 61277 blt 0,0,640,480,0, 8826,640,480:waittimer 61291 blt 0,0,640,480,0, 8828,640,480:waittimer 61305 blt 0,0,640,480,0, 8830,640,480:waittimer 61319 blt 0,0,640,480,0, 8832,640,480:waittimer 61333 blt 0,0,640,480,0, 8834,640,480:waittimer 61347 blt 0,0,640,480,0, 8836,640,480:waittimer 61361 blt 0,0,640,480,0, 8838,640,480:waittimer 61375 blt 0,0,640,480,0, 8840,640,480:waittimer 61388 blt 0,0,640,480,0, 8842,640,480:waittimer 61402 blt 0,0,640,480,0, 8844,640,480:waittimer 61416 blt 0,0,640,480,0, 8846,640,480:waittimer 61430 blt 0,0,640,480,0, 8848,640,480:waittimer 61444 blt 0,0,640,480,0, 8850,640,480:waittimer 61458 blt 0,0,640,480,0, 8852,640,480:waittimer 61472 blt 0,0,640,480,0, 8854,640,480:waittimer 61486 blt 0,0,640,480,0, 8856,640,480:waittimer 61500 blt 0,0,640,480,0, 8858,640,480:waittimer 61513 blt 0,0,640,480,0, 8860,640,480:waittimer 61527 blt 0,0,640,480,0, 8862,640,480:waittimer 61541 blt 0,0,640,480,0, 8864,640,480:waittimer 61555 blt 0,0,640,480,0, 8866,640,480:waittimer 61569 blt 0,0,640,480,0, 8868,640,480:waittimer 61583 blt 0,0,640,480,0, 8870,640,480:waittimer 61597 blt 0,0,640,480,0, 8872,640,480:waittimer 61611 blt 0,0,640,480,0, 8874,640,480:waittimer 61625 blt 0,0,640,480,0, 8876,640,480:waittimer 61638 blt 0,0,640,480,0, 8878,640,480:waittimer 61652 blt 0,0,640,480,0, 8880,640,480:waittimer 61666 blt 0,0,640,480,0, 8882,640,480:waittimer 61680 blt 0,0,640,480,0, 8884,640,480:waittimer 61694 blt 0,0,640,480,0, 8886,640,480:waittimer 61708 blt 0,0,640,480,0, 8888,640,480:waittimer 61722 blt 0,0,640,480,0, 8890,640,480:waittimer 61736 blt 0,0,640,480,0, 8892,640,480:waittimer 61750 blt 0,0,640,480,0, 8894,640,480:waittimer 61763 blt 0,0,640,480,0, 8896,640,480:waittimer 61777 blt 0,0,640,480,0, 8898,640,480:waittimer 61791 blt 0,0,640,480,0, 8900,640,480:waittimer 61805 blt 0,0,640,480,0, 8902,640,480:waittimer 61819 blt 0,0,640,480,0, 8904,640,480:waittimer 61833 blt 0,0,640,480,0, 8906,640,480:waittimer 61847 blt 0,0,640,480,0, 8908,640,480:waittimer 61861 blt 0,0,640,480,0, 8910,640,480:waittimer 61875 blt 0,0,640,480,0, 8912,640,480:waittimer 61888 blt 0,0,640,480,0, 8914,640,480:waittimer 61902 blt 0,0,640,480,0, 8916,640,480:waittimer 61916 blt 0,0,640,480,0, 8918,640,480:waittimer 61930 blt 0,0,640,480,0, 8920,640,480:waittimer 61944 blt 0,0,640,480,0, 8922,640,480:waittimer 61958 blt 0,0,640,480,0, 8924,640,480:waittimer 61972 blt 0,0,640,480,0, 8926,640,480:waittimer 61986 blt 0,0,640,480,0, 8928,640,480:waittimer 62000 blt 0,0,640,480,0, 8930,640,480:waittimer 62013 blt 0,0,640,480,0, 8932,640,480:waittimer 62027 blt 0,0,640,480,0, 8934,640,480:waittimer 62041 blt 0,0,640,480,0, 8936,640,480:waittimer 62055 blt 0,0,640,480,0, 8938,640,480:waittimer 62069 blt 0,0,640,480,0, 8940,640,480:waittimer 62083 blt 0,0,640,480,0, 8942,640,480:waittimer 62097 blt 0,0,640,480,0, 8944,640,480:waittimer 62111 blt 0,0,640,480,0, 8946,640,480:waittimer 62125 blt 0,0,640,480,0, 8948,640,480:waittimer 62138 blt 0,0,640,480,0, 8950,640,480:waittimer 62152 blt 0,0,640,480,0, 8952,640,480:waittimer 62166 blt 0,0,640,480,0, 8954,640,480:waittimer 62180 blt 0,0,640,480,0, 8956,640,480:waittimer 62194 blt 0,0,640,480,0, 8958,640,480:waittimer 62208 blt 0,0,640,480,0, 8960,640,480:waittimer 62222 blt 0,0,640,480,0, 8962,640,480:waittimer 62236 blt 0,0,640,480,0, 8964,640,480:waittimer 62250 blt 0,0,640,480,0, 8966,640,480:waittimer 62263 blt 0,0,640,480,0, 8968,640,480:waittimer 62277 blt 0,0,640,480,0, 8970,640,480:waittimer 62291 blt 0,0,640,480,0, 8972,640,480:waittimer 62305 blt 0,0,640,480,0, 8974,640,480:waittimer 62319 blt 0,0,640,480,0, 8976,640,480:waittimer 62333 blt 0,0,640,480,0, 8978,640,480:waittimer 62347 blt 0,0,640,480,0, 8980,640,480:waittimer 62361 blt 0,0,640,480,0, 8982,640,480:waittimer 62375 blt 0,0,640,480,0, 8984,640,480:waittimer 62388 blt 0,0,640,480,0, 8986,640,480:waittimer 62402 blt 0,0,640,480,0, 8988,640,480:waittimer 62416 blt 0,0,640,480,0, 8990,640,480:waittimer 62430 blt 0,0,640,480,0, 8992,640,480:waittimer 62444 blt 0,0,640,480,0, 8994,640,480:waittimer 62458 blt 0,0,640,480,0, 8996,640,480:waittimer 62472 blt 0,0,640,480,0, 8998,640,480:waittimer 62486 blt 0,0,640,480,0, 9000,640,480:waittimer 62500 blt 0,0,640,480,0, 9002,640,480:waittimer 62513 blt 0,0,640,480,0, 9004,640,480:waittimer 62527 blt 0,0,640,480,0, 9006,640,480:waittimer 62541 blt 0,0,640,480,0, 9008,640,480:waittimer 62555 blt 0,0,640,480,0, 9010,640,480:waittimer 62569 blt 0,0,640,480,0, 9012,640,480:waittimer 62583 blt 0,0,640,480,0, 9014,640,480:waittimer 62597 blt 0,0,640,480,0, 9016,640,480:waittimer 62611 blt 0,0,640,480,0, 9018,640,480:waittimer 62625 blt 0,0,640,480,0, 9020,640,480:waittimer 62638 blt 0,0,640,480,0, 9022,640,480:waittimer 62652 blt 0,0,640,480,0, 9024,640,480:waittimer 62666 blt 0,0,640,480,0, 9026,640,480:waittimer 62680 blt 0,0,640,480,0, 9028,640,480:waittimer 62694 blt 0,0,640,480,0, 9030,640,480:waittimer 62708 blt 0,0,640,480,0, 9032,640,480:waittimer 62722 blt 0,0,640,480,0, 9034,640,480:waittimer 62736 blt 0,0,640,480,0, 9036,640,480:waittimer 62750 blt 0,0,640,480,0, 9038,640,480:waittimer 62763 blt 0,0,640,480,0, 9040,640,480:waittimer 62777 blt 0,0,640,480,0, 9042,640,480:waittimer 62791 blt 0,0,640,480,0, 9044,640,480:waittimer 62805 blt 0,0,640,480,0, 9046,640,480:waittimer 62819 blt 0,0,640,480,0, 9048,640,480:waittimer 62833 blt 0,0,640,480,0, 9050,640,480:waittimer 62847 blt 0,0,640,480,0, 9052,640,480:waittimer 62861 blt 0,0,640,480,0, 9054,640,480:waittimer 62875 blt 0,0,640,480,0, 9056,640,480:waittimer 62888 blt 0,0,640,480,0, 9058,640,480:waittimer 62902 blt 0,0,640,480,0, 9060,640,480:waittimer 62916 blt 0,0,640,480,0, 9062,640,480:waittimer 62930 blt 0,0,640,480,0, 9064,640,480:waittimer 62944 blt 0,0,640,480,0, 9066,640,480:waittimer 62958 blt 0,0,640,480,0, 9068,640,480:waittimer 62972 blt 0,0,640,480,0, 9070,640,480:waittimer 62986 blt 0,0,640,480,0, 9072,640,480:waittimer 63000 blt 0,0,640,480,0, 9074,640,480:waittimer 63013 blt 0,0,640,480,0, 9076,640,480:waittimer 63027 blt 0,0,640,480,0, 9078,640,480:waittimer 63041 blt 0,0,640,480,0, 9080,640,480:waittimer 63055 blt 0,0,640,480,0, 9082,640,480:waittimer 63069 blt 0,0,640,480,0, 9084,640,480:waittimer 63083 blt 0,0,640,480,0, 9086,640,480:waittimer 63097 blt 0,0,640,480,0, 9088,640,480:waittimer 63111 blt 0,0,640,480,0, 9090,640,480:waittimer 63125 blt 0,0,640,480,0, 9092,640,480:waittimer 63138 blt 0,0,640,480,0, 9094,640,480:waittimer 63152 blt 0,0,640,480,0, 9096,640,480:waittimer 63166 blt 0,0,640,480,0, 9098,640,480:waittimer 63180 blt 0,0,640,480,0, 9100,640,480:waittimer 63194 blt 0,0,640,480,0, 9102,640,480:waittimer 63208 blt 0,0,640,480,0, 9104,640,480:waittimer 63222 blt 0,0,640,480,0, 9106,640,480:waittimer 63236 blt 0,0,640,480,0, 9108,640,480:waittimer 63250 blt 0,0,640,480,0, 9110,640,480:waittimer 63263 blt 0,0,640,480,0, 9112,640,480:waittimer 63277 blt 0,0,640,480,0, 9114,640,480:waittimer 63291 blt 0,0,640,480,0, 9116,640,480:waittimer 63305 blt 0,0,640,480,0, 9118,640,480:waittimer 63319 blt 0,0,640,480,0, 9120,640,480:waittimer 63333 blt 0,0,640,480,0, 9122,640,480:waittimer 63347 blt 0,0,640,480,0, 9124,640,480:waittimer 63361 blt 0,0,640,480,0, 9126,640,480:waittimer 63375 blt 0,0,640,480,0, 9128,640,480:waittimer 63388 blt 0,0,640,480,0, 9130,640,480:waittimer 63402 blt 0,0,640,480,0, 9132,640,480:waittimer 63416 blt 0,0,640,480,0, 9134,640,480:waittimer 63430 blt 0,0,640,480,0, 9136,640,480:waittimer 63444 blt 0,0,640,480,0, 9138,640,480:waittimer 63458 blt 0,0,640,480,0, 9140,640,480:waittimer 63472 blt 0,0,640,480,0, 9142,640,480:waittimer 63486 blt 0,0,640,480,0, 9144,640,480:waittimer 63500 blt 0,0,640,480,0, 9146,640,480:waittimer 63513 blt 0,0,640,480,0, 9148,640,480:waittimer 63527 blt 0,0,640,480,0, 9150,640,480:waittimer 63541 blt 0,0,640,480,0, 9152,640,480:waittimer 63555 blt 0,0,640,480,0, 9154,640,480:waittimer 63569 blt 0,0,640,480,0, 9156,640,480:waittimer 63583 blt 0,0,640,480,0, 9158,640,480:waittimer 63597 blt 0,0,640,480,0, 9160,640,480:waittimer 63611 blt 0,0,640,480,0, 9162,640,480:waittimer 63625 blt 0,0,640,480,0, 9164,640,480:waittimer 63638 blt 0,0,640,480,0, 9166,640,480:waittimer 63652 blt 0,0,640,480,0, 9168,640,480:waittimer 63666 blt 0,0,640,480,0, 9170,640,480:waittimer 63680 blt 0,0,640,480,0, 9172,640,480:waittimer 63694 blt 0,0,640,480,0, 9174,640,480:waittimer 63708 blt 0,0,640,480,0, 9176,640,480:waittimer 63722 blt 0,0,640,480,0, 9178,640,480:waittimer 63736 blt 0,0,640,480,0, 9180,640,480:waittimer 63750 blt 0,0,640,480,0, 9182,640,480:waittimer 63763 blt 0,0,640,480,0, 9184,640,480:waittimer 63777 blt 0,0,640,480,0, 9186,640,480:waittimer 63791 blt 0,0,640,480,0, 9188,640,480:waittimer 63805 blt 0,0,640,480,0, 9190,640,480:waittimer 63819 blt 0,0,640,480,0, 9192,640,480:waittimer 63833 blt 0,0,640,480,0, 9194,640,480:waittimer 63847 blt 0,0,640,480,0, 9196,640,480:waittimer 63861 blt 0,0,640,480,0, 9198,640,480:waittimer 63875 blt 0,0,640,480,0, 9200,640,480:waittimer 63888 blt 0,0,640,480,0, 9202,640,480:waittimer 63902 blt 0,0,640,480,0, 9204,640,480:waittimer 63916 blt 0,0,640,480,0, 9206,640,480:waittimer 63930 blt 0,0,640,480,0, 9208,640,480:waittimer 63944 blt 0,0,640,480,0, 9210,640,480:waittimer 63958 blt 0,0,640,480,0, 9212,640,480:waittimer 63972 blt 0,0,640,480,0, 9214,640,480:waittimer 63986 blt 0,0,640,480,0, 9216,640,480:waittimer 64000 blt 0,0,640,480,0, 9218,640,480:waittimer 64013 blt 0,0,640,480,0, 9220,640,480:waittimer 64027 blt 0,0,640,480,0, 9222,640,480:waittimer 64041 blt 0,0,640,480,0, 9224,640,480:waittimer 64055 blt 0,0,640,480,0, 9226,640,480:waittimer 64069 blt 0,0,640,480,0, 9228,640,480:waittimer 64083 blt 0,0,640,480,0, 9230,640,480:waittimer 64097 blt 0,0,640,480,0, 9232,640,480:waittimer 64111 blt 0,0,640,480,0, 9234,640,480:waittimer 64125 blt 0,0,640,480,0, 9236,640,480:waittimer 64138 blt 0,0,640,480,0, 9238,640,480:waittimer 64152 blt 0,0,640,480,0, 9240,640,480:waittimer 64166 blt 0,0,640,480,0, 9242,640,480:waittimer 64180 blt 0,0,640,480,0, 9244,640,480:waittimer 64194 blt 0,0,640,480,0, 9246,640,480:waittimer 64208 blt 0,0,640,480,0, 9248,640,480:waittimer 64222 blt 0,0,640,480,0, 9250,640,480:waittimer 64236 blt 0,0,640,480,0, 9252,640,480:waittimer 64250 blt 0,0,640,480,0, 9254,640,480:waittimer 64263 blt 0,0,640,480,0, 9256,640,480:waittimer 64277 blt 0,0,640,480,0, 9258,640,480:waittimer 64291 blt 0,0,640,480,0, 9260,640,480:waittimer 64305 blt 0,0,640,480,0, 9262,640,480:waittimer 64319 blt 0,0,640,480,0, 9264,640,480:waittimer 64333 blt 0,0,640,480,0, 9266,640,480:waittimer 64347 blt 0,0,640,480,0, 9268,640,480:waittimer 64361 blt 0,0,640,480,0, 9270,640,480:waittimer 64375 blt 0,0,640,480,0, 9272,640,480:waittimer 64388 blt 0,0,640,480,0, 9274,640,480:waittimer 64402 blt 0,0,640,480,0, 9276,640,480:waittimer 64416 blt 0,0,640,480,0, 9278,640,480:waittimer 64430 blt 0,0,640,480,0, 9280,640,480:waittimer 64444 blt 0,0,640,480,0, 9282,640,480:waittimer 64458 blt 0,0,640,480,0, 9284,640,480:waittimer 64472 blt 0,0,640,480,0, 9286,640,480:waittimer 64486 blt 0,0,640,480,0, 9288,640,480:waittimer 64500 blt 0,0,640,480,0, 9290,640,480:waittimer 64513 blt 0,0,640,480,0, 9292,640,480:waittimer 64527 blt 0,0,640,480,0, 9294,640,480:waittimer 64541 blt 0,0,640,480,0, 9296,640,480:waittimer 64555 blt 0,0,640,480,0, 9298,640,480:waittimer 64569 blt 0,0,640,480,0, 9300,640,480:waittimer 64583 blt 0,0,640,480,0, 9302,640,480:waittimer 64597 blt 0,0,640,480,0, 9304,640,480:waittimer 64611 blt 0,0,640,480,0, 9306,640,480:waittimer 64625 blt 0,0,640,480,0, 9308,640,480:waittimer 64638 blt 0,0,640,480,0, 9310,640,480:waittimer 64652 blt 0,0,640,480,0, 9312,640,480:waittimer 64666 blt 0,0,640,480,0, 9314,640,480:waittimer 64680 blt 0,0,640,480,0, 9316,640,480:waittimer 64694 blt 0,0,640,480,0, 9318,640,480:waittimer 64708 blt 0,0,640,480,0, 9320,640,480:waittimer 64722 blt 0,0,640,480,0, 9322,640,480:waittimer 64736 blt 0,0,640,480,0, 9324,640,480:waittimer 64750 blt 0,0,640,480,0, 9326,640,480:waittimer 64763 blt 0,0,640,480,0, 9328,640,480:waittimer 64777 blt 0,0,640,480,0, 9330,640,480:waittimer 64791 blt 0,0,640,480,0, 9332,640,480:waittimer 64805 blt 0,0,640,480,0, 9334,640,480:waittimer 64819 blt 0,0,640,480,0, 9336,640,480:waittimer 64833 blt 0,0,640,480,0, 9338,640,480:waittimer 64847 blt 0,0,640,480,0, 9340,640,480:waittimer 64861 blt 0,0,640,480,0, 9342,640,480:waittimer 64875 blt 0,0,640,480,0, 9344,640,480:waittimer 64888 blt 0,0,640,480,0, 9346,640,480:waittimer 64902 blt 0,0,640,480,0, 9348,640,480:waittimer 64916 blt 0,0,640,480,0, 9350,640,480:waittimer 64930 blt 0,0,640,480,0, 9352,640,480:waittimer 64944 blt 0,0,640,480,0, 9354,640,480:waittimer 64958 blt 0,0,640,480,0, 9356,640,480:waittimer 64972 blt 0,0,640,480,0, 9358,640,480:waittimer 64986 blt 0,0,640,480,0, 9360,640,480:waittimer 65000 blt 0,0,640,480,0, 9362,640,480:waittimer 65013 blt 0,0,640,480,0, 9364,640,480:waittimer 65027 blt 0,0,640,480,0, 9366,640,480:waittimer 65041 blt 0,0,640,480,0, 9368,640,480:waittimer 65055 blt 0,0,640,480,0, 9370,640,480:waittimer 65069 blt 0,0,640,480,0, 9372,640,480:waittimer 65083 blt 0,0,640,480,0, 9374,640,480:waittimer 65097 blt 0,0,640,480,0, 9376,640,480:waittimer 65111 blt 0,0,640,480,0, 9378,640,480:waittimer 65125 blt 0,0,640,480,0, 9380,640,480:waittimer 65138 blt 0,0,640,480,0, 9382,640,480:waittimer 65152 blt 0,0,640,480,0, 9384,640,480:waittimer 65166 blt 0,0,640,480,0, 9386,640,480:waittimer 65180 blt 0,0,640,480,0, 9388,640,480:waittimer 65194 blt 0,0,640,480,0, 9390,640,480:waittimer 65208 blt 0,0,640,480,0, 9392,640,480:waittimer 65222 blt 0,0,640,480,0, 9394,640,480:waittimer 65236 blt 0,0,640,480,0, 9396,640,480:waittimer 65250 blt 0,0,640,480,0, 9398,640,480:waittimer 65263 blt 0,0,640,480,0, 9400,640,480:waittimer 65277 blt 0,0,640,480,0, 9402,640,480:waittimer 65291 blt 0,0,640,480,0, 9404,640,480:waittimer 65305 blt 0,0,640,480,0, 9406,640,480:waittimer 65319 blt 0,0,640,480,0, 9408,640,480:waittimer 65333 blt 0,0,640,480,0, 9410,640,480:waittimer 65347 blt 0,0,640,480,0, 9412,640,480:waittimer 65361 blt 0,0,640,480,0, 9414,640,480:waittimer 65375 blt 0,0,640,480,0, 9416,640,480:waittimer 65388 blt 0,0,640,480,0, 9418,640,480:waittimer 65402 blt 0,0,640,480,0, 9420,640,480:waittimer 65416 blt 0,0,640,480,0, 9422,640,480:waittimer 65430 blt 0,0,640,480,0, 9424,640,480:waittimer 65444 blt 0,0,640,480,0, 9426,640,480:waittimer 65458 blt 0,0,640,480,0, 9428,640,480:waittimer 65472 blt 0,0,640,480,0, 9430,640,480:waittimer 65486 blt 0,0,640,480,0, 9432,640,480:waittimer 65500 blt 0,0,640,480,0, 9434,640,480:waittimer 65513 blt 0,0,640,480,0, 9436,640,480:waittimer 65527 blt 0,0,640,480,0, 9438,640,480:waittimer 65541 blt 0,0,640,480,0, 9440,640,480:waittimer 65555 blt 0,0,640,480,0, 9442,640,480:waittimer 65569 blt 0,0,640,480,0, 9444,640,480:waittimer 65583 blt 0,0,640,480,0, 9446,640,480:waittimer 65597 blt 0,0,640,480,0, 9448,640,480:waittimer 65611 blt 0,0,640,480,0, 9450,640,480:waittimer 65625 blt 0,0,640,480,0, 9452,640,480:waittimer 65638 blt 0,0,640,480,0, 9454,640,480:waittimer 65652 blt 0,0,640,480,0, 9456,640,480:waittimer 65666 blt 0,0,640,480,0, 9458,640,480:waittimer 65680 blt 0,0,640,480,0, 9460,640,480:waittimer 65694 blt 0,0,640,480,0, 9462,640,480:waittimer 65708 blt 0,0,640,480,0, 9464,640,480:waittimer 65722 blt 0,0,640,480,0, 9466,640,480:waittimer 65736 blt 0,0,640,480,0, 9468,640,480:waittimer 65750 blt 0,0,640,480,0, 9470,640,480:waittimer 65763 blt 0,0,640,480,0, 9472,640,480:waittimer 65777 blt 0,0,640,480,0, 9474,640,480:waittimer 65791 blt 0,0,640,480,0, 9476,640,480:waittimer 65805 blt 0,0,640,480,0, 9478,640,480:waittimer 65819 blt 0,0,640,480,0, 9480,640,480:waittimer 65833 blt 0,0,640,480,0, 9482,640,480:waittimer 65847 blt 0,0,640,480,0, 9484,640,480:waittimer 65861 blt 0,0,640,480,0, 9486,640,480:waittimer 65875 blt 0,0,640,480,0, 9488,640,480:waittimer 65888 blt 0,0,640,480,0, 9490,640,480:waittimer 65902 blt 0,0,640,480,0, 9492,640,480:waittimer 65916 blt 0,0,640,480,0, 9494,640,480:waittimer 65930 blt 0,0,640,480,0, 9496,640,480:waittimer 65944 blt 0,0,640,480,0, 9498,640,480:waittimer 65958 blt 0,0,640,480,0, 9500,640,480:waittimer 65972 blt 0,0,640,480,0, 9502,640,480:waittimer 65986 blt 0,0,640,480,0, 9504,640,480:waittimer 66000 blt 0,0,640,480,0, 9506,640,480:waittimer 66013 blt 0,0,640,480,0, 9508,640,480:waittimer 66027 blt 0,0,640,480,0, 9510,640,480:waittimer 66041 blt 0,0,640,480,0, 9512,640,480:waittimer 66055 blt 0,0,640,480,0, 9514,640,480:waittimer 66069 blt 0,0,640,480,0, 9516,640,480:waittimer 66083 blt 0,0,640,480,0, 9518,640,480:waittimer 66097 blt 0,0,640,480,0, 9520,640,480:waittimer 66111 blt 0,0,640,480,0, 9522,640,480:waittimer 66125 blt 0,0,640,480,0, 9524,640,480:waittimer 66138 blt 0,0,640,480,0, 9526,640,480:waittimer 66152 blt 0,0,640,480,0, 9528,640,480:waittimer 66166 blt 0,0,640,480,0, 9530,640,480:waittimer 66180 blt 0,0,640,480,0, 9532,640,480:waittimer 66194 blt 0,0,640,480,0, 9534,640,480:waittimer 66208 blt 0,0,640,480,0, 9536,640,480:waittimer 66222 blt 0,0,640,480,0, 9538,640,480:waittimer 66236 blt 0,0,640,480,0, 9540,640,480:waittimer 66250 blt 0,0,640,480,0, 9542,640,480:waittimer 66263 blt 0,0,640,480,0, 9544,640,480:waittimer 66277 blt 0,0,640,480,0, 9546,640,480:waittimer 66291 blt 0,0,640,480,0, 9548,640,480:waittimer 66305 blt 0,0,640,480,0, 9550,640,480:waittimer 66319 blt 0,0,640,480,0, 9552,640,480:waittimer 66333 blt 0,0,640,480,0, 9554,640,480:waittimer 66347 blt 0,0,640,480,0, 9556,640,480:waittimer 66361 blt 0,0,640,480,0, 9558,640,480:waittimer 66375 blt 0,0,640,480,0, 9560,640,480:waittimer 66388 blt 0,0,640,480,0, 9562,640,480:waittimer 66402 blt 0,0,640,480,0, 9564,640,480:waittimer 66416 blt 0,0,640,480,0, 9566,640,480:waittimer 66430 blt 0,0,640,480,0, 9568,640,480:waittimer 66444 blt 0,0,640,480,0, 9570,640,480:waittimer 66458 blt 0,0,640,480,0, 9572,640,480:waittimer 66472 blt 0,0,640,480,0, 9574,640,480:waittimer 66486 blt 0,0,640,480,0, 9576,640,480:waittimer 66500 blt 0,0,640,480,0, 9578,640,480:waittimer 66513 blt 0,0,640,480,0, 9580,640,480:waittimer 66527 blt 0,0,640,480,0, 9582,640,480:waittimer 66541 blt 0,0,640,480,0, 9584,640,480:waittimer 66555 blt 0,0,640,480,0, 9586,640,480:waittimer 66569 blt 0,0,640,480,0, 9588,640,480:waittimer 66583 blt 0,0,640,480,0, 9590,640,480:waittimer 66597 blt 0,0,640,480,0, 9592,640,480:waittimer 66611 blt 0,0,640,480,0, 9594,640,480:waittimer 66625 blt 0,0,640,480,0, 9596,640,480:waittimer 66638 blt 0,0,640,480,0, 9598,640,480:waittimer 66652 blt 0,0,640,480,0, 9600,640,480:waittimer 66666 blt 0,0,640,480,0, 9602,640,480:waittimer 66680 blt 0,0,640,480,0, 9604,640,480:waittimer 66694 blt 0,0,640,480,0, 9606,640,480:waittimer 66708 blt 0,0,640,480,0, 9608,640,480:waittimer 66722 blt 0,0,640,480,0, 9610,640,480:waittimer 66736 blt 0,0,640,480,0, 9612,640,480:waittimer 66750 blt 0,0,640,480,0, 9614,640,480:waittimer 66763 blt 0,0,640,480,0, 9616,640,480:waittimer 66777 blt 0,0,640,480,0, 9618,640,480:waittimer 66791 blt 0,0,640,480,0, 9620,640,480:waittimer 66805 blt 0,0,640,480,0, 9622,640,480:waittimer 66819 blt 0,0,640,480,0, 9624,640,480:waittimer 66833 blt 0,0,640,480,0, 9626,640,480:waittimer 66847 blt 0,0,640,480,0, 9628,640,480:waittimer 66861 blt 0,0,640,480,0, 9630,640,480:waittimer 66875 blt 0,0,640,480,0, 9632,640,480:waittimer 66888 blt 0,0,640,480,0, 9634,640,480:waittimer 66902 blt 0,0,640,480,0, 9636,640,480:waittimer 66916 blt 0,0,640,480,0, 9638,640,480:waittimer 66930 blt 0,0,640,480,0, 9640,640,480:waittimer 66944 blt 0,0,640,480,0, 9642,640,480:waittimer 66958 blt 0,0,640,480,0, 9644,640,480:waittimer 66972 blt 0,0,640,480,0, 9646,640,480:waittimer 66986 blt 0,0,640,480,0, 9648,640,480:waittimer 67000 blt 0,0,640,480,0, 9650,640,480:waittimer 67013 blt 0,0,640,480,0, 9652,640,480:waittimer 67027 blt 0,0,640,480,0, 9654,640,480:waittimer 67041 blt 0,0,640,480,0, 9656,640,480:waittimer 67055 blt 0,0,640,480,0, 9658,640,480:waittimer 67069 blt 0,0,640,480,0, 9660,640,480:waittimer 67083 blt 0,0,640,480,0, 9662,640,480:waittimer 67097 blt 0,0,640,480,0, 9664,640,480:waittimer 67111 blt 0,0,640,480,0, 9666,640,480:waittimer 67125 blt 0,0,640,480,0, 9668,640,480:waittimer 67138 blt 0,0,640,480,0, 9670,640,480:waittimer 67152 blt 0,0,640,480,0, 9672,640,480:waittimer 67166 blt 0,0,640,480,0, 9674,640,480:waittimer 67180 blt 0,0,640,480,0, 9676,640,480:waittimer 67194 blt 0,0,640,480,0, 9678,640,480:waittimer 67208 blt 0,0,640,480,0, 9680,640,480:waittimer 67222 blt 0,0,640,480,0, 9682,640,480:waittimer 67236 blt 0,0,640,480,0, 9684,640,480:waittimer 67250 blt 0,0,640,480,0, 9686,640,480:waittimer 67263 blt 0,0,640,480,0, 9688,640,480:waittimer 67277 blt 0,0,640,480,0, 9690,640,480:waittimer 67291 blt 0,0,640,480,0, 9692,640,480:waittimer 67305 blt 0,0,640,480,0, 9694,640,480:waittimer 67319 blt 0,0,640,480,0, 9696,640,480:waittimer 67333 blt 0,0,640,480,0, 9698,640,480:waittimer 67347 blt 0,0,640,480,0, 9700,640,480:waittimer 67361 blt 0,0,640,480,0, 9702,640,480:waittimer 67375 blt 0,0,640,480,0, 9704,640,480:waittimer 67388 blt 0,0,640,480,0, 9706,640,480:waittimer 67402 blt 0,0,640,480,0, 9708,640,480:waittimer 67416 blt 0,0,640,480,0, 9710,640,480:waittimer 67430 blt 0,0,640,480,0, 9712,640,480:waittimer 67444 blt 0,0,640,480,0, 9714,640,480:waittimer 67458 blt 0,0,640,480,0, 9716,640,480:waittimer 67472 blt 0,0,640,480,0, 9718,640,480:waittimer 67486 blt 0,0,640,480,0, 9720,640,480:waittimer 67500 blt 0,0,640,480,0, 9722,640,480:waittimer 67513 blt 0,0,640,480,0, 9724,640,480:waittimer 67527 blt 0,0,640,480,0, 9726,640,480:waittimer 67541 blt 0,0,640,480,0, 9728,640,480:waittimer 67555 blt 0,0,640,480,0, 9730,640,480:waittimer 67569 blt 0,0,640,480,0, 9732,640,480:waittimer 67583 blt 0,0,640,480,0, 9734,640,480:waittimer 67597 blt 0,0,640,480,0, 9736,640,480:waittimer 67611 blt 0,0,640,480,0, 9738,640,480:waittimer 67625 blt 0,0,640,480,0, 9740,640,480:waittimer 67638 blt 0,0,640,480,0, 9742,640,480:waittimer 67652 blt 0,0,640,480,0, 9744,640,480:waittimer 67666 blt 0,0,640,480,0, 9746,640,480:waittimer 67680 blt 0,0,640,480,0, 9748,640,480:waittimer 67694 blt 0,0,640,480,0, 9750,640,480:waittimer 67708 blt 0,0,640,480,0, 9752,640,480:waittimer 67722 blt 0,0,640,480,0, 9754,640,480:waittimer 67736 blt 0,0,640,480,0, 9756,640,480:waittimer 67750 blt 0,0,640,480,0, 9758,640,480:waittimer 67763 blt 0,0,640,480,0, 9760,640,480:waittimer 67777 blt 0,0,640,480,0, 9762,640,480:waittimer 67791 blt 0,0,640,480,0, 9764,640,480:waittimer 67805 blt 0,0,640,480,0, 9766,640,480:waittimer 67819 blt 0,0,640,480,0, 9768,640,480:waittimer 67833 blt 0,0,640,480,0, 9770,640,480:waittimer 67847 blt 0,0,640,480,0, 9772,640,480:waittimer 67861 blt 0,0,640,480,0, 9774,640,480:waittimer 67875 blt 0,0,640,480,0, 9776,640,480:waittimer 67888 blt 0,0,640,480,0, 9778,640,480:waittimer 67902 blt 0,0,640,480,0, 9780,640,480:waittimer 67916 blt 0,0,640,480,0, 9782,640,480:waittimer 67930 blt 0,0,640,480,0, 9784,640,480:waittimer 67944 blt 0,0,640,480,0, 9786,640,480:waittimer 67958 blt 0,0,640,480,0, 9788,640,480:waittimer 67972 blt 0,0,640,480,0, 9790,640,480:waittimer 67986 blt 0,0,640,480,0, 9792,640,480:waittimer 68000 blt 0,0,640,480,0, 9794,640,480:waittimer 68013 blt 0,0,640,480,0, 9796,640,480:waittimer 68027 blt 0,0,640,480,0, 9798,640,480:waittimer 68041 blt 0,0,640,480,0, 9800,640,480:waittimer 68055 blt 0,0,640,480,0, 9802,640,480:waittimer 68069 blt 0,0,640,480,0, 9804,640,480:waittimer 68083 blt 0,0,640,480,0, 9806,640,480:waittimer 68097 blt 0,0,640,480,0, 9808,640,480:waittimer 68111 blt 0,0,640,480,0, 9810,640,480:waittimer 68125 blt 0,0,640,480,0, 9812,640,480:waittimer 68138 blt 0,0,640,480,0, 9814,640,480:waittimer 68152 blt 0,0,640,480,0, 9816,640,480:waittimer 68166 blt 0,0,640,480,0, 9818,640,480:waittimer 68180 blt 0,0,640,480,0, 9820,640,480:waittimer 68194 blt 0,0,640,480,0, 9822,640,480:waittimer 68208 blt 0,0,640,480,0, 9824,640,480:waittimer 68222 blt 0,0,640,480,0, 9826,640,480:waittimer 68236 blt 0,0,640,480,0, 9828,640,480:waittimer 68250 blt 0,0,640,480,0, 9830,640,480:waittimer 68263 blt 0,0,640,480,0, 9832,640,480:waittimer 68277 blt 0,0,640,480,0, 9834,640,480:waittimer 68291 blt 0,0,640,480,0, 9836,640,480:waittimer 68305 blt 0,0,640,480,0, 9838,640,480:waittimer 68319 blt 0,0,640,480,0, 9840,640,480:waittimer 68333 blt 0,0,640,480,0, 9842,640,480:waittimer 68347 blt 0,0,640,480,0, 9844,640,480:waittimer 68361 blt 0,0,640,480,0, 9846,640,480:waittimer 68375 blt 0,0,640,480,0, 9848,640,480:waittimer 68388 blt 0,0,640,480,0, 9850,640,480:waittimer 68402 blt 0,0,640,480,0, 9852,640,480:waittimer 68416 blt 0,0,640,480,0, 9854,640,480:waittimer 68430 blt 0,0,640,480,0, 9856,640,480:waittimer 68444 blt 0,0,640,480,0, 9858,640,480:waittimer 68458 blt 0,0,640,480,0, 9860,640,480:waittimer 68472 blt 0,0,640,480,0, 9862,640,480:waittimer 68486 blt 0,0,640,480,0, 9864,640,480:waittimer 68500 blt 0,0,640,480,0, 9866,640,480:waittimer 68513 blt 0,0,640,480,0, 9868,640,480:waittimer 68527 blt 0,0,640,480,0, 9870,640,480:waittimer 68541 blt 0,0,640,480,0, 9872,640,480:waittimer 68555 blt 0,0,640,480,0, 9874,640,480:waittimer 68569 blt 0,0,640,480,0, 9876,640,480:waittimer 68583 blt 0,0,640,480,0, 9878,640,480:waittimer 68597 blt 0,0,640,480,0, 9880,640,480:waittimer 68611 blt 0,0,640,480,0, 9882,640,480:waittimer 68625 blt 0,0,640,480,0, 9884,640,480:waittimer 68638 blt 0,0,640,480,0, 9886,640,480:waittimer 68652 blt 0,0,640,480,0, 9888,640,480:waittimer 68666 blt 0,0,640,480,0, 9890,640,480:waittimer 68680 blt 0,0,640,480,0, 9892,640,480:waittimer 68694 blt 0,0,640,480,0, 9894,640,480:waittimer 68708 blt 0,0,640,480,0, 9896,640,480:waittimer 68722 blt 0,0,640,480,0, 9898,640,480:waittimer 68736 blt 0,0,640,480,0, 9900,640,480:waittimer 68750 blt 0,0,640,480,0, 9902,640,480:waittimer 68763 blt 0,0,640,480,0, 9904,640,480:waittimer 68777 blt 0,0,640,480,0, 9906,640,480:waittimer 68791 blt 0,0,640,480,0, 9908,640,480:waittimer 68805 blt 0,0,640,480,0, 9910,640,480:waittimer 68819 blt 0,0,640,480,0, 9912,640,480:waittimer 68833 blt 0,0,640,480,0, 9914,640,480:waittimer 68847 blt 0,0,640,480,0, 9916,640,480:waittimer 68861 blt 0,0,640,480,0, 9918,640,480:waittimer 68875 blt 0,0,640,480,0, 9920,640,480:waittimer 68888 blt 0,0,640,480,0, 9922,640,480:waittimer 68902 blt 0,0,640,480,0, 9924,640,480:waittimer 68916 blt 0,0,640,480,0, 9926,640,480:waittimer 68930 blt 0,0,640,480,0, 9928,640,480:waittimer 68944 blt 0,0,640,480,0, 9930,640,480:waittimer 68958 blt 0,0,640,480,0, 9932,640,480:waittimer 68972 blt 0,0,640,480,0, 9934,640,480:waittimer 68986 blt 0,0,640,480,0, 9936,640,480:waittimer 69000 blt 0,0,640,480,0, 9938,640,480:waittimer 69013 blt 0,0,640,480,0, 9940,640,480:waittimer 69027 blt 0,0,640,480,0, 9942,640,480:waittimer 69041 blt 0,0,640,480,0, 9944,640,480:waittimer 69055 blt 0,0,640,480,0, 9946,640,480:waittimer 69069 blt 0,0,640,480,0, 9948,640,480:waittimer 69083 blt 0,0,640,480,0, 9950,640,480:waittimer 69097 blt 0,0,640,480,0, 9952,640,480:waittimer 69111 blt 0,0,640,480,0, 9954,640,480:waittimer 69125 blt 0,0,640,480,0, 9956,640,480:waittimer 69138 blt 0,0,640,480,0, 9958,640,480:waittimer 69152 blt 0,0,640,480,0, 9960,640,480:waittimer 69166 blt 0,0,640,480,0, 9962,640,480:waittimer 69180 blt 0,0,640,480,0, 9964,640,480:waittimer 69194 blt 0,0,640,480,0, 9966,640,480:waittimer 69208 blt 0,0,640,480,0, 9968,640,480:waittimer 69222 blt 0,0,640,480,0, 9970,640,480:waittimer 69236 blt 0,0,640,480,0, 9972,640,480:waittimer 69250 blt 0,0,640,480,0, 9974,640,480:waittimer 69263 blt 0,0,640,480,0, 9976,640,480:waittimer 69277 blt 0,0,640,480,0, 9978,640,480:waittimer 69291 blt 0,0,640,480,0, 9980,640,480:waittimer 69305 blt 0,0,640,480,0, 9982,640,480:waittimer 69319 blt 0,0,640,480,0, 9984,640,480:waittimer 69333 blt 0,0,640,480,0, 9986,640,480:waittimer 69347 blt 0,0,640,480,0, 9988,640,480:waittimer 69361 blt 0,0,640,480,0, 9990,640,480:waittimer 69375 blt 0,0,640,480,0, 9992,640,480:waittimer 69388 blt 0,0,640,480,0, 9994,640,480:waittimer 69402 blt 0,0,640,480,0, 9996,640,480:waittimer 69416 blt 0,0,640,480,0, 9998,640,480:waittimer 69430 blt 0,0,640,480,0, 10000,640,480:waittimer 69444 blt 0,0,640,480,0, 10002,640,480:waittimer 69458 blt 0,0,640,480,0, 10004,640,480:waittimer 69472 blt 0,0,640,480,0, 10006,640,480:waittimer 69486 blt 0,0,640,480,0, 10008,640,480:waittimer 69500 blt 0,0,640,480,0, 10010,640,480:waittimer 69513 blt 0,0,640,480,0, 10012,640,480:waittimer 69527 blt 0,0,640,480,0, 10014,640,480:waittimer 69541 blt 0,0,640,480,0, 10016,640,480:waittimer 69555 blt 0,0,640,480,0, 10018,640,480:waittimer 69569 blt 0,0,640,480,0, 10020,640,480:waittimer 69583 blt 0,0,640,480,0, 10022,640,480:waittimer 69597 blt 0,0,640,480,0, 10024,640,480:waittimer 69611 blt 0,0,640,480,0, 10026,640,480:waittimer 69625 blt 0,0,640,480,0, 10028,640,480:waittimer 69638 blt 0,0,640,480,0, 10030,640,480:waittimer 69652 blt 0,0,640,480,0, 10032,640,480:waittimer 69666 blt 0,0,640,480,0, 10034,640,480:waittimer 69680 blt 0,0,640,480,0, 10036,640,480:waittimer 69694 blt 0,0,640,480,0, 10038,640,480:waittimer 69708 blt 0,0,640,480,0, 10040,640,480:waittimer 69722 blt 0,0,640,480,0, 10042,640,480:waittimer 69736 blt 0,0,640,480,0, 10044,640,480:waittimer 69750 blt 0,0,640,480,0, 10046,640,480:waittimer 69763 blt 0,0,640,480,0, 10048,640,480:waittimer 69777 blt 0,0,640,480,0, 10050,640,480:waittimer 69791 blt 0,0,640,480,0, 10052,640,480:waittimer 69805 blt 0,0,640,480,0, 10054,640,480:waittimer 69819 blt 0,0,640,480,0, 10056,640,480:waittimer 69833 blt 0,0,640,480,0, 10058,640,480:waittimer 69847 blt 0,0,640,480,0, 10060,640,480:waittimer 69861 blt 0,0,640,480,0, 10062,640,480:waittimer 69875 blt 0,0,640,480,0, 10064,640,480:waittimer 69888 blt 0,0,640,480,0, 10066,640,480:waittimer 69902 blt 0,0,640,480,0, 10068,640,480:waittimer 69916 blt 0,0,640,480,0, 10070,640,480:waittimer 69930 blt 0,0,640,480,0, 10072,640,480:waittimer 69944 blt 0,0,640,480,0, 10074,640,480:waittimer 69958 blt 0,0,640,480,0, 10076,640,480:waittimer 69972 blt 0,0,640,480,0, 10078,640,480:waittimer 69986 blt 0,0,640,480,0, 10080,640,480:waittimer 70000 blt 0,0,640,480,0, 10082,640,480:waittimer 70013 blt 0,0,640,480,0, 10084,640,480:waittimer 70027 blt 0,0,640,480,0, 10086,640,480:waittimer 70041 blt 0,0,640,480,0, 10088,640,480:waittimer 70055 blt 0,0,640,480,0, 10090,640,480:waittimer 70069 blt 0,0,640,480,0, 10092,640,480:waittimer 70083 blt 0,0,640,480,0, 10094,640,480:waittimer 70097 blt 0,0,640,480,0, 10096,640,480:waittimer 70111 blt 0,0,640,480,0, 10098,640,480:waittimer 70125 blt 0,0,640,480,0, 10100,640,480:waittimer 70138 blt 0,0,640,480,0, 10102,640,480:waittimer 70152 blt 0,0,640,480,0, 10104,640,480:waittimer 70166 blt 0,0,640,480,0, 10106,640,480:waittimer 70180 blt 0,0,640,480,0, 10108,640,480:waittimer 70194 blt 0,0,640,480,0, 10110,640,480:waittimer 70208 blt 0,0,640,480,0, 10112,640,480:waittimer 70222 blt 0,0,640,480,0, 10114,640,480:waittimer 70236 blt 0,0,640,480,0, 10116,640,480:waittimer 70250 blt 0,0,640,480,0, 10118,640,480:waittimer 70263 blt 0,0,640,480,0, 10120,640,480:waittimer 70277 blt 0,0,640,480,0, 10122,640,480:waittimer 70291 blt 0,0,640,480,0, 10124,640,480:waittimer 70305 blt 0,0,640,480,0, 10126,640,480:waittimer 70319 blt 0,0,640,480,0, 10128,640,480:waittimer 70333 blt 0,0,640,480,0, 10130,640,480:waittimer 70347 blt 0,0,640,480,0, 10132,640,480:waittimer 70361 blt 0,0,640,480,0, 10134,640,480:waittimer 70375 blt 0,0,640,480,0, 10136,640,480:waittimer 70388 blt 0,0,640,480,0, 10138,640,480:waittimer 70402 blt 0,0,640,480,0, 10140,640,480:waittimer 70416 blt 0,0,640,480,0, 10142,640,480:waittimer 70430 blt 0,0,640,480,0, 10144,640,480:waittimer 70444 blt 0,0,640,480,0, 10146,640,480:waittimer 70458 blt 0,0,640,480,0, 10148,640,480:waittimer 70472 blt 0,0,640,480,0, 10150,640,480:waittimer 70486 blt 0,0,640,480,0, 10152,640,480:waittimer 70500 blt 0,0,640,480,0, 10154,640,480:waittimer 70513 blt 0,0,640,480,0, 10156,640,480:waittimer 70527 blt 0,0,640,480,0, 10158,640,480:waittimer 70541 blt 0,0,640,480,0, 10160,640,480:waittimer 70555 blt 0,0,640,480,0, 10162,640,480:waittimer 70569 blt 0,0,640,480,0, 10164,640,480:waittimer 70583 blt 0,0,640,480,0, 10166,640,480:waittimer 70597 blt 0,0,640,480,0, 10168,640,480:waittimer 70611 blt 0,0,640,480,0, 10170,640,480:waittimer 70625 blt 0,0,640,480,0, 10172,640,480:waittimer 70638 blt 0,0,640,480,0, 10174,640,480:waittimer 70652 blt 0,0,640,480,0, 10176,640,480:waittimer 70666 blt 0,0,640,480,0, 10178,640,480:waittimer 70680 blt 0,0,640,480,0, 10180,640,480:waittimer 70694 blt 0,0,640,480,0, 10182,640,480:waittimer 70708 blt 0,0,640,480,0, 10184,640,480:waittimer 70722 blt 0,0,640,480,0, 10186,640,480:waittimer 70736 blt 0,0,640,480,0, 10188,640,480:waittimer 70750 blt 0,0,640,480,0, 10190,640,480:waittimer 70763 blt 0,0,640,480,0, 10192,640,480:waittimer 70777 blt 0,0,640,480,0, 10194,640,480:waittimer 70791 blt 0,0,640,480,0, 10196,640,480:waittimer 70805 blt 0,0,640,480,0, 10198,640,480:waittimer 70819 blt 0,0,640,480,0, 10200,640,480:waittimer 70833 blt 0,0,640,480,0, 10202,640,480:waittimer 70847 blt 0,0,640,480,0, 10204,640,480:waittimer 70861 blt 0,0,640,480,0, 10206,640,480:waittimer 70875 blt 0,0,640,480,0, 10208,640,480:waittimer 70888 blt 0,0,640,480,0, 10210,640,480:waittimer 70902 blt 0,0,640,480,0, 10212,640,480:waittimer 70916 blt 0,0,640,480,0, 10214,640,480:waittimer 70930 blt 0,0,640,480,0, 10216,640,480:waittimer 70944 blt 0,0,640,480,0, 10218,640,480:waittimer 70958 blt 0,0,640,480,0, 10220,640,480:waittimer 70972 blt 0,0,640,480,0, 10222,640,480:waittimer 70986 blt 0,0,640,480,0, 10224,640,480:waittimer 71000 blt 0,0,640,480,0, 10226,640,480:waittimer 71013 blt 0,0,640,480,0, 10228,640,480:waittimer 71027 blt 0,0,640,480,0, 10230,640,480:waittimer 71041 blt 0,0,640,480,0, 10232,640,480:waittimer 71055 blt 0,0,640,480,0, 10234,640,480:waittimer 71069 blt 0,0,640,480,0, 10236,640,480:waittimer 71083 blt 0,0,640,480,0, 10238,640,480:waittimer 71097 blt 0,0,640,480,0, 10240,640,480:waittimer 71111 blt 0,0,640,480,0, 10242,640,480:waittimer 71125 blt 0,0,640,480,0, 10244,640,480:waittimer 71138 blt 0,0,640,480,0, 10246,640,480:waittimer 71152 blt 0,0,640,480,0, 10248,640,480:waittimer 71166 blt 0,0,640,480,0, 10250,640,480:waittimer 71180 blt 0,0,640,480,0, 10252,640,480:waittimer 71194 blt 0,0,640,480,0, 10254,640,480:waittimer 71208 blt 0,0,640,480,0, 10256,640,480:waittimer 71222 blt 0,0,640,480,0, 10258,640,480:waittimer 71236 blt 0,0,640,480,0, 10260,640,480:waittimer 71250 blt 0,0,640,480,0, 10262,640,480:waittimer 71263 blt 0,0,640,480,0, 10264,640,480:waittimer 71277 blt 0,0,640,480,0, 10266,640,480:waittimer 71291 blt 0,0,640,480,0, 10268,640,480:waittimer 71305 blt 0,0,640,480,0, 10270,640,480:waittimer 71319 blt 0,0,640,480,0, 10272,640,480:waittimer 71333 blt 0,0,640,480,0, 10274,640,480:waittimer 71347 blt 0,0,640,480,0, 10276,640,480:waittimer 71361 blt 0,0,640,480,0, 10278,640,480:waittimer 71375 blt 0,0,640,480,0, 10280,640,480:waittimer 71388 blt 0,0,640,480,0, 10282,640,480:waittimer 71402 blt 0,0,640,480,0, 10284,640,480:waittimer 71416 blt 0,0,640,480,0, 10286,640,480:waittimer 71430 blt 0,0,640,480,0, 10288,640,480:waittimer 71444 blt 0,0,640,480,0, 10290,640,480:waittimer 71458 blt 0,0,640,480,0, 10292,640,480:waittimer 71472 blt 0,0,640,480,0, 10294,640,480:waittimer 71486 blt 0,0,640,480,0, 10296,640,480:waittimer 71500 blt 0,0,640,480,0, 10298,640,480:waittimer 71513 blt 0,0,640,480,0, 10300,640,480:waittimer 71527 blt 0,0,640,480,0, 10302,640,480:waittimer 71541 blt 0,0,640,480,0, 10304,640,480:waittimer 71555 blt 0,0,640,480,0, 10306,640,480:waittimer 71569 blt 0,0,640,480,0, 10308,640,480:waittimer 71583 blt 0,0,640,480,0, 10310,640,480:waittimer 71597 blt 0,0,640,480,0, 10312,640,480:waittimer 71611 blt 0,0,640,480,0, 10314,640,480:waittimer 71625 blt 0,0,640,480,0, 10316,640,480:waittimer 71638 blt 0,0,640,480,0, 10318,640,480:waittimer 71652 blt 0,0,640,480,0, 10320,640,480:waittimer 71666 blt 0,0,640,480,0, 10322,640,480:waittimer 71680 blt 0,0,640,480,0, 10324,640,480:waittimer 71694 blt 0,0,640,480,0, 10326,640,480:waittimer 71708 blt 0,0,640,480,0, 10328,640,480:waittimer 71722 blt 0,0,640,480,0, 10330,640,480:waittimer 71736 blt 0,0,640,480,0, 10332,640,480:waittimer 71750 blt 0,0,640,480,0, 10334,640,480:waittimer 71763 blt 0,0,640,480,0, 10336,640,480:waittimer 71777 blt 0,0,640,480,0, 10338,640,480:waittimer 71791 blt 0,0,640,480,0, 10340,640,480:waittimer 71805 blt 0,0,640,480,0, 10342,640,480:waittimer 71819 blt 0,0,640,480,0, 10344,640,480:waittimer 71833 blt 0,0,640,480,0, 10346,640,480:waittimer 71847 blt 0,0,640,480,0, 10348,640,480:waittimer 71861 blt 0,0,640,480,0, 10350,640,480:waittimer 71875 blt 0,0,640,480,0, 10352,640,480:waittimer 71888 blt 0,0,640,480,0, 10354,640,480:waittimer 71902 blt 0,0,640,480,0, 10356,640,480:waittimer 71916 blt 0,0,640,480,0, 10358,640,480:waittimer 71930 blt 0,0,640,480,0, 10360,640,480:waittimer 71944 blt 0,0,640,480,0, 10362,640,480:waittimer 71958 blt 0,0,640,480,0, 10364,640,480:waittimer 71972 blt 0,0,640,480,0, 10366,640,480:waittimer 71986 blt 0,0,640,480,0, 10368,640,480:waittimer 72000 blt 0,0,640,480,0, 10370,640,480:waittimer 72013 blt 0,0,640,480,0, 10372,640,480:waittimer 72027 blt 0,0,640,480,0, 10374,640,480:waittimer 72041 blt 0,0,640,480,0, 10376,640,480:waittimer 72055 blt 0,0,640,480,0, 10378,640,480:waittimer 72069 blt 0,0,640,480,0, 10380,640,480:waittimer 72083 blt 0,0,640,480,0, 10382,640,480:waittimer 72097 blt 0,0,640,480,0, 10384,640,480:waittimer 72111 blt 0,0,640,480,0, 10386,640,480:waittimer 72125 blt 0,0,640,480,0, 10388,640,480:waittimer 72138 blt 0,0,640,480,0, 10390,640,480:waittimer 72152 blt 0,0,640,480,0, 10392,640,480:waittimer 72166 blt 0,0,640,480,0, 10394,640,480:waittimer 72180 blt 0,0,640,480,0, 10396,640,480:waittimer 72194 blt 0,0,640,480,0, 10398,640,480:waittimer 72208 blt 0,0,640,480,0, 10400,640,480:waittimer 72222 blt 0,0,640,480,0, 10402,640,480:waittimer 72236 blt 0,0,640,480,0, 10404,640,480:waittimer 72250 blt 0,0,640,480,0, 10406,640,480:waittimer 72263 blt 0,0,640,480,0, 10408,640,480:waittimer 72277 blt 0,0,640,480,0, 10410,640,480:waittimer 72291 blt 0,0,640,480,0, 10412,640,480:waittimer 72305 blt 0,0,640,480,0, 10414,640,480:waittimer 72319 blt 0,0,640,480,0, 10416,640,480:waittimer 72333 blt 0,0,640,480,0, 10418,640,480:waittimer 72347 blt 0,0,640,480,0, 10420,640,480:waittimer 72361 blt 0,0,640,480,0, 10422,640,480:waittimer 72375 blt 0,0,640,480,0, 10424,640,480:waittimer 72388 blt 0,0,640,480,0, 10426,640,480:waittimer 72402 blt 0,0,640,480,0, 10428,640,480:waittimer 72416 blt 0,0,640,480,0, 10430,640,480:waittimer 72430 blt 0,0,640,480,0, 10432,640,480:waittimer 72444 blt 0,0,640,480,0, 10434,640,480:waittimer 72458 blt 0,0,640,480,0, 10436,640,480:waittimer 72472 blt 0,0,640,480,0, 10438,640,480:waittimer 72486 blt 0,0,640,480,0, 10440,640,480:waittimer 72500 blt 0,0,640,480,0, 10442,640,480:waittimer 72513 blt 0,0,640,480,0, 10444,640,480:waittimer 72527 blt 0,0,640,480,0, 10446,640,480:waittimer 72541 blt 0,0,640,480,0, 10448,640,480:waittimer 72555 blt 0,0,640,480,0, 10450,640,480:waittimer 72569 blt 0,0,640,480,0, 10452,640,480:waittimer 72583 blt 0,0,640,480,0, 10454,640,480:waittimer 72597 blt 0,0,640,480,0, 10456,640,480:waittimer 72611 blt 0,0,640,480,0, 10458,640,480:waittimer 72625 blt 0,0,640,480,0, 10460,640,480:waittimer 72638 blt 0,0,640,480,0, 10462,640,480:waittimer 72652 blt 0,0,640,480,0, 10464,640,480:waittimer 72666 blt 0,0,640,480,0, 10466,640,480:waittimer 72680 blt 0,0,640,480,0, 10468,640,480:waittimer 72694 blt 0,0,640,480,0, 10470,640,480:waittimer 72708 blt 0,0,640,480,0, 10472,640,480:waittimer 72722 blt 0,0,640,480,0, 10474,640,480:waittimer 72736 blt 0,0,640,480,0, 10476,640,480:waittimer 72750 blt 0,0,640,480,0, 10478,640,480:waittimer 72763 blt 0,0,640,480,0, 10480,640,480:waittimer 72777 blt 0,0,640,480,0, 10482,640,480:waittimer 72791 blt 0,0,640,480,0, 10484,640,480:waittimer 72805 blt 0,0,640,480,0, 10486,640,480:waittimer 72819 blt 0,0,640,480,0, 10488,640,480:waittimer 72833 blt 0,0,640,480,0, 10490,640,480:waittimer 72847 blt 0,0,640,480,0, 10492,640,480:waittimer 72861 blt 0,0,640,480,0, 10494,640,480:waittimer 72875 blt 0,0,640,480,0, 10496,640,480:waittimer 72888 blt 0,0,640,480,0, 10498,640,480:waittimer 72902 blt 0,0,640,480,0, 10500,640,480:waittimer 72916 blt 0,0,640,480,0, 10502,640,480:waittimer 72930 blt 0,0,640,480,0, 10504,640,480:waittimer 72944 blt 0,0,640,480,0, 10506,640,480:waittimer 72958 blt 0,0,640,480,0, 10508,640,480:waittimer 72972 blt 0,0,640,480,0, 10510,640,480:waittimer 72986 blt 0,0,640,480,0, 10512,640,480:waittimer 73000 blt 0,0,640,480,0, 10514,640,480:waittimer 73013 blt 0,0,640,480,0, 10516,640,480:waittimer 73027 blt 0,0,640,480,0, 10518,640,480:waittimer 73041 blt 0,0,640,480,0, 10520,640,480:waittimer 73055 blt 0,0,640,480,0, 10522,640,480:waittimer 73069 blt 0,0,640,480,0, 10524,640,480:waittimer 73083 blt 0,0,640,480,0, 10526,640,480:waittimer 73097 blt 0,0,640,480,0, 10528,640,480:waittimer 73111 blt 0,0,640,480,0, 10530,640,480:waittimer 73125 blt 0,0,640,480,0, 10532,640,480:waittimer 73138 blt 0,0,640,480,0, 10534,640,480:waittimer 73152 blt 0,0,640,480,0, 10536,640,480:waittimer 73166 blt 0,0,640,480,0, 10538,640,480:waittimer 73180 blt 0,0,640,480,0, 10540,640,480:waittimer 73194 blt 0,0,640,480,0, 10542,640,480:waittimer 73208 blt 0,0,640,480,0, 10544,640,480:waittimer 73222 blt 0,0,640,480,0, 10546,640,480:waittimer 73236 blt 0,0,640,480,0, 10548,640,480:waittimer 73250 blt 0,0,640,480,0, 10550,640,480:waittimer 73263 blt 0,0,640,480,0, 10552,640,480:waittimer 73277 blt 0,0,640,480,0, 10554,640,480:waittimer 73291 blt 0,0,640,480,0, 10556,640,480:waittimer 73305 blt 0,0,640,480,0, 10558,640,480:waittimer 73319 blt 0,0,640,480,0, 10560,640,480:waittimer 73333 blt 0,0,640,480,0, 10562,640,480:waittimer 73347 blt 0,0,640,480,0, 10564,640,480:waittimer 73361 blt 0,0,640,480,0, 10566,640,480:waittimer 73375 blt 0,0,640,480,0, 10568,640,480:waittimer 73388 blt 0,0,640,480,0, 10570,640,480:waittimer 73402 blt 0,0,640,480,0, 10572,640,480:waittimer 73416 blt 0,0,640,480,0, 10574,640,480:waittimer 73430 blt 0,0,640,480,0, 10576,640,480:waittimer 73444 blt 0,0,640,480,0, 10578,640,480:waittimer 73458 blt 0,0,640,480,0, 10580,640,480:waittimer 73472 blt 0,0,640,480,0, 10582,640,480:waittimer 73486 blt 0,0,640,480,0, 10584,640,480:waittimer 73500 blt 0,0,640,480,0, 10586,640,480:waittimer 73513 blt 0,0,640,480,0, 10588,640,480:waittimer 73527 blt 0,0,640,480,0, 10590,640,480:waittimer 73541 blt 0,0,640,480,0, 10592,640,480:waittimer 73555 blt 0,0,640,480,0, 10594,640,480:waittimer 73569 blt 0,0,640,480,0, 10596,640,480:waittimer 73583 blt 0,0,640,480,0, 10598,640,480:waittimer 73597 blt 0,0,640,480,0, 10600,640,480:waittimer 73611 blt 0,0,640,480,0, 10602,640,480:waittimer 73625 blt 0,0,640,480,0, 10604,640,480:waittimer 73638 blt 0,0,640,480,0, 10606,640,480:waittimer 73652 blt 0,0,640,480,0, 10608,640,480:waittimer 73666 blt 0,0,640,480,0, 10610,640,480:waittimer 73680 blt 0,0,640,480,0, 10612,640,480:waittimer 73694 blt 0,0,640,480,0, 10614,640,480:waittimer 73708 blt 0,0,640,480,0, 10616,640,480:waittimer 73722 blt 0,0,640,480,0, 10618,640,480:waittimer 73736 blt 0,0,640,480,0, 10620,640,480:waittimer 73750 blt 0,0,640,480,0, 10622,640,480:waittimer 73763 blt 0,0,640,480,0, 10624,640,480:waittimer 73777 blt 0,0,640,480,0, 10626,640,480:waittimer 73791 blt 0,0,640,480,0, 10628,640,480:waittimer 73805 blt 0,0,640,480,0, 10630,640,480:waittimer 73819 blt 0,0,640,480,0, 10632,640,480:waittimer 73833 blt 0,0,640,480,0, 10634,640,480:waittimer 73847 blt 0,0,640,480,0, 10636,640,480:waittimer 73861 blt 0,0,640,480,0, 10638,640,480:waittimer 73875 blt 0,0,640,480,0, 10640,640,480:waittimer 73888 blt 0,0,640,480,0, 10642,640,480:waittimer 73902 blt 0,0,640,480,0, 10644,640,480:waittimer 73916 blt 0,0,640,480,0, 10646,640,480:waittimer 73930 blt 0,0,640,480,0, 10648,640,480:waittimer 73944 blt 0,0,640,480,0, 10650,640,480:waittimer 73958 blt 0,0,640,480,0, 10652,640,480:waittimer 73972 blt 0,0,640,480,0, 10654,640,480:waittimer 73986 blt 0,0,640,480,0, 10656,640,480:waittimer 74000 blt 0,0,640,480,0, 10658,640,480:waittimer 74013 blt 0,0,640,480,0, 10660,640,480:waittimer 74027 blt 0,0,640,480,0, 10662,640,480:waittimer 74041 blt 0,0,640,480,0, 10664,640,480:waittimer 74055 blt 0,0,640,480,0, 10666,640,480:waittimer 74069 blt 0,0,640,480,0, 10668,640,480:waittimer 74083 blt 0,0,640,480,0, 10670,640,480:waittimer 74097 blt 0,0,640,480,0, 10672,640,480:waittimer 74111 blt 0,0,640,480,0, 10674,640,480:waittimer 74125 blt 0,0,640,480,0, 10676,640,480:waittimer 74138 blt 0,0,640,480,0, 10678,640,480:waittimer 74152 blt 0,0,640,480,0, 10680,640,480:waittimer 74166 blt 0,0,640,480,0, 10682,640,480:waittimer 74180 blt 0,0,640,480,0, 10684,640,480:waittimer 74194 blt 0,0,640,480,0, 10686,640,480:waittimer 74208 blt 0,0,640,480,0, 10688,640,480:waittimer 74222 blt 0,0,640,480,0, 10690,640,480:waittimer 74236 blt 0,0,640,480,0, 10692,640,480:waittimer 74250 blt 0,0,640,480,0, 10694,640,480:waittimer 74263 blt 0,0,640,480,0, 10696,640,480:waittimer 74277 blt 0,0,640,480,0, 10698,640,480:waittimer 74291 blt 0,0,640,480,0, 10700,640,480:waittimer 74305 blt 0,0,640,480,0, 10702,640,480:waittimer 74319 blt 0,0,640,480,0, 10704,640,480:waittimer 74333 blt 0,0,640,480,0, 10706,640,480:waittimer 74347 blt 0,0,640,480,0, 10708,640,480:waittimer 74361 blt 0,0,640,480,0, 10710,640,480:waittimer 74375 blt 0,0,640,480,0, 10712,640,480:waittimer 74388 blt 0,0,640,480,0, 10714,640,480:waittimer 74402 blt 0,0,640,480,0, 10716,640,480:waittimer 74416 blt 0,0,640,480,0, 10718,640,480:waittimer 74430 blt 0,0,640,480,0, 10720,640,480:waittimer 74444 blt 0,0,640,480,0, 10722,640,480:waittimer 74458 blt 0,0,640,480,0, 10724,640,480:waittimer 74472 blt 0,0,640,480,0, 10726,640,480:waittimer 74486 blt 0,0,640,480,0, 10728,640,480:waittimer 74500 blt 0,0,640,480,0, 10730,640,480:waittimer 74513 blt 0,0,640,480,0, 10732,640,480:waittimer 74527 blt 0,0,640,480,0, 10734,640,480:waittimer 74541 blt 0,0,640,480,0, 10736,640,480:waittimer 74555 blt 0,0,640,480,0, 10738,640,480:waittimer 74569 blt 0,0,640,480,0, 10740,640,480:waittimer 74583 blt 0,0,640,480,0, 10742,640,480:waittimer 74597 blt 0,0,640,480,0, 10744,640,480:waittimer 74611 blt 0,0,640,480,0, 10746,640,480:waittimer 74625 blt 0,0,640,480,0, 10748,640,480:waittimer 74638 blt 0,0,640,480,0, 10750,640,480:waittimer 74652 blt 0,0,640,480,0, 10752,640,480:waittimer 74666 blt 0,0,640,480,0, 10754,640,480:waittimer 74680 blt 0,0,640,480,0, 10756,640,480:waittimer 74694 blt 0,0,640,480,0, 10758,640,480:waittimer 74708 blt 0,0,640,480,0, 10760,640,480:waittimer 74722 blt 0,0,640,480,0, 10762,640,480:waittimer 74736 blt 0,0,640,480,0, 10764,640,480:waittimer 74750 blt 0,0,640,480,0, 10766,640,480:waittimer 74763 blt 0,0,640,480,0, 10768,640,480:waittimer 74777 blt 0,0,640,480,0, 10770,640,480:waittimer 74791 blt 0,0,640,480,0, 10772,640,480:waittimer 74805 blt 0,0,640,480,0, 10774,640,480:waittimer 74819 blt 0,0,640,480,0, 10776,640,480:waittimer 74833 blt 0,0,640,480,0, 10778,640,480:waittimer 74847 blt 0,0,640,480,0, 10780,640,480:waittimer 74861 blt 0,0,640,480,0, 10782,640,480:waittimer 74875 blt 0,0,640,480,0, 10784,640,480:waittimer 74888 blt 0,0,640,480,0, 10786,640,480:waittimer 74902 blt 0,0,640,480,0, 10788,640,480:waittimer 74916 blt 0,0,640,480,0, 10790,640,480:waittimer 74930 blt 0,0,640,480,0, 10792,640,480:waittimer 74944 blt 0,0,640,480,0, 10794,640,480:waittimer 74958 blt 0,0,640,480,0, 10796,640,480:waittimer 74972 blt 0,0,640,480,0, 10798,640,480:waittimer 74986 blt 0,0,640,480,0, 10800,640,480:waittimer 75000 blt 0,0,640,480,0, 10802,640,480:waittimer 75013 blt 0,0,640,480,0, 10804,640,480:waittimer 75027 blt 0,0,640,480,0, 10806,640,480:waittimer 75041 blt 0,0,640,480,0, 10808,640,480:waittimer 75055 blt 0,0,640,480,0, 10810,640,480:waittimer 75069 blt 0,0,640,480,0, 10812,640,480:waittimer 75083 blt 0,0,640,480,0, 10814,640,480:waittimer 75097 blt 0,0,640,480,0, 10816,640,480:waittimer 75111 blt 0,0,640,480,0, 10818,640,480:waittimer 75125 blt 0,0,640,480,0, 10820,640,480:waittimer 75138 blt 0,0,640,480,0, 10822,640,480:waittimer 75152 blt 0,0,640,480,0, 10824,640,480:waittimer 75166 blt 0,0,640,480,0, 10826,640,480:waittimer 75180 blt 0,0,640,480,0, 10828,640,480:waittimer 75194 blt 0,0,640,480,0, 10830,640,480:waittimer 75208 blt 0,0,640,480,0, 10832,640,480:waittimer 75222 blt 0,0,640,480,0, 10834,640,480:waittimer 75236 blt 0,0,640,480,0, 10836,640,480:waittimer 75250 blt 0,0,640,480,0, 10838,640,480:waittimer 75263 blt 0,0,640,480,0, 10840,640,480:waittimer 75277 blt 0,0,640,480,0, 10842,640,480:waittimer 75291 blt 0,0,640,480,0, 10844,640,480:waittimer 75305 blt 0,0,640,480,0, 10846,640,480:waittimer 75319 blt 0,0,640,480,0, 10848,640,480:waittimer 75333 blt 0,0,640,480,0, 10850,640,480:waittimer 75347 blt 0,0,640,480,0, 10852,640,480:waittimer 75361 blt 0,0,640,480,0, 10854,640,480:waittimer 75375 blt 0,0,640,480,0, 10856,640,480:waittimer 75388 blt 0,0,640,480,0, 10858,640,480:waittimer 75402 blt 0,0,640,480,0, 10860,640,480:waittimer 75416 blt 0,0,640,480,0, 10862,640,480:waittimer 75430 blt 0,0,640,480,0, 10864,640,480:waittimer 75444 blt 0,0,640,480,0, 10866,640,480:waittimer 75458 blt 0,0,640,480,0, 10868,640,480:waittimer 75472 blt 0,0,640,480,0, 10870,640,480:waittimer 75486 blt 0,0,640,480,0, 10872,640,480:waittimer 75500 blt 0,0,640,480,0, 10874,640,480:waittimer 75513 blt 0,0,640,480,0, 10876,640,480:waittimer 75527 blt 0,0,640,480,0, 10878,640,480:waittimer 75541 blt 0,0,640,480,0, 10880,640,480:waittimer 75555 blt 0,0,640,480,0, 10882,640,480:waittimer 75569 blt 0,0,640,480,0, 10884,640,480:waittimer 75583 blt 0,0,640,480,0, 10886,640,480:waittimer 75597 blt 0,0,640,480,0, 10888,640,480:waittimer 75611 blt 0,0,640,480,0, 10890,640,480:waittimer 75625 blt 0,0,640,480,0, 10892,640,480:waittimer 75638 blt 0,0,640,480,0, 10894,640,480:waittimer 75652 blt 0,0,640,480,0, 10896,640,480:waittimer 75666 blt 0,0,640,480,0, 10898,640,480:waittimer 75680 blt 0,0,640,480,0, 10900,640,480:waittimer 75694 blt 0,0,640,480,0, 10902,640,480:waittimer 75708 blt 0,0,640,480,0, 10904,640,480:waittimer 75722 blt 0,0,640,480,0, 10906,640,480:waittimer 75736 blt 0,0,640,480,0, 10908,640,480:waittimer 75750 blt 0,0,640,480,0, 10910,640,480:waittimer 75763 blt 0,0,640,480,0, 10912,640,480:waittimer 75777 blt 0,0,640,480,0, 10914,640,480:waittimer 75791 blt 0,0,640,480,0, 10916,640,480:waittimer 75805 blt 0,0,640,480,0, 10918,640,480:waittimer 75819 blt 0,0,640,480,0, 10920,640,480:waittimer 75833 blt 0,0,640,480,0, 10922,640,480:waittimer 75847 blt 0,0,640,480,0, 10924,640,480:waittimer 75861 blt 0,0,640,480,0, 10926,640,480:waittimer 75875 blt 0,0,640,480,0, 10928,640,480:waittimer 75888 blt 0,0,640,480,0, 10930,640,480:waittimer 75902 blt 0,0,640,480,0, 10932,640,480:waittimer 75916 blt 0,0,640,480,0, 10934,640,480:waittimer 75930 blt 0,0,640,480,0, 10936,640,480:waittimer 75944 blt 0,0,640,480,0, 10938,640,480:waittimer 75958 blt 0,0,640,480,0, 10940,640,480:waittimer 75972 blt 0,0,640,480,0, 10942,640,480:waittimer 75986 blt 0,0,640,480,0, 10944,640,480:waittimer 76000 blt 0,0,640,480,0, 10946,640,480:waittimer 76013 blt 0,0,640,480,0, 10948,640,480:waittimer 76027 blt 0,0,640,480,0, 10950,640,480:waittimer 76041 blt 0,0,640,480,0, 10952,640,480:waittimer 76055 blt 0,0,640,480,0, 10954,640,480:waittimer 76069 blt 0,0,640,480,0, 10956,640,480:waittimer 76083 blt 0,0,640,480,0, 10958,640,480:waittimer 76097 blt 0,0,640,480,0, 10960,640,480:waittimer 76111 blt 0,0,640,480,0, 10962,640,480:waittimer 76125 blt 0,0,640,480,0, 10964,640,480:waittimer 76138 blt 0,0,640,480,0, 10966,640,480:waittimer 76152 blt 0,0,640,480,0, 10968,640,480:waittimer 76166 blt 0,0,640,480,0, 10970,640,480:waittimer 76180 blt 0,0,640,480,0, 10972,640,480:waittimer 76194 blt 0,0,640,480,0, 10974,640,480:waittimer 76208 blt 0,0,640,480,0, 10976,640,480:waittimer 76222 blt 0,0,640,480,0, 10978,640,480:waittimer 76236 blt 0,0,640,480,0, 10980,640,480:waittimer 76250 blt 0,0,640,480,0, 10982,640,480:waittimer 76263 blt 0,0,640,480,0, 10984,640,480:waittimer 76277 blt 0,0,640,480,0, 10986,640,480:waittimer 76291 blt 0,0,640,480,0, 10988,640,480:waittimer 76305 blt 0,0,640,480,0, 10990,640,480:waittimer 76319 blt 0,0,640,480,0, 10992,640,480:waittimer 76333 blt 0,0,640,480,0, 10994,640,480:waittimer 76347 blt 0,0,640,480,0, 10996,640,480:waittimer 76361 blt 0,0,640,480,0, 10998,640,480:waittimer 76375 blt 0,0,640,480,0, 11000,640,480:waittimer 76388 blt 0,0,640,480,0, 11002,640,480:waittimer 76402 blt 0,0,640,480,0, 11004,640,480:waittimer 76416 blt 0,0,640,480,0, 11006,640,480:waittimer 76430 blt 0,0,640,480,0, 11008,640,480:waittimer 76444 blt 0,0,640,480,0, 11010,640,480:waittimer 76458 blt 0,0,640,480,0, 11012,640,480:waittimer 76472 blt 0,0,640,480,0, 11014,640,480:waittimer 76486 blt 0,0,640,480,0, 11016,640,480:waittimer 76500 blt 0,0,640,480,0, 11018,640,480:waittimer 76513 blt 0,0,640,480,0, 11020,640,480:waittimer 76527 blt 0,0,640,480,0, 11022,640,480:waittimer 76541 blt 0,0,640,480,0, 11024,640,480:waittimer 76555 blt 0,0,640,480,0, 11026,640,480:waittimer 76569 blt 0,0,640,480,0, 11028,640,480:waittimer 76583 blt 0,0,640,480,0, 11030,640,480:waittimer 76597 blt 0,0,640,480,0, 11032,640,480:waittimer 76611 blt 0,0,640,480,0, 11034,640,480:waittimer 76625 blt 0,0,640,480,0, 11036,640,480:waittimer 76638 blt 0,0,640,480,0, 11038,640,480:waittimer 76652 blt 0,0,640,480,0, 11040,640,480:waittimer 76666 blt 0,0,640,480,0, 11042,640,480:waittimer 76680 blt 0,0,640,480,0, 11044,640,480:waittimer 76694 blt 0,0,640,480,0, 11046,640,480:waittimer 76708 blt 0,0,640,480,0, 11048,640,480:waittimer 76722 blt 0,0,640,480,0, 11050,640,480:waittimer 76736 blt 0,0,640,480,0, 11052,640,480:waittimer 76750 blt 0,0,640,480,0, 11054,640,480:waittimer 76763 blt 0,0,640,480,0, 11056,640,480:waittimer 76777 blt 0,0,640,480,0, 11058,640,480:waittimer 76791 blt 0,0,640,480,0, 11060,640,480:waittimer 76805 blt 0,0,640,480,0, 11062,640,480:waittimer 76819 blt 0,0,640,480,0, 11064,640,480:waittimer 76833 blt 0,0,640,480,0, 11066,640,480:waittimer 76847 blt 0,0,640,480,0, 11068,640,480:waittimer 76861 blt 0,0,640,480,0, 11070,640,480:waittimer 76875 blt 0,0,640,480,0, 11072,640,480:waittimer 76888 blt 0,0,640,480,0, 11074,640,480:waittimer 76902 blt 0,0,640,480,0, 11076,640,480:waittimer 76916 blt 0,0,640,480,0, 11078,640,480:waittimer 76930 blt 0,0,640,480,0, 11080,640,480:waittimer 76944 blt 0,0,640,480,0, 11082,640,480:waittimer 76958 blt 0,0,640,480,0, 11084,640,480:waittimer 76972 blt 0,0,640,480,0, 11086,640,480:waittimer 76986 blt 0,0,640,480,0, 11088,640,480:waittimer 77000 blt 0,0,640,480,0, 11090,640,480:waittimer 77013 blt 0,0,640,480,0, 11092,640,480:waittimer 77027 blt 0,0,640,480,0, 11094,640,480:waittimer 77041 blt 0,0,640,480,0, 11096,640,480:waittimer 77055 blt 0,0,640,480,0, 11098,640,480:waittimer 77069 blt 0,0,640,480,0, 11100,640,480:waittimer 77083 blt 0,0,640,480,0, 11102,640,480:waittimer 77097 blt 0,0,640,480,0, 11104,640,480:waittimer 77111 blt 0,0,640,480,0, 11106,640,480:waittimer 77125 blt 0,0,640,480,0, 11108,640,480:waittimer 77138 blt 0,0,640,480,0, 11110,640,480:waittimer 77152 blt 0,0,640,480,0, 11112,640,480:waittimer 77166 blt 0,0,640,480,0, 11114,640,480:waittimer 77180 blt 0,0,640,480,0, 11116,640,480:waittimer 77194 blt 0,0,640,480,0, 11118,640,480:waittimer 77208 blt 0,0,640,480,0, 11120,640,480:waittimer 77222 blt 0,0,640,480,0, 11122,640,480:waittimer 77236 blt 0,0,640,480,0, 11124,640,480:waittimer 77250 blt 0,0,640,480,0, 11126,640,480:waittimer 77263 blt 0,0,640,480,0, 11128,640,480:waittimer 77277 blt 0,0,640,480,0, 11130,640,480:waittimer 77291 blt 0,0,640,480,0, 11132,640,480:waittimer 77305 blt 0,0,640,480,0, 11134,640,480:waittimer 77319 blt 0,0,640,480,0, 11136,640,480:waittimer 77333 blt 0,0,640,480,0, 11138,640,480:waittimer 77347 blt 0,0,640,480,0, 11140,640,480:waittimer 77361 blt 0,0,640,480,0, 11142,640,480:waittimer 77375 blt 0,0,640,480,0, 11144,640,480:waittimer 77388 blt 0,0,640,480,0, 11146,640,480:waittimer 77402 blt 0,0,640,480,0, 11148,640,480:waittimer 77416 blt 0,0,640,480,0, 11150,640,480:waittimer 77430 blt 0,0,640,480,0, 11152,640,480:waittimer 77444 blt 0,0,640,480,0, 11154,640,480:waittimer 77458 blt 0,0,640,480,0, 11156,640,480:waittimer 77472 blt 0,0,640,480,0, 11158,640,480:waittimer 77486 blt 0,0,640,480,0, 11160,640,480:waittimer 77500 blt 0,0,640,480,0, 11162,640,480:waittimer 77513 blt 0,0,640,480,0, 11164,640,480:waittimer 77527 blt 0,0,640,480,0, 11166,640,480:waittimer 77541 blt 0,0,640,480,0, 11168,640,480:waittimer 77555 blt 0,0,640,480,0, 11170,640,480:waittimer 77569 blt 0,0,640,480,0, 11172,640,480:waittimer 77583 blt 0,0,640,480,0, 11174,640,480:waittimer 77597 blt 0,0,640,480,0, 11176,640,480:waittimer 77611 blt 0,0,640,480,0, 11178,640,480:waittimer 77625 blt 0,0,640,480,0, 11180,640,480:waittimer 77638 blt 0,0,640,480,0, 11182,640,480:waittimer 77652 blt 0,0,640,480,0, 11184,640,480:waittimer 77666 blt 0,0,640,480,0, 11186,640,480:waittimer 77680 blt 0,0,640,480,0, 11188,640,480:waittimer 77694 blt 0,0,640,480,0, 11190,640,480:waittimer 77708 blt 0,0,640,480,0, 11192,640,480:waittimer 77722 blt 0,0,640,480,0, 11194,640,480:waittimer 77736 blt 0,0,640,480,0, 11196,640,480:waittimer 77750 blt 0,0,640,480,0, 11198,640,480:waittimer 77763 blt 0,0,640,480,0, 11200,640,480:waittimer 77777 blt 0,0,640,480,0, 11202,640,480:waittimer 77791 blt 0,0,640,480,0, 11204,640,480:waittimer 77805 blt 0,0,640,480,0, 11206,640,480:waittimer 77819 blt 0,0,640,480,0, 11208,640,480:waittimer 77833 blt 0,0,640,480,0, 11210,640,480:waittimer 77847 blt 0,0,640,480,0, 11212,640,480:waittimer 77861 blt 0,0,640,480,0, 11214,640,480:waittimer 77875 blt 0,0,640,480,0, 11216,640,480:waittimer 77888 blt 0,0,640,480,0, 11218,640,480:waittimer 77902 blt 0,0,640,480,0, 11220,640,480:waittimer 77916 blt 0,0,640,480,0, 11222,640,480:waittimer 77930 blt 0,0,640,480,0, 11224,640,480:waittimer 77944 blt 0,0,640,480,0, 11226,640,480:waittimer 77958 blt 0,0,640,480,0, 11228,640,480:waittimer 77972 blt 0,0,640,480,0, 11230,640,480:waittimer 77986 blt 0,0,640,480,0, 11232,640,480:waittimer 78000 blt 0,0,640,480,0, 11234,640,480:waittimer 78013 blt 0,0,640,480,0, 11236,640,480:waittimer 78027 blt 0,0,640,480,0, 11238,640,480:waittimer 78041 blt 0,0,640,480,0, 11240,640,480:waittimer 78055 blt 0,0,640,480,0, 11242,640,480:waittimer 78069 blt 0,0,640,480,0, 11244,640,480:waittimer 78083 blt 0,0,640,480,0, 11246,640,480:waittimer 78097 blt 0,0,640,480,0, 11248,640,480:waittimer 78111 blt 0,0,640,480,0, 11250,640,480:waittimer 78125 blt 0,0,640,480,0, 11252,640,480:waittimer 78138 blt 0,0,640,480,0, 11254,640,480:waittimer 78152 blt 0,0,640,480,0, 11256,640,480:waittimer 78166 blt 0,0,640,480,0, 11258,640,480:waittimer 78180 blt 0,0,640,480,0, 11260,640,480:waittimer 78194 blt 0,0,640,480,0, 11262,640,480:waittimer 78208 blt 0,0,640,480,0, 11264,640,480:waittimer 78222 blt 0,0,640,480,0, 11266,640,480:waittimer 78236 blt 0,0,640,480,0, 11268,640,480:waittimer 78250 blt 0,0,640,480,0, 11270,640,480:waittimer 78263 blt 0,0,640,480,0, 11272,640,480:waittimer 78277 blt 0,0,640,480,0, 11274,640,480:waittimer 78291 blt 0,0,640,480,0, 11276,640,480:waittimer 78305 blt 0,0,640,480,0, 11278,640,480:waittimer 78319 blt 0,0,640,480,0, 11280,640,480:waittimer 78333 blt 0,0,640,480,0, 11282,640,480:waittimer 78347 blt 0,0,640,480,0, 11284,640,480:waittimer 78361 blt 0,0,640,480,0, 11286,640,480:waittimer 78375 blt 0,0,640,480,0, 11288,640,480:waittimer 78388 blt 0,0,640,480,0, 11290,640,480:waittimer 78402 blt 0,0,640,480,0, 11292,640,480:waittimer 78416 blt 0,0,640,480,0, 11294,640,480:waittimer 78430 blt 0,0,640,480,0, 11296,640,480:waittimer 78444 blt 0,0,640,480,0, 11298,640,480:waittimer 78458 blt 0,0,640,480,0, 11300,640,480:waittimer 78472 blt 0,0,640,480,0, 11302,640,480:waittimer 78486 blt 0,0,640,480,0, 11304,640,480:waittimer 78500 blt 0,0,640,480,0, 11306,640,480:waittimer 78513 blt 0,0,640,480,0, 11308,640,480:waittimer 78527 blt 0,0,640,480,0, 11310,640,480:waittimer 78541 blt 0,0,640,480,0, 11312,640,480:waittimer 78555 blt 0,0,640,480,0, 11314,640,480:waittimer 78569 blt 0,0,640,480,0, 11316,640,480:waittimer 78583 blt 0,0,640,480,0, 11318,640,480:waittimer 78597 blt 0,0,640,480,0, 11320,640,480:waittimer 78611 blt 0,0,640,480,0, 11322,640,480:waittimer 78625 blt 0,0,640,480,0, 11324,640,480:waittimer 78638 blt 0,0,640,480,0, 11326,640,480:waittimer 78652 blt 0,0,640,480,0, 11328,640,480:waittimer 78666 blt 0,0,640,480,0, 11330,640,480:waittimer 78680 blt 0,0,640,480,0, 11332,640,480:waittimer 78694 blt 0,0,640,480,0, 11334,640,480:waittimer 78708 blt 0,0,640,480,0, 11336,640,480:waittimer 78722 blt 0,0,640,480,0, 11338,640,480:waittimer 78736 blt 0,0,640,480,0, 11340,640,480:waittimer 78750 blt 0,0,640,480,0, 11342,640,480:waittimer 78763 blt 0,0,640,480,0, 11344,640,480:waittimer 78777 blt 0,0,640,480,0, 11346,640,480:waittimer 78791 blt 0,0,640,480,0, 11348,640,480:waittimer 78805 blt 0,0,640,480,0, 11350,640,480:waittimer 78819 blt 0,0,640,480,0, 11352,640,480:waittimer 78833 blt 0,0,640,480,0, 11354,640,480:waittimer 78847 blt 0,0,640,480,0, 11356,640,480:waittimer 78861 blt 0,0,640,480,0, 11358,640,480:waittimer 78875 blt 0,0,640,480,0, 11360,640,480:waittimer 78888 blt 0,0,640,480,0, 11362,640,480:waittimer 78902 blt 0,0,640,480,0, 11364,640,480:waittimer 78916 blt 0,0,640,480,0, 11366,640,480:waittimer 78930 blt 0,0,640,480,0, 11368,640,480:waittimer 78944 blt 0,0,640,480,0, 11370,640,480:waittimer 78958 blt 0,0,640,480,0, 11372,640,480:waittimer 78972 blt 0,0,640,480,0, 11374,640,480:waittimer 78986 blt 0,0,640,480,0, 11376,640,480:waittimer 79000 blt 0,0,640,480,0, 11378,640,480:waittimer 79013 blt 0,0,640,480,0, 11380,640,480:waittimer 79027 blt 0,0,640,480,0, 11382,640,480:waittimer 79041 blt 0,0,640,480,0, 11384,640,480:waittimer 79055 blt 0,0,640,480,0, 11386,640,480:waittimer 79069 blt 0,0,640,480,0, 11388,640,480:waittimer 79083 blt 0,0,640,480,0, 11390,640,480:waittimer 79097 blt 0,0,640,480,0, 11392,640,480:waittimer 79111 blt 0,0,640,480,0, 11394,640,480:waittimer 79125 blt 0,0,640,480,0, 11396,640,480:waittimer 79138 blt 0,0,640,480,0, 11398,640,480:waittimer 79152 blt 0,0,640,480,0, 11400,640,480:waittimer 79166 blt 0,0,640,480,0, 11402,640,480:waittimer 79180 blt 0,0,640,480,0, 11404,640,480:waittimer 79194 blt 0,0,640,480,0, 11406,640,480:waittimer 79208 blt 0,0,640,480,0, 11408,640,480:waittimer 79222 blt 0,0,640,480,0, 11410,640,480:waittimer 79236 blt 0,0,640,480,0, 11412,640,480:waittimer 79250 blt 0,0,640,480,0, 11414,640,480:waittimer 79263 blt 0,0,640,480,0, 11416,640,480:waittimer 79277 blt 0,0,640,480,0, 11418,640,480:waittimer 79291 blt 0,0,640,480,0, 11420,640,480:waittimer 79305 blt 0,0,640,480,0, 11422,640,480:waittimer 79319 blt 0,0,640,480,0, 11424,640,480:waittimer 79333 blt 0,0,640,480,0, 11426,640,480:waittimer 79347 blt 0,0,640,480,0, 11428,640,480:waittimer 79361 blt 0,0,640,480,0, 11430,640,480:waittimer 79375 blt 0,0,640,480,0, 11432,640,480:waittimer 79388 blt 0,0,640,480,0, 11434,640,480:waittimer 79402 blt 0,0,640,480,0, 11436,640,480:waittimer 79416 blt 0,0,640,480,0, 11438,640,480:waittimer 79430 blt 0,0,640,480,0, 11440,640,480:waittimer 79444 blt 0,0,640,480,0, 11442,640,480:waittimer 79458 blt 0,0,640,480,0, 11444,640,480:waittimer 79472 blt 0,0,640,480,0, 11446,640,480:waittimer 79486 blt 0,0,640,480,0, 11448,640,480:waittimer 79500 blt 0,0,640,480,0, 11450,640,480:waittimer 79513 blt 0,0,640,480,0, 11452,640,480:waittimer 79527 blt 0,0,640,480,0, 11454,640,480:waittimer 79541 blt 0,0,640,480,0, 11456,640,480:waittimer 79555 blt 0,0,640,480,0, 11458,640,480:waittimer 79569 blt 0,0,640,480,0, 11460,640,480:waittimer 79583 blt 0,0,640,480,0, 11462,640,480:waittimer 79597 blt 0,0,640,480,0, 11464,640,480:waittimer 79611 blt 0,0,640,480,0, 11466,640,480:waittimer 79625 blt 0,0,640,480,0, 11468,640,480:waittimer 79638 blt 0,0,640,480,0, 11470,640,480:waittimer 79652 blt 0,0,640,480,0, 11472,640,480:waittimer 79666 blt 0,0,640,480,0, 11474,640,480:waittimer 79680 blt 0,0,640,480,0, 11476,640,480:waittimer 79694 blt 0,0,640,480,0, 11478,640,480:waittimer 79708 blt 0,0,640,480,0, 11480,640,480:waittimer 79722 blt 0,0,640,480,0, 11482,640,480:waittimer 79736 blt 0,0,640,480,0, 11484,640,480:waittimer 79750 blt 0,0,640,480,0, 11486,640,480:waittimer 79763 blt 0,0,640,480,0, 11488,640,480:waittimer 79777 blt 0,0,640,480,0, 11490,640,480:waittimer 79791 blt 0,0,640,480,0, 11492,640,480:waittimer 79805 blt 0,0,640,480,0, 11494,640,480:waittimer 79819 blt 0,0,640,480,0, 11496,640,480:waittimer 79833 blt 0,0,640,480,0, 11498,640,480:waittimer 79847 blt 0,0,640,480,0, 11500,640,480:waittimer 79861 blt 0,0,640,480,0, 11502,640,480:waittimer 79875 blt 0,0,640,480,0, 11504,640,480:waittimer 79888 blt 0,0,640,480,0, 11506,640,480:waittimer 79902 blt 0,0,640,480,0, 11508,640,480:waittimer 79916 blt 0,0,640,480,0, 11510,640,480:waittimer 79930 blt 0,0,640,480,0, 11512,640,480:waittimer 79944 blt 0,0,640,480,0, 11514,640,480:waittimer 79958 blt 0,0,640,480,0, 11516,640,480:waittimer 79972 blt 0,0,640,480,0, 11518,640,480:waittimer 79986 blt 0,0,640,480,0, 11520,640,480:waittimer 80000 saveon return *ep8c_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 19 blt 0,0,640,480,0, 4,640,480:waittimer 38 blt 0,0,640,480,0, 6,640,480:waittimer 58 blt 0,0,640,480,0, 8,640,480:waittimer 77 blt 0,0,640,480,0, 10,640,480:waittimer 96 blt 0,0,640,480,0, 12,640,480:waittimer 116 blt 0,0,640,480,0, 14,640,480:waittimer 135 blt 0,0,640,480,0, 16,640,480:waittimer 155 blt 0,0,640,480,0, 18,640,480:waittimer 174 blt 0,0,640,480,0, 20,640,480:waittimer 193 blt 0,0,640,480,0, 22,640,480:waittimer 213 blt 0,0,640,480,0, 24,640,480:waittimer 232 blt 0,0,640,480,0, 26,640,480:waittimer 252 blt 0,0,640,480,0, 28,640,480:waittimer 271 blt 0,0,640,480,0, 30,640,480:waittimer 290 blt 0,0,640,480,0, 32,640,480:waittimer 310 blt 0,0,640,480,0, 34,640,480:waittimer 329 blt 0,0,640,480,0, 36,640,480:waittimer 349 blt 0,0,640,480,0, 38,640,480:waittimer 368 blt 0,0,640,480,0, 40,640,480:waittimer 387 blt 0,0,640,480,0, 42,640,480:waittimer 407 blt 0,0,640,480,0, 44,640,480:waittimer 426 blt 0,0,640,480,0, 46,640,480:waittimer 445 blt 0,0,640,480,0, 48,640,480:waittimer 465 blt 0,0,640,480,0, 50,640,480:waittimer 484 blt 0,0,640,480,0, 52,640,480:waittimer 504 blt 0,0,640,480,0, 54,640,480:waittimer 523 blt 0,0,640,480,0, 56,640,480:waittimer 542 blt 0,0,640,480,0, 58,640,480:waittimer 562 blt 0,0,640,480,0, 60,640,480:waittimer 581 blt 0,0,640,480,0, 62,640,480:waittimer 601 blt 0,0,640,480,0, 64,640,480:waittimer 620 blt 0,0,640,480,0, 66,640,480:waittimer 639 blt 0,0,640,480,0, 68,640,480:waittimer 659 blt 0,0,640,480,0, 70,640,480:waittimer 678 blt 0,0,640,480,0, 72,640,480:waittimer 698 blt 0,0,640,480,0, 74,640,480:waittimer 717 blt 0,0,640,480,0, 76,640,480:waittimer 736 blt 0,0,640,480,0, 78,640,480:waittimer 756 blt 0,0,640,480,0, 80,640,480:waittimer 775 blt 0,0,640,480,0, 82,640,480:waittimer 794 blt 0,0,640,480,0, 84,640,480:waittimer 814 blt 0,0,640,480,0, 86,640,480:waittimer 833 blt 0,0,640,480,0, 88,640,480:waittimer 853 blt 0,0,640,480,0, 90,640,480:waittimer 872 blt 0,0,640,480,0, 92,640,480:waittimer 891 blt 0,0,640,480,0, 94,640,480:waittimer 911 blt 0,0,640,480,0, 96,640,480:waittimer 930 blt 0,0,640,480,0, 98,640,480:waittimer 950 blt 0,0,640,480,0, 100,640,480:waittimer 969 blt 0,0,640,480,0, 102,640,480:waittimer 988 blt 0,0,640,480,0, 104,640,480:waittimer 1008 blt 0,0,640,480,0, 106,640,480:waittimer 1027 blt 0,0,640,480,0, 108,640,480:waittimer 1047 blt 0,0,640,480,0, 110,640,480:waittimer 1066 blt 0,0,640,480,0, 112,640,480:waittimer 1085 blt 0,0,640,480,0, 114,640,480:waittimer 1105 blt 0,0,640,480,0, 116,640,480:waittimer 1124 blt 0,0,640,480,0, 118,640,480:waittimer 1143 blt 0,0,640,480,0, 120,640,480:waittimer 1163 blt 0,0,640,480,0, 122,640,480:waittimer 1182 blt 0,0,640,480,0, 124,640,480:waittimer 1202 blt 0,0,640,480,0, 126,640,480:waittimer 1221 blt 0,0,640,480,0, 128,640,480:waittimer 1240 blt 0,0,640,480,0, 130,640,480:waittimer 1260 blt 0,0,640,480,0, 132,640,480:waittimer 1279 blt 0,0,640,480,0, 134,640,480:waittimer 1299 blt 0,0,640,480,0, 136,640,480:waittimer 1318 blt 0,0,640,480,0, 138,640,480:waittimer 1337 blt 0,0,640,480,0, 140,640,480:waittimer 1357 blt 0,0,640,480,0, 142,640,480:waittimer 1376 blt 0,0,640,480,0, 144,640,480:waittimer 1396 blt 0,0,640,480,0, 146,640,480:waittimer 1415 blt 0,0,640,480,0, 148,640,480:waittimer 1434 blt 0,0,640,480,0, 150,640,480:waittimer 1454 blt 0,0,640,480,0, 152,640,480:waittimer 1473 blt 0,0,640,480,0, 154,640,480:waittimer 1492 blt 0,0,640,480,0, 156,640,480:waittimer 1512 blt 0,0,640,480,0, 158,640,480:waittimer 1531 blt 0,0,640,480,0, 160,640,480:waittimer 1551 blt 0,0,640,480,0, 162,640,480:waittimer 1570 blt 0,0,640,480,0, 164,640,480:waittimer 1589 blt 0,0,640,480,0, 166,640,480:waittimer 1609 blt 0,0,640,480,0, 168,640,480:waittimer 1628 blt 0,0,640,480,0, 170,640,480:waittimer 1648 blt 0,0,640,480,0, 172,640,480:waittimer 1667 blt 0,0,640,480,0, 174,640,480:waittimer 1686 blt 0,0,640,480,0, 176,640,480:waittimer 1706 blt 0,0,640,480,0, 178,640,480:waittimer 1725 blt 0,0,640,480,0, 180,640,480:waittimer 1745 blt 0,0,640,480,0, 182,640,480:waittimer 1764 blt 0,0,640,480,0, 184,640,480:waittimer 1783 blt 0,0,640,480,0, 186,640,480:waittimer 1803 blt 0,0,640,480,0, 188,640,480:waittimer 1822 blt 0,0,640,480,0, 190,640,480:waittimer 1841 blt 0,0,640,480,0, 192,640,480:waittimer 1861 blt 0,0,640,480,0, 194,640,480:waittimer 1880 blt 0,0,640,480,0, 196,640,480:waittimer 1900 blt 0,0,640,480,0, 198,640,480:waittimer 1919 blt 0,0,640,480,0, 200,640,480:waittimer 1938 blt 0,0,640,480,0, 202,640,480:waittimer 1958 blt 0,0,640,480,0, 204,640,480:waittimer 1977 blt 0,0,640,480,0, 206,640,480:waittimer 1997 blt 0,0,640,480,0, 208,640,480:waittimer 2016 blt 0,0,640,480,0, 210,640,480:waittimer 2035 blt 0,0,640,480,0, 212,640,480:waittimer 2055 blt 0,0,640,480,0, 214,640,480:waittimer 2074 blt 0,0,640,480,0, 216,640,480:waittimer 2094 blt 0,0,640,480,0, 218,640,480:waittimer 2113 blt 0,0,640,480,0, 220,640,480:waittimer 2132 blt 0,0,640,480,0, 222,640,480:waittimer 2152 blt 0,0,640,480,0, 224,640,480:waittimer 2171 blt 0,0,640,480,0, 226,640,480:waittimer 2190 blt 0,0,640,480,0, 228,640,480:waittimer 2210 blt 0,0,640,480,0, 230,640,480:waittimer 2229 blt 0,0,640,480,0, 232,640,480:waittimer 2249 blt 0,0,640,480,0, 234,640,480:waittimer 2268 blt 0,0,640,480,0, 236,640,480:waittimer 2287 blt 0,0,640,480,0, 238,640,480:waittimer 2307 blt 0,0,640,480,0, 240,640,480:waittimer 2326 blt 0,0,640,480,0, 242,640,480:waittimer 2346 blt 0,0,640,480,0, 244,640,480:waittimer 2365 blt 0,0,640,480,0, 246,640,480:waittimer 2384 blt 0,0,640,480,0, 248,640,480:waittimer 2404 blt 0,0,640,480,0, 250,640,480:waittimer 2423 blt 0,0,640,480,0, 252,640,480:waittimer 2443 blt 0,0,640,480,0, 254,640,480:waittimer 2462 blt 0,0,640,480,0, 256,640,480:waittimer 2481 blt 0,0,640,480,0, 258,640,480:waittimer 2501 blt 0,0,640,480,0, 260,640,480:waittimer 2520 blt 0,0,640,480,0, 262,640,480:waittimer 2539 blt 0,0,640,480,0, 264,640,480:waittimer 2559 blt 0,0,640,480,0, 266,640,480:waittimer 2578 blt 0,0,640,480,0, 268,640,480:waittimer 2598 blt 0,0,640,480,0, 270,640,480:waittimer 2617 blt 0,0,640,480,0, 272,640,480:waittimer 2636 blt 0,0,640,480,0, 274,640,480:waittimer 2656 blt 0,0,640,480,0, 276,640,480:waittimer 2675 blt 0,0,640,480,0, 278,640,480:waittimer 2695 blt 0,0,640,480,0, 280,640,480:waittimer 2714 blt 0,0,640,480,0, 282,640,480:waittimer 2733 blt 0,0,640,480,0, 284,640,480:waittimer 2753 blt 0,0,640,480,0, 286,640,480:waittimer 2772 blt 0,0,640,480,0, 288,640,480:waittimer 2792 blt 0,0,640,480,0, 290,640,480:waittimer 2811 blt 0,0,640,480,0, 292,640,480:waittimer 2830 blt 0,0,640,480,0, 294,640,480:waittimer 2850 blt 0,0,640,480,0, 296,640,480:waittimer 2869 blt 0,0,640,480,0, 298,640,480:waittimer 2888 blt 0,0,640,480,0, 300,640,480:waittimer 2908 blt 0,0,640,480,0, 302,640,480:waittimer 2927 blt 0,0,640,480,0, 304,640,480:waittimer 2947 blt 0,0,640,480,0, 306,640,480:waittimer 2966 blt 0,0,640,480,0, 308,640,480:waittimer 2985 blt 0,0,640,480,0, 310,640,480:waittimer 3005 blt 0,0,640,480,0, 312,640,480:waittimer 3024 blt 0,0,640,480,0, 314,640,480:waittimer 3044 blt 0,0,640,480,0, 316,640,480:waittimer 3063 blt 0,0,640,480,0, 318,640,480:waittimer 3082 blt 0,0,640,480,0, 320,640,480:waittimer 3102 blt 0,0,640,480,0, 322,640,480:waittimer 3121 blt 0,0,640,480,0, 324,640,480:waittimer 3141 blt 0,0,640,480,0, 326,640,480:waittimer 3160 blt 0,0,640,480,0, 328,640,480:waittimer 3179 blt 0,0,640,480,0, 330,640,480:waittimer 3199 blt 0,0,640,480,0, 332,640,480:waittimer 3218 blt 0,0,640,480,0, 334,640,480:waittimer 3237 blt 0,0,640,480,0, 336,640,480:waittimer 3257 blt 0,0,640,480,0, 338,640,480:waittimer 3276 blt 0,0,640,480,0, 340,640,480:waittimer 3296 blt 0,0,640,480,0, 342,640,480:waittimer 3315 blt 0,0,640,480,0, 344,640,480:waittimer 3334 blt 0,0,640,480,0, 346,640,480:waittimer 3354 blt 0,0,640,480,0, 348,640,480:waittimer 3373 blt 0,0,640,480,0, 350,640,480:waittimer 3393 blt 0,0,640,480,0, 352,640,480:waittimer 3412 blt 0,0,640,480,0, 354,640,480:waittimer 3431 blt 0,0,640,480,0, 356,640,480:waittimer 3451 blt 0,0,640,480,0, 358,640,480:waittimer 3470 blt 0,0,640,480,0, 360,640,480:waittimer 3490 blt 0,0,640,480,0, 362,640,480:waittimer 3509 blt 0,0,640,480,0, 364,640,480:waittimer 3528 blt 0,0,640,480,0, 366,640,480:waittimer 3548 blt 0,0,640,480,0, 368,640,480:waittimer 3567 blt 0,0,640,480,0, 370,640,480:waittimer 3586 blt 0,0,640,480,0, 372,640,480:waittimer 3606 blt 0,0,640,480,0, 374,640,480:waittimer 3625 blt 0,0,640,480,0, 376,640,480:waittimer 3645 blt 0,0,640,480,0, 378,640,480:waittimer 3664 blt 0,0,640,480,0, 380,640,480:waittimer 3683 blt 0,0,640,480,0, 382,640,480:waittimer 3703 blt 0,0,640,480,0, 384,640,480:waittimer 3722 blt 0,0,640,480,0, 386,640,480:waittimer 3742 blt 0,0,640,480,0, 388,640,480:waittimer 3761 blt 0,0,640,480,0, 390,640,480:waittimer 3780 blt 0,0,640,480,0, 392,640,480:waittimer 3800 blt 0,0,640,480,0, 394,640,480:waittimer 3819 blt 0,0,640,480,0, 396,640,480:waittimer 3839 blt 0,0,640,480,0, 398,640,480:waittimer 3858 blt 0,0,640,480,0, 400,640,480:waittimer 3877 blt 0,0,640,480,0, 402,640,480:waittimer 3897 blt 0,0,640,480,0, 404,640,480:waittimer 3916 blt 0,0,640,480,0, 406,640,480:waittimer 3935 blt 0,0,640,480,0, 408,640,480:waittimer 3955 blt 0,0,640,480,0, 410,640,480:waittimer 3974 blt 0,0,640,480,0, 412,640,480:waittimer 3994 blt 0,0,640,480,0, 414,640,480:waittimer 4013 blt 0,0,640,480,0, 416,640,480:waittimer 4032 blt 0,0,640,480,0, 418,640,480:waittimer 4052 blt 0,0,640,480,0, 420,640,480:waittimer 4071 blt 0,0,640,480,0, 422,640,480:waittimer 4091 blt 0,0,640,480,0, 424,640,480:waittimer 4110 blt 0,0,640,480,0, 426,640,480:waittimer 4129 blt 0,0,640,480,0, 428,640,480:waittimer 4149 blt 0,0,640,480,0, 430,640,480:waittimer 4168 blt 0,0,640,480,0, 432,640,480:waittimer 4188 blt 0,0,640,480,0, 434,640,480:waittimer 4207 blt 0,0,640,480,0, 436,640,480:waittimer 4226 blt 0,0,640,480,0, 438,640,480:waittimer 4246 blt 0,0,640,480,0, 440,640,480:waittimer 4265 blt 0,0,640,480,0, 442,640,480:waittimer 4284 blt 0,0,640,480,0, 444,640,480:waittimer 4304 blt 0,0,640,480,0, 446,640,480:waittimer 4323 blt 0,0,640,480,0, 448,640,480:waittimer 4343 blt 0,0,640,480,0, 450,640,480:waittimer 4362 blt 0,0,640,480,0, 452,640,480:waittimer 4381 blt 0,0,640,480,0, 454,640,480:waittimer 4401 blt 0,0,640,480,0, 456,640,480:waittimer 4420 blt 0,0,640,480,0, 458,640,480:waittimer 4440 blt 0,0,640,480,0, 460,640,480:waittimer 4459 blt 0,0,640,480,0, 462,640,480:waittimer 4478 blt 0,0,640,480,0, 464,640,480:waittimer 4498 blt 0,0,640,480,0, 466,640,480:waittimer 4517 blt 0,0,640,480,0, 468,640,480:waittimer 4537 blt 0,0,640,480,0, 470,640,480:waittimer 4556 blt 0,0,640,480,0, 472,640,480:waittimer 4575 blt 0,0,640,480,0, 474,640,480:waittimer 4595 blt 0,0,640,480,0, 476,640,480:waittimer 4614 blt 0,0,640,480,0, 478,640,480:waittimer 4633 blt 0,0,640,480,0, 480,640,480:waittimer 4653 blt 0,0,640,480,0, 482,640,480:waittimer 4672 blt 0,0,640,480,0, 484,640,480:waittimer 4692 blt 0,0,640,480,0, 486,640,480:waittimer 4711 blt 0,0,640,480,0, 488,640,480:waittimer 4730 blt 0,0,640,480,0, 490,640,480:waittimer 4750 blt 0,0,640,480,0, 492,640,480:waittimer 4769 blt 0,0,640,480,0, 494,640,480:waittimer 4789 blt 0,0,640,480,0, 496,640,480:waittimer 4808 blt 0,0,640,480,0, 498,640,480:waittimer 4827 blt 0,0,640,480,0, 500,640,480:waittimer 4847 blt 0,0,640,480,0, 502,640,480:waittimer 4866 blt 0,0,640,480,0, 504,640,480:waittimer 4886 blt 0,0,640,480,0, 506,640,480:waittimer 4905 blt 0,0,640,480,0, 508,640,480:waittimer 4924 blt 0,0,640,480,0, 510,640,480:waittimer 4944 blt 0,0,640,480,0, 512,640,480:waittimer 4963 blt 0,0,640,480,0, 514,640,480:waittimer 4982 blt 0,0,640,480,0, 516,640,480:waittimer 5002 blt 0,0,640,480,0, 518,640,480:waittimer 5021 blt 0,0,640,480,0, 520,640,480:waittimer 5041 blt 0,0,640,480,0, 522,640,480:waittimer 5060 blt 0,0,640,480,0, 524,640,480:waittimer 5079 blt 0,0,640,480,0, 526,640,480:waittimer 5099 blt 0,0,640,480,0, 528,640,480:waittimer 5118 blt 0,0,640,480,0, 530,640,480:waittimer 5138 blt 0,0,640,480,0, 532,640,480:waittimer 5157 blt 0,0,640,480,0, 534,640,480:waittimer 5176 blt 0,0,640,480,0, 536,640,480:waittimer 5196 blt 0,0,640,480,0, 538,640,480:waittimer 5215 blt 0,0,640,480,0, 540,640,480:waittimer 5235 blt 0,0,640,480,0, 542,640,480:waittimer 5254 blt 0,0,640,480,0, 544,640,480:waittimer 5273 blt 0,0,640,480,0, 546,640,480:waittimer 5293 blt 0,0,640,480,0, 548,640,480:waittimer 5312 blt 0,0,640,480,0, 550,640,480:waittimer 5331 blt 0,0,640,480,0, 552,640,480:waittimer 5351 blt 0,0,640,480,0, 554,640,480:waittimer 5370 blt 0,0,640,480,0, 556,640,480:waittimer 5390 blt 0,0,640,480,0, 558,640,480:waittimer 5409 blt 0,0,640,480,0, 560,640,480:waittimer 5428 blt 0,0,640,480,0, 562,640,480:waittimer 5448 blt 0,0,640,480,0, 564,640,480:waittimer 5467 blt 0,0,640,480,0, 566,640,480:waittimer 5487 blt 0,0,640,480,0, 568,640,480:waittimer 5506 blt 0,0,640,480,0, 570,640,480:waittimer 5525 blt 0,0,640,480,0, 572,640,480:waittimer 5545 blt 0,0,640,480,0, 574,640,480:waittimer 5564 blt 0,0,640,480,0, 576,640,480:waittimer 5584 blt 0,0,640,480,0, 578,640,480:waittimer 5603 blt 0,0,640,480,0, 580,640,480:waittimer 5622 blt 0,0,640,480,0, 582,640,480:waittimer 5642 blt 0,0,640,480,0, 584,640,480:waittimer 5661 blt 0,0,640,480,0, 586,640,480:waittimer 5680 blt 0,0,640,480,0, 588,640,480:waittimer 5700 blt 0,0,640,480,0, 590,640,480:waittimer 5719 blt 0,0,640,480,0, 592,640,480:waittimer 5739 blt 0,0,640,480,0, 594,640,480:waittimer 5758 blt 0,0,640,480,0, 596,640,480:waittimer 5777 blt 0,0,640,480,0, 598,640,480:waittimer 5797 blt 0,0,640,480,0, 600,640,480:waittimer 5816 blt 0,0,640,480,0, 602,640,480:waittimer 5836 blt 0,0,640,480,0, 604,640,480:waittimer 5855 blt 0,0,640,480,0, 606,640,480:waittimer 5874 blt 0,0,640,480,0, 608,640,480:waittimer 5894 blt 0,0,640,480,0, 610,640,480:waittimer 5913 blt 0,0,640,480,0, 612,640,480:waittimer 5933 blt 0,0,640,480,0, 614,640,480:waittimer 5952 blt 0,0,640,480,0, 616,640,480:waittimer 5971 blt 0,0,640,480,0, 618,640,480:waittimer 5991 blt 0,0,640,480,0, 620,640,480:waittimer 6010 blt 0,0,640,480,0, 622,640,480:waittimer 6029 blt 0,0,640,480,0, 624,640,480:waittimer 6049 blt 0,0,640,480,0, 626,640,480:waittimer 6068 blt 0,0,640,480,0, 628,640,480:waittimer 6088 blt 0,0,640,480,0, 630,640,480:waittimer 6107 blt 0,0,640,480,0, 632,640,480:waittimer 6126 blt 0,0,640,480,0, 634,640,480:waittimer 6146 blt 0,0,640,480,0, 636,640,480:waittimer 6165 blt 0,0,640,480,0, 638,640,480:waittimer 6185 blt 0,0,640,480,0, 640,640,480:waittimer 6204 blt 0,0,640,480,0, 642,640,480:waittimer 6223 blt 0,0,640,480,0, 644,640,480:waittimer 6243 blt 0,0,640,480,0, 646,640,480:waittimer 6262 blt 0,0,640,480,0, 648,640,480:waittimer 6282 blt 0,0,640,480,0, 650,640,480:waittimer 6301 blt 0,0,640,480,0, 652,640,480:waittimer 6320 blt 0,0,640,480,0, 654,640,480:waittimer 6340 blt 0,0,640,480,0, 656,640,480:waittimer 6359 blt 0,0,640,480,0, 658,640,480:waittimer 6378 blt 0,0,640,480,0, 660,640,480:waittimer 6398 blt 0,0,640,480,0, 662,640,480:waittimer 6417 blt 0,0,640,480,0, 664,640,480:waittimer 6437 blt 0,0,640,480,0, 666,640,480:waittimer 6456 blt 0,0,640,480,0, 668,640,480:waittimer 6475 blt 0,0,640,480,0, 670,640,480:waittimer 6495 blt 0,0,640,480,0, 672,640,480:waittimer 6514 blt 0,0,640,480,0, 674,640,480:waittimer 6534 blt 0,0,640,480,0, 676,640,480:waittimer 6553 blt 0,0,640,480,0, 678,640,480:waittimer 6572 blt 0,0,640,480,0, 680,640,480:waittimer 6592 blt 0,0,640,480,0, 682,640,480:waittimer 6611 blt 0,0,640,480,0, 684,640,480:waittimer 6631 blt 0,0,640,480,0, 686,640,480:waittimer 6650 blt 0,0,640,480,0, 688,640,480:waittimer 6669 blt 0,0,640,480,0, 690,640,480:waittimer 6689 blt 0,0,640,480,0, 692,640,480:waittimer 6708 blt 0,0,640,480,0, 694,640,480:waittimer 6727 blt 0,0,640,480,0, 696,640,480:waittimer 6747 blt 0,0,640,480,0, 698,640,480:waittimer 6766 blt 0,0,640,480,0, 700,640,480:waittimer 6786 blt 0,0,640,480,0, 702,640,480:waittimer 6805 blt 0,0,640,480,0, 704,640,480:waittimer 6824 blt 0,0,640,480,0, 706,640,480:waittimer 6844 blt 0,0,640,480,0, 708,640,480:waittimer 6863 blt 0,0,640,480,0, 710,640,480:waittimer 6883 blt 0,0,640,480,0, 712,640,480:waittimer 6902 blt 0,0,640,480,0, 714,640,480:waittimer 6921 blt 0,0,640,480,0, 716,640,480:waittimer 6941 blt 0,0,640,480,0, 718,640,480:waittimer 6960 blt 0,0,640,480,0, 720,640,480:waittimer 6980 blt 0,0,640,480,0, 722,640,480:waittimer 6999 blt 0,0,640,480,0, 724,640,480:waittimer 7018 blt 0,0,640,480,0, 726,640,480:waittimer 7038 blt 0,0,640,480,0, 728,640,480:waittimer 7057 blt 0,0,640,480,0, 730,640,480:waittimer 7076 blt 0,0,640,480,0, 732,640,480:waittimer 7096 blt 0,0,640,480,0, 734,640,480:waittimer 7115 blt 0,0,640,480,0, 736,640,480:waittimer 7135 blt 0,0,640,480,0, 738,640,480:waittimer 7154 blt 0,0,640,480,0, 740,640,480:waittimer 7173 blt 0,0,640,480,0, 742,640,480:waittimer 7193 blt 0,0,640,480,0, 744,640,480:waittimer 7212 blt 0,0,640,480,0, 746,640,480:waittimer 7232 blt 0,0,640,480,0, 748,640,480:waittimer 7251 blt 0,0,640,480,0, 750,640,480:waittimer 7270 blt 0,0,640,480,0, 752,640,480:waittimer 7290 blt 0,0,640,480,0, 754,640,480:waittimer 7309 blt 0,0,640,480,0, 756,640,480:waittimer 7329 blt 0,0,640,480,0, 758,640,480:waittimer 7348 blt 0,0,640,480,0, 760,640,480:waittimer 7367 blt 0,0,640,480,0, 762,640,480:waittimer 7387 blt 0,0,640,480,0, 764,640,480:waittimer 7406 blt 0,0,640,480,0, 766,640,480:waittimer 7425 blt 0,0,640,480,0, 768,640,480:waittimer 7445 blt 0,0,640,480,0, 770,640,480:waittimer 7464 blt 0,0,640,480,0, 772,640,480:waittimer 7484 blt 0,0,640,480,0, 774,640,480:waittimer 7503 blt 0,0,640,480,0, 776,640,480:waittimer 7522 blt 0,0,640,480,0, 778,640,480:waittimer 7542 blt 0,0,640,480,0, 780,640,480:waittimer 7561 blt 0,0,640,480,0, 782,640,480:waittimer 7581 blt 0,0,640,480,0, 784,640,480:waittimer 7600 blt 0,0,640,480,0, 786,640,480:waittimer 7619 blt 0,0,640,480,0, 788,640,480:waittimer 7639 blt 0,0,640,480,0, 790,640,480:waittimer 7658 blt 0,0,640,480,0, 792,640,480:waittimer 7678 blt 0,0,640,480,0, 794,640,480:waittimer 7697 blt 0,0,640,480,0, 796,640,480:waittimer 7716 blt 0,0,640,480,0, 798,640,480:waittimer 7736 blt 0,0,640,480,0, 800,640,480:waittimer 7755 blt 0,0,640,480,0, 802,640,480:waittimer 7774 blt 0,0,640,480,0, 804,640,480:waittimer 7794 blt 0,0,640,480,0, 806,640,480:waittimer 7813 blt 0,0,640,480,0, 808,640,480:waittimer 7833 blt 0,0,640,480,0, 810,640,480:waittimer 7852 blt 0,0,640,480,0, 812,640,480:waittimer 7871 blt 0,0,640,480,0, 814,640,480:waittimer 7891 blt 0,0,640,480,0, 816,640,480:waittimer 7910 blt 0,0,640,480,0, 818,640,480:waittimer 7930 blt 0,0,640,480,0, 820,640,480:waittimer 7949 blt 0,0,640,480,0, 822,640,480:waittimer 7968 blt 0,0,640,480,0, 824,640,480:waittimer 7988 blt 0,0,640,480,0, 826,640,480:waittimer 8007 blt 0,0,640,480,0, 828,640,480:waittimer 8027 blt 0,0,640,480,0, 830,640,480:waittimer 8046 blt 0,0,640,480,0, 832,640,480:waittimer 8065 blt 0,0,640,480,0, 834,640,480:waittimer 8085 blt 0,0,640,480,0, 836,640,480:waittimer 8104 blt 0,0,640,480,0, 838,640,480:waittimer 8123 blt 0,0,640,480,0, 840,640,480:waittimer 8143 blt 0,0,640,480,0, 842,640,480:waittimer 8162 blt 0,0,640,480,0, 844,640,480:waittimer 8182 blt 0,0,640,480,0, 846,640,480:waittimer 8201 blt 0,0,640,480,0, 848,640,480:waittimer 8220 blt 0,0,640,480,0, 850,640,480:waittimer 8240 blt 0,0,640,480,0, 852,640,480:waittimer 8259 blt 0,0,640,480,0, 854,640,480:waittimer 8279 blt 0,0,640,480,0, 856,640,480:waittimer 8298 blt 0,0,640,480,0, 858,640,480:waittimer 8317 blt 0,0,640,480,0, 860,640,480:waittimer 8337 blt 0,0,640,480,0, 862,640,480:waittimer 8356 blt 0,0,640,480,0, 864,640,480:waittimer 8376 blt 0,0,640,480,0, 866,640,480:waittimer 8395 blt 0,0,640,480,0, 868,640,480:waittimer 8414 blt 0,0,640,480,0, 870,640,480:waittimer 8434 blt 0,0,640,480,0, 872,640,480:waittimer 8453 blt 0,0,640,480,0, 874,640,480:waittimer 8472 blt 0,0,640,480,0, 876,640,480:waittimer 8492 blt 0,0,640,480,0, 878,640,480:waittimer 8511 blt 0,0,640,480,0, 880,640,480:waittimer 8531 blt 0,0,640,480,0, 882,640,480:waittimer 8550 blt 0,0,640,480,0, 884,640,480:waittimer 8569 blt 0,0,640,480,0, 886,640,480:waittimer 8589 blt 0,0,640,480,0, 888,640,480:waittimer 8608 blt 0,0,640,480,0, 890,640,480:waittimer 8628 blt 0,0,640,480,0, 892,640,480:waittimer 8647 blt 0,0,640,480,0, 894,640,480:waittimer 8666 blt 0,0,640,480,0, 896,640,480:waittimer 8686 blt 0,0,640,480,0, 898,640,480:waittimer 8705 blt 0,0,640,480,0, 900,640,480:waittimer 8725 blt 0,0,640,480,0, 902,640,480:waittimer 8744 blt 0,0,640,480,0, 904,640,480:waittimer 8763 blt 0,0,640,480,0, 906,640,480:waittimer 8783 blt 0,0,640,480,0, 908,640,480:waittimer 8802 blt 0,0,640,480,0, 910,640,480:waittimer 8821 blt 0,0,640,480,0, 912,640,480:waittimer 8841 blt 0,0,640,480,0, 914,640,480:waittimer 8860 blt 0,0,640,480,0, 916,640,480:waittimer 8880 blt 0,0,640,480,0, 918,640,480:waittimer 8899 blt 0,0,640,480,0, 920,640,480:waittimer 8918 blt 0,0,640,480,0, 922,640,480:waittimer 8938 blt 0,0,640,480,0, 924,640,480:waittimer 8957 blt 0,0,640,480,0, 926,640,480:waittimer 8977 blt 0,0,640,480,0, 928,640,480:waittimer 8996 blt 0,0,640,480,0, 930,640,480:waittimer 9015 blt 0,0,640,480,0, 932,640,480:waittimer 9035 blt 0,0,640,480,0, 934,640,480:waittimer 9054 blt 0,0,640,480,0, 936,640,480:waittimer 9074 blt 0,0,640,480,0, 938,640,480:waittimer 9093 blt 0,0,640,480,0, 940,640,480:waittimer 9112 blt 0,0,640,480,0, 942,640,480:waittimer 9132 blt 0,0,640,480,0, 944,640,480:waittimer 9151 blt 0,0,640,480,0, 946,640,480:waittimer 9170 blt 0,0,640,480,0, 948,640,480:waittimer 9190 blt 0,0,640,480,0, 950,640,480:waittimer 9209 blt 0,0,640,480,0, 952,640,480:waittimer 9229 blt 0,0,640,480,0, 954,640,480:waittimer 9248 blt 0,0,640,480,0, 956,640,480:waittimer 9267 blt 0,0,640,480,0, 958,640,480:waittimer 9287 blt 0,0,640,480,0, 960,640,480:waittimer 9306 blt 0,0,640,480,0, 962,640,480:waittimer 9326 blt 0,0,640,480,0, 964,640,480:waittimer 9345 blt 0,0,640,480,0, 966,640,480:waittimer 9364 blt 0,0,640,480,0, 968,640,480:waittimer 9384 blt 0,0,640,480,0, 970,640,480:waittimer 9403 blt 0,0,640,480,0, 972,640,480:waittimer 9423 blt 0,0,640,480,0, 974,640,480:waittimer 9442 blt 0,0,640,480,0, 976,640,480:waittimer 9461 blt 0,0,640,480,0, 978,640,480:waittimer 9481 blt 0,0,640,480,0, 980,640,480:waittimer 9500 blt 0,0,640,480,0, 982,640,480:waittimer 9519 blt 0,0,640,480,0, 984,640,480:waittimer 9539 blt 0,0,640,480,0, 986,640,480:waittimer 9558 blt 0,0,640,480,0, 988,640,480:waittimer 9578 blt 0,0,640,480,0, 990,640,480:waittimer 9597 blt 0,0,640,480,0, 992,640,480:waittimer 9616 blt 0,0,640,480,0, 994,640,480:waittimer 9636 blt 0,0,640,480,0, 996,640,480:waittimer 9655 blt 0,0,640,480,0, 998,640,480:waittimer 9675 blt 0,0,640,480,0, 1000,640,480:waittimer 9694 blt 0,0,640,480,0, 1002,640,480:waittimer 9713 blt 0,0,640,480,0, 1004,640,480:waittimer 9733 blt 0,0,640,480,0, 1006,640,480:waittimer 9752 blt 0,0,640,480,0, 1008,640,480:waittimer 9772 blt 0,0,640,480,0, 1010,640,480:waittimer 9791 blt 0,0,640,480,0, 1012,640,480:waittimer 9810 blt 0,0,640,480,0, 1014,640,480:waittimer 9830 blt 0,0,640,480,0, 1016,640,480:waittimer 9849 blt 0,0,640,480,0, 1018,640,480:waittimer 9868 blt 0,0,640,480,0, 1020,640,480:waittimer 9888 blt 0,0,640,480,0, 1022,640,480:waittimer 9907 blt 0,0,640,480,0, 1024,640,480:waittimer 9927 blt 0,0,640,480,0, 1026,640,480:waittimer 9946 blt 0,0,640,480,0, 1028,640,480:waittimer 9965 blt 0,0,640,480,0, 1030,640,480:waittimer 9985 blt 0,0,640,480,0, 1032,640,480:waittimer 10004 blt 0,0,640,480,0, 1034,640,480:waittimer 10024 blt 0,0,640,480,0, 1036,640,480:waittimer 10043 blt 0,0,640,480,0, 1038,640,480:waittimer 10062 blt 0,0,640,480,0, 1040,640,480:waittimer 10082 blt 0,0,640,480,0, 1042,640,480:waittimer 10101 blt 0,0,640,480,0, 1044,640,480:waittimer 10121 blt 0,0,640,480,0, 1046,640,480:waittimer 10140 blt 0,0,640,480,0, 1048,640,480:waittimer 10159 blt 0,0,640,480,0, 1050,640,480:waittimer 10179 blt 0,0,640,480,0, 1052,640,480:waittimer 10198 blt 0,0,640,480,0, 1054,640,480:waittimer 10217 blt 0,0,640,480,0, 1056,640,480:waittimer 10237 blt 0,0,640,480,0, 1058,640,480:waittimer 10256 blt 0,0,640,480,0, 1060,640,480:waittimer 10276 blt 0,0,640,480,0, 1062,640,480:waittimer 10295 blt 0,0,640,480,0, 1064,640,480:waittimer 10314 blt 0,0,640,480,0, 1066,640,480:waittimer 10334 blt 0,0,640,480,0, 1068,640,480:waittimer 10353 blt 0,0,640,480,0, 1070,640,480:waittimer 10373 blt 0,0,640,480,0, 1072,640,480:waittimer 10392 blt 0,0,640,480,0, 1074,640,480:waittimer 10411 blt 0,0,640,480,0, 1076,640,480:waittimer 10431 blt 0,0,640,480,0, 1078,640,480:waittimer 10450 blt 0,0,640,480,0, 1080,640,480:waittimer 10470 blt 0,0,640,480,0, 1082,640,480:waittimer 10489 blt 0,0,640,480,0, 1084,640,480:waittimer 10508 blt 0,0,640,480,0, 1086,640,480:waittimer 10528 blt 0,0,640,480,0, 1088,640,480:waittimer 10547 blt 0,0,640,480,0, 1090,640,480:waittimer 10566 blt 0,0,640,480,0, 1092,640,480:waittimer 10586 blt 0,0,640,480,0, 1094,640,480:waittimer 10605 blt 0,0,640,480,0, 1096,640,480:waittimer 10625 blt 0,0,640,480,0, 1098,640,480:waittimer 10644 blt 0,0,640,480,0, 1100,640,480:waittimer 10663 blt 0,0,640,480,0, 1102,640,480:waittimer 10683 blt 0,0,640,480,0, 1104,640,480:waittimer 10702 blt 0,0,640,480,0, 1106,640,480:waittimer 10722 blt 0,0,640,480,0, 1108,640,480:waittimer 10741 blt 0,0,640,480,0, 1110,640,480:waittimer 10760 blt 0,0,640,480,0, 1112,640,480:waittimer 10780 blt 0,0,640,480,0, 1114,640,480:waittimer 10799 blt 0,0,640,480,0, 1116,640,480:waittimer 10819 blt 0,0,640,480,0, 1118,640,480:waittimer 10838 blt 0,0,640,480,0, 1120,640,480:waittimer 10857 blt 0,0,640,480,0, 1122,640,480:waittimer 10877 blt 0,0,640,480,0, 1124,640,480:waittimer 10896 blt 0,0,640,480,0, 1126,640,480:waittimer 10915 blt 0,0,640,480,0, 1128,640,480:waittimer 10935 blt 0,0,640,480,0, 1130,640,480:waittimer 10954 blt 0,0,640,480,0, 1132,640,480:waittimer 10974 blt 0,0,640,480,0, 1134,640,480:waittimer 10993 blt 0,0,640,480,0, 1136,640,480:waittimer 11012 blt 0,0,640,480,0, 1138,640,480:waittimer 11032 blt 0,0,640,480,0, 1140,640,480:waittimer 11051 blt 0,0,640,480,0, 1142,640,480:waittimer 11071 blt 0,0,640,480,0, 1144,640,480:waittimer 11090 blt 0,0,640,480,0, 1146,640,480:waittimer 11109 blt 0,0,640,480,0, 1148,640,480:waittimer 11129 blt 0,0,640,480,0, 1150,640,480:waittimer 11148 blt 0,0,640,480,0, 1152,640,480:waittimer 11168 blt 0,0,640,480,0, 1154,640,480:waittimer 11187 blt 0,0,640,480,0, 1156,640,480:waittimer 11206 blt 0,0,640,480,0, 1158,640,480:waittimer 11226 blt 0,0,640,480,0, 1160,640,480:waittimer 11245 blt 0,0,640,480,0, 1162,640,480:waittimer 11264 blt 0,0,640,480,0, 1164,640,480:waittimer 11284 blt 0,0,640,480,0, 1166,640,480:waittimer 11303 blt 0,0,640,480,0, 1168,640,480:waittimer 11323 blt 0,0,640,480,0, 1170,640,480:waittimer 11342 blt 0,0,640,480,0, 1172,640,480:waittimer 11361 blt 0,0,640,480,0, 1174,640,480:waittimer 11381 blt 0,0,640,480,0, 1176,640,480:waittimer 11400 blt 0,0,640,480,0, 1178,640,480:waittimer 11420 blt 0,0,640,480,0, 1180,640,480:waittimer 11439 blt 0,0,640,480,0, 1182,640,480:waittimer 11458 blt 0,0,640,480,0, 1184,640,480:waittimer 11478 blt 0,0,640,480,0, 1186,640,480:waittimer 11497 blt 0,0,640,480,0, 1188,640,480:waittimer 11517 blt 0,0,640,480,0, 1190,640,480:waittimer 11536 blt 0,0,640,480,0, 1192,640,480:waittimer 11555 blt 0,0,640,480,0, 1194,640,480:waittimer 11575 blt 0,0,640,480,0, 1196,640,480:waittimer 11594 blt 0,0,640,480,0, 1198,640,480:waittimer 11613 blt 0,0,640,480,0, 1200,640,480:waittimer 11633 blt 0,0,640,480,0, 1202,640,480:waittimer 11652 blt 0,0,640,480,0, 1204,640,480:waittimer 11672 blt 0,0,640,480,0, 1206,640,480:waittimer 11691 blt 0,0,640,480,0, 1208,640,480:waittimer 11710 blt 0,0,640,480,0, 1210,640,480:waittimer 11730 blt 0,0,640,480,0, 1212,640,480:waittimer 11749 blt 0,0,640,480,0, 1214,640,480:waittimer 11769 blt 0,0,640,480,0, 1216,640,480:waittimer 11788 blt 0,0,640,480,0, 1218,640,480:waittimer 11807 blt 0,0,640,480,0, 1220,640,480:waittimer 11827 blt 0,0,640,480,0, 1222,640,480:waittimer 11846 blt 0,0,640,480,0, 1224,640,480:waittimer 11866 blt 0,0,640,480,0, 1226,640,480:waittimer 11885 blt 0,0,640,480,0, 1228,640,480:waittimer 11904 blt 0,0,640,480,0, 1230,640,480:waittimer 11924 blt 0,0,640,480,0, 1232,640,480:waittimer 11943 blt 0,0,640,480,0, 1234,640,480:waittimer 11962 blt 0,0,640,480,0, 1236,640,480:waittimer 11982 blt 0,0,640,480,0, 1238,640,480:waittimer 12001 blt 0,0,640,480,0, 1240,640,480:waittimer 12021 blt 0,0,640,480,0, 1242,640,480:waittimer 12040 blt 0,0,640,480,0, 1244,640,480:waittimer 12059 blt 0,0,640,480,0, 1246,640,480:waittimer 12079 blt 0,0,640,480,0, 1248,640,480:waittimer 12098 blt 0,0,640,480,0, 1250,640,480:waittimer 12118 blt 0,0,640,480,0, 1252,640,480:waittimer 12137 blt 0,0,640,480,0, 1254,640,480:waittimer 12156 blt 0,0,640,480,0, 1256,640,480:waittimer 12176 blt 0,0,640,480,0, 1258,640,480:waittimer 12195 blt 0,0,640,480,0, 1260,640,480:waittimer 12215 blt 0,0,640,480,0, 1262,640,480:waittimer 12234 blt 0,0,640,480,0, 1264,640,480:waittimer 12253 blt 0,0,640,480,0, 1266,640,480:waittimer 12273 blt 0,0,640,480,0, 1268,640,480:waittimer 12292 blt 0,0,640,480,0, 1270,640,480:waittimer 12311 blt 0,0,640,480,0, 1272,640,480:waittimer 12331 blt 0,0,640,480,0, 1274,640,480:waittimer 12350 blt 0,0,640,480,0, 1276,640,480:waittimer 12370 blt 0,0,640,480,0, 1278,640,480:waittimer 12389 blt 0,0,640,480,0, 1280,640,480:waittimer 12408 blt 0,0,640,480,0, 1282,640,480:waittimer 12428 blt 0,0,640,480,0, 1284,640,480:waittimer 12447 blt 0,0,640,480,0, 1286,640,480:waittimer 12467 blt 0,0,640,480,0, 1288,640,480:waittimer 12486 blt 0,0,640,480,0, 1290,640,480:waittimer 12505 blt 0,0,640,480,0, 1292,640,480:waittimer 12525 blt 0,0,640,480,0, 1294,640,480:waittimer 12544 blt 0,0,640,480,0, 1296,640,480:waittimer 12564 blt 0,0,640,480,0, 1298,640,480:waittimer 12583 blt 0,0,640,480,0, 1300,640,480:waittimer 12602 blt 0,0,640,480,0, 1302,640,480:waittimer 12622 blt 0,0,640,480,0, 1304,640,480:waittimer 12641 blt 0,0,640,480,0, 1306,640,480:waittimer 12660 blt 0,0,640,480,0, 1308,640,480:waittimer 12680 blt 0,0,640,480,0, 1310,640,480:waittimer 12699 blt 0,0,640,480,0, 1312,640,480:waittimer 12719 blt 0,0,640,480,0, 1314,640,480:waittimer 12738 blt 0,0,640,480,0, 1316,640,480:waittimer 12757 blt 0,0,640,480,0, 1318,640,480:waittimer 12777 blt 0,0,640,480,0, 1320,640,480:waittimer 12796 blt 0,0,640,480,0, 1322,640,480:waittimer 12816 blt 0,0,640,480,0, 1324,640,480:waittimer 12835 blt 0,0,640,480,0, 1326,640,480:waittimer 12854 blt 0,0,640,480,0, 1328,640,480:waittimer 12874 blt 0,0,640,480,0, 1330,640,480:waittimer 12893 blt 0,0,640,480,0, 1332,640,480:waittimer 12913 blt 0,0,640,480,0, 1334,640,480:waittimer 12932 blt 0,0,640,480,0, 1336,640,480:waittimer 12951 blt 0,0,640,480,0, 1338,640,480:waittimer 12971 blt 0,0,640,480,0, 1340,640,480:waittimer 12990 blt 0,0,640,480,0, 1342,640,480:waittimer 13009 blt 0,0,640,480,0, 1344,640,480:waittimer 13029 blt 0,0,640,480,0, 1346,640,480:waittimer 13048 blt 0,0,640,480,0, 1348,640,480:waittimer 13068 blt 0,0,640,480,0, 1350,640,480:waittimer 13087 blt 0,0,640,480,0, 1352,640,480:waittimer 13106 blt 0,0,640,480,0, 1354,640,480:waittimer 13126 blt 0,0,640,480,0, 1356,640,480:waittimer 13145 blt 0,0,640,480,0, 1358,640,480:waittimer 13165 blt 0,0,640,480,0, 1360,640,480:waittimer 13184 blt 0,0,640,480,0, 1362,640,480:waittimer 13203 blt 0,0,640,480,0, 1364,640,480:waittimer 13223 blt 0,0,640,480,0, 1366,640,480:waittimer 13242 blt 0,0,640,480,0, 1368,640,480:waittimer 13262 blt 0,0,640,480,0, 1370,640,480:waittimer 13281 blt 0,0,640,480,0, 1372,640,480:waittimer 13300 blt 0,0,640,480,0, 1374,640,480:waittimer 13320 blt 0,0,640,480,0, 1376,640,480:waittimer 13339 blt 0,0,640,480,0, 1378,640,480:waittimer 13358 blt 0,0,640,480,0, 1380,640,480:waittimer 13378 blt 0,0,640,480,0, 1382,640,480:waittimer 13397 blt 0,0,640,480,0, 1384,640,480:waittimer 13417 blt 0,0,640,480,0, 1386,640,480:waittimer 13436 blt 0,0,640,480,0, 1388,640,480:waittimer 13455 blt 0,0,640,480,0, 1390,640,480:waittimer 13475 blt 0,0,640,480,0, 1392,640,480:waittimer 13494 blt 0,0,640,480,0, 1394,640,480:waittimer 13514 blt 0,0,640,480,0, 1396,640,480:waittimer 13533 blt 0,0,640,480,0, 1398,640,480:waittimer 13552 blt 0,0,640,480,0, 1400,640,480:waittimer 13572 blt 0,0,640,480,0, 1402,640,480:waittimer 13591 blt 0,0,640,480,0, 1404,640,480:waittimer 13611 blt 0,0,640,480,0, 1406,640,480:waittimer 13630 blt 0,0,640,480,0, 1408,640,480:waittimer 13649 blt 0,0,640,480,0, 1410,640,480:waittimer 13669 blt 0,0,640,480,0, 1412,640,480:waittimer 13688 blt 0,0,640,480,0, 1414,640,480:waittimer 13707 blt 0,0,640,480,0, 1416,640,480:waittimer 13727 blt 0,0,640,480,0, 1418,640,480:waittimer 13746 blt 0,0,640,480,0, 1420,640,480:waittimer 13766 blt 0,0,640,480,0, 1422,640,480:waittimer 13785 blt 0,0,640,480,0, 1424,640,480:waittimer 13804 blt 0,0,640,480,0, 1426,640,480:waittimer 13824 blt 0,0,640,480,0, 1428,640,480:waittimer 13843 blt 0,0,640,480,0, 1430,640,480:waittimer 13863 blt 0,0,640,480,0, 1432,640,480:waittimer 13882 blt 0,0,640,480,0, 1434,640,480:waittimer 13901 blt 0,0,640,480,0, 1436,640,480:waittimer 13921 blt 0,0,640,480,0, 1438,640,480:waittimer 13940 blt 0,0,640,480,0, 1440,640,480:waittimer 13960 blt 0,0,640,480,0, 1442,640,480:waittimer 13979 blt 0,0,640,480,0, 1444,640,480:waittimer 13998 blt 0,0,640,480,0, 1446,640,480:waittimer 14018 blt 0,0,640,480,0, 1448,640,480:waittimer 14037 blt 0,0,640,480,0, 1450,640,480:waittimer 14056 blt 0,0,640,480,0, 1452,640,480:waittimer 14076 blt 0,0,640,480,0, 1454,640,480:waittimer 14095 blt 0,0,640,480,0, 1456,640,480:waittimer 14115 blt 0,0,640,480,0, 1458,640,480:waittimer 14134 blt 0,0,640,480,0, 1460,640,480:waittimer 14153 blt 0,0,640,480,0, 1462,640,480:waittimer 14173 blt 0,0,640,480,0, 1464,640,480:waittimer 14192 blt 0,0,640,480,0, 1466,640,480:waittimer 14212 blt 0,0,640,480,0, 1468,640,480:waittimer 14231 blt 0,0,640,480,0, 1470,640,480:waittimer 14250 blt 0,0,640,480,0, 1472,640,480:waittimer 14270 blt 0,0,640,480,0, 1474,640,480:waittimer 14289 blt 0,0,640,480,0, 1476,640,480:waittimer 14309 blt 0,0,640,480,0, 1478,640,480:waittimer 14328 blt 0,0,640,480,0, 1480,640,480:waittimer 14347 blt 0,0,640,480,0, 1482,640,480:waittimer 14367 blt 0,0,640,480,0, 1484,640,480:waittimer 14386 blt 0,0,640,480,0, 1486,640,480:waittimer 14405 blt 0,0,640,480,0, 1488,640,480:waittimer 14425 blt 0,0,640,480,0, 1490,640,480:waittimer 14444 blt 0,0,640,480,0, 1492,640,480:waittimer 14464 blt 0,0,640,480,0, 1494,640,480:waittimer 14483 blt 0,0,640,480,0, 1496,640,480:waittimer 14502 blt 0,0,640,480,0, 1498,640,480:waittimer 14522 blt 0,0,640,480,0, 1500,640,480:waittimer 14541 blt 0,0,640,480,0, 1502,640,480:waittimer 14561 blt 0,0,640,480,0, 1504,640,480:waittimer 14580 blt 0,0,640,480,0, 1506,640,480:waittimer 14599 blt 0,0,640,480,0, 1508,640,480:waittimer 14619 blt 0,0,640,480,0, 1510,640,480:waittimer 14638 blt 0,0,640,480,0, 1512,640,480:waittimer 14658 blt 0,0,640,480,0, 1514,640,480:waittimer 14677 blt 0,0,640,480,0, 1516,640,480:waittimer 14696 blt 0,0,640,480,0, 1518,640,480:waittimer 14716 blt 0,0,640,480,0, 1520,640,480:waittimer 14735 blt 0,0,640,480,0, 1522,640,480:waittimer 14754 blt 0,0,640,480,0, 1524,640,480:waittimer 14774 blt 0,0,640,480,0, 1526,640,480:waittimer 14793 blt 0,0,640,480,0, 1528,640,480:waittimer 14813 blt 0,0,640,480,0, 1530,640,480:waittimer 14832 blt 0,0,640,480,0, 1532,640,480:waittimer 14851 blt 0,0,640,480,0, 1534,640,480:waittimer 14871 blt 0,0,640,480,0, 1536,640,480:waittimer 14890 blt 0,0,640,480,0, 1538,640,480:waittimer 14910 blt 0,0,640,480,0, 1540,640,480:waittimer 14929 blt 0,0,640,480,0, 1542,640,480:waittimer 14948 blt 0,0,640,480,0, 1544,640,480:waittimer 14968 blt 0,0,640,480,0, 1546,640,480:waittimer 14987 blt 0,0,640,480,0, 1548,640,480:waittimer 15007 blt 0,0,640,480,0, 1550,640,480:waittimer 15026 blt 0,0,640,480,0, 1552,640,480:waittimer 15045 blt 0,0,640,480,0, 1554,640,480:waittimer 15065 blt 0,0,640,480,0, 1556,640,480:waittimer 15084 blt 0,0,640,480,0, 1558,640,480:waittimer 15103 blt 0,0,640,480,0, 1560,640,480:waittimer 15123 blt 0,0,640,480,0, 1562,640,480:waittimer 15142 blt 0,0,640,480,0, 1564,640,480:waittimer 15162 blt 0,0,640,480,0, 1566,640,480:waittimer 15181 blt 0,0,640,480,0, 1568,640,480:waittimer 15200 blt 0,0,640,480,0, 1570,640,480:waittimer 15220 blt 0,0,640,480,0, 1572,640,480:waittimer 15239 blt 0,0,640,480,0, 1574,640,480:waittimer 15259 blt 0,0,640,480,0, 1576,640,480:waittimer 15278 blt 0,0,640,480,0, 1578,640,480:waittimer 15297 blt 0,0,640,480,0, 1580,640,480:waittimer 15317 blt 0,0,640,480,0, 1582,640,480:waittimer 15336 blt 0,0,640,480,0, 1584,640,480:waittimer 15356 blt 0,0,640,480,0, 1586,640,480:waittimer 15375 blt 0,0,640,480,0, 1588,640,480:waittimer 15394 blt 0,0,640,480,0, 1590,640,480:waittimer 15414 blt 0,0,640,480,0, 1592,640,480:waittimer 15433 blt 0,0,640,480,0, 1594,640,480:waittimer 15452 blt 0,0,640,480,0, 1596,640,480:waittimer 15472 blt 0,0,640,480,0, 1598,640,480:waittimer 15491 blt 0,0,640,480,0, 1600,640,480:waittimer 15511 blt 0,0,640,480,0, 1602,640,480:waittimer 15530 blt 0,0,640,480,0, 1604,640,480:waittimer 15549 blt 0,0,640,480,0, 1606,640,480:waittimer 15569 blt 0,0,640,480,0, 1608,640,480:waittimer 15588 blt 0,0,640,480,0, 1610,640,480:waittimer 15608 blt 0,0,640,480,0, 1612,640,480:waittimer 15627 blt 0,0,640,480,0, 1614,640,480:waittimer 15646 blt 0,0,640,480,0, 1616,640,480:waittimer 15666 blt 0,0,640,480,0, 1618,640,480:waittimer 15685 blt 0,0,640,480,0, 1620,640,480:waittimer 15705 blt 0,0,640,480,0, 1622,640,480:waittimer 15724 blt 0,0,640,480,0, 1624,640,480:waittimer 15743 blt 0,0,640,480,0, 1626,640,480:waittimer 15763 blt 0,0,640,480,0, 1628,640,480:waittimer 15782 blt 0,0,640,480,0, 1630,640,480:waittimer 15801 blt 0,0,640,480,0, 1632,640,480:waittimer 15821 blt 0,0,640,480,0, 1634,640,480:waittimer 15840 blt 0,0,640,480,0, 1636,640,480:waittimer 15860 blt 0,0,640,480,0, 1638,640,480:waittimer 15879 blt 0,0,640,480,0, 1640,640,480:waittimer 15898 blt 0,0,640,480,0, 1642,640,480:waittimer 15918 blt 0,0,640,480,0, 1644,640,480:waittimer 15937 blt 0,0,640,480,0, 1646,640,480:waittimer 15957 blt 0,0,640,480,0, 1648,640,480:waittimer 15976 blt 0,0,640,480,0, 1650,640,480:waittimer 15995 blt 0,0,640,480,0, 1652,640,480:waittimer 16015 blt 0,0,640,480,0, 1654,640,480:waittimer 16034 blt 0,0,640,480,0, 1656,640,480:waittimer 16054 blt 0,0,640,480,0, 1658,640,480:waittimer 16073 blt 0,0,640,480,0, 1660,640,480:waittimer 16092 blt 0,0,640,480,0, 1662,640,480:waittimer 16112 blt 0,0,640,480,0, 1664,640,480:waittimer 16131 blt 0,0,640,480,0, 1666,640,480:waittimer 16150 blt 0,0,640,480,0, 1668,640,480:waittimer 16170 blt 0,0,640,480,0, 1670,640,480:waittimer 16189 blt 0,0,640,480,0, 1672,640,480:waittimer 16209 blt 0,0,640,480,0, 1674,640,480:waittimer 16228 blt 0,0,640,480,0, 1676,640,480:waittimer 16247 blt 0,0,640,480,0, 1678,640,480:waittimer 16267 blt 0,0,640,480,0, 1680,640,480:waittimer 16286 blt 0,0,640,480,0, 1682,640,480:waittimer 16306 blt 0,0,640,480,0, 1684,640,480:waittimer 16325 blt 0,0,640,480,0, 1686,640,480:waittimer 16344 blt 0,0,640,480,0, 1688,640,480:waittimer 16364 blt 0,0,640,480,0, 1690,640,480:waittimer 16383 blt 0,0,640,480,0, 1692,640,480:waittimer 16403 blt 0,0,640,480,0, 1694,640,480:waittimer 16422 blt 0,0,640,480,0, 1696,640,480:waittimer 16441 blt 0,0,640,480,0, 1698,640,480:waittimer 16461 blt 0,0,640,480,0, 1700,640,480:waittimer 16480 blt 0,0,640,480,0, 1702,640,480:waittimer 16499 blt 0,0,640,480,0, 1704,640,480:waittimer 16519 blt 0,0,640,480,0, 1706,640,480:waittimer 16538 blt 0,0,640,480,0, 1708,640,480:waittimer 16558 blt 0,0,640,480,0, 1710,640,480:waittimer 16577 blt 0,0,640,480,0, 1712,640,480:waittimer 16596 blt 0,0,640,480,0, 1714,640,480:waittimer 16616 blt 0,0,640,480,0, 1716,640,480:waittimer 16635 blt 0,0,640,480,0, 1718,640,480:waittimer 16655 blt 0,0,640,480,0, 1720,640,480:waittimer 16674 blt 0,0,640,480,0, 1722,640,480:waittimer 16693 blt 0,0,640,480,0, 1724,640,480:waittimer 16713 blt 0,0,640,480,0, 1726,640,480:waittimer 16732 blt 0,0,640,480,0, 1728,640,480:waittimer 16752 blt 0,0,640,480,0, 1730,640,480:waittimer 16771 blt 0,0,640,480,0, 1732,640,480:waittimer 16790 blt 0,0,640,480,0, 1734,640,480:waittimer 16810 blt 0,0,640,480,0, 1736,640,480:waittimer 16829 blt 0,0,640,480,0, 1738,640,480:waittimer 16849 blt 0,0,640,480,0, 1740,640,480:waittimer 16868 blt 0,0,640,480,0, 1742,640,480:waittimer 16887 blt 0,0,640,480,0, 1744,640,480:waittimer 16907 blt 0,0,640,480,0, 1746,640,480:waittimer 16926 blt 0,0,640,480,0, 1748,640,480:waittimer 16945 blt 0,0,640,480,0, 1750,640,480:waittimer 16965 blt 0,0,640,480,0, 1752,640,480:waittimer 16984 blt 0,0,640,480,0, 1754,640,480:waittimer 17004 blt 0,0,640,480,0, 1756,640,480:waittimer 17023 blt 0,0,640,480,0, 1758,640,480:waittimer 17042 blt 0,0,640,480,0, 1760,640,480:waittimer 17062 blt 0,0,640,480,0, 1762,640,480:waittimer 17081 blt 0,0,640,480,0, 1764,640,480:waittimer 17101 blt 0,0,640,480,0, 1766,640,480:waittimer 17120 blt 0,0,640,480,0, 1768,640,480:waittimer 17139 blt 0,0,640,480,0, 1770,640,480:waittimer 17159 blt 0,0,640,480,0, 1772,640,480:waittimer 17178 blt 0,0,640,480,0, 1774,640,480:waittimer 17198 blt 0,0,640,480,0, 1776,640,480:waittimer 17217 blt 0,0,640,480,0, 1778,640,480:waittimer 17236 blt 0,0,640,480,0, 1780,640,480:waittimer 17256 blt 0,0,640,480,0, 1782,640,480:waittimer 17275 blt 0,0,640,480,0, 1784,640,480:waittimer 17294 blt 0,0,640,480,0, 1786,640,480:waittimer 17314 blt 0,0,640,480,0, 1788,640,480:waittimer 17333 blt 0,0,640,480,0, 1790,640,480:waittimer 17353 blt 0,0,640,480,0, 1792,640,480:waittimer 17372 blt 0,0,640,480,0, 1794,640,480:waittimer 17391 blt 0,0,640,480,0, 1796,640,480:waittimer 17411 blt 0,0,640,480,0, 1798,640,480:waittimer 17430 blt 0,0,640,480,0, 1800,640,480:waittimer 17450 blt 0,0,640,480,0, 1802,640,480:waittimer 17469 blt 0,0,640,480,0, 1804,640,480:waittimer 17488 blt 0,0,640,480,0, 1806,640,480:waittimer 17508 blt 0,0,640,480,0, 1808,640,480:waittimer 17527 blt 0,0,640,480,0, 1810,640,480:waittimer 17547 blt 0,0,640,480,0, 1812,640,480:waittimer 17566 blt 0,0,640,480,0, 1814,640,480:waittimer 17585 blt 0,0,640,480,0, 1816,640,480:waittimer 17605 blt 0,0,640,480,0, 1818,640,480:waittimer 17624 blt 0,0,640,480,0, 1820,640,480:waittimer 17643 blt 0,0,640,480,0, 1822,640,480:waittimer 17663 blt 0,0,640,480,0, 1824,640,480:waittimer 17682 blt 0,0,640,480,0, 1826,640,480:waittimer 17702 blt 0,0,640,480,0, 1828,640,480:waittimer 17721 blt 0,0,640,480,0, 1830,640,480:waittimer 17740 blt 0,0,640,480,0, 1832,640,480:waittimer 17760 blt 0,0,640,480,0, 1834,640,480:waittimer 17779 blt 0,0,640,480,0, 1836,640,480:waittimer 17799 blt 0,0,640,480,0, 1838,640,480:waittimer 17818 blt 0,0,640,480,0, 1840,640,480:waittimer 17837 blt 0,0,640,480,0, 1842,640,480:waittimer 17857 blt 0,0,640,480,0, 1844,640,480:waittimer 17876 blt 0,0,640,480,0, 1846,640,480:waittimer 17896 blt 0,0,640,480,0, 1848,640,480:waittimer 17915 blt 0,0,640,480,0, 1850,640,480:waittimer 17934 blt 0,0,640,480,0, 1852,640,480:waittimer 17954 blt 0,0,640,480,0, 1854,640,480:waittimer 17973 blt 0,0,640,480,0, 1856,640,480:waittimer 17992 blt 0,0,640,480,0, 1858,640,480:waittimer 18012 blt 0,0,640,480,0, 1860,640,480:waittimer 18031 blt 0,0,640,480,0, 1862,640,480:waittimer 18051 blt 0,0,640,480,0, 1864,640,480:waittimer 18070 blt 0,0,640,480,0, 1866,640,480:waittimer 18089 blt 0,0,640,480,0, 1868,640,480:waittimer 18109 blt 0,0,640,480,0, 1870,640,480:waittimer 18128 blt 0,0,640,480,0, 1872,640,480:waittimer 18148 blt 0,0,640,480,0, 1874,640,480:waittimer 18167 blt 0,0,640,480,0, 1876,640,480:waittimer 18186 blt 0,0,640,480,0, 1878,640,480:waittimer 18206 blt 0,0,640,480,0, 1880,640,480:waittimer 18225 blt 0,0,640,480,0, 1882,640,480:waittimer 18245 blt 0,0,640,480,0, 1884,640,480:waittimer 18264 blt 0,0,640,480,0, 1886,640,480:waittimer 18283 blt 0,0,640,480,0, 1888,640,480:waittimer 18303 blt 0,0,640,480,0, 1890,640,480:waittimer 18322 blt 0,0,640,480,0, 1892,640,480:waittimer 18341 blt 0,0,640,480,0, 1894,640,480:waittimer 18361 blt 0,0,640,480,0, 1896,640,480:waittimer 18380 blt 0,0,640,480,0, 1898,640,480:waittimer 18400 blt 0,0,640,480,0, 1900,640,480:waittimer 18419 blt 0,0,640,480,0, 1902,640,480:waittimer 18438 blt 0,0,640,480,0, 1904,640,480:waittimer 18458 blt 0,0,640,480,0, 1906,640,480:waittimer 18477 blt 0,0,640,480,0, 1908,640,480:waittimer 18497 blt 0,0,640,480,0, 1910,640,480:waittimer 18516 blt 0,0,640,480,0, 1912,640,480:waittimer 18535 blt 0,0,640,480,0, 1914,640,480:waittimer 18555 blt 0,0,640,480,0, 1916,640,480:waittimer 18574 blt 0,0,640,480,0, 1918,640,480:waittimer 18594 blt 0,0,640,480,0, 1920,640,480:waittimer 18613 blt 0,0,640,480,0, 1922,640,480:waittimer 18632 blt 0,0,640,480,0, 1924,640,480:waittimer 18652 blt 0,0,640,480,0, 1926,640,480:waittimer 18671 blt 0,0,640,480,0, 1928,640,480:waittimer 18690 blt 0,0,640,480,0, 1930,640,480:waittimer 18710 blt 0,0,640,480,0, 1932,640,480:waittimer 18729 blt 0,0,640,480,0, 1934,640,480:waittimer 18749 blt 0,0,640,480,0, 1936,640,480:waittimer 18768 blt 0,0,640,480,0, 1938,640,480:waittimer 18787 blt 0,0,640,480,0, 1940,640,480:waittimer 18807 blt 0,0,640,480,0, 1942,640,480:waittimer 18826 blt 0,0,640,480,0, 1944,640,480:waittimer 18846 blt 0,0,640,480,0, 1946,640,480:waittimer 18865 blt 0,0,640,480,0, 1948,640,480:waittimer 18884 blt 0,0,640,480,0, 1950,640,480:waittimer 18904 blt 0,0,640,480,0, 1952,640,480:waittimer 18923 blt 0,0,640,480,0, 1954,640,480:waittimer 18943 blt 0,0,640,480,0, 1956,640,480:waittimer 18962 blt 0,0,640,480,0, 1958,640,480:waittimer 18981 blt 0,0,640,480,0, 1960,640,480:waittimer 19001 blt 0,0,640,480,0, 1962,640,480:waittimer 19020 blt 0,0,640,480,0, 1964,640,480:waittimer 19039 blt 0,0,640,480,0, 1966,640,480:waittimer 19059 blt 0,0,640,480,0, 1968,640,480:waittimer 19078 blt 0,0,640,480,0, 1970,640,480:waittimer 19098 blt 0,0,640,480,0, 1972,640,480:waittimer 19117 blt 0,0,640,480,0, 1974,640,480:waittimer 19136 blt 0,0,640,480,0, 1976,640,480:waittimer 19156 blt 0,0,640,480,0, 1978,640,480:waittimer 19175 blt 0,0,640,480,0, 1980,640,480:waittimer 19195 blt 0,0,640,480,0, 1982,640,480:waittimer 19214 blt 0,0,640,480,0, 1984,640,480:waittimer 19233 blt 0,0,640,480,0, 1986,640,480:waittimer 19253 blt 0,0,640,480,0, 1988,640,480:waittimer 19272 blt 0,0,640,480,0, 1990,640,480:waittimer 19292 blt 0,0,640,480,0, 1992,640,480:waittimer 19311 blt 0,0,640,480,0, 1994,640,480:waittimer 19330 blt 0,0,640,480,0, 1996,640,480:waittimer 19350 blt 0,0,640,480,0, 1998,640,480:waittimer 19369 blt 0,0,640,480,0, 2000,640,480:waittimer 19388 blt 0,0,640,480,0, 2002,640,480:waittimer 19408 blt 0,0,640,480,0, 2004,640,480:waittimer 19427 blt 0,0,640,480,0, 2006,640,480:waittimer 19447 blt 0,0,640,480,0, 2008,640,480:waittimer 19466 blt 0,0,640,480,0, 2010,640,480:waittimer 19485 blt 0,0,640,480,0, 2012,640,480:waittimer 19505 blt 0,0,640,480,0, 2014,640,480:waittimer 19524 blt 0,0,640,480,0, 2016,640,480:waittimer 19544 blt 0,0,640,480,0, 2018,640,480:waittimer 19563 blt 0,0,640,480,0, 2020,640,480:waittimer 19582 blt 0,0,640,480,0, 2022,640,480:waittimer 19602 blt 0,0,640,480,0, 2024,640,480:waittimer 19621 blt 0,0,640,480,0, 2026,640,480:waittimer 19641 blt 0,0,640,480,0, 2028,640,480:waittimer 19660 blt 0,0,640,480,0, 2030,640,480:waittimer 19679 blt 0,0,640,480,0, 2032,640,480:waittimer 19699 blt 0,0,640,480,0, 2034,640,480:waittimer 19718 blt 0,0,640,480,0, 2036,640,480:waittimer 19737 blt 0,0,640,480,0, 2038,640,480:waittimer 19757 blt 0,0,640,480,0, 2040,640,480:waittimer 19776 blt 0,0,640,480,0, 2042,640,480:waittimer 19796 blt 0,0,640,480,0, 2044,640,480:waittimer 19815 blt 0,0,640,480,0, 2046,640,480:waittimer 19834 blt 0,0,640,480,0, 2048,640,480:waittimer 19854 blt 0,0,640,480,0, 2050,640,480:waittimer 19873 blt 0,0,640,480,0, 2052,640,480:waittimer 19893 blt 0,0,640,480,0, 2054,640,480:waittimer 19912 blt 0,0,640,480,0, 2056,640,480:waittimer 19931 blt 0,0,640,480,0, 2058,640,480:waittimer 19951 blt 0,0,640,480,0, 2060,640,480:waittimer 19970 blt 0,0,640,480,0, 2062,640,480:waittimer 19990 blt 0,0,640,480,0, 2064,640,480:waittimer 20009 blt 0,0,640,480,0, 2066,640,480:waittimer 20028 blt 0,0,640,480,0, 2068,640,480:waittimer 20048 blt 0,0,640,480,0, 2070,640,480:waittimer 20067 blt 0,0,640,480,0, 2072,640,480:waittimer 20086 blt 0,0,640,480,0, 2074,640,480:waittimer 20106 blt 0,0,640,480,0, 2076,640,480:waittimer 20125 blt 0,0,640,480,0, 2078,640,480:waittimer 20145 blt 0,0,640,480,0, 2080,640,480:waittimer 20164 blt 0,0,640,480,0, 2082,640,480:waittimer 20183 blt 0,0,640,480,0, 2084,640,480:waittimer 20203 blt 0,0,640,480,0, 2086,640,480:waittimer 20222 blt 0,0,640,480,0, 2088,640,480:waittimer 20242 blt 0,0,640,480,0, 2090,640,480:waittimer 20261 blt 0,0,640,480,0, 2092,640,480:waittimer 20280 blt 0,0,640,480,0, 2094,640,480:waittimer 20300 blt 0,0,640,480,0, 2096,640,480:waittimer 20319 blt 0,0,640,480,0, 2098,640,480:waittimer 20339 blt 0,0,640,480,0, 2100,640,480:waittimer 20358 blt 0,0,640,480,0, 2102,640,480:waittimer 20377 blt 0,0,640,480,0, 2104,640,480:waittimer 20397 blt 0,0,640,480,0, 2106,640,480:waittimer 20416 blt 0,0,640,480,0, 2108,640,480:waittimer 20435 blt 0,0,640,480,0, 2110,640,480:waittimer 20455 blt 0,0,640,480,0, 2112,640,480:waittimer 20474 blt 0,0,640,480,0, 2114,640,480:waittimer 20494 blt 0,0,640,480,0, 2116,640,480:waittimer 20513 blt 0,0,640,480,0, 2118,640,480:waittimer 20532 blt 0,0,640,480,0, 2120,640,480:waittimer 20552 blt 0,0,640,480,0, 2122,640,480:waittimer 20571 blt 0,0,640,480,0, 2124,640,480:waittimer 20591 blt 0,0,640,480,0, 2126,640,480:waittimer 20610 blt 0,0,640,480,0, 2128,640,480:waittimer 20629 blt 0,0,640,480,0, 2130,640,480:waittimer 20649 blt 0,0,640,480,0, 2132,640,480:waittimer 20668 blt 0,0,640,480,0, 2134,640,480:waittimer 20688 blt 0,0,640,480,0, 2136,640,480:waittimer 20707 blt 0,0,640,480,0, 2138,640,480:waittimer 20726 blt 0,0,640,480,0, 2140,640,480:waittimer 20746 blt 0,0,640,480,0, 2142,640,480:waittimer 20765 blt 0,0,640,480,0, 2144,640,480:waittimer 20784 blt 0,0,640,480,0, 2146,640,480:waittimer 20804 blt 0,0,640,480,0, 2148,640,480:waittimer 20823 blt 0,0,640,480,0, 2150,640,480:waittimer 20843 blt 0,0,640,480,0, 2152,640,480:waittimer 20862 blt 0,0,640,480,0, 2154,640,480:waittimer 20881 blt 0,0,640,480,0, 2156,640,480:waittimer 20901 blt 0,0,640,480,0, 2158,640,480:waittimer 20920 blt 0,0,640,480,0, 2160,640,480:waittimer 20940 blt 0,0,640,480,0, 2162,640,480:waittimer 20959 blt 0,0,640,480,0, 2164,640,480:waittimer 20978 blt 0,0,640,480,0, 2166,640,480:waittimer 20998 blt 0,0,640,480,0, 2168,640,480:waittimer 21017 blt 0,0,640,480,0, 2170,640,480:waittimer 21037 blt 0,0,640,480,0, 2172,640,480:waittimer 21056 blt 0,0,640,480,0, 2174,640,480:waittimer 21075 blt 0,0,640,480,0, 2176,640,480:waittimer 21095 blt 0,0,640,480,0, 2178,640,480:waittimer 21114 blt 0,0,640,480,0, 2180,640,480:waittimer 21133 blt 0,0,640,480,0, 2182,640,480:waittimer 21153 blt 0,0,640,480,0, 2184,640,480:waittimer 21172 blt 0,0,640,480,0, 2186,640,480:waittimer 21192 blt 0,0,640,480,0, 2188,640,480:waittimer 21211 blt 0,0,640,480,0, 2190,640,480:waittimer 21230 blt 0,0,640,480,0, 2192,640,480:waittimer 21250 blt 0,0,640,480,0, 2194,640,480:waittimer 21269 blt 0,0,640,480,0, 2196,640,480:waittimer 21289 blt 0,0,640,480,0, 2198,640,480:waittimer 21308 blt 0,0,640,480,0, 2200,640,480:waittimer 21327 blt 0,0,640,480,0, 2202,640,480:waittimer 21347 blt 0,0,640,480,0, 2204,640,480:waittimer 21366 blt 0,0,640,480,0, 2206,640,480:waittimer 21386 blt 0,0,640,480,0, 2208,640,480:waittimer 21405 blt 0,0,640,480,0, 2210,640,480:waittimer 21424 blt 0,0,640,480,0, 2212,640,480:waittimer 21444 blt 0,0,640,480,0, 2214,640,480:waittimer 21463 blt 0,0,640,480,0, 2216,640,480:waittimer 21482 blt 0,0,640,480,0, 2218,640,480:waittimer 21502 blt 0,0,640,480,0, 2220,640,480:waittimer 21521 blt 0,0,640,480,0, 2222,640,480:waittimer 21541 blt 0,0,640,480,0, 2224,640,480:waittimer 21560 blt 0,0,640,480,0, 2226,640,480:waittimer 21579 blt 0,0,640,480,0, 2228,640,480:waittimer 21599 blt 0,0,640,480,0, 2230,640,480:waittimer 21618 blt 0,0,640,480,0, 2232,640,480:waittimer 21638 blt 0,0,640,480,0, 2234,640,480:waittimer 21657 blt 0,0,640,480,0, 2236,640,480:waittimer 21676 blt 0,0,640,480,0, 2238,640,480:waittimer 21696 blt 0,0,640,480,0, 2240,640,480:waittimer 21715 blt 0,0,640,480,0, 2242,640,480:waittimer 21735 blt 0,0,640,480,0, 2244,640,480:waittimer 21754 blt 0,0,640,480,0, 2246,640,480:waittimer 21773 blt 0,0,640,480,0, 2248,640,480:waittimer 21793 blt 0,0,640,480,0, 2250,640,480:waittimer 21812 blt 0,0,640,480,0, 2252,640,480:waittimer 21831 blt 0,0,640,480,0, 2254,640,480:waittimer 21851 blt 0,0,640,480,0, 2256,640,480:waittimer 21870 blt 0,0,640,480,0, 2258,640,480:waittimer 21890 blt 0,0,640,480,0, 2260,640,480:waittimer 21909 blt 0,0,640,480,0, 2262,640,480:waittimer 21928 blt 0,0,640,480,0, 2264,640,480:waittimer 21948 blt 0,0,640,480,0, 2266,640,480:waittimer 21967 blt 0,0,640,480,0, 2268,640,480:waittimer 21987 blt 0,0,640,480,0, 2270,640,480:waittimer 22006 blt 0,0,640,480,0, 2272,640,480:waittimer 22025 blt 0,0,640,480,0, 2274,640,480:waittimer 22045 blt 0,0,640,480,0, 2276,640,480:waittimer 22064 blt 0,0,640,480,0, 2278,640,480:waittimer 22084 blt 0,0,640,480,0, 2280,640,480:waittimer 22103 blt 0,0,640,480,0, 2282,640,480:waittimer 22122 blt 0,0,640,480,0, 2284,640,480:waittimer 22142 blt 0,0,640,480,0, 2286,640,480:waittimer 22161 blt 0,0,640,480,0, 2288,640,480:waittimer 22180 blt 0,0,640,480,0, 2290,640,480:waittimer 22200 blt 0,0,640,480,0, 2292,640,480:waittimer 22219 blt 0,0,640,480,0, 2294,640,480:waittimer 22239 blt 0,0,640,480,0, 2296,640,480:waittimer 22258 blt 0,0,640,480,0, 2298,640,480:waittimer 22277 blt 0,0,640,480,0, 2300,640,480:waittimer 22297 blt 0,0,640,480,0, 2302,640,480:waittimer 22316 blt 0,0,640,480,0, 2304,640,480:waittimer 22336 blt 0,0,640,480,0, 2306,640,480:waittimer 22355 blt 0,0,640,480,0, 2308,640,480:waittimer 22374 blt 0,0,640,480,0, 2310,640,480:waittimer 22394 blt 0,0,640,480,0, 2312,640,480:waittimer 22413 blt 0,0,640,480,0, 2314,640,480:waittimer 22433 blt 0,0,640,480,0, 2316,640,480:waittimer 22452 blt 0,0,640,480,0, 2318,640,480:waittimer 22471 blt 0,0,640,480,0, 2320,640,480:waittimer 22491 blt 0,0,640,480,0, 2322,640,480:waittimer 22510 blt 0,0,640,480,0, 2324,640,480:waittimer 22529 blt 0,0,640,480,0, 2326,640,480:waittimer 22549 blt 0,0,640,480,0, 2328,640,480:waittimer 22568 blt 0,0,640,480,0, 2330,640,480:waittimer 22588 blt 0,0,640,480,0, 2332,640,480:waittimer 22607 blt 0,0,640,480,0, 2334,640,480:waittimer 22626 blt 0,0,640,480,0, 2336,640,480:waittimer 22646 blt 0,0,640,480,0, 2338,640,480:waittimer 22665 blt 0,0,640,480,0, 2340,640,480:waittimer 22685 blt 0,0,640,480,0, 2342,640,480:waittimer 22704 blt 0,0,640,480,0, 2344,640,480:waittimer 22723 blt 0,0,640,480,0, 2346,640,480:waittimer 22743 blt 0,0,640,480,0, 2348,640,480:waittimer 22762 blt 0,0,640,480,0, 2350,640,480:waittimer 22782 blt 0,0,640,480,0, 2352,640,480:waittimer 22801 blt 0,0,640,480,0, 2354,640,480:waittimer 22820 blt 0,0,640,480,0, 2356,640,480:waittimer 22840 blt 0,0,640,480,0, 2358,640,480:waittimer 22859 blt 0,0,640,480,0, 2360,640,480:waittimer 22878 blt 0,0,640,480,0, 2362,640,480:waittimer 22898 blt 0,0,640,480,0, 2364,640,480:waittimer 22917 blt 0,0,640,480,0, 2366,640,480:waittimer 22937 blt 0,0,640,480,0, 2368,640,480:waittimer 22956 blt 0,0,640,480,0, 2370,640,480:waittimer 22975 blt 0,0,640,480,0, 2372,640,480:waittimer 22995 blt 0,0,640,480,0, 2374,640,480:waittimer 23014 blt 0,0,640,480,0, 2376,640,480:waittimer 23034 blt 0,0,640,480,0, 2378,640,480:waittimer 23053 blt 0,0,640,480,0, 2380,640,480:waittimer 23072 blt 0,0,640,480,0, 2382,640,480:waittimer 23092 blt 0,0,640,480,0, 2384,640,480:waittimer 23111 blt 0,0,640,480,0, 2386,640,480:waittimer 23131 blt 0,0,640,480,0, 2388,640,480:waittimer 23150 blt 0,0,640,480,0, 2390,640,480:waittimer 23169 blt 0,0,640,480,0, 2392,640,480:waittimer 23189 blt 0,0,640,480,0, 2394,640,480:waittimer 23208 blt 0,0,640,480,0, 2396,640,480:waittimer 23227 blt 0,0,640,480,0, 2398,640,480:waittimer 23247 blt 0,0,640,480,0, 2400,640,480:waittimer 23266 blt 0,0,640,480,0, 2402,640,480:waittimer 23286 blt 0,0,640,480,0, 2404,640,480:waittimer 23305 blt 0,0,640,480,0, 2406,640,480:waittimer 23324 blt 0,0,640,480,0, 2408,640,480:waittimer 23344 blt 0,0,640,480,0, 2410,640,480:waittimer 23363 blt 0,0,640,480,0, 2412,640,480:waittimer 23383 blt 0,0,640,480,0, 2414,640,480:waittimer 23402 blt 0,0,640,480,0, 2416,640,480:waittimer 23421 blt 0,0,640,480,0, 2418,640,480:waittimer 23441 blt 0,0,640,480,0, 2420,640,480:waittimer 23460 blt 0,0,640,480,0, 2422,640,480:waittimer 23480 blt 0,0,640,480,0, 2424,640,480:waittimer 23499 blt 0,0,640,480,0, 2426,640,480:waittimer 23518 blt 0,0,640,480,0, 2428,640,480:waittimer 23538 blt 0,0,640,480,0, 2430,640,480:waittimer 23557 blt 0,0,640,480,0, 2432,640,480:waittimer 23576 blt 0,0,640,480,0, 2434,640,480:waittimer 23596 blt 0,0,640,480,0, 2436,640,480:waittimer 23615 blt 0,0,640,480,0, 2438,640,480:waittimer 23635 blt 0,0,640,480,0, 2440,640,480:waittimer 23654 blt 0,0,640,480,0, 2442,640,480:waittimer 23673 blt 0,0,640,480,0, 2444,640,480:waittimer 23693 blt 0,0,640,480,0, 2446,640,480:waittimer 23712 blt 0,0,640,480,0, 2448,640,480:waittimer 23732 blt 0,0,640,480,0, 2450,640,480:waittimer 23751 blt 0,0,640,480,0, 2452,640,480:waittimer 23770 blt 0,0,640,480,0, 2454,640,480:waittimer 23790 blt 0,0,640,480,0, 2456,640,480:waittimer 23809 blt 0,0,640,480,0, 2458,640,480:waittimer 23829 blt 0,0,640,480,0, 2460,640,480:waittimer 23848 blt 0,0,640,480,0, 2462,640,480:waittimer 23867 blt 0,0,640,480,0, 2464,640,480:waittimer 23887 blt 0,0,640,480,0, 2466,640,480:waittimer 23906 blt 0,0,640,480,0, 2468,640,480:waittimer 23925 blt 0,0,640,480,0, 2470,640,480:waittimer 23945 blt 0,0,640,480,0, 2472,640,480:waittimer 23964 blt 0,0,640,480,0, 2474,640,480:waittimer 23984 blt 0,0,640,480,0, 2476,640,480:waittimer 24003 blt 0,0,640,480,0, 2478,640,480:waittimer 24022 blt 0,0,640,480,0, 2480,640,480:waittimer 24042 blt 0,0,640,480,0, 2482,640,480:waittimer 24061 blt 0,0,640,480,0, 2484,640,480:waittimer 24081 blt 0,0,640,480,0, 2486,640,480:waittimer 24100 blt 0,0,640,480,0, 2488,640,480:waittimer 24119 blt 0,0,640,480,0, 2490,640,480:waittimer 24139 blt 0,0,640,480,0, 2492,640,480:waittimer 24158 blt 0,0,640,480,0, 2494,640,480:waittimer 24178 blt 0,0,640,480,0, 2496,640,480:waittimer 24197 blt 0,0,640,480,0, 2498,640,480:waittimer 24216 blt 0,0,640,480,0, 2500,640,480:waittimer 24236 blt 0,0,640,480,0, 2502,640,480:waittimer 24255 blt 0,0,640,480,0, 2504,640,480:waittimer 24274 blt 0,0,640,480,0, 2506,640,480:waittimer 24294 blt 0,0,640,480,0, 2508,640,480:waittimer 24313 blt 0,0,640,480,0, 2510,640,480:waittimer 24333 blt 0,0,640,480,0, 2512,640,480:waittimer 24352 blt 0,0,640,480,0, 2514,640,480:waittimer 24371 blt 0,0,640,480,0, 2516,640,480:waittimer 24391 blt 0,0,640,480,0, 2518,640,480:waittimer 24410 blt 0,0,640,480,0, 2520,640,480:waittimer 24430 blt 0,0,640,480,0, 2522,640,480:waittimer 24449 blt 0,0,640,480,0, 2524,640,480:waittimer 24468 blt 0,0,640,480,0, 2526,640,480:waittimer 24488 blt 0,0,640,480,0, 2528,640,480:waittimer 24507 blt 0,0,640,480,0, 2530,640,480:waittimer 24527 blt 0,0,640,480,0, 2532,640,480:waittimer 24546 blt 0,0,640,480,0, 2534,640,480:waittimer 24565 blt 0,0,640,480,0, 2536,640,480:waittimer 24585 blt 0,0,640,480,0, 2538,640,480:waittimer 24604 blt 0,0,640,480,0, 2540,640,480:waittimer 24623 blt 0,0,640,480,0, 2542,640,480:waittimer 24643 blt 0,0,640,480,0, 2544,640,480:waittimer 24662 blt 0,0,640,480,0, 2546,640,480:waittimer 24682 blt 0,0,640,480,0, 2548,640,480:waittimer 24701 blt 0,0,640,480,0, 2550,640,480:waittimer 24720 blt 0,0,640,480,0, 2552,640,480:waittimer 24740 blt 0,0,640,480,0, 2554,640,480:waittimer 24759 blt 0,0,640,480,0, 2556,640,480:waittimer 24779 blt 0,0,640,480,0, 2558,640,480:waittimer 24798 blt 0,0,640,480,0, 2560,640,480:waittimer 24817 blt 0,0,640,480,0, 2562,640,480:waittimer 24837 blt 0,0,640,480,0, 2564,640,480:waittimer 24856 blt 0,0,640,480,0, 2566,640,480:waittimer 24876 blt 0,0,640,480,0, 2568,640,480:waittimer 24895 blt 0,0,640,480,0, 2570,640,480:waittimer 24914 blt 0,0,640,480,0, 2572,640,480:waittimer 24934 blt 0,0,640,480,0, 2574,640,480:waittimer 24953 blt 0,0,640,480,0, 2576,640,480:waittimer 24972 blt 0,0,640,480,0, 2578,640,480:waittimer 24992 blt 0,0,640,480,0, 2580,640,480:waittimer 25011 blt 0,0,640,480,0, 2582,640,480:waittimer 25031 blt 0,0,640,480,0, 2584,640,480:waittimer 25050 blt 0,0,640,480,0, 2586,640,480:waittimer 25069 blt 0,0,640,480,0, 2588,640,480:waittimer 25089 blt 0,0,640,480,0, 2590,640,480:waittimer 25108 blt 0,0,640,480,0, 2592,640,480:waittimer 25128 blt 0,0,640,480,0, 2594,640,480:waittimer 25147 blt 0,0,640,480,0, 2596,640,480:waittimer 25166 blt 0,0,640,480,0, 2598,640,480:waittimer 25186 blt 0,0,640,480,0, 2600,640,480:waittimer 25205 blt 0,0,640,480,0, 2602,640,480:waittimer 25225 blt 0,0,640,480,0, 2604,640,480:waittimer 25244 blt 0,0,640,480,0, 2606,640,480:waittimer 25263 blt 0,0,640,480,0, 2608,640,480:waittimer 25283 blt 0,0,640,480,0, 2610,640,480:waittimer 25302 blt 0,0,640,480,0, 2612,640,480:waittimer 25321 blt 0,0,640,480,0, 2614,640,480:waittimer 25341 blt 0,0,640,480,0, 2616,640,480:waittimer 25360 blt 0,0,640,480,0, 2618,640,480:waittimer 25380 blt 0,0,640,480,0, 2620,640,480:waittimer 25399 blt 0,0,640,480,0, 2622,640,480:waittimer 25418 blt 0,0,640,480,0, 2624,640,480:waittimer 25438 blt 0,0,640,480,0, 2626,640,480:waittimer 25457 blt 0,0,640,480,0, 2628,640,480:waittimer 25477 blt 0,0,640,480,0, 2630,640,480:waittimer 25496 blt 0,0,640,480,0, 2632,640,480:waittimer 25515 blt 0,0,640,480,0, 2634,640,480:waittimer 25535 blt 0,0,640,480,0, 2636,640,480:waittimer 25554 blt 0,0,640,480,0, 2638,640,480:waittimer 25574 blt 0,0,640,480,0, 2640,640,480:waittimer 25593 blt 0,0,640,480,0, 2642,640,480:waittimer 25612 blt 0,0,640,480,0, 2644,640,480:waittimer 25632 blt 0,0,640,480,0, 2646,640,480:waittimer 25651 blt 0,0,640,480,0, 2648,640,480:waittimer 25670 blt 0,0,640,480,0, 2650,640,480:waittimer 25690 blt 0,0,640,480,0, 2652,640,480:waittimer 25709 blt 0,0,640,480,0, 2654,640,480:waittimer 25729 blt 0,0,640,480,0, 2656,640,480:waittimer 25748 blt 0,0,640,480,0, 2658,640,480:waittimer 25767 blt 0,0,640,480,0, 2660,640,480:waittimer 25787 blt 0,0,640,480,0, 2662,640,480:waittimer 25806 blt 0,0,640,480,0, 2664,640,480:waittimer 25826 blt 0,0,640,480,0, 2666,640,480:waittimer 25845 blt 0,0,640,480,0, 2668,640,480:waittimer 25864 blt 0,0,640,480,0, 2670,640,480:waittimer 25884 blt 0,0,640,480,0, 2672,640,480:waittimer 25903 blt 0,0,640,480,0, 2674,640,480:waittimer 25923 blt 0,0,640,480,0, 2676,640,480:waittimer 25942 blt 0,0,640,480,0, 2678,640,480:waittimer 25961 blt 0,0,640,480,0, 2680,640,480:waittimer 25981 blt 0,0,640,480,0, 2682,640,480:waittimer 26000 blt 0,0,640,480,0, 2684,640,480:waittimer 26019 blt 0,0,640,480,0, 2686,640,480:waittimer 26039 blt 0,0,640,480,0, 2688,640,480:waittimer 26058 blt 0,0,640,480,0, 2690,640,480:waittimer 26078 blt 0,0,640,480,0, 2692,640,480:waittimer 26097 blt 0,0,640,480,0, 2694,640,480:waittimer 26116 blt 0,0,640,480,0, 2696,640,480:waittimer 26136 blt 0,0,640,480,0, 2698,640,480:waittimer 26155 blt 0,0,640,480,0, 2700,640,480:waittimer 26175 blt 0,0,640,480,0, 2702,640,480:waittimer 26194 blt 0,0,640,480,0, 2704,640,480:waittimer 26213 blt 0,0,640,480,0, 2706,640,480:waittimer 26233 blt 0,0,640,480,0, 2708,640,480:waittimer 26252 blt 0,0,640,480,0, 2710,640,480:waittimer 26272 blt 0,0,640,480,0, 2712,640,480:waittimer 26291 blt 0,0,640,480,0, 2714,640,480:waittimer 26310 blt 0,0,640,480,0, 2716,640,480:waittimer 26330 blt 0,0,640,480,0, 2718,640,480:waittimer 26349 blt 0,0,640,480,0, 2720,640,480:waittimer 26368 blt 0,0,640,480,0, 2722,640,480:waittimer 26388 blt 0,0,640,480,0, 2724,640,480:waittimer 26407 blt 0,0,640,480,0, 2726,640,480:waittimer 26427 blt 0,0,640,480,0, 2728,640,480:waittimer 26446 blt 0,0,640,480,0, 2730,640,480:waittimer 26465 blt 0,0,640,480,0, 2732,640,480:waittimer 26485 blt 0,0,640,480,0, 2734,640,480:waittimer 26504 blt 0,0,640,480,0, 2736,640,480:waittimer 26524 blt 0,0,640,480,0, 2738,640,480:waittimer 26543 blt 0,0,640,480,0, 2740,640,480:waittimer 26562 blt 0,0,640,480,0, 2742,640,480:waittimer 26582 blt 0,0,640,480,0, 2744,640,480:waittimer 26601 blt 0,0,640,480,0, 2746,640,480:waittimer 26621 blt 0,0,640,480,0, 2748,640,480:waittimer 26640 blt 0,0,640,480,0, 2750,640,480:waittimer 26659 blt 0,0,640,480,0, 2752,640,480:waittimer 26679 blt 0,0,640,480,0, 2754,640,480:waittimer 26698 blt 0,0,640,480,0, 2756,640,480:waittimer 26717 blt 0,0,640,480,0, 2758,640,480:waittimer 26737 blt 0,0,640,480,0, 2760,640,480:waittimer 26756 blt 0,0,640,480,0, 2762,640,480:waittimer 26776 blt 0,0,640,480,0, 2764,640,480:waittimer 26795 blt 0,0,640,480,0, 2766,640,480:waittimer 26814 blt 0,0,640,480,0, 2768,640,480:waittimer 26834 blt 0,0,640,480,0, 2770,640,480:waittimer 26853 blt 0,0,640,480,0, 2772,640,480:waittimer 26873 blt 0,0,640,480,0, 2774,640,480:waittimer 26892 blt 0,0,640,480,0, 2776,640,480:waittimer 26911 blt 0,0,640,480,0, 2778,640,480:waittimer 26931 blt 0,0,640,480,0, 2780,640,480:waittimer 26950 blt 0,0,640,480,0, 2782,640,480:waittimer 26970 blt 0,0,640,480,0, 2784,640,480:waittimer 26989 blt 0,0,640,480,0, 2786,640,480:waittimer 27008 blt 0,0,640,480,0, 2788,640,480:waittimer 27028 blt 0,0,640,480,0, 2790,640,480:waittimer 27047 blt 0,0,640,480,0, 2792,640,480:waittimer 27066 blt 0,0,640,480,0, 2794,640,480:waittimer 27086 blt 0,0,640,480,0, 2796,640,480:waittimer 27105 blt 0,0,640,480,0, 2798,640,480:waittimer 27125 blt 0,0,640,480,0, 2800,640,480:waittimer 27144 blt 0,0,640,480,0, 2802,640,480:waittimer 27163 blt 0,0,640,480,0, 2804,640,480:waittimer 27183 blt 0,0,640,480,0, 2806,640,480:waittimer 27202 blt 0,0,640,480,0, 2808,640,480:waittimer 27222 blt 0,0,640,480,0, 2810,640,480:waittimer 27241 blt 0,0,640,480,0, 2812,640,480:waittimer 27260 blt 0,0,640,480,0, 2814,640,480:waittimer 27280 blt 0,0,640,480,0, 2816,640,480:waittimer 27299 blt 0,0,640,480,0, 2818,640,480:waittimer 27319 blt 0,0,640,480,0, 2820,640,480:waittimer 27338 blt 0,0,640,480,0, 2822,640,480:waittimer 27357 blt 0,0,640,480,0, 2824,640,480:waittimer 27377 blt 0,0,640,480,0, 2826,640,480:waittimer 27396 blt 0,0,640,480,0, 2828,640,480:waittimer 27415 blt 0,0,640,480,0, 2830,640,480:waittimer 27435 blt 0,0,640,480,0, 2832,640,480:waittimer 27454 blt 0,0,640,480,0, 2834,640,480:waittimer 27474 blt 0,0,640,480,0, 2836,640,480:waittimer 27493 blt 0,0,640,480,0, 2838,640,480:waittimer 27512 blt 0,0,640,480,0, 2840,640,480:waittimer 27532 blt 0,0,640,480,0, 2842,640,480:waittimer 27551 blt 0,0,640,480,0, 2844,640,480:waittimer 27571 blt 0,0,640,480,0, 2846,640,480:waittimer 27590 blt 0,0,640,480,0, 2848,640,480:waittimer 27609 blt 0,0,640,480,0, 2850,640,480:waittimer 27629 blt 0,0,640,480,0, 2852,640,480:waittimer 27648 blt 0,0,640,480,0, 2854,640,480:waittimer 27668 blt 0,0,640,480,0, 2856,640,480:waittimer 27687 blt 0,0,640,480,0, 2858,640,480:waittimer 27706 blt 0,0,640,480,0, 2860,640,480:waittimer 27726 blt 0,0,640,480,0, 2862,640,480:waittimer 27745 blt 0,0,640,480,0, 2864,640,480:waittimer 27764 blt 0,0,640,480,0, 2866,640,480:waittimer 27784 blt 0,0,640,480,0, 2868,640,480:waittimer 27803 blt 0,0,640,480,0, 2870,640,480:waittimer 27823 blt 0,0,640,480,0, 2872,640,480:waittimer 27842 blt 0,0,640,480,0, 2874,640,480:waittimer 27861 blt 0,0,640,480,0, 2876,640,480:waittimer 27881 blt 0,0,640,480,0, 2878,640,480:waittimer 27900 blt 0,0,640,480,0, 2880,640,480:waittimer 27920 blt 0,0,640,480,0, 2882,640,480:waittimer 27939 blt 0,0,640,480,0, 2884,640,480:waittimer 27958 blt 0,0,640,480,0, 2886,640,480:waittimer 27978 blt 0,0,640,480,0, 2888,640,480:waittimer 27997 blt 0,0,640,480,0, 2890,640,480:waittimer 28017 blt 0,0,640,480,0, 2892,640,480:waittimer 28036 blt 0,0,640,480,0, 2894,640,480:waittimer 28055 blt 0,0,640,480,0, 2896,640,480:waittimer 28075 blt 0,0,640,480,0, 2898,640,480:waittimer 28094 blt 0,0,640,480,0, 2900,640,480:waittimer 28113 blt 0,0,640,480,0, 2902,640,480:waittimer 28133 blt 0,0,640,480,0, 2904,640,480:waittimer 28152 blt 0,0,640,480,0, 2906,640,480:waittimer 28172 blt 0,0,640,480,0, 2908,640,480:waittimer 28191 blt 0,0,640,480,0, 2910,640,480:waittimer 28210 blt 0,0,640,480,0, 2912,640,480:waittimer 28230 blt 0,0,640,480,0, 2914,640,480:waittimer 28249 blt 0,0,640,480,0, 2916,640,480:waittimer 28269 blt 0,0,640,480,0, 2918,640,480:waittimer 28288 blt 0,0,640,480,0, 2920,640,480:waittimer 28307 blt 0,0,640,480,0, 2922,640,480:waittimer 28327 blt 0,0,640,480,0, 2924,640,480:waittimer 28346 blt 0,0,640,480,0, 2926,640,480:waittimer 28366 blt 0,0,640,480,0, 2928,640,480:waittimer 28385 blt 0,0,640,480,0, 2930,640,480:waittimer 28404 blt 0,0,640,480,0, 2932,640,480:waittimer 28424 blt 0,0,640,480,0, 2934,640,480:waittimer 28443 blt 0,0,640,480,0, 2936,640,480:waittimer 28462 blt 0,0,640,480,0, 2938,640,480:waittimer 28482 blt 0,0,640,480,0, 2940,640,480:waittimer 28501 blt 0,0,640,480,0, 2942,640,480:waittimer 28521 blt 0,0,640,480,0, 2944,640,480:waittimer 28540 blt 0,0,640,480,0, 2946,640,480:waittimer 28559 blt 0,0,640,480,0, 2948,640,480:waittimer 28579 blt 0,0,640,480,0, 2950,640,480:waittimer 28598 blt 0,0,640,480,0, 2952,640,480:waittimer 28618 blt 0,0,640,480,0, 2954,640,480:waittimer 28637 blt 0,0,640,480,0, 2956,640,480:waittimer 28656 blt 0,0,640,480,0, 2958,640,480:waittimer 28676 blt 0,0,640,480,0, 2960,640,480:waittimer 28695 blt 0,0,640,480,0, 2962,640,480:waittimer 28715 blt 0,0,640,480,0, 2964,640,480:waittimer 28734 blt 0,0,640,480,0, 2966,640,480:waittimer 28753 blt 0,0,640,480,0, 2968,640,480:waittimer 28773 blt 0,0,640,480,0, 2970,640,480:waittimer 28792 blt 0,0,640,480,0, 2972,640,480:waittimer 28811 blt 0,0,640,480,0, 2974,640,480:waittimer 28831 blt 0,0,640,480,0, 2976,640,480:waittimer 28850 blt 0,0,640,480,0, 2978,640,480:waittimer 28870 blt 0,0,640,480,0, 2980,640,480:waittimer 28889 blt 0,0,640,480,0, 2982,640,480:waittimer 28908 blt 0,0,640,480,0, 2984,640,480:waittimer 28928 blt 0,0,640,480,0, 2986,640,480:waittimer 28947 blt 0,0,640,480,0, 2988,640,480:waittimer 28967 blt 0,0,640,480,0, 2990,640,480:waittimer 28986 blt 0,0,640,480,0, 2992,640,480:waittimer 29005 blt 0,0,640,480,0, 2994,640,480:waittimer 29025 blt 0,0,640,480,0, 2996,640,480:waittimer 29044 blt 0,0,640,480,0, 2998,640,480:waittimer 29064 blt 0,0,640,480,0, 3000,640,480:waittimer 29083 blt 0,0,640,480,0, 3002,640,480:waittimer 29102 blt 0,0,640,480,0, 3004,640,480:waittimer 29122 blt 0,0,640,480,0, 3006,640,480:waittimer 29141 blt 0,0,640,480,0, 3008,640,480:waittimer 29160 blt 0,0,640,480,0, 3010,640,480:waittimer 29180 blt 0,0,640,480,0, 3012,640,480:waittimer 29199 blt 0,0,640,480,0, 3014,640,480:waittimer 29219 blt 0,0,640,480,0, 3016,640,480:waittimer 29238 blt 0,0,640,480,0, 3018,640,480:waittimer 29257 blt 0,0,640,480,0, 3020,640,480:waittimer 29277 blt 0,0,640,480,0, 3022,640,480:waittimer 29296 blt 0,0,640,480,0, 3024,640,480:waittimer 29316 blt 0,0,640,480,0, 3026,640,480:waittimer 29335 blt 0,0,640,480,0, 3028,640,480:waittimer 29354 blt 0,0,640,480,0, 3030,640,480:waittimer 29374 blt 0,0,640,480,0, 3032,640,480:waittimer 29393 blt 0,0,640,480,0, 3034,640,480:waittimer 29413 blt 0,0,640,480,0, 3036,640,480:waittimer 29432 blt 0,0,640,480,0, 3038,640,480:waittimer 29451 blt 0,0,640,480,0, 3040,640,480:waittimer 29471 blt 0,0,640,480,0, 3042,640,480:waittimer 29490 blt 0,0,640,480,0, 3044,640,480:waittimer 29509 blt 0,0,640,480,0, 3046,640,480:waittimer 29529 blt 0,0,640,480,0, 3048,640,480:waittimer 29548 blt 0,0,640,480,0, 3050,640,480:waittimer 29568 blt 0,0,640,480,0, 3052,640,480:waittimer 29587 blt 0,0,640,480,0, 3054,640,480:waittimer 29606 blt 0,0,640,480,0, 3056,640,480:waittimer 29626 blt 0,0,640,480,0, 3058,640,480:waittimer 29645 blt 0,0,640,480,0, 3060,640,480:waittimer 29665 blt 0,0,640,480,0, 3062,640,480:waittimer 29684 blt 0,0,640,480,0, 3064,640,480:waittimer 29703 blt 0,0,640,480,0, 3066,640,480:waittimer 29723 blt 0,0,640,480,0, 3068,640,480:waittimer 29742 blt 0,0,640,480,0, 3070,640,480:waittimer 29762 blt 0,0,640,480,0, 3072,640,480:waittimer 29781 blt 0,0,640,480,0, 3074,640,480:waittimer 29800 blt 0,0,640,480,0, 3076,640,480:waittimer 29820 blt 0,0,640,480,0, 3078,640,480:waittimer 29839 blt 0,0,640,480,0, 3080,640,480:waittimer 29858 blt 0,0,640,480,0, 3082,640,480:waittimer 29878 blt 0,0,640,480,0, 3084,640,480:waittimer 29897 blt 0,0,640,480,0, 3086,640,480:waittimer 29917 blt 0,0,640,480,0, 3088,640,480:waittimer 29936 blt 0,0,640,480,0, 3090,640,480:waittimer 29955 blt 0,0,640,480,0, 3092,640,480:waittimer 29975 blt 0,0,640,480,0, 3094,640,480:waittimer 29994 blt 0,0,640,480,0, 3096,640,480:waittimer 30014 blt 0,0,640,480,0, 3098,640,480:waittimer 30033 blt 0,0,640,480,0, 3100,640,480:waittimer 30052 blt 0,0,640,480,0, 3102,640,480:waittimer 30072 blt 0,0,640,480,0, 3104,640,480:waittimer 30091 blt 0,0,640,480,0, 3106,640,480:waittimer 30111 blt 0,0,640,480,0, 3108,640,480:waittimer 30130 blt 0,0,640,480,0, 3110,640,480:waittimer 30149 blt 0,0,640,480,0, 3112,640,480:waittimer 30169 blt 0,0,640,480,0, 3114,640,480:waittimer 30188 blt 0,0,640,480,0, 3116,640,480:waittimer 30207 blt 0,0,640,480,0, 3118,640,480:waittimer 30227 blt 0,0,640,480,0, 3120,640,480:waittimer 30246 blt 0,0,640,480,0, 3122,640,480:waittimer 30266 blt 0,0,640,480,0, 3124,640,480:waittimer 30285 blt 0,0,640,480,0, 3126,640,480:waittimer 30304 blt 0,0,640,480,0, 3128,640,480:waittimer 30324 blt 0,0,640,480,0, 3130,640,480:waittimer 30343 blt 0,0,640,480,0, 3132,640,480:waittimer 30363 blt 0,0,640,480,0, 3134,640,480:waittimer 30382 blt 0,0,640,480,0, 3136,640,480:waittimer 30401 blt 0,0,640,480,0, 3138,640,480:waittimer 30421 blt 0,0,640,480,0, 3140,640,480:waittimer 30440 blt 0,0,640,480,0, 3142,640,480:waittimer 30460 blt 0,0,640,480,0, 3144,640,480:waittimer 30479 blt 0,0,640,480,0, 3146,640,480:waittimer 30498 blt 0,0,640,480,0, 3148,640,480:waittimer 30518 blt 0,0,640,480,0, 3150,640,480:waittimer 30537 blt 0,0,640,480,0, 3152,640,480:waittimer 30556 blt 0,0,640,480,0, 3154,640,480:waittimer 30576 blt 0,0,640,480,0, 3156,640,480:waittimer 30595 blt 0,0,640,480,0, 3158,640,480:waittimer 30615 blt 0,0,640,480,0, 3160,640,480:waittimer 30634 blt 0,0,640,480,0, 3162,640,480:waittimer 30653 blt 0,0,640,480,0, 3164,640,480:waittimer 30673 blt 0,0,640,480,0, 3166,640,480:waittimer 30692 blt 0,0,640,480,0, 3168,640,480:waittimer 30712 blt 0,0,640,480,0, 3170,640,480:waittimer 30731 blt 0,0,640,480,0, 3172,640,480:waittimer 30750 blt 0,0,640,480,0, 3174,640,480:waittimer 30770 blt 0,0,640,480,0, 3176,640,480:waittimer 30789 blt 0,0,640,480,0, 3178,640,480:waittimer 30809 blt 0,0,640,480,0, 3180,640,480:waittimer 30828 blt 0,0,640,480,0, 3182,640,480:waittimer 30847 blt 0,0,640,480,0, 3184,640,480:waittimer 30867 blt 0,0,640,480,0, 3186,640,480:waittimer 30886 blt 0,0,640,480,0, 3188,640,480:waittimer 30905 blt 0,0,640,480,0, 3190,640,480:waittimer 30925 blt 0,0,640,480,0, 3192,640,480:waittimer 30944 blt 0,0,640,480,0, 3194,640,480:waittimer 30964 blt 0,0,640,480,0, 3196,640,480:waittimer 30983 blt 0,0,640,480,0, 3198,640,480:waittimer 31002 blt 0,0,640,480,0, 3200,640,480:waittimer 31022 blt 0,0,640,480,0, 3202,640,480:waittimer 31041 blt 0,0,640,480,0, 3204,640,480:waittimer 31061 blt 0,0,640,480,0, 3206,640,480:waittimer 31080 blt 0,0,640,480,0, 3208,640,480:waittimer 31099 blt 0,0,640,480,0, 3210,640,480:waittimer 31119 blt 0,0,640,480,0, 3212,640,480:waittimer 31138 blt 0,0,640,480,0, 3214,640,480:waittimer 31158 blt 0,0,640,480,0, 3216,640,480:waittimer 31177 blt 0,0,640,480,0, 3218,640,480:waittimer 31196 blt 0,0,640,480,0, 3220,640,480:waittimer 31216 blt 0,0,640,480,0, 3222,640,480:waittimer 31235 blt 0,0,640,480,0, 3224,640,480:waittimer 31254 blt 0,0,640,480,0, 3226,640,480:waittimer 31274 blt 0,0,640,480,0, 3228,640,480:waittimer 31293 blt 0,0,640,480,0, 3230,640,480:waittimer 31313 blt 0,0,640,480,0, 3232,640,480:waittimer 31332 blt 0,0,640,480,0, 3234,640,480:waittimer 31351 blt 0,0,640,480,0, 3236,640,480:waittimer 31371 blt 0,0,640,480,0, 3238,640,480:waittimer 31390 blt 0,0,640,480,0, 3240,640,480:waittimer 31410 blt 0,0,640,480,0, 3242,640,480:waittimer 31429 blt 0,0,640,480,0, 3244,640,480:waittimer 31448 blt 0,0,640,480,0, 3246,640,480:waittimer 31468 blt 0,0,640,480,0, 3248,640,480:waittimer 31487 blt 0,0,640,480,0, 3250,640,480:waittimer 31507 blt 0,0,640,480,0, 3252,640,480:waittimer 31526 blt 0,0,640,480,0, 3254,640,480:waittimer 31545 blt 0,0,640,480,0, 3256,640,480:waittimer 31565 blt 0,0,640,480,0, 3258,640,480:waittimer 31584 blt 0,0,640,480,0, 3260,640,480:waittimer 31603 blt 0,0,640,480,0, 3262,640,480:waittimer 31623 blt 0,0,640,480,0, 3264,640,480:waittimer 31642 blt 0,0,640,480,0, 3266,640,480:waittimer 31662 blt 0,0,640,480,0, 3268,640,480:waittimer 31681 blt 0,0,640,480,0, 3270,640,480:waittimer 31700 blt 0,0,640,480,0, 3272,640,480:waittimer 31720 blt 0,0,640,480,0, 3274,640,480:waittimer 31739 blt 0,0,640,480,0, 3276,640,480:waittimer 31759 blt 0,0,640,480,0, 3278,640,480:waittimer 31778 blt 0,0,640,480,0, 3280,640,480:waittimer 31797 blt 0,0,640,480,0, 3282,640,480:waittimer 31817 blt 0,0,640,480,0, 3284,640,480:waittimer 31836 blt 0,0,640,480,0, 3286,640,480:waittimer 31856 blt 0,0,640,480,0, 3288,640,480:waittimer 31875 blt 0,0,640,480,0, 3290,640,480:waittimer 31894 blt 0,0,640,480,0, 3292,640,480:waittimer 31914 blt 0,0,640,480,0, 3294,640,480:waittimer 31933 blt 0,0,640,480,0, 3296,640,480:waittimer 31952 blt 0,0,640,480,0, 3298,640,480:waittimer 31972 blt 0,0,640,480,0, 3300,640,480:waittimer 31991 blt 0,0,640,480,0, 3302,640,480:waittimer 32011 blt 0,0,640,480,0, 3304,640,480:waittimer 32030 blt 0,0,640,480,0, 3306,640,480:waittimer 32049 blt 0,0,640,480,0, 3308,640,480:waittimer 32069 blt 0,0,640,480,0, 3310,640,480:waittimer 32088 blt 0,0,640,480,0, 3312,640,480:waittimer 32108 blt 0,0,640,480,0, 3314,640,480:waittimer 32127 blt 0,0,640,480,0, 3316,640,480:waittimer 32146 blt 0,0,640,480,0, 3318,640,480:waittimer 32166 blt 0,0,640,480,0, 3320,640,480:waittimer 32185 blt 0,0,640,480,0, 3322,640,480:waittimer 32205 blt 0,0,640,480,0, 3324,640,480:waittimer 32224 blt 0,0,640,480,0, 3326,640,480:waittimer 32243 blt 0,0,640,480,0, 3328,640,480:waittimer 32263 blt 0,0,640,480,0, 3330,640,480:waittimer 32282 blt 0,0,640,480,0, 3332,640,480:waittimer 32301 blt 0,0,640,480,0, 3334,640,480:waittimer 32321 blt 0,0,640,480,0, 3336,640,480:waittimer 32340 blt 0,0,640,480,0, 3338,640,480:waittimer 32360 blt 0,0,640,480,0, 3340,640,480:waittimer 32379 blt 0,0,640,480,0, 3342,640,480:waittimer 32398 blt 0,0,640,480,0, 3344,640,480:waittimer 32418 blt 0,0,640,480,0, 3346,640,480:waittimer 32437 blt 0,0,640,480,0, 3348,640,480:waittimer 32457 blt 0,0,640,480,0, 3350,640,480:waittimer 32476 blt 0,0,640,480,0, 3352,640,480:waittimer 32495 blt 0,0,640,480,0, 3354,640,480:waittimer 32515 blt 0,0,640,480,0, 3356,640,480:waittimer 32534 blt 0,0,640,480,0, 3358,640,480:waittimer 32554 blt 0,0,640,480,0, 3360,640,480:waittimer 32573 blt 0,0,640,480,0, 3362,640,480:waittimer 32592 blt 0,0,640,480,0, 3364,640,480:waittimer 32612 blt 0,0,640,480,0, 3366,640,480:waittimer 32631 blt 0,0,640,480,0, 3368,640,480:waittimer 32650 blt 0,0,640,480,0, 3370,640,480:waittimer 32670 blt 0,0,640,480,0, 3372,640,480:waittimer 32689 blt 0,0,640,480,0, 3374,640,480:waittimer 32709 blt 0,0,640,480,0, 3376,640,480:waittimer 32728 blt 0,0,640,480,0, 3378,640,480:waittimer 32747 blt 0,0,640,480,0, 3380,640,480:waittimer 32767 blt 0,0,640,480,0, 3382,640,480:waittimer 32786 blt 0,0,640,480,0, 3384,640,480:waittimer 32806 blt 0,0,640,480,0, 3386,640,480:waittimer 32825 blt 0,0,640,480,0, 3388,640,480:waittimer 32844 blt 0,0,640,480,0, 3390,640,480:waittimer 32864 blt 0,0,640,480,0, 3392,640,480:waittimer 32883 blt 0,0,640,480,0, 3394,640,480:waittimer 32903 blt 0,0,640,480,0, 3396,640,480:waittimer 32922 blt 0,0,640,480,0, 3398,640,480:waittimer 32941 blt 0,0,640,480,0, 3400,640,480:waittimer 32961 blt 0,0,640,480,0, 3402,640,480:waittimer 32980 blt 0,0,640,480,0, 3404,640,480:waittimer 32999 blt 0,0,640,480,0, 3406,640,480:waittimer 33019 blt 0,0,640,480,0, 3408,640,480:waittimer 33038 blt 0,0,640,480,0, 3410,640,480:waittimer 33058 blt 0,0,640,480,0, 3412,640,480:waittimer 33077 blt 0,0,640,480,0, 3414,640,480:waittimer 33096 blt 0,0,640,480,0, 3416,640,480:waittimer 33116 blt 0,0,640,480,0, 3418,640,480:waittimer 33135 blt 0,0,640,480,0, 3420,640,480:waittimer 33155 blt 0,0,640,480,0, 3422,640,480:waittimer 33174 blt 0,0,640,480,0, 3424,640,480:waittimer 33193 blt 0,0,640,480,0, 3426,640,480:waittimer 33213 blt 0,0,640,480,0, 3428,640,480:waittimer 33232 blt 0,0,640,480,0, 3430,640,480:waittimer 33252 blt 0,0,640,480,0, 3432,640,480:waittimer 33271 blt 0,0,640,480,0, 3434,640,480:waittimer 33290 blt 0,0,640,480,0, 3436,640,480:waittimer 33310 blt 0,0,640,480,0, 3438,640,480:waittimer 33329 blt 0,0,640,480,0, 3440,640,480:waittimer 33349 blt 0,0,640,480,0, 3442,640,480:waittimer 33368 blt 0,0,640,480,0, 3444,640,480:waittimer 33387 blt 0,0,640,480,0, 3446,640,480:waittimer 33407 blt 0,0,640,480,0, 3448,640,480:waittimer 33426 blt 0,0,640,480,0, 3450,640,480:waittimer 33445 blt 0,0,640,480,0, 3452,640,480:waittimer 33465 blt 0,0,640,480,0, 3454,640,480:waittimer 33484 blt 0,0,640,480,0, 3456,640,480:waittimer 33504 blt 0,0,640,480,0, 3458,640,480:waittimer 33523 blt 0,0,640,480,0, 3460,640,480:waittimer 33542 blt 0,0,640,480,0, 3462,640,480:waittimer 33562 blt 0,0,640,480,0, 3464,640,480:waittimer 33581 blt 0,0,640,480,0, 3466,640,480:waittimer 33601 blt 0,0,640,480,0, 3468,640,480:waittimer 33620 blt 0,0,640,480,0, 3470,640,480:waittimer 33639 blt 0,0,640,480,0, 3472,640,480:waittimer 33659 blt 0,0,640,480,0, 3474,640,480:waittimer 33678 blt 0,0,640,480,0, 3476,640,480:waittimer 33698 blt 0,0,640,480,0, 3478,640,480:waittimer 33717 blt 0,0,640,480,0, 3480,640,480:waittimer 33736 blt 0,0,640,480,0, 3482,640,480:waittimer 33756 blt 0,0,640,480,0, 3484,640,480:waittimer 33775 blt 0,0,640,480,0, 3486,640,480:waittimer 33794 blt 0,0,640,480,0, 3488,640,480:waittimer 33814 blt 0,0,640,480,0, 3490,640,480:waittimer 33833 blt 0,0,640,480,0, 3492,640,480:waittimer 33853 blt 0,0,640,480,0, 3494,640,480:waittimer 33872 blt 0,0,640,480,0, 3496,640,480:waittimer 33891 blt 0,0,640,480,0, 3498,640,480:waittimer 33911 blt 0,0,640,480,0, 3500,640,480:waittimer 33930 blt 0,0,640,480,0, 3502,640,480:waittimer 33950 blt 0,0,640,480,0, 3504,640,480:waittimer 33969 blt 0,0,640,480,0, 3506,640,480:waittimer 33988 blt 0,0,640,480,0, 3508,640,480:waittimer 34008 blt 0,0,640,480,0, 3510,640,480:waittimer 34027 blt 0,0,640,480,0, 3512,640,480:waittimer 34047 blt 0,0,640,480,0, 3514,640,480:waittimer 34066 blt 0,0,640,480,0, 3516,640,480:waittimer 34085 blt 0,0,640,480,0, 3518,640,480:waittimer 34105 blt 0,0,640,480,0, 3520,640,480:waittimer 34124 blt 0,0,640,480,0, 3522,640,480:waittimer 34143 blt 0,0,640,480,0, 3524,640,480:waittimer 34163 blt 0,0,640,480,0, 3526,640,480:waittimer 34182 blt 0,0,640,480,0, 3528,640,480:waittimer 34202 blt 0,0,640,480,0, 3530,640,480:waittimer 34221 blt 0,0,640,480,0, 3532,640,480:waittimer 34240 blt 0,0,640,480,0, 3534,640,480:waittimer 34260 blt 0,0,640,480,0, 3536,640,480:waittimer 34279 blt 0,0,640,480,0, 3538,640,480:waittimer 34299 blt 0,0,640,480,0, 3540,640,480:waittimer 34318 blt 0,0,640,480,0, 3542,640,480:waittimer 34337 blt 0,0,640,480,0, 3544,640,480:waittimer 34357 blt 0,0,640,480,0, 3546,640,480:waittimer 34376 blt 0,0,640,480,0, 3548,640,480:waittimer 34396 blt 0,0,640,480,0, 3550,640,480:waittimer 34415 blt 0,0,640,480,0, 3552,640,480:waittimer 34434 blt 0,0,640,480,0, 3554,640,480:waittimer 34454 blt 0,0,640,480,0, 3556,640,480:waittimer 34473 blt 0,0,640,480,0, 3558,640,480:waittimer 34492 blt 0,0,640,480,0, 3560,640,480:waittimer 34512 blt 0,0,640,480,0, 3562,640,480:waittimer 34531 blt 0,0,640,480,0, 3564,640,480:waittimer 34551 blt 0,0,640,480,0, 3566,640,480:waittimer 34570 blt 0,0,640,480,0, 3568,640,480:waittimer 34589 blt 0,0,640,480,0, 3570,640,480:waittimer 34609 blt 0,0,640,480,0, 3572,640,480:waittimer 34628 blt 0,0,640,480,0, 3574,640,480:waittimer 34648 blt 0,0,640,480,0, 3576,640,480:waittimer 34667 blt 0,0,640,480,0, 3578,640,480:waittimer 34686 blt 0,0,640,480,0, 3580,640,480:waittimer 34706 blt 0,0,640,480,0, 3582,640,480:waittimer 34725 blt 0,0,640,480,0, 3584,640,480:waittimer 34745 blt 0,0,640,480,0, 3586,640,480:waittimer 34764 blt 0,0,640,480,0, 3588,640,480:waittimer 34783 blt 0,0,640,480,0, 3590,640,480:waittimer 34803 blt 0,0,640,480,0, 3592,640,480:waittimer 34822 blt 0,0,640,480,0, 3594,640,480:waittimer 34841 blt 0,0,640,480,0, 3596,640,480:waittimer 34861 blt 0,0,640,480,0, 3598,640,480:waittimer 34880 blt 0,0,640,480,0, 3600,640,480:waittimer 34900 blt 0,0,640,480,0, 3602,640,480:waittimer 34919 blt 0,0,640,480,0, 3604,640,480:waittimer 34938 blt 0,0,640,480,0, 3606,640,480:waittimer 34958 blt 0,0,640,480,0, 3608,640,480:waittimer 34977 blt 0,0,640,480,0, 3610,640,480:waittimer 34997 blt 0,0,640,480,0, 3612,640,480:waittimer 35016 blt 0,0,640,480,0, 3614,640,480:waittimer 35035 blt 0,0,640,480,0, 3616,640,480:waittimer 35055 blt 0,0,640,480,0, 3618,640,480:waittimer 35074 blt 0,0,640,480,0, 3620,640,480:waittimer 35094 blt 0,0,640,480,0, 3622,640,480:waittimer 35113 blt 0,0,640,480,0, 3624,640,480:waittimer 35132 blt 0,0,640,480,0, 3626,640,480:waittimer 35152 blt 0,0,640,480,0, 3628,640,480:waittimer 35171 blt 0,0,640,480,0, 3630,640,480:waittimer 35190 blt 0,0,640,480,0, 3632,640,480:waittimer 35210 blt 0,0,640,480,0, 3634,640,480:waittimer 35229 blt 0,0,640,480,0, 3636,640,480:waittimer 35249 blt 0,0,640,480,0, 3638,640,480:waittimer 35268 blt 0,0,640,480,0, 3640,640,480:waittimer 35287 blt 0,0,640,480,0, 3642,640,480:waittimer 35307 blt 0,0,640,480,0, 3644,640,480:waittimer 35326 blt 0,0,640,480,0, 3646,640,480:waittimer 35346 blt 0,0,640,480,0, 3648,640,480:waittimer 35365 blt 0,0,640,480,0, 3650,640,480:waittimer 35384 blt 0,0,640,480,0, 3652,640,480:waittimer 35404 blt 0,0,640,480,0, 3654,640,480:waittimer 35423 blt 0,0,640,480,0, 3656,640,480:waittimer 35443 blt 0,0,640,480,0, 3658,640,480:waittimer 35462 blt 0,0,640,480,0, 3660,640,480:waittimer 35481 blt 0,0,640,480,0, 3662,640,480:waittimer 35501 blt 0,0,640,480,0, 3664,640,480:waittimer 35520 blt 0,0,640,480,0, 3666,640,480:waittimer 35539 blt 0,0,640,480,0, 3668,640,480:waittimer 35559 blt 0,0,640,480,0, 3670,640,480:waittimer 35578 blt 0,0,640,480,0, 3672,640,480:waittimer 35598 blt 0,0,640,480,0, 3674,640,480:waittimer 35617 blt 0,0,640,480,0, 3676,640,480:waittimer 35636 blt 0,0,640,480,0, 3678,640,480:waittimer 35656 blt 0,0,640,480,0, 3680,640,480:waittimer 35675 blt 0,0,640,480,0, 3682,640,480:waittimer 35695 blt 0,0,640,480,0, 3684,640,480:waittimer 35714 blt 0,0,640,480,0, 3686,640,480:waittimer 35733 blt 0,0,640,480,0, 3688,640,480:waittimer 35753 blt 0,0,640,480,0, 3690,640,480:waittimer 35772 blt 0,0,640,480,0, 3692,640,480:waittimer 35792 blt 0,0,640,480,0, 3694,640,480:waittimer 35811 blt 0,0,640,480,0, 3696,640,480:waittimer 35830 blt 0,0,640,480,0, 3698,640,480:waittimer 35850 blt 0,0,640,480,0, 3700,640,480:waittimer 35869 blt 0,0,640,480,0, 3702,640,480:waittimer 35888 blt 0,0,640,480,0, 3704,640,480:waittimer 35908 blt 0,0,640,480,0, 3706,640,480:waittimer 35927 blt 0,0,640,480,0, 3708,640,480:waittimer 35947 blt 0,0,640,480,0, 3710,640,480:waittimer 35966 blt 0,0,640,480,0, 3712,640,480:waittimer 35985 blt 0,0,640,480,0, 3714,640,480:waittimer 36005 blt 0,0,640,480,0, 3716,640,480:waittimer 36024 blt 0,0,640,480,0, 3718,640,480:waittimer 36044 blt 0,0,640,480,0, 3720,640,480:waittimer 36063 blt 0,0,640,480,0, 3722,640,480:waittimer 36082 blt 0,0,640,480,0, 3724,640,480:waittimer 36102 blt 0,0,640,480,0, 3726,640,480:waittimer 36121 blt 0,0,640,480,0, 3728,640,480:waittimer 36141 blt 0,0,640,480,0, 3730,640,480:waittimer 36160 blt 0,0,640,480,0, 3732,640,480:waittimer 36179 blt 0,0,640,480,0, 3734,640,480:waittimer 36199 blt 0,0,640,480,0, 3736,640,480:waittimer 36218 blt 0,0,640,480,0, 3738,640,480:waittimer 36237 blt 0,0,640,480,0, 3740,640,480:waittimer 36257 blt 0,0,640,480,0, 3742,640,480:waittimer 36276 blt 0,0,640,480,0, 3744,640,480:waittimer 36296 blt 0,0,640,480,0, 3746,640,480:waittimer 36315 blt 0,0,640,480,0, 3748,640,480:waittimer 36334 blt 0,0,640,480,0, 3750,640,480:waittimer 36354 blt 0,0,640,480,0, 3752,640,480:waittimer 36373 blt 0,0,640,480,0, 3754,640,480:waittimer 36393 blt 0,0,640,480,0, 3756,640,480:waittimer 36412 blt 0,0,640,480,0, 3758,640,480:waittimer 36431 blt 0,0,640,480,0, 3760,640,480:waittimer 36451 blt 0,0,640,480,0, 3762,640,480:waittimer 36470 blt 0,0,640,480,0, 3764,640,480:waittimer 36490 blt 0,0,640,480,0, 3766,640,480:waittimer 36509 blt 0,0,640,480,0, 3768,640,480:waittimer 36528 blt 0,0,640,480,0, 3770,640,480:waittimer 36548 blt 0,0,640,480,0, 3772,640,480:waittimer 36567 blt 0,0,640,480,0, 3774,640,480:waittimer 36586 blt 0,0,640,480,0, 3776,640,480:waittimer 36606 blt 0,0,640,480,0, 3778,640,480:waittimer 36625 blt 0,0,640,480,0, 3780,640,480:waittimer 36645 blt 0,0,640,480,0, 3782,640,480:waittimer 36664 blt 0,0,640,480,0, 3784,640,480:waittimer 36683 blt 0,0,640,480,0, 3786,640,480:waittimer 36703 blt 0,0,640,480,0, 3788,640,480:waittimer 36722 blt 0,0,640,480,0, 3790,640,480:waittimer 36742 blt 0,0,640,480,0, 3792,640,480:waittimer 36761 blt 0,0,640,480,0, 3794,640,480:waittimer 36780 blt 0,0,640,480,0, 3796,640,480:waittimer 36800 blt 0,0,640,480,0, 3798,640,480:waittimer 36819 blt 0,0,640,480,0, 3800,640,480:waittimer 36839 blt 0,0,640,480,0, 3802,640,480:waittimer 36858 blt 0,0,640,480,0, 3804,640,480:waittimer 36877 blt 0,0,640,480,0, 3806,640,480:waittimer 36897 blt 0,0,640,480,0, 3808,640,480:waittimer 36916 blt 0,0,640,480,0, 3810,640,480:waittimer 36935 blt 0,0,640,480,0, 3812,640,480:waittimer 36955 blt 0,0,640,480,0, 3814,640,480:waittimer 36974 blt 0,0,640,480,0, 3816,640,480:waittimer 36994 blt 0,0,640,480,0, 3818,640,480:waittimer 37013 blt 0,0,640,480,0, 3820,640,480:waittimer 37032 blt 0,0,640,480,0, 3822,640,480:waittimer 37052 blt 0,0,640,480,0, 3824,640,480:waittimer 37071 blt 0,0,640,480,0, 3826,640,480:waittimer 37091 blt 0,0,640,480,0, 3828,640,480:waittimer 37110 blt 0,0,640,480,0, 3830,640,480:waittimer 37129 blt 0,0,640,480,0, 3832,640,480:waittimer 37149 blt 0,0,640,480,0, 3834,640,480:waittimer 37168 blt 0,0,640,480,0, 3836,640,480:waittimer 37188 blt 0,0,640,480,0, 3838,640,480:waittimer 37207 blt 0,0,640,480,0, 3840,640,480:waittimer 37226 blt 0,0,640,480,0, 3842,640,480:waittimer 37246 blt 0,0,640,480,0, 3844,640,480:waittimer 37265 blt 0,0,640,480,0, 3846,640,480:waittimer 37284 blt 0,0,640,480,0, 3848,640,480:waittimer 37304 blt 0,0,640,480,0, 3850,640,480:waittimer 37323 blt 0,0,640,480,0, 3852,640,480:waittimer 37343 blt 0,0,640,480,0, 3854,640,480:waittimer 37362 blt 0,0,640,480,0, 3856,640,480:waittimer 37381 blt 0,0,640,480,0, 3858,640,480:waittimer 37401 blt 0,0,640,480,0, 3860,640,480:waittimer 37420 blt 0,0,640,480,0, 3862,640,480:waittimer 37440 blt 0,0,640,480,0, 3864,640,480:waittimer 37459 blt 0,0,640,480,0, 3866,640,480:waittimer 37478 blt 0,0,640,480,0, 3868,640,480:waittimer 37498 blt 0,0,640,480,0, 3870,640,480:waittimer 37517 blt 0,0,640,480,0, 3872,640,480:waittimer 37537 blt 0,0,640,480,0, 3874,640,480:waittimer 37556 blt 0,0,640,480,0, 3876,640,480:waittimer 37575 blt 0,0,640,480,0, 3878,640,480:waittimer 37595 blt 0,0,640,480,0, 3880,640,480:waittimer 37614 blt 0,0,640,480,0, 3882,640,480:waittimer 37633 blt 0,0,640,480,0, 3884,640,480:waittimer 37653 blt 0,0,640,480,0, 3886,640,480:waittimer 37672 blt 0,0,640,480,0, 3888,640,480:waittimer 37692 blt 0,0,640,480,0, 3890,640,480:waittimer 37711 blt 0,0,640,480,0, 3892,640,480:waittimer 37730 blt 0,0,640,480,0, 3894,640,480:waittimer 37750 blt 0,0,640,480,0, 3896,640,480:waittimer 37769 blt 0,0,640,480,0, 3898,640,480:waittimer 37789 blt 0,0,640,480,0, 3900,640,480:waittimer 37808 blt 0,0,640,480,0, 3902,640,480:waittimer 37827 blt 0,0,640,480,0, 3904,640,480:waittimer 37847 blt 0,0,640,480,0, 3906,640,480:waittimer 37866 blt 0,0,640,480,0, 3908,640,480:waittimer 37886 blt 0,0,640,480,0, 3910,640,480:waittimer 37905 blt 0,0,640,480,0, 3912,640,480:waittimer 37924 blt 0,0,640,480,0, 3914,640,480:waittimer 37944 blt 0,0,640,480,0, 3916,640,480:waittimer 37963 blt 0,0,640,480,0, 3918,640,480:waittimer 37982 blt 0,0,640,480,0, 3920,640,480:waittimer 38002 blt 0,0,640,480,0, 3922,640,480:waittimer 38021 blt 0,0,640,480,0, 3924,640,480:waittimer 38041 blt 0,0,640,480,0, 3926,640,480:waittimer 38060 blt 0,0,640,480,0, 3928,640,480:waittimer 38079 blt 0,0,640,480,0, 3930,640,480:waittimer 38099 blt 0,0,640,480,0, 3932,640,480:waittimer 38118 blt 0,0,640,480,0, 3934,640,480:waittimer 38138 blt 0,0,640,480,0, 3936,640,480:waittimer 38157 blt 0,0,640,480,0, 3938,640,480:waittimer 38176 blt 0,0,640,480,0, 3940,640,480:waittimer 38196 blt 0,0,640,480,0, 3942,640,480:waittimer 38215 blt 0,0,640,480,0, 3944,640,480:waittimer 38235 blt 0,0,640,480,0, 3946,640,480:waittimer 38254 blt 0,0,640,480,0, 3948,640,480:waittimer 38273 blt 0,0,640,480,0, 3950,640,480:waittimer 38293 blt 0,0,640,480,0, 3952,640,480:waittimer 38312 blt 0,0,640,480,0, 3954,640,480:waittimer 38331 blt 0,0,640,480,0, 3956,640,480:waittimer 38351 blt 0,0,640,480,0, 3958,640,480:waittimer 38370 blt 0,0,640,480,0, 3960,640,480:waittimer 38390 blt 0,0,640,480,0, 3962,640,480:waittimer 38409 blt 0,0,640,480,0, 3964,640,480:waittimer 38428 blt 0,0,640,480,0, 3966,640,480:waittimer 38448 blt 0,0,640,480,0, 3968,640,480:waittimer 38467 blt 0,0,640,480,0, 3970,640,480:waittimer 38487 blt 0,0,640,480,0, 3972,640,480:waittimer 38506 blt 0,0,640,480,0, 3974,640,480:waittimer 38525 blt 0,0,640,480,0, 3976,640,480:waittimer 38545 blt 0,0,640,480,0, 3978,640,480:waittimer 38564 blt 0,0,640,480,0, 3980,640,480:waittimer 38584 blt 0,0,640,480,0, 3982,640,480:waittimer 38603 blt 0,0,640,480,0, 3984,640,480:waittimer 38622 blt 0,0,640,480,0, 3986,640,480:waittimer 38642 blt 0,0,640,480,0, 3988,640,480:waittimer 38661 blt 0,0,640,480,0, 3990,640,480:waittimer 38680 blt 0,0,640,480,0, 3992,640,480:waittimer 38700 blt 0,0,640,480,0, 3994,640,480:waittimer 38719 blt 0,0,640,480,0, 3996,640,480:waittimer 38739 blt 0,0,640,480,0, 3998,640,480:waittimer 38758 blt 0,0,640,480,0, 4000,640,480:waittimer 38777 blt 0,0,640,480,0, 4002,640,480:waittimer 38797 blt 0,0,640,480,0, 4004,640,480:waittimer 38816 blt 0,0,640,480,0, 4006,640,480:waittimer 38836 blt 0,0,640,480,0, 4008,640,480:waittimer 38855 blt 0,0,640,480,0, 4010,640,480:waittimer 38874 blt 0,0,640,480,0, 4012,640,480:waittimer 38894 blt 0,0,640,480,0, 4014,640,480:waittimer 38913 blt 0,0,640,480,0, 4016,640,480:waittimer 38933 blt 0,0,640,480,0, 4018,640,480:waittimer 38952 blt 0,0,640,480,0, 4020,640,480:waittimer 38971 blt 0,0,640,480,0, 4022,640,480:waittimer 38991 blt 0,0,640,480,0, 4024,640,480:waittimer 39010 blt 0,0,640,480,0, 4026,640,480:waittimer 39029 blt 0,0,640,480,0, 4028,640,480:waittimer 39049 blt 0,0,640,480,0, 4030,640,480:waittimer 39068 blt 0,0,640,480,0, 4032,640,480:waittimer 39088 blt 0,0,640,480,0, 4034,640,480:waittimer 39107 blt 0,0,640,480,0, 4036,640,480:waittimer 39126 blt 0,0,640,480,0, 4038,640,480:waittimer 39146 blt 0,0,640,480,0, 4040,640,480:waittimer 39165 blt 0,0,640,480,0, 4042,640,480:waittimer 39185 blt 0,0,640,480,0, 4044,640,480:waittimer 39204 blt 0,0,640,480,0, 4046,640,480:waittimer 39223 blt 0,0,640,480,0, 4048,640,480:waittimer 39243 blt 0,0,640,480,0, 4050,640,480:waittimer 39262 blt 0,0,640,480,0, 4052,640,480:waittimer 39282 blt 0,0,640,480,0, 4054,640,480:waittimer 39301 blt 0,0,640,480,0, 4056,640,480:waittimer 39320 blt 0,0,640,480,0, 4058,640,480:waittimer 39340 blt 0,0,640,480,0, 4060,640,480:waittimer 39359 blt 0,0,640,480,0, 4062,640,480:waittimer 39378 blt 0,0,640,480,0, 4064,640,480:waittimer 39398 blt 0,0,640,480,0, 4066,640,480:waittimer 39417 blt 0,0,640,480,0, 4068,640,480:waittimer 39437 blt 0,0,640,480,0, 4070,640,480:waittimer 39456 blt 0,0,640,480,0, 4072,640,480:waittimer 39475 blt 0,0,640,480,0, 4074,640,480:waittimer 39495 blt 0,0,640,480,0, 4076,640,480:waittimer 39514 blt 0,0,640,480,0, 4078,640,480:waittimer 39534 blt 0,0,640,480,0, 4080,640,480:waittimer 39553 blt 0,0,640,480,0, 4082,640,480:waittimer 39572 blt 0,0,640,480,0, 4084,640,480:waittimer 39592 blt 0,0,640,480,0, 4086,640,480:waittimer 39611 blt 0,0,640,480,0, 4088,640,480:waittimer 39631 blt 0,0,640,480,0, 4090,640,480:waittimer 39650 blt 0,0,640,480,0, 4092,640,480:waittimer 39669 blt 0,0,640,480,0, 4094,640,480:waittimer 39689 blt 0,0,640,480,0, 4096,640,480:waittimer 39708 blt 0,0,640,480,0, 4098,640,480:waittimer 39727 blt 0,0,640,480,0, 4100,640,480:waittimer 39747 blt 0,0,640,480,0, 4102,640,480:waittimer 39766 blt 0,0,640,480,0, 4104,640,480:waittimer 39786 blt 0,0,640,480,0, 4106,640,480:waittimer 39805 blt 0,0,640,480,0, 4108,640,480:waittimer 39824 blt 0,0,640,480,0, 4110,640,480:waittimer 39844 blt 0,0,640,480,0, 4112,640,480:waittimer 39863 blt 0,0,640,480,0, 4114,640,480:waittimer 39883 blt 0,0,640,480,0, 4116,640,480:waittimer 39902 blt 0,0,640,480,0, 4118,640,480:waittimer 39921 blt 0,0,640,480,0, 4120,640,480:waittimer 39941 blt 0,0,640,480,0, 4122,640,480:waittimer 39960 blt 0,0,640,480,0, 4124,640,480:waittimer 39980 blt 0,0,640,480,0, 4126,640,480:waittimer 39999 blt 0,0,640,480,0, 4128,640,480:waittimer 40018 blt 0,0,640,480,0, 4130,640,480:waittimer 40038 blt 0,0,640,480,0, 4132,640,480:waittimer 40057 blt 0,0,640,480,0, 4134,640,480:waittimer 40076 blt 0,0,640,480,0, 4136,640,480:waittimer 40096 blt 0,0,640,480,0, 4138,640,480:waittimer 40115 blt 0,0,640,480,0, 4140,640,480:waittimer 40135 blt 0,0,640,480,0, 4142,640,480:waittimer 40154 blt 0,0,640,480,0, 4144,640,480:waittimer 40173 blt 0,0,640,480,0, 4146,640,480:waittimer 40193 blt 0,0,640,480,0, 4148,640,480:waittimer 40212 blt 0,0,640,480,0, 4150,640,480:waittimer 40232 blt 0,0,640,480,0, 4152,640,480:waittimer 40251 blt 0,0,640,480,0, 4154,640,480:waittimer 40270 blt 0,0,640,480,0, 4156,640,480:waittimer 40290 blt 0,0,640,480,0, 4158,640,480:waittimer 40309 blt 0,0,640,480,0, 4160,640,480:waittimer 40329 blt 0,0,640,480,0, 4162,640,480:waittimer 40348 blt 0,0,640,480,0, 4164,640,480:waittimer 40367 blt 0,0,640,480,0, 4166,640,480:waittimer 40387 blt 0,0,640,480,0, 4168,640,480:waittimer 40406 blt 0,0,640,480,0, 4170,640,480:waittimer 40425 blt 0,0,640,480,0, 4172,640,480:waittimer 40445 blt 0,0,640,480,0, 4174,640,480:waittimer 40464 blt 0,0,640,480,0, 4176,640,480:waittimer 40484 blt 0,0,640,480,0, 4178,640,480:waittimer 40503 blt 0,0,640,480,0, 4180,640,480:waittimer 40522 blt 0,0,640,480,0, 4182,640,480:waittimer 40542 blt 0,0,640,480,0, 4184,640,480:waittimer 40561 blt 0,0,640,480,0, 4186,640,480:waittimer 40581 blt 0,0,640,480,0, 4188,640,480:waittimer 40600 blt 0,0,640,480,0, 4190,640,480:waittimer 40619 blt 0,0,640,480,0, 4192,640,480:waittimer 40639 blt 0,0,640,480,0, 4194,640,480:waittimer 40658 blt 0,0,640,480,0, 4196,640,480:waittimer 40678 blt 0,0,640,480,0, 4198,640,480:waittimer 40697 blt 0,0,640,480,0, 4200,640,480:waittimer 40716 blt 0,0,640,480,0, 4202,640,480:waittimer 40736 blt 0,0,640,480,0, 4204,640,480:waittimer 40755 blt 0,0,640,480,0, 4206,640,480:waittimer 40774 blt 0,0,640,480,0, 4208,640,480:waittimer 40794 blt 0,0,640,480,0, 4210,640,480:waittimer 40813 blt 0,0,640,480,0, 4212,640,480:waittimer 40833 blt 0,0,640,480,0, 4214,640,480:waittimer 40852 blt 0,0,640,480,0, 4216,640,480:waittimer 40871 blt 0,0,640,480,0, 4218,640,480:waittimer 40891 blt 0,0,640,480,0, 4220,640,480:waittimer 40910 blt 0,0,640,480,0, 4222,640,480:waittimer 40930 blt 0,0,640,480,0, 4224,640,480:waittimer 40949 blt 0,0,640,480,0, 4226,640,480:waittimer 40968 blt 0,0,640,480,0, 4228,640,480:waittimer 40988 blt 0,0,640,480,0, 4230,640,480:waittimer 41007 blt 0,0,640,480,0, 4232,640,480:waittimer 41027 blt 0,0,640,480,0, 4234,640,480:waittimer 41046 blt 0,0,640,480,0, 4236,640,480:waittimer 41065 blt 0,0,640,480,0, 4238,640,480:waittimer 41085 blt 0,0,640,480,0, 4240,640,480:waittimer 41104 blt 0,0,640,480,0, 4242,640,480:waittimer 41123 blt 0,0,640,480,0, 4244,640,480:waittimer 41143 blt 0,0,640,480,0, 4246,640,480:waittimer 41162 blt 0,0,640,480,0, 4248,640,480:waittimer 41182 blt 0,0,640,480,0, 4250,640,480:waittimer 41201 blt 0,0,640,480,0, 4252,640,480:waittimer 41220 blt 0,0,640,480,0, 4254,640,480:waittimer 41240 blt 0,0,640,480,0, 4256,640,480:waittimer 41259 blt 0,0,640,480,0, 4258,640,480:waittimer 41279 blt 0,0,640,480,0, 4260,640,480:waittimer 41298 blt 0,0,640,480,0, 4262,640,480:waittimer 41317 blt 0,0,640,480,0, 4264,640,480:waittimer 41337 blt 0,0,640,480,0, 4266,640,480:waittimer 41356 blt 0,0,640,480,0, 4268,640,480:waittimer 41376 blt 0,0,640,480,0, 4270,640,480:waittimer 41395 blt 0,0,640,480,0, 4272,640,480:waittimer 41414 blt 0,0,640,480,0, 4274,640,480:waittimer 41434 blt 0,0,640,480,0, 4276,640,480:waittimer 41453 blt 0,0,640,480,0, 4278,640,480:waittimer 41472 blt 0,0,640,480,0, 4280,640,480:waittimer 41492 blt 0,0,640,480,0, 4282,640,480:waittimer 41511 blt 0,0,640,480,0, 4284,640,480:waittimer 41531 blt 0,0,640,480,0, 4286,640,480:waittimer 41550 blt 0,0,640,480,0, 4288,640,480:waittimer 41569 blt 0,0,640,480,0, 4290,640,480:waittimer 41589 blt 0,0,640,480,0, 4292,640,480:waittimer 41608 blt 0,0,640,480,0, 4294,640,480:waittimer 41628 blt 0,0,640,480,0, 4296,640,480:waittimer 41647 blt 0,0,640,480,0, 4298,640,480:waittimer 41666 blt 0,0,640,480,0, 4300,640,480:waittimer 41686 blt 0,0,640,480,0, 4302,640,480:waittimer 41705 blt 0,0,640,480,0, 4304,640,480:waittimer 41725 blt 0,0,640,480,0, 4306,640,480:waittimer 41744 blt 0,0,640,480,0, 4308,640,480:waittimer 41763 blt 0,0,640,480,0, 4310,640,480:waittimer 41783 blt 0,0,640,480,0, 4312,640,480:waittimer 41802 blt 0,0,640,480,0, 4314,640,480:waittimer 41821 blt 0,0,640,480,0, 4316,640,480:waittimer 41841 blt 0,0,640,480,0, 4318,640,480:waittimer 41860 blt 0,0,640,480,0, 4320,640,480:waittimer 41880 blt 0,0,640,480,0, 4322,640,480:waittimer 41899 blt 0,0,640,480,0, 4324,640,480:waittimer 41918 blt 0,0,640,480,0, 4326,640,480:waittimer 41938 blt 0,0,640,480,0, 4328,640,480:waittimer 41957 blt 0,0,640,480,0, 4330,640,480:waittimer 41977 blt 0,0,640,480,0, 4332,640,480:waittimer 41996 blt 0,0,640,480,0, 4334,640,480:waittimer 42015 blt 0,0,640,480,0, 4336,640,480:waittimer 42035 blt 0,0,640,480,0, 4338,640,480:waittimer 42054 blt 0,0,640,480,0, 4340,640,480:waittimer 42074 blt 0,0,640,480,0, 4342,640,480:waittimer 42093 blt 0,0,640,480,0, 4344,640,480:waittimer 42112 blt 0,0,640,480,0, 4346,640,480:waittimer 42132 blt 0,0,640,480,0, 4348,640,480:waittimer 42151 blt 0,0,640,480,0, 4350,640,480:waittimer 42170 blt 0,0,640,480,0, 4352,640,480:waittimer 42190 blt 0,0,640,480,0, 4354,640,480:waittimer 42209 blt 0,0,640,480,0, 4356,640,480:waittimer 42229 blt 0,0,640,480,0, 4358,640,480:waittimer 42248 blt 0,0,640,480,0, 4360,640,480:waittimer 42267 blt 0,0,640,480,0, 4362,640,480:waittimer 42287 blt 0,0,640,480,0, 4364,640,480:waittimer 42306 blt 0,0,640,480,0, 4366,640,480:waittimer 42326 blt 0,0,640,480,0, 4368,640,480:waittimer 42345 blt 0,0,640,480,0, 4370,640,480:waittimer 42364 blt 0,0,640,480,0, 4372,640,480:waittimer 42384 blt 0,0,640,480,0, 4374,640,480:waittimer 42403 blt 0,0,640,480,0, 4376,640,480:waittimer 42423 blt 0,0,640,480,0, 4378,640,480:waittimer 42442 blt 0,0,640,480,0, 4380,640,480:waittimer 42461 blt 0,0,640,480,0, 4382,640,480:waittimer 42481 blt 0,0,640,480,0, 4384,640,480:waittimer 42500 blt 0,0,640,480,0, 4386,640,480:waittimer 42519 blt 0,0,640,480,0, 4388,640,480:waittimer 42539 blt 0,0,640,480,0, 4390,640,480:waittimer 42558 blt 0,0,640,480,0, 4392,640,480:waittimer 42578 blt 0,0,640,480,0, 4394,640,480:waittimer 42597 blt 0,0,640,480,0, 4396,640,480:waittimer 42616 blt 0,0,640,480,0, 4398,640,480:waittimer 42636 blt 0,0,640,480,0, 4400,640,480:waittimer 42655 blt 0,0,640,480,0, 4402,640,480:waittimer 42675 blt 0,0,640,480,0, 4404,640,480:waittimer 42694 blt 0,0,640,480,0, 4406,640,480:waittimer 42713 blt 0,0,640,480,0, 4408,640,480:waittimer 42733 blt 0,0,640,480,0, 4410,640,480:waittimer 42752 blt 0,0,640,480,0, 4412,640,480:waittimer 42772 blt 0,0,640,480,0, 4414,640,480:waittimer 42791 blt 0,0,640,480,0, 4416,640,480:waittimer 42810 blt 0,0,640,480,0, 4418,640,480:waittimer 42830 blt 0,0,640,480,0, 4420,640,480:waittimer 42849 blt 0,0,640,480,0, 4422,640,480:waittimer 42868 blt 0,0,640,480,0, 4424,640,480:waittimer 42888 blt 0,0,640,480,0, 4426,640,480:waittimer 42907 blt 0,0,640,480,0, 4428,640,480:waittimer 42927 blt 0,0,640,480,0, 4430,640,480:waittimer 42946 blt 0,0,640,480,0, 4432,640,480:waittimer 42965 blt 0,0,640,480,0, 4434,640,480:waittimer 42985 blt 0,0,640,480,0, 4436,640,480:waittimer 43004 blt 0,0,640,480,0, 4438,640,480:waittimer 43024 blt 0,0,640,480,0, 4440,640,480:waittimer 43043 blt 0,0,640,480,0, 4442,640,480:waittimer 43062 blt 0,0,640,480,0, 4444,640,480:waittimer 43082 blt 0,0,640,480,0, 4446,640,480:waittimer 43101 blt 0,0,640,480,0, 4448,640,480:waittimer 43121 blt 0,0,640,480,0, 4450,640,480:waittimer 43140 blt 0,0,640,480,0, 4452,640,480:waittimer 43159 blt 0,0,640,480,0, 4454,640,480:waittimer 43179 blt 0,0,640,480,0, 4456,640,480:waittimer 43198 blt 0,0,640,480,0, 4458,640,480:waittimer 43217 blt 0,0,640,480,0, 4460,640,480:waittimer 43237 blt 0,0,640,480,0, 4462,640,480:waittimer 43256 blt 0,0,640,480,0, 4464,640,480:waittimer 43276 blt 0,0,640,480,0, 4466,640,480:waittimer 43295 blt 0,0,640,480,0, 4468,640,480:waittimer 43314 blt 0,0,640,480,0, 4470,640,480:waittimer 43334 blt 0,0,640,480,0, 4472,640,480:waittimer 43353 blt 0,0,640,480,0, 4474,640,480:waittimer 43373 blt 0,0,640,480,0, 4476,640,480:waittimer 43392 blt 0,0,640,480,0, 4478,640,480:waittimer 43411 blt 0,0,640,480,0, 4480,640,480:waittimer 43431 blt 0,0,640,480,0, 4482,640,480:waittimer 43450 blt 0,0,640,480,0, 4484,640,480:waittimer 43470 blt 0,0,640,480,0, 4486,640,480:waittimer 43489 blt 0,0,640,480,0, 4488,640,480:waittimer 43508 blt 0,0,640,480,0, 4490,640,480:waittimer 43528 blt 0,0,640,480,0, 4492,640,480:waittimer 43547 blt 0,0,640,480,0, 4494,640,480:waittimer 43566 blt 0,0,640,480,0, 4496,640,480:waittimer 43586 blt 0,0,640,480,0, 4498,640,480:waittimer 43605 blt 0,0,640,480,0, 4500,640,480:waittimer 43625 blt 0,0,640,480,0, 4502,640,480:waittimer 43644 blt 0,0,640,480,0, 4504,640,480:waittimer 43663 blt 0,0,640,480,0, 4506,640,480:waittimer 43683 blt 0,0,640,480,0, 4508,640,480:waittimer 43702 blt 0,0,640,480,0, 4510,640,480:waittimer 43722 blt 0,0,640,480,0, 4512,640,480:waittimer 43741 blt 0,0,640,480,0, 4514,640,480:waittimer 43760 blt 0,0,640,480,0, 4516,640,480:waittimer 43780 blt 0,0,640,480,0, 4518,640,480:waittimer 43799 blt 0,0,640,480,0, 4520,640,480:waittimer 43819 blt 0,0,640,480,0, 4522,640,480:waittimer 43838 blt 0,0,640,480,0, 4524,640,480:waittimer 43857 blt 0,0,640,480,0, 4526,640,480:waittimer 43877 blt 0,0,640,480,0, 4528,640,480:waittimer 43896 blt 0,0,640,480,0, 4530,640,480:waittimer 43915 blt 0,0,640,480,0, 4532,640,480:waittimer 43935 blt 0,0,640,480,0, 4534,640,480:waittimer 43954 blt 0,0,640,480,0, 4536,640,480:waittimer 43974 blt 0,0,640,480,0, 4538,640,480:waittimer 43993 blt 0,0,640,480,0, 4540,640,480:waittimer 44012 blt 0,0,640,480,0, 4542,640,480:waittimer 44032 blt 0,0,640,480,0, 4544,640,480:waittimer 44051 blt 0,0,640,480,0, 4546,640,480:waittimer 44071 blt 0,0,640,480,0, 4548,640,480:waittimer 44090 blt 0,0,640,480,0, 4550,640,480:waittimer 44109 blt 0,0,640,480,0, 4552,640,480:waittimer 44129 blt 0,0,640,480,0, 4554,640,480:waittimer 44148 blt 0,0,640,480,0, 4556,640,480:waittimer 44168 blt 0,0,640,480,0, 4558,640,480:waittimer 44187 blt 0,0,640,480,0, 4560,640,480:waittimer 44206 blt 0,0,640,480,0, 4562,640,480:waittimer 44226 blt 0,0,640,480,0, 4564,640,480:waittimer 44245 blt 0,0,640,480,0, 4566,640,480:waittimer 44264 blt 0,0,640,480,0, 4568,640,480:waittimer 44284 blt 0,0,640,480,0, 4570,640,480:waittimer 44303 blt 0,0,640,480,0, 4572,640,480:waittimer 44323 blt 0,0,640,480,0, 4574,640,480:waittimer 44342 blt 0,0,640,480,0, 4576,640,480:waittimer 44361 blt 0,0,640,480,0, 4578,640,480:waittimer 44381 blt 0,0,640,480,0, 4580,640,480:waittimer 44400 blt 0,0,640,480,0, 4582,640,480:waittimer 44420 blt 0,0,640,480,0, 4584,640,480:waittimer 44439 blt 0,0,640,480,0, 4586,640,480:waittimer 44458 blt 0,0,640,480,0, 4588,640,480:waittimer 44478 blt 0,0,640,480,0, 4590,640,480:waittimer 44497 blt 0,0,640,480,0, 4592,640,480:waittimer 44517 blt 0,0,640,480,0, 4594,640,480:waittimer 44536 blt 0,0,640,480,0, 4596,640,480:waittimer 44555 blt 0,0,640,480,0, 4598,640,480:waittimer 44575 blt 0,0,640,480,0, 4600,640,480:waittimer 44594 blt 0,0,640,480,0, 4602,640,480:waittimer 44613 blt 0,0,640,480,0, 4604,640,480:waittimer 44633 blt 0,0,640,480,0, 4606,640,480:waittimer 44652 blt 0,0,640,480,0, 4608,640,480:waittimer 44672 blt 0,0,640,480,0, 4610,640,480:waittimer 44691 blt 0,0,640,480,0, 4612,640,480:waittimer 44710 blt 0,0,640,480,0, 4614,640,480:waittimer 44730 blt 0,0,640,480,0, 4616,640,480:waittimer 44749 blt 0,0,640,480,0, 4618,640,480:waittimer 44769 blt 0,0,640,480,0, 4620,640,480:waittimer 44788 blt 0,0,640,480,0, 4622,640,480:waittimer 44807 blt 0,0,640,480,0, 4624,640,480:waittimer 44827 blt 0,0,640,480,0, 4626,640,480:waittimer 44846 blt 0,0,640,480,0, 4628,640,480:waittimer 44866 blt 0,0,640,480,0, 4630,640,480:waittimer 44885 blt 0,0,640,480,0, 4632,640,480:waittimer 44904 blt 0,0,640,480,0, 4634,640,480:waittimer 44924 blt 0,0,640,480,0, 4636,640,480:waittimer 44943 blt 0,0,640,480,0, 4638,640,480:waittimer 44962 blt 0,0,640,480,0, 4640,640,480:waittimer 44982 blt 0,0,640,480,0, 4642,640,480:waittimer 45001 blt 0,0,640,480,0, 4644,640,480:waittimer 45021 blt 0,0,640,480,0, 4646,640,480:waittimer 45040 blt 0,0,640,480,0, 4648,640,480:waittimer 45059 blt 0,0,640,480,0, 4650,640,480:waittimer 45079 blt 0,0,640,480,0, 4652,640,480:waittimer 45098 blt 0,0,640,480,0, 4654,640,480:waittimer 45118 blt 0,0,640,480,0, 4656,640,480:waittimer 45137 blt 0,0,640,480,0, 4658,640,480:waittimer 45156 blt 0,0,640,480,0, 4660,640,480:waittimer 45176 blt 0,0,640,480,0, 4662,640,480:waittimer 45195 blt 0,0,640,480,0, 4664,640,480:waittimer 45215 blt 0,0,640,480,0, 4666,640,480:waittimer 45234 blt 0,0,640,480,0, 4668,640,480:waittimer 45253 blt 0,0,640,480,0, 4670,640,480:waittimer 45273 blt 0,0,640,480,0, 4672,640,480:waittimer 45292 blt 0,0,640,480,0, 4674,640,480:waittimer 45311 blt 0,0,640,480,0, 4676,640,480:waittimer 45331 blt 0,0,640,480,0, 4678,640,480:waittimer 45350 blt 0,0,640,480,0, 4680,640,480:waittimer 45370 blt 0,0,640,480,0, 4682,640,480:waittimer 45389 blt 0,0,640,480,0, 4684,640,480:waittimer 45408 blt 0,0,640,480,0, 4686,640,480:waittimer 45428 blt 0,0,640,480,0, 4688,640,480:waittimer 45447 blt 0,0,640,480,0, 4690,640,480:waittimer 45467 blt 0,0,640,480,0, 4692,640,480:waittimer 45486 blt 0,0,640,480,0, 4694,640,480:waittimer 45505 blt 0,0,640,480,0, 4696,640,480:waittimer 45525 blt 0,0,640,480,0, 4698,640,480:waittimer 45544 blt 0,0,640,480,0, 4700,640,480:waittimer 45564 blt 0,0,640,480,0, 4702,640,480:waittimer 45583 blt 0,0,640,480,0, 4704,640,480:waittimer 45602 blt 0,0,640,480,0, 4706,640,480:waittimer 45622 blt 0,0,640,480,0, 4708,640,480:waittimer 45641 blt 0,0,640,480,0, 4710,640,480:waittimer 45660 blt 0,0,640,480,0, 4712,640,480:waittimer 45680 blt 0,0,640,480,0, 4714,640,480:waittimer 45699 blt 0,0,640,480,0, 4716,640,480:waittimer 45719 blt 0,0,640,480,0, 4718,640,480:waittimer 45738 blt 0,0,640,480,0, 4720,640,480:waittimer 45757 blt 0,0,640,480,0, 4722,640,480:waittimer 45777 blt 0,0,640,480,0, 4724,640,480:waittimer 45796 blt 0,0,640,480,0, 4726,640,480:waittimer 45816 blt 0,0,640,480,0, 4728,640,480:waittimer 45835 blt 0,0,640,480,0, 4730,640,480:waittimer 45854 blt 0,0,640,480,0, 4732,640,480:waittimer 45874 blt 0,0,640,480,0, 4734,640,480:waittimer 45893 blt 0,0,640,480,0, 4736,640,480:waittimer 45913 blt 0,0,640,480,0, 4738,640,480:waittimer 45932 blt 0,0,640,480,0, 4740,640,480:waittimer 45951 blt 0,0,640,480,0, 4742,640,480:waittimer 45971 blt 0,0,640,480,0, 4744,640,480:waittimer 45990 blt 0,0,640,480,0, 4746,640,480:waittimer 46009 blt 0,0,640,480,0, 4748,640,480:waittimer 46029 blt 0,0,640,480,0, 4750,640,480:waittimer 46048 blt 0,0,640,480,0, 4752,640,480:waittimer 46068 blt 0,0,640,480,0, 4754,640,480:waittimer 46087 blt 0,0,640,480,0, 4756,640,480:waittimer 46106 blt 0,0,640,480,0, 4758,640,480:waittimer 46126 blt 0,0,640,480,0, 4760,640,480:waittimer 46145 blt 0,0,640,480,0, 4762,640,480:waittimer 46165 blt 0,0,640,480,0, 4764,640,480:waittimer 46184 blt 0,0,640,480,0, 4766,640,480:waittimer 46203 blt 0,0,640,480,0, 4768,640,480:waittimer 46223 blt 0,0,640,480,0, 4770,640,480:waittimer 46242 blt 0,0,640,480,0, 4772,640,480:waittimer 46262 blt 0,0,640,480,0, 4774,640,480:waittimer 46281 blt 0,0,640,480,0, 4776,640,480:waittimer 46300 blt 0,0,640,480,0, 4778,640,480:waittimer 46320 blt 0,0,640,480,0, 4780,640,480:waittimer 46339 blt 0,0,640,480,0, 4782,640,480:waittimer 46358 blt 0,0,640,480,0, 4784,640,480:waittimer 46378 blt 0,0,640,480,0, 4786,640,480:waittimer 46397 blt 0,0,640,480,0, 4788,640,480:waittimer 46417 blt 0,0,640,480,0, 4790,640,480:waittimer 46436 blt 0,0,640,480,0, 4792,640,480:waittimer 46455 blt 0,0,640,480,0, 4794,640,480:waittimer 46475 blt 0,0,640,480,0, 4796,640,480:waittimer 46494 blt 0,0,640,480,0, 4798,640,480:waittimer 46514 blt 0,0,640,480,0, 4800,640,480:waittimer 46533 blt 0,0,640,480,0, 4802,640,480:waittimer 46552 blt 0,0,640,480,0, 4804,640,480:waittimer 46572 blt 0,0,640,480,0, 4806,640,480:waittimer 46591 blt 0,0,640,480,0, 4808,640,480:waittimer 46611 blt 0,0,640,480,0, 4810,640,480:waittimer 46630 blt 0,0,640,480,0, 4812,640,480:waittimer 46649 blt 0,0,640,480,0, 4814,640,480:waittimer 46669 blt 0,0,640,480,0, 4816,640,480:waittimer 46688 blt 0,0,640,480,0, 4818,640,480:waittimer 46707 blt 0,0,640,480,0, 4820,640,480:waittimer 46727 blt 0,0,640,480,0, 4822,640,480:waittimer 46746 blt 0,0,640,480,0, 4824,640,480:waittimer 46766 blt 0,0,640,480,0, 4826,640,480:waittimer 46785 blt 0,0,640,480,0, 4828,640,480:waittimer 46804 blt 0,0,640,480,0, 4830,640,480:waittimer 46824 blt 0,0,640,480,0, 4832,640,480:waittimer 46843 blt 0,0,640,480,0, 4834,640,480:waittimer 46863 blt 0,0,640,480,0, 4836,640,480:waittimer 46882 blt 0,0,640,480,0, 4838,640,480:waittimer 46901 blt 0,0,640,480,0, 4840,640,480:waittimer 46921 blt 0,0,640,480,0, 4842,640,480:waittimer 46940 blt 0,0,640,480,0, 4844,640,480:waittimer 46960 blt 0,0,640,480,0, 4846,640,480:waittimer 46979 blt 0,0,640,480,0, 4848,640,480:waittimer 46998 blt 0,0,640,480,0, 4850,640,480:waittimer 47018 blt 0,0,640,480,0, 4852,640,480:waittimer 47037 blt 0,0,640,480,0, 4854,640,480:waittimer 47056 blt 0,0,640,480,0, 4856,640,480:waittimer 47076 blt 0,0,640,480,0, 4858,640,480:waittimer 47095 blt 0,0,640,480,0, 4860,640,480:waittimer 47115 blt 0,0,640,480,0, 4862,640,480:waittimer 47134 blt 0,0,640,480,0, 4864,640,480:waittimer 47153 blt 0,0,640,480,0, 4866,640,480:waittimer 47173 blt 0,0,640,480,0, 4868,640,480:waittimer 47192 blt 0,0,640,480,0, 4870,640,480:waittimer 47212 blt 0,0,640,480,0, 4872,640,480:waittimer 47231 blt 0,0,640,480,0, 4874,640,480:waittimer 47250 blt 0,0,640,480,0, 4876,640,480:waittimer 47270 blt 0,0,640,480,0, 4878,640,480:waittimer 47289 blt 0,0,640,480,0, 4880,640,480:waittimer 47309 blt 0,0,640,480,0, 4882,640,480:waittimer 47328 blt 0,0,640,480,0, 4884,640,480:waittimer 47347 blt 0,0,640,480,0, 4886,640,480:waittimer 47367 blt 0,0,640,480,0, 4888,640,480:waittimer 47386 blt 0,0,640,480,0, 4890,640,480:waittimer 47405 blt 0,0,640,480,0, 4892,640,480:waittimer 47425 blt 0,0,640,480,0, 4894,640,480:waittimer 47444 blt 0,0,640,480,0, 4896,640,480:waittimer 47464 blt 0,0,640,480,0, 4898,640,480:waittimer 47483 blt 0,0,640,480,0, 4900,640,480:waittimer 47502 blt 0,0,640,480,0, 4902,640,480:waittimer 47522 blt 0,0,640,480,0, 4904,640,480:waittimer 47541 blt 0,0,640,480,0, 4906,640,480:waittimer 47561 blt 0,0,640,480,0, 4908,640,480:waittimer 47580 blt 0,0,640,480,0, 4910,640,480:waittimer 47599 blt 0,0,640,480,0, 4912,640,480:waittimer 47619 blt 0,0,640,480,0, 4914,640,480:waittimer 47638 blt 0,0,640,480,0, 4916,640,480:waittimer 47658 blt 0,0,640,480,0, 4918,640,480:waittimer 47677 blt 0,0,640,480,0, 4920,640,480:waittimer 47696 blt 0,0,640,480,0, 4922,640,480:waittimer 47716 blt 0,0,640,480,0, 4924,640,480:waittimer 47735 blt 0,0,640,480,0, 4926,640,480:waittimer 47754 blt 0,0,640,480,0, 4928,640,480:waittimer 47774 blt 0,0,640,480,0, 4930,640,480:waittimer 47793 blt 0,0,640,480,0, 4932,640,480:waittimer 47813 blt 0,0,640,480,0, 4934,640,480:waittimer 47832 blt 0,0,640,480,0, 4936,640,480:waittimer 47851 blt 0,0,640,480,0, 4938,640,480:waittimer 47871 blt 0,0,640,480,0, 4940,640,480:waittimer 47890 blt 0,0,640,480,0, 4942,640,480:waittimer 47910 blt 0,0,640,480,0, 4944,640,480:waittimer 47929 blt 0,0,640,480,0, 4946,640,480:waittimer 47948 blt 0,0,640,480,0, 4948,640,480:waittimer 47968 blt 0,0,640,480,0, 4950,640,480:waittimer 47987 blt 0,0,640,480,0, 4952,640,480:waittimer 48007 blt 0,0,640,480,0, 4954,640,480:waittimer 48026 blt 0,0,640,480,0, 4956,640,480:waittimer 48045 blt 0,0,640,480,0, 4958,640,480:waittimer 48065 blt 0,0,640,480,0, 4960,640,480:waittimer 48084 blt 0,0,640,480,0, 4962,640,480:waittimer 48103 blt 0,0,640,480,0, 4964,640,480:waittimer 48123 blt 0,0,640,480,0, 4966,640,480:waittimer 48142 blt 0,0,640,480,0, 4968,640,480:waittimer 48162 blt 0,0,640,480,0, 4970,640,480:waittimer 48181 blt 0,0,640,480,0, 4972,640,480:waittimer 48200 blt 0,0,640,480,0, 4974,640,480:waittimer 48220 blt 0,0,640,480,0, 4976,640,480:waittimer 48239 blt 0,0,640,480,0, 4978,640,480:waittimer 48259 blt 0,0,640,480,0, 4980,640,480:waittimer 48278 blt 0,0,640,480,0, 4982,640,480:waittimer 48297 blt 0,0,640,480,0, 4984,640,480:waittimer 48317 blt 0,0,640,480,0, 4986,640,480:waittimer 48336 blt 0,0,640,480,0, 4988,640,480:waittimer 48356 blt 0,0,640,480,0, 4990,640,480:waittimer 48375 blt 0,0,640,480,0, 4992,640,480:waittimer 48394 blt 0,0,640,480,0, 4994,640,480:waittimer 48414 blt 0,0,640,480,0, 4996,640,480:waittimer 48433 blt 0,0,640,480,0, 4998,640,480:waittimer 48452 blt 0,0,640,480,0, 5000,640,480:waittimer 48472 blt 0,0,640,480,0, 5002,640,480:waittimer 48491 blt 0,0,640,480,0, 5004,640,480:waittimer 48511 blt 0,0,640,480,0, 5006,640,480:waittimer 48530 blt 0,0,640,480,0, 5008,640,480:waittimer 48549 blt 0,0,640,480,0, 5010,640,480:waittimer 48569 blt 0,0,640,480,0, 5012,640,480:waittimer 48588 blt 0,0,640,480,0, 5014,640,480:waittimer 48608 blt 0,0,640,480,0, 5016,640,480:waittimer 48627 blt 0,0,640,480,0, 5018,640,480:waittimer 48646 blt 0,0,640,480,0, 5020,640,480:waittimer 48666 blt 0,0,640,480,0, 5022,640,480:waittimer 48685 blt 0,0,640,480,0, 5024,640,480:waittimer 48705 blt 0,0,640,480,0, 5026,640,480:waittimer 48724 blt 0,0,640,480,0, 5028,640,480:waittimer 48743 blt 0,0,640,480,0, 5030,640,480:waittimer 48763 blt 0,0,640,480,0, 5032,640,480:waittimer 48782 blt 0,0,640,480,0, 5034,640,480:waittimer 48801 blt 0,0,640,480,0, 5036,640,480:waittimer 48821 blt 0,0,640,480,0, 5038,640,480:waittimer 48840 blt 0,0,640,480,0, 5040,640,480:waittimer 48860 blt 0,0,640,480,0, 5042,640,480:waittimer 48879 blt 0,0,640,480,0, 5044,640,480:waittimer 48898 blt 0,0,640,480,0, 5046,640,480:waittimer 48918 blt 0,0,640,480,0, 5048,640,480:waittimer 48937 blt 0,0,640,480,0, 5050,640,480:waittimer 48957 blt 0,0,640,480,0, 5052,640,480:waittimer 48976 blt 0,0,640,480,0, 5054,640,480:waittimer 48995 blt 0,0,640,480,0, 5056,640,480:waittimer 49015 blt 0,0,640,480,0, 5058,640,480:waittimer 49034 blt 0,0,640,480,0, 5060,640,480:waittimer 49054 blt 0,0,640,480,0, 5062,640,480:waittimer 49073 blt 0,0,640,480,0, 5064,640,480:waittimer 49092 blt 0,0,640,480,0, 5066,640,480:waittimer 49112 blt 0,0,640,480,0, 5068,640,480:waittimer 49131 blt 0,0,640,480,0, 5070,640,480:waittimer 49150 blt 0,0,640,480,0, 5072,640,480:waittimer 49170 blt 0,0,640,480,0, 5074,640,480:waittimer 49189 blt 0,0,640,480,0, 5076,640,480:waittimer 49209 blt 0,0,640,480,0, 5078,640,480:waittimer 49228 blt 0,0,640,480,0, 5080,640,480:waittimer 49247 blt 0,0,640,480,0, 5082,640,480:waittimer 49267 blt 0,0,640,480,0, 5084,640,480:waittimer 49286 blt 0,0,640,480,0, 5086,640,480:waittimer 49306 blt 0,0,640,480,0, 5088,640,480:waittimer 49325 blt 0,0,640,480,0, 5090,640,480:waittimer 49344 blt 0,0,640,480,0, 5092,640,480:waittimer 49364 blt 0,0,640,480,0, 5094,640,480:waittimer 49383 blt 0,0,640,480,0, 5096,640,480:waittimer 49403 blt 0,0,640,480,0, 5098,640,480:waittimer 49422 blt 0,0,640,480,0, 5100,640,480:waittimer 49441 blt 0,0,640,480,0, 5102,640,480:waittimer 49461 blt 0,0,640,480,0, 5104,640,480:waittimer 49480 blt 0,0,640,480,0, 5106,640,480:waittimer 49499 blt 0,0,640,480,0, 5108,640,480:waittimer 49519 blt 0,0,640,480,0, 5110,640,480:waittimer 49538 blt 0,0,640,480,0, 5112,640,480:waittimer 49558 blt 0,0,640,480,0, 5114,640,480:waittimer 49577 blt 0,0,640,480,0, 5116,640,480:waittimer 49596 blt 0,0,640,480,0, 5118,640,480:waittimer 49616 blt 0,0,640,480,0, 5120,640,480:waittimer 49635 blt 0,0,640,480,0, 5122,640,480:waittimer 49655 blt 0,0,640,480,0, 5124,640,480:waittimer 49674 blt 0,0,640,480,0, 5126,640,480:waittimer 49693 blt 0,0,640,480,0, 5128,640,480:waittimer 49713 blt 0,0,640,480,0, 5130,640,480:waittimer 49732 blt 0,0,640,480,0, 5132,640,480:waittimer 49752 blt 0,0,640,480,0, 5134,640,480:waittimer 49771 blt 0,0,640,480,0, 5136,640,480:waittimer 49790 blt 0,0,640,480,0, 5138,640,480:waittimer 49810 blt 0,0,640,480,0, 5140,640,480:waittimer 49829 blt 0,0,640,480,0, 5142,640,480:waittimer 49849 blt 0,0,640,480,0, 5144,640,480:waittimer 49868 blt 0,0,640,480,0, 5146,640,480:waittimer 49887 blt 0,0,640,480,0, 5148,640,480:waittimer 49907 blt 0,0,640,480,0, 5150,640,480:waittimer 49926 blt 0,0,640,480,0, 5152,640,480:waittimer 49945 blt 0,0,640,480,0, 5154,640,480:waittimer 49965 blt 0,0,640,480,0, 5156,640,480:waittimer 49984 blt 0,0,640,480,0, 5158,640,480:waittimer 50004 blt 0,0,640,480,0, 5160,640,480:waittimer 50023 blt 0,0,640,480,0, 5162,640,480:waittimer 50042 blt 0,0,640,480,0, 5164,640,480:waittimer 50062 blt 0,0,640,480,0, 5166,640,480:waittimer 50081 blt 0,0,640,480,0, 5168,640,480:waittimer 50101 blt 0,0,640,480,0, 5170,640,480:waittimer 50120 blt 0,0,640,480,0, 5172,640,480:waittimer 50139 blt 0,0,640,480,0, 5174,640,480:waittimer 50159 blt 0,0,640,480,0, 5176,640,480:waittimer 50178 blt 0,0,640,480,0, 5178,640,480:waittimer 50198 blt 0,0,640,480,0, 5180,640,480:waittimer 50217 blt 0,0,640,480,0, 5182,640,480:waittimer 50236 blt 0,0,640,480,0, 5184,640,480:waittimer 50256 blt 0,0,640,480,0, 5186,640,480:waittimer 50275 blt 0,0,640,480,0, 5188,640,480:waittimer 50294 blt 0,0,640,480,0, 5190,640,480:waittimer 50314 blt 0,0,640,480,0, 5192,640,480:waittimer 50333 blt 0,0,640,480,0, 5194,640,480:waittimer 50353 blt 0,0,640,480,0, 5196,640,480:waittimer 50372 blt 0,0,640,480,0, 5198,640,480:waittimer 50391 blt 0,0,640,480,0, 5200,640,480:waittimer 50411 blt 0,0,640,480,0, 5202,640,480:waittimer 50430 blt 0,0,640,480,0, 5204,640,480:waittimer 50450 blt 0,0,640,480,0, 5206,640,480:waittimer 50469 blt 0,0,640,480,0, 5208,640,480:waittimer 50488 blt 0,0,640,480,0, 5210,640,480:waittimer 50508 blt 0,0,640,480,0, 5212,640,480:waittimer 50527 blt 0,0,640,480,0, 5214,640,480:waittimer 50547 blt 0,0,640,480,0, 5216,640,480:waittimer 50566 blt 0,0,640,480,0, 5218,640,480:waittimer 50585 blt 0,0,640,480,0, 5220,640,480:waittimer 50605 blt 0,0,640,480,0, 5222,640,480:waittimer 50624 blt 0,0,640,480,0, 5224,640,480:waittimer 50643 blt 0,0,640,480,0, 5226,640,480:waittimer 50663 blt 0,0,640,480,0, 5228,640,480:waittimer 50682 blt 0,0,640,480,0, 5230,640,480:waittimer 50702 blt 0,0,640,480,0, 5232,640,480:waittimer 50721 blt 0,0,640,480,0, 5234,640,480:waittimer 50740 blt 0,0,640,480,0, 5236,640,480:waittimer 50760 blt 0,0,640,480,0, 5238,640,480:waittimer 50779 blt 0,0,640,480,0, 5240,640,480:waittimer 50799 blt 0,0,640,480,0, 5242,640,480:waittimer 50818 blt 0,0,640,480,0, 5244,640,480:waittimer 50837 blt 0,0,640,480,0, 5246,640,480:waittimer 50857 blt 0,0,640,480,0, 5248,640,480:waittimer 50876 blt 0,0,640,480,0, 5250,640,480:waittimer 50896 blt 0,0,640,480,0, 5252,640,480:waittimer 50915 blt 0,0,640,480,0, 5254,640,480:waittimer 50934 blt 0,0,640,480,0, 5256,640,480:waittimer 50954 blt 0,0,640,480,0, 5258,640,480:waittimer 50973 blt 0,0,640,480,0, 5260,640,480:waittimer 50992 blt 0,0,640,480,0, 5262,640,480:waittimer 51012 blt 0,0,640,480,0, 5264,640,480:waittimer 51031 blt 0,0,640,480,0, 5266,640,480:waittimer 51051 blt 0,0,640,480,0, 5268,640,480:waittimer 51070 blt 0,0,640,480,0, 5270,640,480:waittimer 51089 blt 0,0,640,480,0, 5272,640,480:waittimer 51109 blt 0,0,640,480,0, 5274,640,480:waittimer 51128 blt 0,0,640,480,0, 5276,640,480:waittimer 51148 blt 0,0,640,480,0, 5278,640,480:waittimer 51167 blt 0,0,640,480,0, 5280,640,480:waittimer 51186 blt 0,0,640,480,0, 5282,640,480:waittimer 51206 blt 0,0,640,480,0, 5284,640,480:waittimer 51225 blt 0,0,640,480,0, 5286,640,480:waittimer 51245 blt 0,0,640,480,0, 5288,640,480:waittimer 51264 blt 0,0,640,480,0, 5290,640,480:waittimer 51283 blt 0,0,640,480,0, 5292,640,480:waittimer 51303 blt 0,0,640,480,0, 5294,640,480:waittimer 51322 blt 0,0,640,480,0, 5296,640,480:waittimer 51341 blt 0,0,640,480,0, 5298,640,480:waittimer 51361 blt 0,0,640,480,0, 5300,640,480:waittimer 51380 blt 0,0,640,480,0, 5302,640,480:waittimer 51400 blt 0,0,640,480,0, 5304,640,480:waittimer 51419 blt 0,0,640,480,0, 5306,640,480:waittimer 51438 blt 0,0,640,480,0, 5308,640,480:waittimer 51458 blt 0,0,640,480,0, 5310,640,480:waittimer 51477 blt 0,0,640,480,0, 5312,640,480:waittimer 51497 blt 0,0,640,480,0, 5314,640,480:waittimer 51516 blt 0,0,640,480,0, 5316,640,480:waittimer 51535 blt 0,0,640,480,0, 5318,640,480:waittimer 51555 blt 0,0,640,480,0, 5320,640,480:waittimer 51574 blt 0,0,640,480,0, 5322,640,480:waittimer 51594 blt 0,0,640,480,0, 5324,640,480:waittimer 51613 blt 0,0,640,480,0, 5326,640,480:waittimer 51632 blt 0,0,640,480,0, 5328,640,480:waittimer 51652 blt 0,0,640,480,0, 5330,640,480:waittimer 51671 blt 0,0,640,480,0, 5332,640,480:waittimer 51690 blt 0,0,640,480,0, 5334,640,480:waittimer 51710 blt 0,0,640,480,0, 5336,640,480:waittimer 51729 blt 0,0,640,480,0, 5338,640,480:waittimer 51749 blt 0,0,640,480,0, 5340,640,480:waittimer 51768 blt 0,0,640,480,0, 5342,640,480:waittimer 51787 blt 0,0,640,480,0, 5344,640,480:waittimer 51807 blt 0,0,640,480,0, 5346,640,480:waittimer 51826 blt 0,0,640,480,0, 5348,640,480:waittimer 51846 blt 0,0,640,480,0, 5350,640,480:waittimer 51865 blt 0,0,640,480,0, 5352,640,480:waittimer 51884 blt 0,0,640,480,0, 5354,640,480:waittimer 51904 blt 0,0,640,480,0, 5356,640,480:waittimer 51923 blt 0,0,640,480,0, 5358,640,480:waittimer 51943 blt 0,0,640,480,0, 5360,640,480:waittimer 51962 blt 0,0,640,480,0, 5362,640,480:waittimer 51981 blt 0,0,640,480,0, 5364,640,480:waittimer 52001 blt 0,0,640,480,0, 5366,640,480:waittimer 52020 blt 0,0,640,480,0, 5368,640,480:waittimer 52039 blt 0,0,640,480,0, 5370,640,480:waittimer 52059 blt 0,0,640,480,0, 5372,640,480:waittimer 52078 blt 0,0,640,480,0, 5374,640,480:waittimer 52098 blt 0,0,640,480,0, 5376,640,480:waittimer 52117 blt 0,0,640,480,0, 5378,640,480:waittimer 52136 blt 0,0,640,480,0, 5380,640,480:waittimer 52156 blt 0,0,640,480,0, 5382,640,480:waittimer 52175 blt 0,0,640,480,0, 5384,640,480:waittimer 52195 blt 0,0,640,480,0, 5386,640,480:waittimer 52214 blt 0,0,640,480,0, 5388,640,480:waittimer 52233 blt 0,0,640,480,0, 5390,640,480:waittimer 52253 blt 0,0,640,480,0, 5392,640,480:waittimer 52272 blt 0,0,640,480,0, 5394,640,480:waittimer 52292 blt 0,0,640,480,0, 5396,640,480:waittimer 52311 blt 0,0,640,480,0, 5398,640,480:waittimer 52330 blt 0,0,640,480,0, 5400,640,480:waittimer 52350 blt 0,0,640,480,0, 5402,640,480:waittimer 52369 blt 0,0,640,480,0, 5404,640,480:waittimer 52388 blt 0,0,640,480,0, 5406,640,480:waittimer 52408 blt 0,0,640,480,0, 5408,640,480:waittimer 52427 blt 0,0,640,480,0, 5410,640,480:waittimer 52447 blt 0,0,640,480,0, 5412,640,480:waittimer 52466 blt 0,0,640,480,0, 5414,640,480:waittimer 52485 blt 0,0,640,480,0, 5416,640,480:waittimer 52505 blt 0,0,640,480,0, 5418,640,480:waittimer 52524 blt 0,0,640,480,0, 5420,640,480:waittimer 52544 blt 0,0,640,480,0, 5422,640,480:waittimer 52563 blt 0,0,640,480,0, 5424,640,480:waittimer 52582 blt 0,0,640,480,0, 5426,640,480:waittimer 52602 blt 0,0,640,480,0, 5428,640,480:waittimer 52621 blt 0,0,640,480,0, 5430,640,480:waittimer 52641 blt 0,0,640,480,0, 5432,640,480:waittimer 52660 blt 0,0,640,480,0, 5434,640,480:waittimer 52679 blt 0,0,640,480,0, 5436,640,480:waittimer 52699 blt 0,0,640,480,0, 5438,640,480:waittimer 52718 blt 0,0,640,480,0, 5440,640,480:waittimer 52737 blt 0,0,640,480,0, 5442,640,480:waittimer 52757 blt 0,0,640,480,0, 5444,640,480:waittimer 52776 blt 0,0,640,480,0, 5446,640,480:waittimer 52796 blt 0,0,640,480,0, 5448,640,480:waittimer 52815 blt 0,0,640,480,0, 5450,640,480:waittimer 52834 blt 0,0,640,480,0, 5452,640,480:waittimer 52854 blt 0,0,640,480,0, 5454,640,480:waittimer 52873 blt 0,0,640,480,0, 5456,640,480:waittimer 52893 blt 0,0,640,480,0, 5458,640,480:waittimer 52912 blt 0,0,640,480,0, 5460,640,480:waittimer 52931 blt 0,0,640,480,0, 5462,640,480:waittimer 52951 blt 0,0,640,480,0, 5464,640,480:waittimer 52970 blt 0,0,640,480,0, 5466,640,480:waittimer 52990 blt 0,0,640,480,0, 5468,640,480:waittimer 53009 blt 0,0,640,480,0, 5470,640,480:waittimer 53028 blt 0,0,640,480,0, 5472,640,480:waittimer 53048 blt 0,0,640,480,0, 5474,640,480:waittimer 53067 blt 0,0,640,480,0, 5476,640,480:waittimer 53086 blt 0,0,640,480,0, 5478,640,480:waittimer 53106 blt 0,0,640,480,0, 5480,640,480:waittimer 53125 blt 0,0,640,480,0, 5482,640,480:waittimer 53145 blt 0,0,640,480,0, 5484,640,480:waittimer 53164 blt 0,0,640,480,0, 5486,640,480:waittimer 53183 blt 0,0,640,480,0, 5488,640,480:waittimer 53203 blt 0,0,640,480,0, 5490,640,480:waittimer 53222 blt 0,0,640,480,0, 5492,640,480:waittimer 53242 blt 0,0,640,480,0, 5494,640,480:waittimer 53261 blt 0,0,640,480,0, 5496,640,480:waittimer 53280 blt 0,0,640,480,0, 5498,640,480:waittimer 53300 blt 0,0,640,480,0, 5500,640,480:waittimer 53319 blt 0,0,640,480,0, 5502,640,480:waittimer 53339 blt 0,0,640,480,0, 5504,640,480:waittimer 53358 blt 0,0,640,480,0, 5506,640,480:waittimer 53377 blt 0,0,640,480,0, 5508,640,480:waittimer 53397 blt 0,0,640,480,0, 5510,640,480:waittimer 53416 blt 0,0,640,480,0, 5512,640,480:waittimer 53435 blt 0,0,640,480,0, 5514,640,480:waittimer 53455 blt 0,0,640,480,0, 5516,640,480:waittimer 53474 blt 0,0,640,480,0, 5518,640,480:waittimer 53494 blt 0,0,640,480,0, 5520,640,480:waittimer 53513 blt 0,0,640,480,0, 5522,640,480:waittimer 53532 blt 0,0,640,480,0, 5524,640,480:waittimer 53552 blt 0,0,640,480,0, 5526,640,480:waittimer 53571 blt 0,0,640,480,0, 5528,640,480:waittimer 53591 blt 0,0,640,480,0, 5530,640,480:waittimer 53610 blt 0,0,640,480,0, 5532,640,480:waittimer 53629 blt 0,0,640,480,0, 5534,640,480:waittimer 53649 blt 0,0,640,480,0, 5536,640,480:waittimer 53668 blt 0,0,640,480,0, 5538,640,480:waittimer 53688 blt 0,0,640,480,0, 5540,640,480:waittimer 53707 blt 0,0,640,480,0, 5542,640,480:waittimer 53726 blt 0,0,640,480,0, 5544,640,480:waittimer 53746 blt 0,0,640,480,0, 5546,640,480:waittimer 53765 blt 0,0,640,480,0, 5548,640,480:waittimer 53784 blt 0,0,640,480,0, 5550,640,480:waittimer 53804 blt 0,0,640,480,0, 5552,640,480:waittimer 53823 blt 0,0,640,480,0, 5554,640,480:waittimer 53843 blt 0,0,640,480,0, 5556,640,480:waittimer 53862 blt 0,0,640,480,0, 5558,640,480:waittimer 53881 blt 0,0,640,480,0, 5560,640,480:waittimer 53901 blt 0,0,640,480,0, 5562,640,480:waittimer 53920 blt 0,0,640,480,0, 5564,640,480:waittimer 53940 blt 0,0,640,480,0, 5566,640,480:waittimer 53959 blt 0,0,640,480,0, 5568,640,480:waittimer 53978 blt 0,0,640,480,0, 5570,640,480:waittimer 53998 blt 0,0,640,480,0, 5572,640,480:waittimer 54017 blt 0,0,640,480,0, 5574,640,480:waittimer 54037 blt 0,0,640,480,0, 5576,640,480:waittimer 54056 blt 0,0,640,480,0, 5578,640,480:waittimer 54075 blt 0,0,640,480,0, 5580,640,480:waittimer 54095 blt 0,0,640,480,0, 5582,640,480:waittimer 54114 blt 0,0,640,480,0, 5584,640,480:waittimer 54133 blt 0,0,640,480,0, 5586,640,480:waittimer 54153 blt 0,0,640,480,0, 5588,640,480:waittimer 54172 blt 0,0,640,480,0, 5590,640,480:waittimer 54192 blt 0,0,640,480,0, 5592,640,480:waittimer 54211 blt 0,0,640,480,0, 5594,640,480:waittimer 54230 blt 0,0,640,480,0, 5596,640,480:waittimer 54250 blt 0,0,640,480,0, 5598,640,480:waittimer 54269 blt 0,0,640,480,0, 5600,640,480:waittimer 54289 blt 0,0,640,480,0, 5602,640,480:waittimer 54308 blt 0,0,640,480,0, 5604,640,480:waittimer 54327 blt 0,0,640,480,0, 5606,640,480:waittimer 54347 blt 0,0,640,480,0, 5608,640,480:waittimer 54366 blt 0,0,640,480,0, 5610,640,480:waittimer 54386 blt 0,0,640,480,0, 5612,640,480:waittimer 54405 blt 0,0,640,480,0, 5614,640,480:waittimer 54424 blt 0,0,640,480,0, 5616,640,480:waittimer 54444 blt 0,0,640,480,0, 5618,640,480:waittimer 54463 blt 0,0,640,480,0, 5620,640,480:waittimer 54482 blt 0,0,640,480,0, 5622,640,480:waittimer 54502 blt 0,0,640,480,0, 5624,640,480:waittimer 54521 blt 0,0,640,480,0, 5626,640,480:waittimer 54541 blt 0,0,640,480,0, 5628,640,480:waittimer 54560 blt 0,0,640,480,0, 5630,640,480:waittimer 54579 blt 0,0,640,480,0, 5632,640,480:waittimer 54599 blt 0,0,640,480,0, 5634,640,480:waittimer 54618 blt 0,0,640,480,0, 5636,640,480:waittimer 54638 blt 0,0,640,480,0, 5638,640,480:waittimer 54657 blt 0,0,640,480,0, 5640,640,480:waittimer 54676 blt 0,0,640,480,0, 5642,640,480:waittimer 54696 blt 0,0,640,480,0, 5644,640,480:waittimer 54715 blt 0,0,640,480,0, 5646,640,480:waittimer 54735 blt 0,0,640,480,0, 5648,640,480:waittimer 54754 blt 0,0,640,480,0, 5650,640,480:waittimer 54773 blt 0,0,640,480,0, 5652,640,480:waittimer 54793 blt 0,0,640,480,0, 5654,640,480:waittimer 54812 blt 0,0,640,480,0, 5656,640,480:waittimer 54831 blt 0,0,640,480,0, 5658,640,480:waittimer 54851 blt 0,0,640,480,0, 5660,640,480:waittimer 54870 blt 0,0,640,480,0, 5662,640,480:waittimer 54890 blt 0,0,640,480,0, 5664,640,480:waittimer 54909 blt 0,0,640,480,0, 5666,640,480:waittimer 54928 blt 0,0,640,480,0, 5668,640,480:waittimer 54948 blt 0,0,640,480,0, 5670,640,480:waittimer 54967 blt 0,0,640,480,0, 5672,640,480:waittimer 54987 blt 0,0,640,480,0, 5674,640,480:waittimer 55006 blt 0,0,640,480,0, 5676,640,480:waittimer 55025 blt 0,0,640,480,0, 5678,640,480:waittimer 55045 blt 0,0,640,480,0, 5680,640,480:waittimer 55064 blt 0,0,640,480,0, 5682,640,480:waittimer 55084 blt 0,0,640,480,0, 5684,640,480:waittimer 55103 blt 0,0,640,480,0, 5686,640,480:waittimer 55122 blt 0,0,640,480,0, 5688,640,480:waittimer 55142 blt 0,0,640,480,0, 5690,640,480:waittimer 55161 blt 0,0,640,480,0, 5692,640,480:waittimer 55180 blt 0,0,640,480,0, 5694,640,480:waittimer 55200 blt 0,0,640,480,0, 5696,640,480:waittimer 55219 blt 0,0,640,480,0, 5698,640,480:waittimer 55239 blt 0,0,640,480,0, 5700,640,480:waittimer 55258 blt 0,0,640,480,0, 5702,640,480:waittimer 55277 blt 0,0,640,480,0, 5704,640,480:waittimer 55297 blt 0,0,640,480,0, 5706,640,480:waittimer 55316 blt 0,0,640,480,0, 5708,640,480:waittimer 55336 blt 0,0,640,480,0, 5710,640,480:waittimer 55355 blt 0,0,640,480,0, 5712,640,480:waittimer 55374 blt 0,0,640,480,0, 5714,640,480:waittimer 55394 blt 0,0,640,480,0, 5716,640,480:waittimer 55413 blt 0,0,640,480,0, 5718,640,480:waittimer 55433 blt 0,0,640,480,0, 5720,640,480:waittimer 55452 blt 0,0,640,480,0, 5722,640,480:waittimer 55471 blt 0,0,640,480,0, 5724,640,480:waittimer 55491 blt 0,0,640,480,0, 5726,640,480:waittimer 55510 blt 0,0,640,480,0, 5728,640,480:waittimer 55529 blt 0,0,640,480,0, 5730,640,480:waittimer 55549 blt 0,0,640,480,0, 5732,640,480:waittimer 55568 blt 0,0,640,480,0, 5734,640,480:waittimer 55588 blt 0,0,640,480,0, 5736,640,480:waittimer 55607 blt 0,0,640,480,0, 5738,640,480:waittimer 55626 blt 0,0,640,480,0, 5740,640,480:waittimer 55646 blt 0,0,640,480,0, 5742,640,480:waittimer 55665 blt 0,0,640,480,0, 5744,640,480:waittimer 55685 blt 0,0,640,480,0, 5746,640,480:waittimer 55704 blt 0,0,640,480,0, 5748,640,480:waittimer 55723 blt 0,0,640,480,0, 5750,640,480:waittimer 55743 blt 0,0,640,480,0, 5752,640,480:waittimer 55762 blt 0,0,640,480,0, 5754,640,480:waittimer 55782 blt 0,0,640,480,0, 5756,640,480:waittimer 55801 blt 0,0,640,480,0, 5758,640,480:waittimer 55820 blt 0,0,640,480,0, 5760,640,480:waittimer 55840 blt 0,0,640,480,0, 5762,640,480:waittimer 55859 blt 0,0,640,480,0, 5764,640,480:waittimer 55878 blt 0,0,640,480,0, 5766,640,480:waittimer 55898 blt 0,0,640,480,0, 5768,640,480:waittimer 55917 blt 0,0,640,480,0, 5770,640,480:waittimer 55937 blt 0,0,640,480,0, 5772,640,480:waittimer 55956 blt 0,0,640,480,0, 5774,640,480:waittimer 55975 blt 0,0,640,480,0, 5776,640,480:waittimer 55995 blt 0,0,640,480,0, 5778,640,480:waittimer 56014 blt 0,0,640,480,0, 5780,640,480:waittimer 56034 blt 0,0,640,480,0, 5782,640,480:waittimer 56053 blt 0,0,640,480,0, 5784,640,480:waittimer 56072 blt 0,0,640,480,0, 5786,640,480:waittimer 56092 blt 0,0,640,480,0, 5788,640,480:waittimer 56111 blt 0,0,640,480,0, 5790,640,480:waittimer 56131 blt 0,0,640,480,0, 5792,640,480:waittimer 56150 blt 0,0,640,480,0, 5794,640,480:waittimer 56169 blt 0,0,640,480,0, 5796,640,480:waittimer 56189 blt 0,0,640,480,0, 5798,640,480:waittimer 56208 blt 0,0,640,480,0, 5800,640,480:waittimer 56227 blt 0,0,640,480,0, 5802,640,480:waittimer 56247 blt 0,0,640,480,0, 5804,640,480:waittimer 56266 blt 0,0,640,480,0, 5806,640,480:waittimer 56286 blt 0,0,640,480,0, 5808,640,480:waittimer 56305 blt 0,0,640,480,0, 5810,640,480:waittimer 56324 blt 0,0,640,480,0, 5812,640,480:waittimer 56344 blt 0,0,640,480,0, 5814,640,480:waittimer 56363 blt 0,0,640,480,0, 5816,640,480:waittimer 56383 blt 0,0,640,480,0, 5818,640,480:waittimer 56402 blt 0,0,640,480,0, 5820,640,480:waittimer 56421 blt 0,0,640,480,0, 5822,640,480:waittimer 56441 blt 0,0,640,480,0, 5824,640,480:waittimer 56460 blt 0,0,640,480,0, 5826,640,480:waittimer 56480 blt 0,0,640,480,0, 5828,640,480:waittimer 56499 blt 0,0,640,480,0, 5830,640,480:waittimer 56518 blt 0,0,640,480,0, 5832,640,480:waittimer 56538 blt 0,0,640,480,0, 5834,640,480:waittimer 56557 blt 0,0,640,480,0, 5836,640,480:waittimer 56576 blt 0,0,640,480,0, 5838,640,480:waittimer 56596 blt 0,0,640,480,0, 5840,640,480:waittimer 56615 blt 0,0,640,480,0, 5842,640,480:waittimer 56635 blt 0,0,640,480,0, 5844,640,480:waittimer 56654 blt 0,0,640,480,0, 5846,640,480:waittimer 56673 blt 0,0,640,480,0, 5848,640,480:waittimer 56693 blt 0,0,640,480,0, 5850,640,480:waittimer 56712 blt 0,0,640,480,0, 5852,640,480:waittimer 56732 blt 0,0,640,480,0, 5854,640,480:waittimer 56751 blt 0,0,640,480,0, 5856,640,480:waittimer 56770 blt 0,0,640,480,0, 5858,640,480:waittimer 56790 blt 0,0,640,480,0, 5860,640,480:waittimer 56809 blt 0,0,640,480,0, 5862,640,480:waittimer 56829 blt 0,0,640,480,0, 5864,640,480:waittimer 56848 blt 0,0,640,480,0, 5866,640,480:waittimer 56867 blt 0,0,640,480,0, 5868,640,480:waittimer 56887 blt 0,0,640,480,0, 5870,640,480:waittimer 56906 blt 0,0,640,480,0, 5872,640,480:waittimer 56925 blt 0,0,640,480,0, 5874,640,480:waittimer 56945 blt 0,0,640,480,0, 5876,640,480:waittimer 56964 blt 0,0,640,480,0, 5878,640,480:waittimer 56984 blt 0,0,640,480,0, 5880,640,480:waittimer 57003 blt 0,0,640,480,0, 5882,640,480:waittimer 57022 blt 0,0,640,480,0, 5884,640,480:waittimer 57042 blt 0,0,640,480,0, 5886,640,480:waittimer 57061 blt 0,0,640,480,0, 5888,640,480:waittimer 57081 blt 0,0,640,480,0, 5890,640,480:waittimer 57100 blt 0,0,640,480,0, 5892,640,480:waittimer 57119 blt 0,0,640,480,0, 5894,640,480:waittimer 57139 blt 0,0,640,480,0, 5896,640,480:waittimer 57158 blt 0,0,640,480,0, 5898,640,480:waittimer 57178 blt 0,0,640,480,0, 5900,640,480:waittimer 57197 blt 0,0,640,480,0, 5902,640,480:waittimer 57216 blt 0,0,640,480,0, 5904,640,480:waittimer 57236 blt 0,0,640,480,0, 5906,640,480:waittimer 57255 blt 0,0,640,480,0, 5908,640,480:waittimer 57274 blt 0,0,640,480,0, 5910,640,480:waittimer 57294 blt 0,0,640,480,0, 5912,640,480:waittimer 57313 blt 0,0,640,480,0, 5914,640,480:waittimer 57333 blt 0,0,640,480,0, 5916,640,480:waittimer 57352 blt 0,0,640,480,0, 5918,640,480:waittimer 57371 blt 0,0,640,480,0, 5920,640,480:waittimer 57391 blt 0,0,640,480,0, 5922,640,480:waittimer 57410 blt 0,0,640,480,0, 5924,640,480:waittimer 57430 blt 0,0,640,480,0, 5926,640,480:waittimer 57449 blt 0,0,640,480,0, 5928,640,480:waittimer 57468 blt 0,0,640,480,0, 5930,640,480:waittimer 57488 blt 0,0,640,480,0, 5932,640,480:waittimer 57507 blt 0,0,640,480,0, 5934,640,480:waittimer 57527 blt 0,0,640,480,0, 5936,640,480:waittimer 57546 blt 0,0,640,480,0, 5938,640,480:waittimer 57565 blt 0,0,640,480,0, 5940,640,480:waittimer 57585 blt 0,0,640,480,0, 5942,640,480:waittimer 57604 blt 0,0,640,480,0, 5944,640,480:waittimer 57623 blt 0,0,640,480,0, 5946,640,480:waittimer 57643 blt 0,0,640,480,0, 5948,640,480:waittimer 57662 blt 0,0,640,480,0, 5950,640,480:waittimer 57682 blt 0,0,640,480,0, 5952,640,480:waittimer 57701 blt 0,0,640,480,0, 5954,640,480:waittimer 57720 blt 0,0,640,480,0, 5956,640,480:waittimer 57740 blt 0,0,640,480,0, 5958,640,480:waittimer 57759 blt 0,0,640,480,0, 5960,640,480:waittimer 57779 blt 0,0,640,480,0, 5962,640,480:waittimer 57798 blt 0,0,640,480,0, 5964,640,480:waittimer 57817 blt 0,0,640,480,0, 5966,640,480:waittimer 57837 blt 0,0,640,480,0, 5968,640,480:waittimer 57856 blt 0,0,640,480,0, 5970,640,480:waittimer 57876 blt 0,0,640,480,0, 5972,640,480:waittimer 57895 blt 0,0,640,480,0, 5974,640,480:waittimer 57914 blt 0,0,640,480,0, 5976,640,480:waittimer 57934 blt 0,0,640,480,0, 5978,640,480:waittimer 57953 blt 0,0,640,480,0, 5980,640,480:waittimer 57972 blt 0,0,640,480,0, 5982,640,480:waittimer 57992 blt 0,0,640,480,0, 5984,640,480:waittimer 58011 blt 0,0,640,480,0, 5986,640,480:waittimer 58031 blt 0,0,640,480,0, 5988,640,480:waittimer 58050 blt 0,0,640,480,0, 5990,640,480:waittimer 58069 blt 0,0,640,480,0, 5992,640,480:waittimer 58089 blt 0,0,640,480,0, 5994,640,480:waittimer 58108 blt 0,0,640,480,0, 5996,640,480:waittimer 58128 blt 0,0,640,480,0, 5998,640,480:waittimer 58147 blt 0,0,640,480,0, 6000,640,480:waittimer 58166 blt 0,0,640,480,0, 6002,640,480:waittimer 58186 blt 0,0,640,480,0, 6004,640,480:waittimer 58205 blt 0,0,640,480,0, 6006,640,480:waittimer 58225 blt 0,0,640,480,0, 6008,640,480:waittimer 58244 blt 0,0,640,480,0, 6010,640,480:waittimer 58263 blt 0,0,640,480,0, 6012,640,480:waittimer 58283 blt 0,0,640,480,0, 6014,640,480:waittimer 58302 blt 0,0,640,480,0, 6016,640,480:waittimer 58321 blt 0,0,640,480,0, 6018,640,480:waittimer 58341 blt 0,0,640,480,0, 6020,640,480:waittimer 58360 blt 0,0,640,480,0, 6022,640,480:waittimer 58380 blt 0,0,640,480,0, 6024,640,480:waittimer 58399 blt 0,0,640,480,0, 6026,640,480:waittimer 58418 blt 0,0,640,480,0, 6028,640,480:waittimer 58438 blt 0,0,640,480,0, 6030,640,480:waittimer 58457 blt 0,0,640,480,0, 6032,640,480:waittimer 58477 blt 0,0,640,480,0, 6034,640,480:waittimer 58496 blt 0,0,640,480,0, 6036,640,480:waittimer 58515 blt 0,0,640,480,0, 6038,640,480:waittimer 58535 blt 0,0,640,480,0, 6040,640,480:waittimer 58554 blt 0,0,640,480,0, 6042,640,480:waittimer 58574 blt 0,0,640,480,0, 6044,640,480:waittimer 58593 blt 0,0,640,480,0, 6046,640,480:waittimer 58612 blt 0,0,640,480,0, 6048,640,480:waittimer 58632 blt 0,0,640,480,0, 6050,640,480:waittimer 58651 blt 0,0,640,480,0, 6052,640,480:waittimer 58670 blt 0,0,640,480,0, 6054,640,480:waittimer 58690 blt 0,0,640,480,0, 6056,640,480:waittimer 58709 blt 0,0,640,480,0, 6058,640,480:waittimer 58729 blt 0,0,640,480,0, 6060,640,480:waittimer 58748 blt 0,0,640,480,0, 6062,640,480:waittimer 58767 blt 0,0,640,480,0, 6064,640,480:waittimer 58787 blt 0,0,640,480,0, 6066,640,480:waittimer 58806 blt 0,0,640,480,0, 6068,640,480:waittimer 58826 blt 0,0,640,480,0, 6070,640,480:waittimer 58845 blt 0,0,640,480,0, 6072,640,480:waittimer 58864 blt 0,0,640,480,0, 6074,640,480:waittimer 58884 blt 0,0,640,480,0, 6076,640,480:waittimer 58903 blt 0,0,640,480,0, 6078,640,480:waittimer 58923 blt 0,0,640,480,0, 6080,640,480:waittimer 58942 blt 0,0,640,480,0, 6082,640,480:waittimer 58961 blt 0,0,640,480,0, 6084,640,480:waittimer 58981 blt 0,0,640,480,0, 6086,640,480:waittimer 59000 blt 0,0,640,480,0, 6088,640,480:waittimer 59019 blt 0,0,640,480,0, 6090,640,480:waittimer 59039 blt 0,0,640,480,0, 6092,640,480:waittimer 59058 blt 0,0,640,480,0, 6094,640,480:waittimer 59078 blt 0,0,640,480,0, 6096,640,480:waittimer 59097 blt 0,0,640,480,0, 6098,640,480:waittimer 59116 blt 0,0,640,480,0, 6100,640,480:waittimer 59136 blt 0,0,640,480,0, 6102,640,480:waittimer 59155 blt 0,0,640,480,0, 6104,640,480:waittimer 59175 blt 0,0,640,480,0, 6106,640,480:waittimer 59194 blt 0,0,640,480,0, 6108,640,480:waittimer 59213 blt 0,0,640,480,0, 6110,640,480:waittimer 59233 blt 0,0,640,480,0, 6112,640,480:waittimer 59252 blt 0,0,640,480,0, 6114,640,480:waittimer 59272 blt 0,0,640,480,0, 6116,640,480:waittimer 59291 blt 0,0,640,480,0, 6118,640,480:waittimer 59310 blt 0,0,640,480,0, 6120,640,480:waittimer 59330 blt 0,0,640,480,0, 6122,640,480:waittimer 59349 blt 0,0,640,480,0, 6124,640,480:waittimer 59368 blt 0,0,640,480,0, 6126,640,480:waittimer 59388 blt 0,0,640,480,0, 6128,640,480:waittimer 59407 blt 0,0,640,480,0, 6130,640,480:waittimer 59427 blt 0,0,640,480,0, 6132,640,480:waittimer 59446 blt 0,0,640,480,0, 6134,640,480:waittimer 59465 blt 0,0,640,480,0, 6136,640,480:waittimer 59485 blt 0,0,640,480,0, 6138,640,480:waittimer 59504 blt 0,0,640,480,0, 6140,640,480:waittimer 59524 blt 0,0,640,480,0, 6142,640,480:waittimer 59543 blt 0,0,640,480,0, 6144,640,480:waittimer 59562 blt 0,0,640,480,0, 6146,640,480:waittimer 59582 blt 0,0,640,480,0, 6148,640,480:waittimer 59601 blt 0,0,640,480,0, 6150,640,480:waittimer 59621 blt 0,0,640,480,0, 6152,640,480:waittimer 59640 blt 0,0,640,480,0, 6154,640,480:waittimer 59659 blt 0,0,640,480,0, 6156,640,480:waittimer 59679 blt 0,0,640,480,0, 6158,640,480:waittimer 59698 blt 0,0,640,480,0, 6160,640,480:waittimer 59717 blt 0,0,640,480,0, 6162,640,480:waittimer 59737 blt 0,0,640,480,0, 6164,640,480:waittimer 59756 blt 0,0,640,480,0, 6166,640,480:waittimer 59776 blt 0,0,640,480,0, 6168,640,480:waittimer 59795 blt 0,0,640,480,0, 6170,640,480:waittimer 59814 blt 0,0,640,480,0, 6172,640,480:waittimer 59834 blt 0,0,640,480,0, 6174,640,480:waittimer 59853 blt 0,0,640,480,0, 6176,640,480:waittimer 59873 blt 0,0,640,480,0, 6178,640,480:waittimer 59892 blt 0,0,640,480,0, 6180,640,480:waittimer 59911 blt 0,0,640,480,0, 6182,640,480:waittimer 59931 blt 0,0,640,480,0, 6184,640,480:waittimer 59950 blt 0,0,640,480,0, 6186,640,480:waittimer 59970 blt 0,0,640,480,0, 6188,640,480:waittimer 59989 blt 0,0,640,480,0, 6190,640,480:waittimer 60008 blt 0,0,640,480,0, 6192,640,480:waittimer 60028 blt 0,0,640,480,0, 6194,640,480:waittimer 60047 blt 0,0,640,480,0, 6196,640,480:waittimer 60066 blt 0,0,640,480,0, 6198,640,480:waittimer 60086 blt 0,0,640,480,0, 6200,640,480:waittimer 60105 blt 0,0,640,480,0, 6202,640,480:waittimer 60125 blt 0,0,640,480,0, 6204,640,480:waittimer 60144 blt 0,0,640,480,0, 6206,640,480:waittimer 60163 blt 0,0,640,480,0, 6208,640,480:waittimer 60183 blt 0,0,640,480,0, 6210,640,480:waittimer 60202 blt 0,0,640,480,0, 6212,640,480:waittimer 60222 blt 0,0,640,480,0, 6214,640,480:waittimer 60241 blt 0,0,640,480,0, 6216,640,480:waittimer 60260 blt 0,0,640,480,0, 6218,640,480:waittimer 60280 blt 0,0,640,480,0, 6220,640,480:waittimer 60299 blt 0,0,640,480,0, 6222,640,480:waittimer 60319 blt 0,0,640,480,0, 6224,640,480:waittimer 60338 blt 0,0,640,480,0, 6226,640,480:waittimer 60357 blt 0,0,640,480,0, 6228,640,480:waittimer 60377 blt 0,0,640,480,0, 6230,640,480:waittimer 60396 blt 0,0,640,480,0, 6232,640,480:waittimer 60415 blt 0,0,640,480,0, 6234,640,480:waittimer 60435 blt 0,0,640,480,0, 6236,640,480:waittimer 60454 blt 0,0,640,480,0, 6238,640,480:waittimer 60474 blt 0,0,640,480,0, 6240,640,480:waittimer 60493 blt 0,0,640,480,0, 6242,640,480:waittimer 60512 blt 0,0,640,480,0, 6244,640,480:waittimer 60532 blt 0,0,640,480,0, 6246,640,480:waittimer 60551 blt 0,0,640,480,0, 6248,640,480:waittimer 60571 blt 0,0,640,480,0, 6250,640,480:waittimer 60590 blt 0,0,640,480,0, 6252,640,480:waittimer 60609 blt 0,0,640,480,0, 6254,640,480:waittimer 60629 blt 0,0,640,480,0, 6256,640,480:waittimer 60648 blt 0,0,640,480,0, 6258,640,480:waittimer 60668 blt 0,0,640,480,0, 6260,640,480:waittimer 60687 blt 0,0,640,480,0, 6262,640,480:waittimer 60706 blt 0,0,640,480,0, 6264,640,480:waittimer 60726 blt 0,0,640,480,0, 6266,640,480:waittimer 60745 blt 0,0,640,480,0, 6268,640,480:waittimer 60764 blt 0,0,640,480,0, 6270,640,480:waittimer 60784 blt 0,0,640,480,0, 6272,640,480:waittimer 60803 blt 0,0,640,480,0, 6274,640,480:waittimer 60823 blt 0,0,640,480,0, 6276,640,480:waittimer 60842 blt 0,0,640,480,0, 6278,640,480:waittimer 60861 blt 0,0,640,480,0, 6280,640,480:waittimer 60881 blt 0,0,640,480,0, 6282,640,480:waittimer 60900 blt 0,0,640,480,0, 6284,640,480:waittimer 60920 blt 0,0,640,480,0, 6286,640,480:waittimer 60939 blt 0,0,640,480,0, 6288,640,480:waittimer 60958 blt 0,0,640,480,0, 6290,640,480:waittimer 60978 blt 0,0,640,480,0, 6292,640,480:waittimer 60997 blt 0,0,640,480,0, 6294,640,480:waittimer 61017 blt 0,0,640,480,0, 6296,640,480:waittimer 61036 blt 0,0,640,480,0, 6298,640,480:waittimer 61055 blt 0,0,640,480,0, 6300,640,480:waittimer 61075 blt 0,0,640,480,0, 6302,640,480:waittimer 61094 blt 0,0,640,480,0, 6304,640,480:waittimer 61113 blt 0,0,640,480,0, 6306,640,480:waittimer 61133 blt 0,0,640,480,0, 6308,640,480:waittimer 61152 blt 0,0,640,480,0, 6310,640,480:waittimer 61172 blt 0,0,640,480,0, 6312,640,480:waittimer 61191 blt 0,0,640,480,0, 6314,640,480:waittimer 61210 blt 0,0,640,480,0, 6316,640,480:waittimer 61230 blt 0,0,640,480,0, 6318,640,480:waittimer 61249 blt 0,0,640,480,0, 6320,640,480:waittimer 61269 blt 0,0,640,480,0, 6322,640,480:waittimer 61288 blt 0,0,640,480,0, 6324,640,480:waittimer 61307 blt 0,0,640,480,0, 6326,640,480:waittimer 61327 blt 0,0,640,480,0, 6328,640,480:waittimer 61346 blt 0,0,640,480,0, 6330,640,480:waittimer 61366 blt 0,0,640,480,0, 6332,640,480:waittimer 61385 blt 0,0,640,480,0, 6334,640,480:waittimer 61404 blt 0,0,640,480,0, 6336,640,480:waittimer 61424 blt 0,0,640,480,0, 6338,640,480:waittimer 61443 blt 0,0,640,480,0, 6340,640,480:waittimer 61462 blt 0,0,640,480,0, 6342,640,480:waittimer 61482 blt 0,0,640,480,0, 6344,640,480:waittimer 61501 blt 0,0,640,480,0, 6346,640,480:waittimer 61521 blt 0,0,640,480,0, 6348,640,480:waittimer 61540 blt 0,0,640,480,0, 6350,640,480:waittimer 61559 blt 0,0,640,480,0, 6352,640,480:waittimer 61579 blt 0,0,640,480,0, 6354,640,480:waittimer 61598 blt 0,0,640,480,0, 6356,640,480:waittimer 61618 blt 0,0,640,480,0, 6358,640,480:waittimer 61637 blt 0,0,640,480,0, 6360,640,480:waittimer 61656 blt 0,0,640,480,0, 6362,640,480:waittimer 61676 blt 0,0,640,480,0, 6364,640,480:waittimer 61695 blt 0,0,640,480,0, 6366,640,480:waittimer 61715 blt 0,0,640,480,0, 6368,640,480:waittimer 61734 blt 0,0,640,480,0, 6370,640,480:waittimer 61753 blt 0,0,640,480,0, 6372,640,480:waittimer 61773 blt 0,0,640,480,0, 6374,640,480:waittimer 61792 blt 0,0,640,480,0, 6376,640,480:waittimer 61811 blt 0,0,640,480,0, 6378,640,480:waittimer 61831 blt 0,0,640,480,0, 6380,640,480:waittimer 61850 blt 0,0,640,480,0, 6382,640,480:waittimer 61870 blt 0,0,640,480,0, 6384,640,480:waittimer 61889 blt 0,0,640,480,0, 6386,640,480:waittimer 61908 blt 0,0,640,480,0, 6388,640,480:waittimer 61928 blt 0,0,640,480,0, 6390,640,480:waittimer 61947 blt 0,0,640,480,0, 6392,640,480:waittimer 61967 blt 0,0,640,480,0, 6394,640,480:waittimer 61986 blt 0,0,640,480,0, 6396,640,480:waittimer 62005 blt 0,0,640,480,0, 6398,640,480:waittimer 62025 blt 0,0,640,480,0, 6400,640,480:waittimer 62044 blt 0,0,640,480,0, 6402,640,480:waittimer 62064 blt 0,0,640,480,0, 6404,640,480:waittimer 62083 blt 0,0,640,480,0, 6406,640,480:waittimer 62102 blt 0,0,640,480,0, 6408,640,480:waittimer 62122 blt 0,0,640,480,0, 6410,640,480:waittimer 62141 blt 0,0,640,480,0, 6412,640,480:waittimer 62160 blt 0,0,640,480,0, 6414,640,480:waittimer 62180 blt 0,0,640,480,0, 6416,640,480:waittimer 62199 blt 0,0,640,480,0, 6418,640,480:waittimer 62219 blt 0,0,640,480,0, 6420,640,480:waittimer 62238 blt 0,0,640,480,0, 6422,640,480:waittimer 62257 blt 0,0,640,480,0, 6424,640,480:waittimer 62277 blt 0,0,640,480,0, 6426,640,480:waittimer 62296 blt 0,0,640,480,0, 6428,640,480:waittimer 62316 blt 0,0,640,480,0, 6430,640,480:waittimer 62335 blt 0,0,640,480,0, 6432,640,480:waittimer 62354 blt 0,0,640,480,0, 6434,640,480:waittimer 62374 blt 0,0,640,480,0, 6436,640,480:waittimer 62393 blt 0,0,640,480,0, 6438,640,480:waittimer 62413 blt 0,0,640,480,0, 6440,640,480:waittimer 62432 blt 0,0,640,480,0, 6442,640,480:waittimer 62451 blt 0,0,640,480,0, 6444,640,480:waittimer 62471 blt 0,0,640,480,0, 6446,640,480:waittimer 62490 blt 0,0,640,480,0, 6448,640,480:waittimer 62509 blt 0,0,640,480,0, 6450,640,480:waittimer 62529 blt 0,0,640,480,0, 6452,640,480:waittimer 62548 blt 0,0,640,480,0, 6454,640,480:waittimer 62568 blt 0,0,640,480,0, 6456,640,480:waittimer 62587 blt 0,0,640,480,0, 6458,640,480:waittimer 62606 blt 0,0,640,480,0, 6460,640,480:waittimer 62626 blt 0,0,640,480,0, 6462,640,480:waittimer 62645 blt 0,0,640,480,0, 6464,640,480:waittimer 62665 blt 0,0,640,480,0, 6466,640,480:waittimer 62684 blt 0,0,640,480,0, 6468,640,480:waittimer 62703 blt 0,0,640,480,0, 6470,640,480:waittimer 62723 blt 0,0,640,480,0, 6472,640,480:waittimer 62742 blt 0,0,640,480,0, 6474,640,480:waittimer 62762 blt 0,0,640,480,0, 6476,640,480:waittimer 62781 blt 0,0,640,480,0, 6478,640,480:waittimer 62800 blt 0,0,640,480,0, 6480,640,480:waittimer 62820 blt 0,0,640,480,0, 6482,640,480:waittimer 62839 blt 0,0,640,480,0, 6484,640,480:waittimer 62858 blt 0,0,640,480,0, 6486,640,480:waittimer 62878 blt 0,0,640,480,0, 6488,640,480:waittimer 62897 blt 0,0,640,480,0, 6490,640,480:waittimer 62917 blt 0,0,640,480,0, 6492,640,480:waittimer 62936 blt 0,0,640,480,0, 6494,640,480:waittimer 62955 blt 0,0,640,480,0, 6496,640,480:waittimer 62975 blt 0,0,640,480,0, 6498,640,480:waittimer 62994 blt 0,0,640,480,0, 6500,640,480:waittimer 63014 blt 0,0,640,480,0, 6502,640,480:waittimer 63033 blt 0,0,640,480,0, 6504,640,480:waittimer 63052 blt 0,0,640,480,0, 6506,640,480:waittimer 63072 blt 0,0,640,480,0, 6508,640,480:waittimer 63091 blt 0,0,640,480,0, 6510,640,480:waittimer 63111 blt 0,0,640,480,0, 6512,640,480:waittimer 63130 blt 0,0,640,480,0, 6514,640,480:waittimer 63149 blt 0,0,640,480,0, 6516,640,480:waittimer 63169 blt 0,0,640,480,0, 6518,640,480:waittimer 63188 blt 0,0,640,480,0, 6520,640,480:waittimer 63207 blt 0,0,640,480,0, 6522,640,480:waittimer 63227 blt 0,0,640,480,0, 6524,640,480:waittimer 63246 blt 0,0,640,480,0, 6526,640,480:waittimer 63266 blt 0,0,640,480,0, 6528,640,480:waittimer 63285 blt 0,0,640,480,0, 6530,640,480:waittimer 63304 blt 0,0,640,480,0, 6532,640,480:waittimer 63324 blt 0,0,640,480,0, 6534,640,480:waittimer 63343 blt 0,0,640,480,0, 6536,640,480:waittimer 63363 blt 0,0,640,480,0, 6538,640,480:waittimer 63382 blt 0,0,640,480,0, 6540,640,480:waittimer 63401 blt 0,0,640,480,0, 6542,640,480:waittimer 63421 blt 0,0,640,480,0, 6544,640,480:waittimer 63440 blt 0,0,640,480,0, 6546,640,480:waittimer 63460 blt 0,0,640,480,0, 6548,640,480:waittimer 63479 blt 0,0,640,480,0, 6550,640,480:waittimer 63498 blt 0,0,640,480,0, 6552,640,480:waittimer 63518 blt 0,0,640,480,0, 6554,640,480:waittimer 63537 blt 0,0,640,480,0, 6556,640,480:waittimer 63556 blt 0,0,640,480,0, 6558,640,480:waittimer 63576 blt 0,0,640,480,0, 6560,640,480:waittimer 63595 blt 0,0,640,480,0, 6562,640,480:waittimer 63615 blt 0,0,640,480,0, 6564,640,480:waittimer 63634 blt 0,0,640,480,0, 6566,640,480:waittimer 63653 blt 0,0,640,480,0, 6568,640,480:waittimer 63673 blt 0,0,640,480,0, 6570,640,480:waittimer 63692 blt 0,0,640,480,0, 6572,640,480:waittimer 63712 blt 0,0,640,480,0, 6574,640,480:waittimer 63731 blt 0,0,640,480,0, 6576,640,480:waittimer 63750 blt 0,0,640,480,0, 6578,640,480:waittimer 63770 blt 0,0,640,480,0, 6580,640,480:waittimer 63789 blt 0,0,640,480,0, 6582,640,480:waittimer 63809 blt 0,0,640,480,0, 6584,640,480:waittimer 63828 blt 0,0,640,480,0, 6586,640,480:waittimer 63847 blt 0,0,640,480,0, 6588,640,480:waittimer 63867 blt 0,0,640,480,0, 6590,640,480:waittimer 63886 blt 0,0,640,480,0, 6592,640,480:waittimer 63905 blt 0,0,640,480,0, 6594,640,480:waittimer 63925 blt 0,0,640,480,0, 6596,640,480:waittimer 63944 blt 0,0,640,480,0, 6598,640,480:waittimer 63964 blt 0,0,640,480,0, 6600,640,480:waittimer 63983 blt 0,0,640,480,0, 6602,640,480:waittimer 64002 blt 0,0,640,480,0, 6604,640,480:waittimer 64022 blt 0,0,640,480,0, 6606,640,480:waittimer 64041 blt 0,0,640,480,0, 6608,640,480:waittimer 64061 blt 0,0,640,480,0, 6610,640,480:waittimer 64080 blt 0,0,640,480,0, 6612,640,480:waittimer 64099 blt 0,0,640,480,0, 6614,640,480:waittimer 64119 blt 0,0,640,480,0, 6616,640,480:waittimer 64138 blt 0,0,640,480,0, 6618,640,480:waittimer 64158 blt 0,0,640,480,0, 6620,640,480:waittimer 64177 blt 0,0,640,480,0, 6622,640,480:waittimer 64196 blt 0,0,640,480,0, 6624,640,480:waittimer 64216 blt 0,0,640,480,0, 6626,640,480:waittimer 64235 blt 0,0,640,480,0, 6628,640,480:waittimer 64254 blt 0,0,640,480,0, 6630,640,480:waittimer 64274 blt 0,0,640,480,0, 6632,640,480:waittimer 64293 blt 0,0,640,480,0, 6634,640,480:waittimer 64313 blt 0,0,640,480,0, 6636,640,480:waittimer 64332 blt 0,0,640,480,0, 6638,640,480:waittimer 64351 blt 0,0,640,480,0, 6640,640,480:waittimer 64371 blt 0,0,640,480,0, 6642,640,480:waittimer 64390 blt 0,0,640,480,0, 6644,640,480:waittimer 64410 blt 0,0,640,480,0, 6646,640,480:waittimer 64429 blt 0,0,640,480,0, 6648,640,480:waittimer 64448 blt 0,0,640,480,0, 6650,640,480:waittimer 64468 blt 0,0,640,480,0, 6652,640,480:waittimer 64487 blt 0,0,640,480,0, 6654,640,480:waittimer 64507 blt 0,0,640,480,0, 6656,640,480:waittimer 64526 blt 0,0,640,480,0, 6658,640,480:waittimer 64545 blt 0,0,640,480,0, 6660,640,480:waittimer 64565 blt 0,0,640,480,0, 6662,640,480:waittimer 64584 blt 0,0,640,480,0, 6664,640,480:waittimer 64603 blt 0,0,640,480,0, 6666,640,480:waittimer 64623 blt 0,0,640,480,0, 6668,640,480:waittimer 64642 blt 0,0,640,480,0, 6670,640,480:waittimer 64662 blt 0,0,640,480,0, 6672,640,480:waittimer 64681 blt 0,0,640,480,0, 6674,640,480:waittimer 64700 blt 0,0,640,480,0, 6676,640,480:waittimer 64720 blt 0,0,640,480,0, 6678,640,480:waittimer 64739 blt 0,0,640,480,0, 6680,640,480:waittimer 64759 blt 0,0,640,480,0, 6682,640,480:waittimer 64778 blt 0,0,640,480,0, 6684,640,480:waittimer 64797 blt 0,0,640,480,0, 6686,640,480:waittimer 64817 blt 0,0,640,480,0, 6688,640,480:waittimer 64836 blt 0,0,640,480,0, 6690,640,480:waittimer 64856 blt 0,0,640,480,0, 6692,640,480:waittimer 64875 blt 0,0,640,480,0, 6694,640,480:waittimer 64894 blt 0,0,640,480,0, 6696,640,480:waittimer 64914 blt 0,0,640,480,0, 6698,640,480:waittimer 64933 blt 0,0,640,480,0, 6700,640,480:waittimer 64952 blt 0,0,640,480,0, 6702,640,480:waittimer 64972 blt 0,0,640,480,0, 6704,640,480:waittimer 64991 blt 0,0,640,480,0, 6706,640,480:waittimer 65011 blt 0,0,640,480,0, 6708,640,480:waittimer 65030 blt 0,0,640,480,0, 6710,640,480:waittimer 65049 blt 0,0,640,480,0, 6712,640,480:waittimer 65069 blt 0,0,640,480,0, 6714,640,480:waittimer 65088 blt 0,0,640,480,0, 6716,640,480:waittimer 65108 blt 0,0,640,480,0, 6718,640,480:waittimer 65127 blt 0,0,640,480,0, 6720,640,480:waittimer 65146 blt 0,0,640,480,0, 6722,640,480:waittimer 65166 blt 0,0,640,480,0, 6724,640,480:waittimer 65185 blt 0,0,640,480,0, 6726,640,480:waittimer 65205 blt 0,0,640,480,0, 6728,640,480:waittimer 65224 blt 0,0,640,480,0, 6730,640,480:waittimer 65243 blt 0,0,640,480,0, 6732,640,480:waittimer 65263 blt 0,0,640,480,0, 6734,640,480:waittimer 65282 blt 0,0,640,480,0, 6736,640,480:waittimer 65301 blt 0,0,640,480,0, 6738,640,480:waittimer 65321 blt 0,0,640,480,0, 6740,640,480:waittimer 65340 blt 0,0,640,480,0, 6742,640,480:waittimer 65360 blt 0,0,640,480,0, 6744,640,480:waittimer 65379 blt 0,0,640,480,0, 6746,640,480:waittimer 65398 blt 0,0,640,480,0, 6748,640,480:waittimer 65418 blt 0,0,640,480,0, 6750,640,480:waittimer 65437 blt 0,0,640,480,0, 6752,640,480:waittimer 65457 blt 0,0,640,480,0, 6754,640,480:waittimer 65476 blt 0,0,640,480,0, 6756,640,480:waittimer 65495 blt 0,0,640,480,0, 6758,640,480:waittimer 65515 blt 0,0,640,480,0, 6760,640,480:waittimer 65534 blt 0,0,640,480,0, 6762,640,480:waittimer 65554 blt 0,0,640,480,0, 6764,640,480:waittimer 65573 blt 0,0,640,480,0, 6766,640,480:waittimer 65592 blt 0,0,640,480,0, 6768,640,480:waittimer 65612 blt 0,0,640,480,0, 6770,640,480:waittimer 65631 blt 0,0,640,480,0, 6772,640,480:waittimer 65650 blt 0,0,640,480,0, 6774,640,480:waittimer 65670 blt 0,0,640,480,0, 6776,640,480:waittimer 65689 blt 0,0,640,480,0, 6778,640,480:waittimer 65709 blt 0,0,640,480,0, 6780,640,480:waittimer 65728 blt 0,0,640,480,0, 6782,640,480:waittimer 65747 blt 0,0,640,480,0, 6784,640,480:waittimer 65767 blt 0,0,640,480,0, 6786,640,480:waittimer 65786 blt 0,0,640,480,0, 6788,640,480:waittimer 65806 blt 0,0,640,480,0, 6790,640,480:waittimer 65825 blt 0,0,640,480,0, 6792,640,480:waittimer 65844 blt 0,0,640,480,0, 6794,640,480:waittimer 65864 blt 0,0,640,480,0, 6796,640,480:waittimer 65883 blt 0,0,640,480,0, 6798,640,480:waittimer 65903 blt 0,0,640,480,0, 6800,640,480:waittimer 65922 blt 0,0,640,480,0, 6802,640,480:waittimer 65941 blt 0,0,640,480,0, 6804,640,480:waittimer 65961 blt 0,0,640,480,0, 6806,640,480:waittimer 65980 blt 0,0,640,480,0, 6808,640,480:waittimer 65999 blt 0,0,640,480,0, 6810,640,480:waittimer 66019 blt 0,0,640,480,0, 6812,640,480:waittimer 66038 blt 0,0,640,480,0, 6814,640,480:waittimer 66058 blt 0,0,640,480,0, 6816,640,480:waittimer 66077 blt 0,0,640,480,0, 6818,640,480:waittimer 66096 blt 0,0,640,480,0, 6820,640,480:waittimer 66116 blt 0,0,640,480,0, 6822,640,480:waittimer 66135 blt 0,0,640,480,0, 6824,640,480:waittimer 66155 blt 0,0,640,480,0, 6826,640,480:waittimer 66174 blt 0,0,640,480,0, 6828,640,480:waittimer 66193 blt 0,0,640,480,0, 6830,640,480:waittimer 66213 blt 0,0,640,480,0, 6832,640,480:waittimer 66232 blt 0,0,640,480,0, 6834,640,480:waittimer 66252 blt 0,0,640,480,0, 6836,640,480:waittimer 66271 blt 0,0,640,480,0, 6838,640,480:waittimer 66290 blt 0,0,640,480,0, 6840,640,480:waittimer 66310 blt 0,0,640,480,0, 6842,640,480:waittimer 66329 blt 0,0,640,480,0, 6844,640,480:waittimer 66349 blt 0,0,640,480,0, 6846,640,480:waittimer 66368 blt 0,0,640,480,0, 6848,640,480:waittimer 66387 blt 0,0,640,480,0, 6850,640,480:waittimer 66407 blt 0,0,640,480,0, 6852,640,480:waittimer 66426 blt 0,0,640,480,0, 6854,640,480:waittimer 66445 blt 0,0,640,480,0, 6856,640,480:waittimer 66465 blt 0,0,640,480,0, 6858,640,480:waittimer 66484 blt 0,0,640,480,0, 6860,640,480:waittimer 66504 blt 0,0,640,480,0, 6862,640,480:waittimer 66523 blt 0,0,640,480,0, 6864,640,480:waittimer 66542 blt 0,0,640,480,0, 6866,640,480:waittimer 66562 blt 0,0,640,480,0, 6868,640,480:waittimer 66581 blt 0,0,640,480,0, 6870,640,480:waittimer 66601 blt 0,0,640,480,0, 6872,640,480:waittimer 66620 blt 0,0,640,480,0, 6874,640,480:waittimer 66639 blt 0,0,640,480,0, 6876,640,480:waittimer 66659 blt 0,0,640,480,0, 6878,640,480:waittimer 66678 blt 0,0,640,480,0, 6880,640,480:waittimer 66698 blt 0,0,640,480,0, 6882,640,480:waittimer 66717 blt 0,0,640,480,0, 6884,640,480:waittimer 66736 blt 0,0,640,480,0, 6886,640,480:waittimer 66756 blt 0,0,640,480,0, 6888,640,480:waittimer 66775 blt 0,0,640,480,0, 6890,640,480:waittimer 66794 blt 0,0,640,480,0, 6892,640,480:waittimer 66814 blt 0,0,640,480,0, 6894,640,480:waittimer 66833 blt 0,0,640,480,0, 6896,640,480:waittimer 66853 blt 0,0,640,480,0, 6898,640,480:waittimer 66872 blt 0,0,640,480,0, 6900,640,480:waittimer 66891 blt 0,0,640,480,0, 6902,640,480:waittimer 66911 blt 0,0,640,480,0, 6904,640,480:waittimer 66930 blt 0,0,640,480,0, 6906,640,480:waittimer 66950 blt 0,0,640,480,0, 6908,640,480:waittimer 66969 blt 0,0,640,480,0, 6910,640,480:waittimer 66988 blt 0,0,640,480,0, 6912,640,480:waittimer 67008 blt 0,0,640,480,0, 6914,640,480:waittimer 67027 blt 0,0,640,480,0, 6916,640,480:waittimer 67047 blt 0,0,640,480,0, 6918,640,480:waittimer 67066 blt 0,0,640,480,0, 6920,640,480:waittimer 67085 blt 0,0,640,480,0, 6922,640,480:waittimer 67105 blt 0,0,640,480,0, 6924,640,480:waittimer 67124 blt 0,0,640,480,0, 6926,640,480:waittimer 67143 blt 0,0,640,480,0, 6928,640,480:waittimer 67163 blt 0,0,640,480,0, 6930,640,480:waittimer 67182 blt 0,0,640,480,0, 6932,640,480:waittimer 67202 blt 0,0,640,480,0, 6934,640,480:waittimer 67221 blt 0,0,640,480,0, 6936,640,480:waittimer 67240 blt 0,0,640,480,0, 6938,640,480:waittimer 67260 blt 0,0,640,480,0, 6940,640,480:waittimer 67279 blt 0,0,640,480,0, 6942,640,480:waittimer 67299 blt 0,0,640,480,0, 6944,640,480:waittimer 67318 blt 0,0,640,480,0, 6946,640,480:waittimer 67337 blt 0,0,640,480,0, 6948,640,480:waittimer 67357 blt 0,0,640,480,0, 6950,640,480:waittimer 67376 blt 0,0,640,480,0, 6952,640,480:waittimer 67396 blt 0,0,640,480,0, 6954,640,480:waittimer 67415 blt 0,0,640,480,0, 6956,640,480:waittimer 67434 blt 0,0,640,480,0, 6958,640,480:waittimer 67454 blt 0,0,640,480,0, 6960,640,480:waittimer 67473 blt 0,0,640,480,0, 6962,640,480:waittimer 67492 blt 0,0,640,480,0, 6964,640,480:waittimer 67512 blt 0,0,640,480,0, 6966,640,480:waittimer 67531 blt 0,0,640,480,0, 6968,640,480:waittimer 67551 blt 0,0,640,480,0, 6970,640,480:waittimer 67570 blt 0,0,640,480,0, 6972,640,480:waittimer 67589 blt 0,0,640,480,0, 6974,640,480:waittimer 67609 blt 0,0,640,480,0, 6976,640,480:waittimer 67628 blt 0,0,640,480,0, 6978,640,480:waittimer 67648 blt 0,0,640,480,0, 6980,640,480:waittimer 67667 blt 0,0,640,480,0, 6982,640,480:waittimer 67686 blt 0,0,640,480,0, 6984,640,480:waittimer 67706 blt 0,0,640,480,0, 6986,640,480:waittimer 67725 blt 0,0,640,480,0, 6988,640,480:waittimer 67745 blt 0,0,640,480,0, 6990,640,480:waittimer 67764 blt 0,0,640,480,0, 6992,640,480:waittimer 67783 blt 0,0,640,480,0, 6994,640,480:waittimer 67803 blt 0,0,640,480,0, 6996,640,480:waittimer 67822 blt 0,0,640,480,0, 6998,640,480:waittimer 67841 blt 0,0,640,480,0, 7000,640,480:waittimer 67861 blt 0,0,640,480,0, 7002,640,480:waittimer 67880 blt 0,0,640,480,0, 7004,640,480:waittimer 67900 blt 0,0,640,480,0, 7006,640,480:waittimer 67919 blt 0,0,640,480,0, 7008,640,480:waittimer 67938 blt 0,0,640,480,0, 7010,640,480:waittimer 67958 blt 0,0,640,480,0, 7012,640,480:waittimer 67977 blt 0,0,640,480,0, 7014,640,480:waittimer 67997 blt 0,0,640,480,0, 7016,640,480:waittimer 68016 blt 0,0,640,480,0, 7018,640,480:waittimer 68035 blt 0,0,640,480,0, 7020,640,480:waittimer 68055 blt 0,0,640,480,0, 7022,640,480:waittimer 68074 blt 0,0,640,480,0, 7024,640,480:waittimer 68094 blt 0,0,640,480,0, 7026,640,480:waittimer 68113 blt 0,0,640,480,0, 7028,640,480:waittimer 68132 blt 0,0,640,480,0, 7030,640,480:waittimer 68152 blt 0,0,640,480,0, 7032,640,480:waittimer 68171 blt 0,0,640,480,0, 7034,640,480:waittimer 68190 blt 0,0,640,480,0, 7036,640,480:waittimer 68210 blt 0,0,640,480,0, 7038,640,480:waittimer 68229 blt 0,0,640,480,0, 7040,640,480:waittimer 68249 blt 0,0,640,480,0, 7042,640,480:waittimer 68268 blt 0,0,640,480,0, 7044,640,480:waittimer 68287 blt 0,0,640,480,0, 7046,640,480:waittimer 68307 blt 0,0,640,480,0, 7048,640,480:waittimer 68326 blt 0,0,640,480,0, 7050,640,480:waittimer 68346 blt 0,0,640,480,0, 7052,640,480:waittimer 68365 blt 0,0,640,480,0, 7054,640,480:waittimer 68384 blt 0,0,640,480,0, 7056,640,480:waittimer 68404 blt 0,0,640,480,0, 7058,640,480:waittimer 68423 blt 0,0,640,480,0, 7060,640,480:waittimer 68443 blt 0,0,640,480,0, 7062,640,480:waittimer 68462 blt 0,0,640,480,0, 7064,640,480:waittimer 68481 blt 0,0,640,480,0, 7066,640,480:waittimer 68501 blt 0,0,640,480,0, 7068,640,480:waittimer 68520 blt 0,0,640,480,0, 7070,640,480:waittimer 68539 blt 0,0,640,480,0, 7072,640,480:waittimer 68559 blt 0,0,640,480,0, 7074,640,480:waittimer 68578 blt 0,0,640,480,0, 7076,640,480:waittimer 68598 blt 0,0,640,480,0, 7078,640,480:waittimer 68617 blt 0,0,640,480,0, 7080,640,480:waittimer 68636 blt 0,0,640,480,0, 7082,640,480:waittimer 68656 blt 0,0,640,480,0, 7084,640,480:waittimer 68675 blt 0,0,640,480,0, 7086,640,480:waittimer 68695 blt 0,0,640,480,0, 7088,640,480:waittimer 68714 blt 0,0,640,480,0, 7090,640,480:waittimer 68733 blt 0,0,640,480,0, 7092,640,480:waittimer 68753 blt 0,0,640,480,0, 7094,640,480:waittimer 68772 blt 0,0,640,480,0, 7096,640,480:waittimer 68792 blt 0,0,640,480,0, 7098,640,480:waittimer 68811 blt 0,0,640,480,0, 7100,640,480:waittimer 68830 blt 0,0,640,480,0, 7102,640,480:waittimer 68850 blt 0,0,640,480,0, 7104,640,480:waittimer 68869 blt 0,0,640,480,0, 7106,640,480:waittimer 68888 blt 0,0,640,480,0, 7108,640,480:waittimer 68908 blt 0,0,640,480,0, 7110,640,480:waittimer 68927 blt 0,0,640,480,0, 7112,640,480:waittimer 68947 blt 0,0,640,480,0, 7114,640,480:waittimer 68966 blt 0,0,640,480,0, 7116,640,480:waittimer 68985 blt 0,0,640,480,0, 7118,640,480:waittimer 69005 blt 0,0,640,480,0, 7120,640,480:waittimer 69024 blt 0,0,640,480,0, 7122,640,480:waittimer 69044 blt 0,0,640,480,0, 7124,640,480:waittimer 69063 blt 0,0,640,480,0, 7126,640,480:waittimer 69082 blt 0,0,640,480,0, 7128,640,480:waittimer 69102 blt 0,0,640,480,0, 7130,640,480:waittimer 69121 blt 0,0,640,480,0, 7132,640,480:waittimer 69141 blt 0,0,640,480,0, 7134,640,480:waittimer 69160 blt 0,0,640,480,0, 7136,640,480:waittimer 69179 blt 0,0,640,480,0, 7138,640,480:waittimer 69199 blt 0,0,640,480,0, 7140,640,480:waittimer 69218 blt 0,0,640,480,0, 7142,640,480:waittimer 69237 blt 0,0,640,480,0, 7144,640,480:waittimer 69257 blt 0,0,640,480,0, 7146,640,480:waittimer 69276 blt 0,0,640,480,0, 7148,640,480:waittimer 69296 blt 0,0,640,480,0, 7150,640,480:waittimer 69315 blt 0,0,640,480,0, 7152,640,480:waittimer 69334 blt 0,0,640,480,0, 7154,640,480:waittimer 69354 blt 0,0,640,480,0, 7156,640,480:waittimer 69373 blt 0,0,640,480,0, 7158,640,480:waittimer 69393 blt 0,0,640,480,0, 7160,640,480:waittimer 69412 blt 0,0,640,480,0, 7162,640,480:waittimer 69431 blt 0,0,640,480,0, 7164,640,480:waittimer 69451 blt 0,0,640,480,0, 7166,640,480:waittimer 69470 blt 0,0,640,480,0, 7168,640,480:waittimer 69490 blt 0,0,640,480,0, 7170,640,480:waittimer 69509 blt 0,0,640,480,0, 7172,640,480:waittimer 69528 blt 0,0,640,480,0, 7174,640,480:waittimer 69548 blt 0,0,640,480,0, 7176,640,480:waittimer 69567 blt 0,0,640,480,0, 7178,640,480:waittimer 69586 blt 0,0,640,480,0, 7180,640,480:waittimer 69606 blt 0,0,640,480,0, 7182,640,480:waittimer 69625 blt 0,0,640,480,0, 7184,640,480:waittimer 69645 blt 0,0,640,480,0, 7186,640,480:waittimer 69664 blt 0,0,640,480,0, 7188,640,480:waittimer 69683 blt 0,0,640,480,0, 7190,640,480:waittimer 69703 blt 0,0,640,480,0, 7192,640,480:waittimer 69722 blt 0,0,640,480,0, 7194,640,480:waittimer 69742 blt 0,0,640,480,0, 7196,640,480:waittimer 69761 blt 0,0,640,480,0, 7198,640,480:waittimer 69780 blt 0,0,640,480,0, 7200,640,480:waittimer 69800 blt 0,0,640,480,0, 7202,640,480:waittimer 69819 blt 0,0,640,480,0, 7204,640,480:waittimer 69839 blt 0,0,640,480,0, 7206,640,480:waittimer 69858 blt 0,0,640,480,0, 7208,640,480:waittimer 69877 blt 0,0,640,480,0, 7210,640,480:waittimer 69897 blt 0,0,640,480,0, 7212,640,480:waittimer 69916 blt 0,0,640,480,0, 7214,640,480:waittimer 69935 blt 0,0,640,480,0, 7216,640,480:waittimer 69955 blt 0,0,640,480,0, 7218,640,480:waittimer 69974 blt 0,0,640,480,0, 7220,640,480:waittimer 69994 blt 0,0,640,480,0, 7222,640,480:waittimer 70013 blt 0,0,640,480,0, 7224,640,480:waittimer 70032 blt 0,0,640,480,0, 7226,640,480:waittimer 70052 blt 0,0,640,480,0, 7228,640,480:waittimer 70071 blt 0,0,640,480,0, 7230,640,480:waittimer 70091 blt 0,0,640,480,0, 7232,640,480:waittimer 70110 blt 0,0,640,480,0, 7234,640,480:waittimer 70129 blt 0,0,640,480,0, 7236,640,480:waittimer 70149 blt 0,0,640,480,0, 7238,640,480:waittimer 70168 blt 0,0,640,480,0, 7240,640,480:waittimer 70188 blt 0,0,640,480,0, 7242,640,480:waittimer 70207 blt 0,0,640,480,0, 7244,640,480:waittimer 70226 blt 0,0,640,480,0, 7246,640,480:waittimer 70246 blt 0,0,640,480,0, 7248,640,480:waittimer 70265 blt 0,0,640,480,0, 7250,640,480:waittimer 70284 blt 0,0,640,480,0, 7252,640,480:waittimer 70304 blt 0,0,640,480,0, 7254,640,480:waittimer 70323 blt 0,0,640,480,0, 7256,640,480:waittimer 70343 blt 0,0,640,480,0, 7258,640,480:waittimer 70362 blt 0,0,640,480,0, 7260,640,480:waittimer 70381 blt 0,0,640,480,0, 7262,640,480:waittimer 70401 blt 0,0,640,480,0, 7264,640,480:waittimer 70420 blt 0,0,640,480,0, 7266,640,480:waittimer 70440 blt 0,0,640,480,0, 7268,640,480:waittimer 70459 blt 0,0,640,480,0, 7270,640,480:waittimer 70478 blt 0,0,640,480,0, 7272,640,480:waittimer 70498 blt 0,0,640,480,0, 7274,640,480:waittimer 70517 blt 0,0,640,480,0, 7276,640,480:waittimer 70537 blt 0,0,640,480,0, 7278,640,480:waittimer 70556 blt 0,0,640,480,0, 7280,640,480:waittimer 70575 blt 0,0,640,480,0, 7282,640,480:waittimer 70595 blt 0,0,640,480,0, 7284,640,480:waittimer 70614 blt 0,0,640,480,0, 7286,640,480:waittimer 70633 blt 0,0,640,480,0, 7288,640,480:waittimer 70653 blt 0,0,640,480,0, 7290,640,480:waittimer 70672 blt 0,0,640,480,0, 7292,640,480:waittimer 70692 blt 0,0,640,480,0, 7294,640,480:waittimer 70711 blt 0,0,640,480,0, 7296,640,480:waittimer 70730 blt 0,0,640,480,0, 7298,640,480:waittimer 70750 blt 0,0,640,480,0, 7300,640,480:waittimer 70769 blt 0,0,640,480,0, 7302,640,480:waittimer 70789 blt 0,0,640,480,0, 7304,640,480:waittimer 70808 blt 0,0,640,480,0, 7306,640,480:waittimer 70827 blt 0,0,640,480,0, 7308,640,480:waittimer 70847 blt 0,0,640,480,0, 7310,640,480:waittimer 70866 blt 0,0,640,480,0, 7312,640,480:waittimer 70886 blt 0,0,640,480,0, 7314,640,480:waittimer 70905 blt 0,0,640,480,0, 7316,640,480:waittimer 70924 blt 0,0,640,480,0, 7318,640,480:waittimer 70944 blt 0,0,640,480,0, 7320,640,480:waittimer 70963 blt 0,0,640,480,0, 7322,640,480:waittimer 70982 blt 0,0,640,480,0, 7324,640,480:waittimer 71002 blt 0,0,640,480,0, 7326,640,480:waittimer 71021 blt 0,0,640,480,0, 7328,640,480:waittimer 71041 blt 0,0,640,480,0, 7330,640,480:waittimer 71060 blt 0,0,640,480,0, 7332,640,480:waittimer 71079 blt 0,0,640,480,0, 7334,640,480:waittimer 71099 blt 0,0,640,480,0, 7336,640,480:waittimer 71118 blt 0,0,640,480,0, 7338,640,480:waittimer 71138 blt 0,0,640,480,0, 7340,640,480:waittimer 71157 blt 0,0,640,480,0, 7342,640,480:waittimer 71176 blt 0,0,640,480,0, 7344,640,480:waittimer 71196 blt 0,0,640,480,0, 7346,640,480:waittimer 71215 blt 0,0,640,480,0, 7348,640,480:waittimer 71235 blt 0,0,640,480,0, 7350,640,480:waittimer 71254 blt 0,0,640,480,0, 7352,640,480:waittimer 71273 blt 0,0,640,480,0, 7354,640,480:waittimer 71293 blt 0,0,640,480,0, 7356,640,480:waittimer 71312 blt 0,0,640,480,0, 7358,640,480:waittimer 71331 blt 0,0,640,480,0, 7360,640,480:waittimer 71351 blt 0,0,640,480,0, 7362,640,480:waittimer 71370 blt 0,0,640,480,0, 7364,640,480:waittimer 71390 blt 0,0,640,480,0, 7366,640,480:waittimer 71409 blt 0,0,640,480,0, 7368,640,480:waittimer 71428 blt 0,0,640,480,0, 7370,640,480:waittimer 71448 blt 0,0,640,480,0, 7372,640,480:waittimer 71467 blt 0,0,640,480,0, 7374,640,480:waittimer 71487 blt 0,0,640,480,0, 7376,640,480:waittimer 71506 blt 0,0,640,480,0, 7378,640,480:waittimer 71525 blt 0,0,640,480,0, 7380,640,480:waittimer 71545 blt 0,0,640,480,0, 7382,640,480:waittimer 71564 blt 0,0,640,480,0, 7384,640,480:waittimer 71584 blt 0,0,640,480,0, 7386,640,480:waittimer 71603 blt 0,0,640,480,0, 7388,640,480:waittimer 71622 blt 0,0,640,480,0, 7390,640,480:waittimer 71642 blt 0,0,640,480,0, 7392,640,480:waittimer 71661 blt 0,0,640,480,0, 7394,640,480:waittimer 71680 blt 0,0,640,480,0, 7396,640,480:waittimer 71700 blt 0,0,640,480,0, 7398,640,480:waittimer 71719 blt 0,0,640,480,0, 7400,640,480:waittimer 71739 blt 0,0,640,480,0, 7402,640,480:waittimer 71758 blt 0,0,640,480,0, 7404,640,480:waittimer 71777 blt 0,0,640,480,0, 7406,640,480:waittimer 71797 blt 0,0,640,480,0, 7408,640,480:waittimer 71816 blt 0,0,640,480,0, 7410,640,480:waittimer 71836 blt 0,0,640,480,0, 7412,640,480:waittimer 71855 blt 0,0,640,480,0, 7414,640,480:waittimer 71874 blt 0,0,640,480,0, 7416,640,480:waittimer 71894 blt 0,0,640,480,0, 7418,640,480:waittimer 71913 blt 0,0,640,480,0, 7420,640,480:waittimer 71933 blt 0,0,640,480,0, 7422,640,480:waittimer 71952 blt 0,0,640,480,0, 7424,640,480:waittimer 71971 blt 0,0,640,480,0, 7426,640,480:waittimer 71991 blt 0,0,640,480,0, 7428,640,480:waittimer 72010 blt 0,0,640,480,0, 7430,640,480:waittimer 72029 blt 0,0,640,480,0, 7432,640,480:waittimer 72049 blt 0,0,640,480,0, 7434,640,480:waittimer 72068 blt 0,0,640,480,0, 7436,640,480:waittimer 72088 blt 0,0,640,480,0, 7438,640,480:waittimer 72107 blt 0,0,640,480,0, 7440,640,480:waittimer 72126 blt 0,0,640,480,0, 7442,640,480:waittimer 72146 blt 0,0,640,480,0, 7444,640,480:waittimer 72165 blt 0,0,640,480,0, 7446,640,480:waittimer 72185 blt 0,0,640,480,0, 7448,640,480:waittimer 72204 blt 0,0,640,480,0, 7450,640,480:waittimer 72223 blt 0,0,640,480,0, 7452,640,480:waittimer 72243 blt 0,0,640,480,0, 7454,640,480:waittimer 72262 blt 0,0,640,480,0, 7456,640,480:waittimer 72282 blt 0,0,640,480,0, 7458,640,480:waittimer 72301 blt 0,0,640,480,0, 7460,640,480:waittimer 72320 blt 0,0,640,480,0, 7462,640,480:waittimer 72340 blt 0,0,640,480,0, 7464,640,480:waittimer 72359 blt 0,0,640,480,0, 7466,640,480:waittimer 72378 blt 0,0,640,480,0, 7468,640,480:waittimer 72398 blt 0,0,640,480,0, 7470,640,480:waittimer 72417 blt 0,0,640,480,0, 7472,640,480:waittimer 72437 blt 0,0,640,480,0, 7474,640,480:waittimer 72456 blt 0,0,640,480,0, 7476,640,480:waittimer 72475 blt 0,0,640,480,0, 7478,640,480:waittimer 72495 blt 0,0,640,480,0, 7480,640,480:waittimer 72514 blt 0,0,640,480,0, 7482,640,480:waittimer 72534 blt 0,0,640,480,0, 7484,640,480:waittimer 72553 blt 0,0,640,480,0, 7486,640,480:waittimer 72572 blt 0,0,640,480,0, 7488,640,480:waittimer 72592 blt 0,0,640,480,0, 7490,640,480:waittimer 72611 blt 0,0,640,480,0, 7492,640,480:waittimer 72631 blt 0,0,640,480,0, 7494,640,480:waittimer 72650 blt 0,0,640,480,0, 7496,640,480:waittimer 72669 blt 0,0,640,480,0, 7498,640,480:waittimer 72689 blt 0,0,640,480,0, 7500,640,480:waittimer 72708 blt 0,0,640,480,0, 7502,640,480:waittimer 72727 blt 0,0,640,480,0, 7504,640,480:waittimer 72747 blt 0,0,640,480,0, 7506,640,480:waittimer 72766 blt 0,0,640,480,0, 7508,640,480:waittimer 72786 blt 0,0,640,480,0, 7510,640,480:waittimer 72805 blt 0,0,640,480,0, 7512,640,480:waittimer 72824 blt 0,0,640,480,0, 7514,640,480:waittimer 72844 blt 0,0,640,480,0, 7516,640,480:waittimer 72863 blt 0,0,640,480,0, 7518,640,480:waittimer 72883 blt 0,0,640,480,0, 7520,640,480:waittimer 72902 blt 0,0,640,480,0, 7522,640,480:waittimer 72921 blt 0,0,640,480,0, 7524,640,480:waittimer 72941 blt 0,0,640,480,0, 7526,640,480:waittimer 72960 blt 0,0,640,480,0, 7528,640,480:waittimer 72980 blt 0,0,640,480,0, 7530,640,480:waittimer 72999 blt 0,0,640,480,0, 7532,640,480:waittimer 73018 blt 0,0,640,480,0, 7534,640,480:waittimer 73038 blt 0,0,640,480,0, 7536,640,480:waittimer 73057 blt 0,0,640,480,0, 7538,640,480:waittimer 73076 blt 0,0,640,480,0, 7540,640,480:waittimer 73096 blt 0,0,640,480,0, 7542,640,480:waittimer 73115 blt 0,0,640,480,0, 7544,640,480:waittimer 73135 blt 0,0,640,480,0, 7546,640,480:waittimer 73154 blt 0,0,640,480,0, 7548,640,480:waittimer 73173 blt 0,0,640,480,0, 7550,640,480:waittimer 73193 blt 0,0,640,480,0, 7552,640,480:waittimer 73212 blt 0,0,640,480,0, 7554,640,480:waittimer 73232 blt 0,0,640,480,0, 7556,640,480:waittimer 73251 blt 0,0,640,480,0, 7558,640,480:waittimer 73270 blt 0,0,640,480,0, 7560,640,480:waittimer 73290 blt 0,0,640,480,0, 7562,640,480:waittimer 73309 blt 0,0,640,480,0, 7564,640,480:waittimer 73329 blt 0,0,640,480,0, 7566,640,480:waittimer 73348 blt 0,0,640,480,0, 7568,640,480:waittimer 73367 blt 0,0,640,480,0, 7570,640,480:waittimer 73387 blt 0,0,640,480,0, 7572,640,480:waittimer 73406 blt 0,0,640,480,0, 7574,640,480:waittimer 73425 blt 0,0,640,480,0, 7576,640,480:waittimer 73445 blt 0,0,640,480,0, 7578,640,480:waittimer 73464 blt 0,0,640,480,0, 7580,640,480:waittimer 73484 blt 0,0,640,480,0, 7582,640,480:waittimer 73503 blt 0,0,640,480,0, 7584,640,480:waittimer 73522 blt 0,0,640,480,0, 7586,640,480:waittimer 73542 blt 0,0,640,480,0, 7588,640,480:waittimer 73561 blt 0,0,640,480,0, 7590,640,480:waittimer 73581 blt 0,0,640,480,0, 7592,640,480:waittimer 73600 blt 0,0,640,480,0, 7594,640,480:waittimer 73619 blt 0,0,640,480,0, 7596,640,480:waittimer 73639 blt 0,0,640,480,0, 7598,640,480:waittimer 73658 blt 0,0,640,480,0, 7600,640,480:waittimer 73678 blt 0,0,640,480,0, 7602,640,480:waittimer 73697 blt 0,0,640,480,0, 7604,640,480:waittimer 73716 blt 0,0,640,480,0, 7606,640,480:waittimer 73736 blt 0,0,640,480,0, 7608,640,480:waittimer 73755 blt 0,0,640,480,0, 7610,640,480:waittimer 73774 blt 0,0,640,480,0, 7612,640,480:waittimer 73794 blt 0,0,640,480,0, 7614,640,480:waittimer 73813 blt 0,0,640,480,0, 7616,640,480:waittimer 73833 blt 0,0,640,480,0, 7618,640,480:waittimer 73852 blt 0,0,640,480,0, 7620,640,480:waittimer 73871 blt 0,0,640,480,0, 7622,640,480:waittimer 73891 blt 0,0,640,480,0, 7624,640,480:waittimer 73910 blt 0,0,640,480,0, 7626,640,480:waittimer 73930 blt 0,0,640,480,0, 7628,640,480:waittimer 73949 blt 0,0,640,480,0, 7630,640,480:waittimer 73968 blt 0,0,640,480,0, 7632,640,480:waittimer 73988 blt 0,0,640,480,0, 7634,640,480:waittimer 74007 blt 0,0,640,480,0, 7636,640,480:waittimer 74027 blt 0,0,640,480,0, 7638,640,480:waittimer 74046 blt 0,0,640,480,0, 7640,640,480:waittimer 74065 blt 0,0,640,480,0, 7642,640,480:waittimer 74085 blt 0,0,640,480,0, 7644,640,480:waittimer 74104 blt 0,0,640,480,0, 7646,640,480:waittimer 74123 blt 0,0,640,480,0, 7648,640,480:waittimer 74143 blt 0,0,640,480,0, 7650,640,480:waittimer 74162 blt 0,0,640,480,0, 7652,640,480:waittimer 74182 blt 0,0,640,480,0, 7654,640,480:waittimer 74201 blt 0,0,640,480,0, 7656,640,480:waittimer 74220 blt 0,0,640,480,0, 7658,640,480:waittimer 74240 blt 0,0,640,480,0, 7660,640,480:waittimer 74259 blt 0,0,640,480,0, 7662,640,480:waittimer 74279 blt 0,0,640,480,0, 7664,640,480:waittimer 74298 blt 0,0,640,480,0, 7666,640,480:waittimer 74317 blt 0,0,640,480,0, 7668,640,480:waittimer 74337 blt 0,0,640,480,0, 7670,640,480:waittimer 74356 blt 0,0,640,480,0, 7672,640,480:waittimer 74376 blt 0,0,640,480,0, 7674,640,480:waittimer 74395 blt 0,0,640,480,0, 7676,640,480:waittimer 74414 blt 0,0,640,480,0, 7678,640,480:waittimer 74434 blt 0,0,640,480,0, 7680,640,480:waittimer 74453 blt 0,0,640,480,0, 7682,640,480:waittimer 74472 blt 0,0,640,480,0, 7684,640,480:waittimer 74492 blt 0,0,640,480,0, 7686,640,480:waittimer 74511 blt 0,0,640,480,0, 7688,640,480:waittimer 74531 blt 0,0,640,480,0, 7690,640,480:waittimer 74550 blt 0,0,640,480,0, 7692,640,480:waittimer 74569 blt 0,0,640,480,0, 7694,640,480:waittimer 74589 blt 0,0,640,480,0, 7696,640,480:waittimer 74608 blt 0,0,640,480,0, 7698,640,480:waittimer 74628 blt 0,0,640,480,0, 7700,640,480:waittimer 74647 blt 0,0,640,480,0, 7702,640,480:waittimer 74666 blt 0,0,640,480,0, 7704,640,480:waittimer 74686 blt 0,0,640,480,0, 7706,640,480:waittimer 74705 blt 0,0,640,480,0, 7708,640,480:waittimer 74725 blt 0,0,640,480,0, 7710,640,480:waittimer 74744 blt 0,0,640,480,0, 7712,640,480:waittimer 74763 blt 0,0,640,480,0, 7714,640,480:waittimer 74783 blt 0,0,640,480,0, 7716,640,480:waittimer 74802 blt 0,0,640,480,0, 7718,640,480:waittimer 74821 blt 0,0,640,480,0, 7720,640,480:waittimer 74841 blt 0,0,640,480,0, 7722,640,480:waittimer 74860 blt 0,0,640,480,0, 7724,640,480:waittimer 74880 blt 0,0,640,480,0, 7726,640,480:waittimer 74899 blt 0,0,640,480,0, 7728,640,480:waittimer 74918 blt 0,0,640,480,0, 7730,640,480:waittimer 74938 blt 0,0,640,480,0, 7732,640,480:waittimer 74957 blt 0,0,640,480,0, 7734,640,480:waittimer 74977 blt 0,0,640,480,0, 7736,640,480:waittimer 74996 blt 0,0,640,480,0, 7738,640,480:waittimer 75015 blt 0,0,640,480,0, 7740,640,480:waittimer 75035 blt 0,0,640,480,0, 7742,640,480:waittimer 75054 blt 0,0,640,480,0, 7744,640,480:waittimer 75074 blt 0,0,640,480,0, 7746,640,480:waittimer 75093 blt 0,0,640,480,0, 7748,640,480:waittimer 75112 blt 0,0,640,480,0, 7750,640,480:waittimer 75132 blt 0,0,640,480,0, 7752,640,480:waittimer 75151 blt 0,0,640,480,0, 7754,640,480:waittimer 75170 blt 0,0,640,480,0, 7756,640,480:waittimer 75190 blt 0,0,640,480,0, 7758,640,480:waittimer 75209 blt 0,0,640,480,0, 7760,640,480:waittimer 75229 blt 0,0,640,480,0, 7762,640,480:waittimer 75248 blt 0,0,640,480,0, 7764,640,480:waittimer 75267 blt 0,0,640,480,0, 7766,640,480:waittimer 75287 blt 0,0,640,480,0, 7768,640,480:waittimer 75306 blt 0,0,640,480,0, 7770,640,480:waittimer 75326 blt 0,0,640,480,0, 7772,640,480:waittimer 75345 blt 0,0,640,480,0, 7774,640,480:waittimer 75364 blt 0,0,640,480,0, 7776,640,480:waittimer 75384 blt 0,0,640,480,0, 7778,640,480:waittimer 75403 blt 0,0,640,480,0, 7780,640,480:waittimer 75423 blt 0,0,640,480,0, 7782,640,480:waittimer 75442 blt 0,0,640,480,0, 7784,640,480:waittimer 75461 blt 0,0,640,480,0, 7786,640,480:waittimer 75481 blt 0,0,640,480,0, 7788,640,480:waittimer 75500 blt 0,0,640,480,0, 7790,640,480:waittimer 75519 blt 0,0,640,480,0, 7792,640,480:waittimer 75539 blt 0,0,640,480,0, 7794,640,480:waittimer 75558 blt 0,0,640,480,0, 7796,640,480:waittimer 75578 blt 0,0,640,480,0, 7798,640,480:waittimer 75597 blt 0,0,640,480,0, 7800,640,480:waittimer 75616 blt 0,0,640,480,0, 7802,640,480:waittimer 75636 blt 0,0,640,480,0, 7804,640,480:waittimer 75655 blt 0,0,640,480,0, 7806,640,480:waittimer 75675 blt 0,0,640,480,0, 7808,640,480:waittimer 75694 blt 0,0,640,480,0, 7810,640,480:waittimer 75713 blt 0,0,640,480,0, 7812,640,480:waittimer 75733 blt 0,0,640,480,0, 7814,640,480:waittimer 75752 blt 0,0,640,480,0, 7816,640,480:waittimer 75772 blt 0,0,640,480,0, 7818,640,480:waittimer 75791 blt 0,0,640,480,0, 7820,640,480:waittimer 75810 blt 0,0,640,480,0, 7822,640,480:waittimer 75830 blt 0,0,640,480,0, 7824,640,480:waittimer 75849 blt 0,0,640,480,0, 7826,640,480:waittimer 75868 blt 0,0,640,480,0, 7828,640,480:waittimer 75888 blt 0,0,640,480,0, 7830,640,480:waittimer 75907 blt 0,0,640,480,0, 7832,640,480:waittimer 75927 blt 0,0,640,480,0, 7834,640,480:waittimer 75946 blt 0,0,640,480,0, 7836,640,480:waittimer 75965 blt 0,0,640,480,0, 7838,640,480:waittimer 75985 blt 0,0,640,480,0, 7840,640,480:waittimer 76004 blt 0,0,640,480,0, 7842,640,480:waittimer 76024 blt 0,0,640,480,0, 7844,640,480:waittimer 76043 blt 0,0,640,480,0, 7846,640,480:waittimer 76062 blt 0,0,640,480,0, 7848,640,480:waittimer 76082 blt 0,0,640,480,0, 7850,640,480:waittimer 76101 blt 0,0,640,480,0, 7852,640,480:waittimer 76121 blt 0,0,640,480,0, 7854,640,480:waittimer 76140 blt 0,0,640,480,0, 7856,640,480:waittimer 76159 blt 0,0,640,480,0, 7858,640,480:waittimer 76179 blt 0,0,640,480,0, 7860,640,480:waittimer 76198 blt 0,0,640,480,0, 7862,640,480:waittimer 76217 blt 0,0,640,480,0, 7864,640,480:waittimer 76237 blt 0,0,640,480,0, 7866,640,480:waittimer 76256 blt 0,0,640,480,0, 7868,640,480:waittimer 76276 blt 0,0,640,480,0, 7870,640,480:waittimer 76295 blt 0,0,640,480,0, 7872,640,480:waittimer 76314 blt 0,0,640,480,0, 7874,640,480:waittimer 76334 blt 0,0,640,480,0, 7876,640,480:waittimer 76353 blt 0,0,640,480,0, 7878,640,480:waittimer 76373 blt 0,0,640,480,0, 7880,640,480:waittimer 76392 blt 0,0,640,480,0, 7882,640,480:waittimer 76411 blt 0,0,640,480,0, 7884,640,480:waittimer 76431 blt 0,0,640,480,0, 7886,640,480:waittimer 76450 blt 0,0,640,480,0, 7888,640,480:waittimer 76470 blt 0,0,640,480,0, 7890,640,480:waittimer 76489 blt 0,0,640,480,0, 7892,640,480:waittimer 76508 blt 0,0,640,480,0, 7894,640,480:waittimer 76528 blt 0,0,640,480,0, 7896,640,480:waittimer 76547 blt 0,0,640,480,0, 7898,640,480:waittimer 76566 blt 0,0,640,480,0, 7900,640,480:waittimer 76586 blt 0,0,640,480,0, 7902,640,480:waittimer 76605 blt 0,0,640,480,0, 7904,640,480:waittimer 76625 blt 0,0,640,480,0, 7906,640,480:waittimer 76644 blt 0,0,640,480,0, 7908,640,480:waittimer 76663 blt 0,0,640,480,0, 7910,640,480:waittimer 76683 blt 0,0,640,480,0, 7912,640,480:waittimer 76702 blt 0,0,640,480,0, 7914,640,480:waittimer 76722 blt 0,0,640,480,0, 7916,640,480:waittimer 76741 blt 0,0,640,480,0, 7918,640,480:waittimer 76760 blt 0,0,640,480,0, 7920,640,480:waittimer 76780 blt 0,0,640,480,0, 7922,640,480:waittimer 76799 blt 0,0,640,480,0, 7924,640,480:waittimer 76819 blt 0,0,640,480,0, 7926,640,480:waittimer 76838 blt 0,0,640,480,0, 7928,640,480:waittimer 76857 blt 0,0,640,480,0, 7930,640,480:waittimer 76877 blt 0,0,640,480,0, 7932,640,480:waittimer 76896 blt 0,0,640,480,0, 7934,640,480:waittimer 76915 blt 0,0,640,480,0, 7936,640,480:waittimer 76935 blt 0,0,640,480,0, 7938,640,480:waittimer 76954 blt 0,0,640,480,0, 7940,640,480:waittimer 76974 blt 0,0,640,480,0, 7942,640,480:waittimer 76993 blt 0,0,640,480,0, 7944,640,480:waittimer 77012 blt 0,0,640,480,0, 7946,640,480:waittimer 77032 blt 0,0,640,480,0, 7948,640,480:waittimer 77051 blt 0,0,640,480,0, 7950,640,480:waittimer 77071 blt 0,0,640,480,0, 7952,640,480:waittimer 77090 blt 0,0,640,480,0, 7954,640,480:waittimer 77109 blt 0,0,640,480,0, 7956,640,480:waittimer 77129 blt 0,0,640,480,0, 7958,640,480:waittimer 77148 blt 0,0,640,480,0, 7960,640,480:waittimer 77168 blt 0,0,640,480,0, 7962,640,480:waittimer 77187 blt 0,0,640,480,0, 7964,640,480:waittimer 77206 blt 0,0,640,480,0, 7966,640,480:waittimer 77226 blt 0,0,640,480,0, 7968,640,480:waittimer 77245 blt 0,0,640,480,0, 7970,640,480:waittimer 77264 blt 0,0,640,480,0, 7972,640,480:waittimer 77284 blt 0,0,640,480,0, 7974,640,480:waittimer 77303 blt 0,0,640,480,0, 7976,640,480:waittimer 77323 blt 0,0,640,480,0, 7978,640,480:waittimer 77342 blt 0,0,640,480,0, 7980,640,480:waittimer 77361 blt 0,0,640,480,0, 7982,640,480:waittimer 77381 blt 0,0,640,480,0, 7984,640,480:waittimer 77400 blt 0,0,640,480,0, 7986,640,480:waittimer 77420 blt 0,0,640,480,0, 7988,640,480:waittimer 77439 blt 0,0,640,480,0, 7990,640,480:waittimer 77458 blt 0,0,640,480,0, 7992,640,480:waittimer 77478 blt 0,0,640,480,0, 7994,640,480:waittimer 77497 blt 0,0,640,480,0, 7996,640,480:waittimer 77517 blt 0,0,640,480,0, 7998,640,480:waittimer 77536 blt 0,0,640,480,0, 8000,640,480:waittimer 77555 blt 0,0,640,480,0, 8002,640,480:waittimer 77575 blt 0,0,640,480,0, 8004,640,480:waittimer 77594 blt 0,0,640,480,0, 8006,640,480:waittimer 77613 blt 0,0,640,480,0, 8008,640,480:waittimer 77633 blt 0,0,640,480,0, 8010,640,480:waittimer 77652 blt 0,0,640,480,0, 8012,640,480:waittimer 77672 blt 0,0,640,480,0, 8014,640,480:waittimer 77691 blt 0,0,640,480,0, 8016,640,480:waittimer 77710 blt 0,0,640,480,0, 8018,640,480:waittimer 77730 blt 0,0,640,480,0, 8020,640,480:waittimer 77749 blt 0,0,640,480,0, 8022,640,480:waittimer 77769 blt 0,0,640,480,0, 8024,640,480:waittimer 77788 blt 0,0,640,480,0, 8026,640,480:waittimer 77807 blt 0,0,640,480,0, 8028,640,480:waittimer 77827 blt 0,0,640,480,0, 8030,640,480:waittimer 77846 blt 0,0,640,480,0, 8032,640,480:waittimer 77866 blt 0,0,640,480,0, 8034,640,480:waittimer 77885 blt 0,0,640,480,0, 8036,640,480:waittimer 77904 blt 0,0,640,480,0, 8038,640,480:waittimer 77924 blt 0,0,640,480,0, 8040,640,480:waittimer 77943 blt 0,0,640,480,0, 8042,640,480:waittimer 77962 blt 0,0,640,480,0, 8044,640,480:waittimer 77982 blt 0,0,640,480,0, 8046,640,480:waittimer 78001 blt 0,0,640,480,0, 8048,640,480:waittimer 78021 blt 0,0,640,480,0, 8050,640,480:waittimer 78040 blt 0,0,640,480,0, 8052,640,480:waittimer 78059 blt 0,0,640,480,0, 8054,640,480:waittimer 78079 blt 0,0,640,480,0, 8056,640,480:waittimer 78098 blt 0,0,640,480,0, 8058,640,480:waittimer 78118 blt 0,0,640,480,0, 8060,640,480:waittimer 78137 blt 0,0,640,480,0, 8062,640,480:waittimer 78156 blt 0,0,640,480,0, 8064,640,480:waittimer 78176 blt 0,0,640,480,0, 8066,640,480:waittimer 78195 blt 0,0,640,480,0, 8068,640,480:waittimer 78215 blt 0,0,640,480,0, 8070,640,480:waittimer 78234 blt 0,0,640,480,0, 8072,640,480:waittimer 78253 blt 0,0,640,480,0, 8074,640,480:waittimer 78273 blt 0,0,640,480,0, 8076,640,480:waittimer 78292 blt 0,0,640,480,0, 8078,640,480:waittimer 78311 blt 0,0,640,480,0, 8080,640,480:waittimer 78331 blt 0,0,640,480,0, 8082,640,480:waittimer 78350 blt 0,0,640,480,0, 8084,640,480:waittimer 78370 blt 0,0,640,480,0, 8086,640,480:waittimer 78389 blt 0,0,640,480,0, 8088,640,480:waittimer 78408 blt 0,0,640,480,0, 8090,640,480:waittimer 78428 blt 0,0,640,480,0, 8092,640,480:waittimer 78447 blt 0,0,640,480,0, 8094,640,480:waittimer 78467 blt 0,0,640,480,0, 8096,640,480:waittimer 78486 blt 0,0,640,480,0, 8098,640,480:waittimer 78505 blt 0,0,640,480,0, 8100,640,480:waittimer 78525 blt 0,0,640,480,0, 8102,640,480:waittimer 78544 blt 0,0,640,480,0, 8104,640,480:waittimer 78564 blt 0,0,640,480,0, 8106,640,480:waittimer 78583 blt 0,0,640,480,0, 8108,640,480:waittimer 78602 blt 0,0,640,480,0, 8110,640,480:waittimer 78622 blt 0,0,640,480,0, 8112,640,480:waittimer 78641 blt 0,0,640,480,0, 8114,640,480:waittimer 78660 blt 0,0,640,480,0, 8116,640,480:waittimer 78680 blt 0,0,640,480,0, 8118,640,480:waittimer 78699 blt 0,0,640,480,0, 8120,640,480:waittimer 78719 blt 0,0,640,480,0, 8122,640,480:waittimer 78738 blt 0,0,640,480,0, 8124,640,480:waittimer 78757 blt 0,0,640,480,0, 8126,640,480:waittimer 78777 blt 0,0,640,480,0, 8128,640,480:waittimer 78796 blt 0,0,640,480,0, 8130,640,480:waittimer 78816 blt 0,0,640,480,0, 8132,640,480:waittimer 78835 blt 0,0,640,480,0, 8134,640,480:waittimer 78854 blt 0,0,640,480,0, 8136,640,480:waittimer 78874 blt 0,0,640,480,0, 8138,640,480:waittimer 78893 blt 0,0,640,480,0, 8140,640,480:waittimer 78913 blt 0,0,640,480,0, 8142,640,480:waittimer 78932 blt 0,0,640,480,0, 8144,640,480:waittimer 78951 blt 0,0,640,480,0, 8146,640,480:waittimer 78971 blt 0,0,640,480,0, 8148,640,480:waittimer 78990 blt 0,0,640,480,0, 8150,640,480:waittimer 79009 blt 0,0,640,480,0, 8152,640,480:waittimer 79029 blt 0,0,640,480,0, 8154,640,480:waittimer 79048 blt 0,0,640,480,0, 8156,640,480:waittimer 79068 blt 0,0,640,480,0, 8158,640,480:waittimer 79087 blt 0,0,640,480,0, 8160,640,480:waittimer 79106 blt 0,0,640,480,0, 8162,640,480:waittimer 79126 blt 0,0,640,480,0, 8164,640,480:waittimer 79145 blt 0,0,640,480,0, 8166,640,480:waittimer 79165 blt 0,0,640,480,0, 8168,640,480:waittimer 79184 blt 0,0,640,480,0, 8170,640,480:waittimer 79203 blt 0,0,640,480,0, 8172,640,480:waittimer 79223 blt 0,0,640,480,0, 8174,640,480:waittimer 79242 blt 0,0,640,480,0, 8176,640,480:waittimer 79262 blt 0,0,640,480,0, 8178,640,480:waittimer 79281 blt 0,0,640,480,0, 8180,640,480:waittimer 79300 blt 0,0,640,480,0, 8182,640,480:waittimer 79320 blt 0,0,640,480,0, 8184,640,480:waittimer 79339 blt 0,0,640,480,0, 8186,640,480:waittimer 79358 blt 0,0,640,480,0, 8188,640,480:waittimer 79378 blt 0,0,640,480,0, 8190,640,480:waittimer 79397 blt 0,0,640,480,0, 8192,640,480:waittimer 79417 blt 0,0,640,480,0, 8194,640,480:waittimer 79436 blt 0,0,640,480,0, 8196,640,480:waittimer 79455 blt 0,0,640,480,0, 8198,640,480:waittimer 79475 blt 0,0,640,480,0, 8200,640,480:waittimer 79494 blt 0,0,640,480,0, 8202,640,480:waittimer 79514 blt 0,0,640,480,0, 8204,640,480:waittimer 79533 blt 0,0,640,480,0, 8206,640,480:waittimer 79552 blt 0,0,640,480,0, 8208,640,480:waittimer 79572 blt 0,0,640,480,0, 8210,640,480:waittimer 79591 blt 0,0,640,480,0, 8212,640,480:waittimer 79611 blt 0,0,640,480,0, 8214,640,480:waittimer 79630 blt 0,0,640,480,0, 8216,640,480:waittimer 79649 blt 0,0,640,480,0, 8218,640,480:waittimer 79669 blt 0,0,640,480,0, 8220,640,480:waittimer 79688 blt 0,0,640,480,0, 8222,640,480:waittimer 79707 blt 0,0,640,480,0, 8224,640,480:waittimer 79727 blt 0,0,640,480,0, 8226,640,480:waittimer 79746 blt 0,0,640,480,0, 8228,640,480:waittimer 79766 blt 0,0,640,480,0, 8230,640,480:waittimer 79785 blt 0,0,640,480,0, 8232,640,480:waittimer 79804 blt 0,0,640,480,0, 8234,640,480:waittimer 79824 blt 0,0,640,480,0, 8236,640,480:waittimer 79843 blt 0,0,640,480,0, 8238,640,480:waittimer 79863 blt 0,0,640,480,0, 8240,640,480:waittimer 79882 blt 0,0,640,480,0, 8242,640,480:waittimer 79901 blt 0,0,640,480,0, 8244,640,480:waittimer 79921 blt 0,0,640,480,0, 8246,640,480:waittimer 79940 blt 0,0,640,480,0, 8248,640,480:waittimer 79960 blt 0,0,640,480,0, 8250,640,480:waittimer 79979 blt 0,0,640,480,0, 8252,640,480:waittimer 79998 blt 0,0,640,480,0, 8254,640,480:waittimer 80018 blt 0,0,640,480,0, 8256,640,480:waittimer 80037 blt 0,0,640,480,0, 8258,640,480:waittimer 80056 blt 0,0,640,480,0, 8260,640,480:waittimer 80076 blt 0,0,640,480,0, 8262,640,480:waittimer 80095 blt 0,0,640,480,0, 8264,640,480:waittimer 80115 blt 0,0,640,480,0, 8266,640,480:waittimer 80134 blt 0,0,640,480,0, 8268,640,480:waittimer 80153 blt 0,0,640,480,0, 8270,640,480:waittimer 80173 blt 0,0,640,480,0, 8272,640,480:waittimer 80192 blt 0,0,640,480,0, 8274,640,480:waittimer 80212 blt 0,0,640,480,0, 8276,640,480:waittimer 80231 blt 0,0,640,480,0, 8278,640,480:waittimer 80250 blt 0,0,640,480,0, 8280,640,480:waittimer 80270 blt 0,0,640,480,0, 8282,640,480:waittimer 80289 blt 0,0,640,480,0, 8284,640,480:waittimer 80309 blt 0,0,640,480,0, 8286,640,480:waittimer 80328 blt 0,0,640,480,0, 8288,640,480:waittimer 80347 blt 0,0,640,480,0, 8290,640,480:waittimer 80367 blt 0,0,640,480,0, 8292,640,480:waittimer 80386 blt 0,0,640,480,0, 8294,640,480:waittimer 80405 blt 0,0,640,480,0, 8296,640,480:waittimer 80425 blt 0,0,640,480,0, 8298,640,480:waittimer 80444 blt 0,0,640,480,0, 8300,640,480:waittimer 80464 blt 0,0,640,480,0, 8302,640,480:waittimer 80483 blt 0,0,640,480,0, 8304,640,480:waittimer 80502 blt 0,0,640,480,0, 8306,640,480:waittimer 80522 blt 0,0,640,480,0, 8308,640,480:waittimer 80541 blt 0,0,640,480,0, 8310,640,480:waittimer 80561 blt 0,0,640,480,0, 8312,640,480:waittimer 80580 blt 0,0,640,480,0, 8314,640,480:waittimer 80599 blt 0,0,640,480,0, 8316,640,480:waittimer 80619 blt 0,0,640,480,0, 8318,640,480:waittimer 80638 blt 0,0,640,480,0, 8320,640,480:waittimer 80658 blt 0,0,640,480,0, 8322,640,480:waittimer 80677 blt 0,0,640,480,0, 8324,640,480:waittimer 80696 blt 0,0,640,480,0, 8326,640,480:waittimer 80716 blt 0,0,640,480,0, 8328,640,480:waittimer 80735 blt 0,0,640,480,0, 8330,640,480:waittimer 80754 blt 0,0,640,480,0, 8332,640,480:waittimer 80774 blt 0,0,640,480,0, 8334,640,480:waittimer 80793 blt 0,0,640,480,0, 8336,640,480:waittimer 80813 blt 0,0,640,480,0, 8338,640,480:waittimer 80832 blt 0,0,640,480,0, 8340,640,480:waittimer 80851 blt 0,0,640,480,0, 8342,640,480:waittimer 80871 blt 0,0,640,480,0, 8344,640,480:waittimer 80890 blt 0,0,640,480,0, 8346,640,480:waittimer 80910 blt 0,0,640,480,0, 8348,640,480:waittimer 80929 blt 0,0,640,480,0, 8350,640,480:waittimer 80948 blt 0,0,640,480,0, 8352,640,480:waittimer 80968 blt 0,0,640,480,0, 8354,640,480:waittimer 80987 blt 0,0,640,480,0, 8356,640,480:waittimer 81007 blt 0,0,640,480,0, 8358,640,480:waittimer 81026 blt 0,0,640,480,0, 8360,640,480:waittimer 81045 blt 0,0,640,480,0, 8362,640,480:waittimer 81065 blt 0,0,640,480,0, 8364,640,480:waittimer 81084 blt 0,0,640,480,0, 8366,640,480:waittimer 81103 blt 0,0,640,480,0, 8368,640,480:waittimer 81123 blt 0,0,640,480,0, 8370,640,480:waittimer 81142 blt 0,0,640,480,0, 8372,640,480:waittimer 81162 blt 0,0,640,480,0, 8374,640,480:waittimer 81181 blt 0,0,640,480,0, 8376,640,480:waittimer 81200 blt 0,0,640,480,0, 8378,640,480:waittimer 81220 blt 0,0,640,480,0, 8380,640,480:waittimer 81239 blt 0,0,640,480,0, 8382,640,480:waittimer 81259 blt 0,0,640,480,0, 8384,640,480:waittimer 81278 blt 0,0,640,480,0, 8386,640,480:waittimer 81297 blt 0,0,640,480,0, 8388,640,480:waittimer 81317 blt 0,0,640,480,0, 8390,640,480:waittimer 81336 blt 0,0,640,480,0, 8392,640,480:waittimer 81356 blt 0,0,640,480,0, 8394,640,480:waittimer 81375 blt 0,0,640,480,0, 8396,640,480:waittimer 81394 blt 0,0,640,480,0, 8398,640,480:waittimer 81414 blt 0,0,640,480,0, 8400,640,480:waittimer 81433 blt 0,0,640,480,0, 8402,640,480:waittimer 81452 blt 0,0,640,480,0, 8404,640,480:waittimer 81472 blt 0,0,640,480,0, 8406,640,480:waittimer 81491 blt 0,0,640,480,0, 8408,640,480:waittimer 81511 blt 0,0,640,480,0, 8410,640,480:waittimer 81530 blt 0,0,640,480,0, 8412,640,480:waittimer 81549 blt 0,0,640,480,0, 8414,640,480:waittimer 81569 blt 0,0,640,480,0, 8416,640,480:waittimer 81588 blt 0,0,640,480,0, 8418,640,480:waittimer 81608 blt 0,0,640,480,0, 8420,640,480:waittimer 81627 blt 0,0,640,480,0, 8422,640,480:waittimer 81646 blt 0,0,640,480,0, 8424,640,480:waittimer 81666 blt 0,0,640,480,0, 8426,640,480:waittimer 81685 blt 0,0,640,480,0, 8428,640,480:waittimer 81705 blt 0,0,640,480,0, 8430,640,480:waittimer 81724 blt 0,0,640,480,0, 8432,640,480:waittimer 81743 blt 0,0,640,480,0, 8434,640,480:waittimer 81763 blt 0,0,640,480,0, 8436,640,480:waittimer 81782 blt 0,0,640,480,0, 8438,640,480:waittimer 81801 blt 0,0,640,480,0, 8440,640,480:waittimer 81821 blt 0,0,640,480,0, 8442,640,480:waittimer 81840 blt 0,0,640,480,0, 8444,640,480:waittimer 81860 blt 0,0,640,480,0, 8446,640,480:waittimer 81879 blt 0,0,640,480,0, 8448,640,480:waittimer 81898 blt 0,0,640,480,0, 8450,640,480:waittimer 81918 blt 0,0,640,480,0, 8452,640,480:waittimer 81937 blt 0,0,640,480,0, 8454,640,480:waittimer 81957 blt 0,0,640,480,0, 8456,640,480:waittimer 81976 blt 0,0,640,480,0, 8458,640,480:waittimer 81995 blt 0,0,640,480,0, 8460,640,480:waittimer 82015 blt 0,0,640,480,0, 8462,640,480:waittimer 82034 blt 0,0,640,480,0, 8464,640,480:waittimer 82054 blt 0,0,640,480,0, 8466,640,480:waittimer 82073 blt 0,0,640,480,0, 8468,640,480:waittimer 82092 blt 0,0,640,480,0, 8470,640,480:waittimer 82112 blt 0,0,640,480,0, 8472,640,480:waittimer 82131 blt 0,0,640,480,0, 8474,640,480:waittimer 82150 blt 0,0,640,480,0, 8476,640,480:waittimer 82170 blt 0,0,640,480,0, 8478,640,480:waittimer 82189 blt 0,0,640,480,0, 8480,640,480:waittimer 82209 blt 0,0,640,480,0, 8482,640,480:waittimer 82228 blt 0,0,640,480,0, 8484,640,480:waittimer 82247 blt 0,0,640,480,0, 8486,640,480:waittimer 82267 blt 0,0,640,480,0, 8488,640,480:waittimer 82286 blt 0,0,640,480,0, 8490,640,480:waittimer 82306 blt 0,0,640,480,0, 8492,640,480:waittimer 82325 blt 0,0,640,480,0, 8494,640,480:waittimer 82344 blt 0,0,640,480,0, 8496,640,480:waittimer 82364 blt 0,0,640,480,0, 8498,640,480:waittimer 82383 blt 0,0,640,480,0, 8500,640,480:waittimer 82403 blt 0,0,640,480,0, 8502,640,480:waittimer 82422 blt 0,0,640,480,0, 8504,640,480:waittimer 82441 blt 0,0,640,480,0, 8506,640,480:waittimer 82461 blt 0,0,640,480,0, 8508,640,480:waittimer 82480 blt 0,0,640,480,0, 8510,640,480:waittimer 82499 blt 0,0,640,480,0, 8512,640,480:waittimer 82519 blt 0,0,640,480,0, 8514,640,480:waittimer 82538 blt 0,0,640,480,0, 8516,640,480:waittimer 82558 blt 0,0,640,480,0, 8518,640,480:waittimer 82577 blt 0,0,640,480,0, 8520,640,480:waittimer 82596 blt 0,0,640,480,0, 8522,640,480:waittimer 82616 blt 0,0,640,480,0, 8524,640,480:waittimer 82635 blt 0,0,640,480,0, 8526,640,480:waittimer 82655 blt 0,0,640,480,0, 8528,640,480:waittimer 82674 blt 0,0,640,480,0, 8530,640,480:waittimer 82693 blt 0,0,640,480,0, 8532,640,480:waittimer 82713 blt 0,0,640,480,0, 8534,640,480:waittimer 82732 blt 0,0,640,480,0, 8536,640,480:waittimer 82752 blt 0,0,640,480,0, 8538,640,480:waittimer 82771 blt 0,0,640,480,0, 8540,640,480:waittimer 82790 blt 0,0,640,480,0, 8542,640,480:waittimer 82810 blt 0,0,640,480,0, 8544,640,480:waittimer 82829 blt 0,0,640,480,0, 8546,640,480:waittimer 82849 blt 0,0,640,480,0, 8548,640,480:waittimer 82868 blt 0,0,640,480,0, 8550,640,480:waittimer 82887 blt 0,0,640,480,0, 8552,640,480:waittimer 82907 blt 0,0,640,480,0, 8554,640,480:waittimer 82926 blt 0,0,640,480,0, 8556,640,480:waittimer 82945 blt 0,0,640,480,0, 8558,640,480:waittimer 82965 blt 0,0,640,480,0, 8560,640,480:waittimer 82984 blt 0,0,640,480,0, 8562,640,480:waittimer 83004 blt 0,0,640,480,0, 8564,640,480:waittimer 83023 blt 0,0,640,480,0, 8566,640,480:waittimer 83042 blt 0,0,640,480,0, 8568,640,480:waittimer 83062 blt 0,0,640,480,0, 8570,640,480:waittimer 83081 blt 0,0,640,480,0, 8572,640,480:waittimer 83101 blt 0,0,640,480,0, 8574,640,480:waittimer 83120 blt 0,0,640,480,0, 8576,640,480:waittimer 83139 blt 0,0,640,480,0, 8578,640,480:waittimer 83159 blt 0,0,640,480,0, 8580,640,480:waittimer 83178 blt 0,0,640,480,0, 8582,640,480:waittimer 83198 blt 0,0,640,480,0, 8584,640,480:waittimer 83217 blt 0,0,640,480,0, 8586,640,480:waittimer 83236 blt 0,0,640,480,0, 8588,640,480:waittimer 83256 blt 0,0,640,480,0, 8590,640,480:waittimer 83275 blt 0,0,640,480,0, 8592,640,480:waittimer 83294 blt 0,0,640,480,0, 8594,640,480:waittimer 83314 blt 0,0,640,480,0, 8596,640,480:waittimer 83333 blt 0,0,640,480,0, 8598,640,480:waittimer 83353 blt 0,0,640,480,0, 8600,640,480:waittimer 83372 blt 0,0,640,480,0, 8602,640,480:waittimer 83391 blt 0,0,640,480,0, 8604,640,480:waittimer 83411 blt 0,0,640,480,0, 8606,640,480:waittimer 83430 blt 0,0,640,480,0, 8608,640,480:waittimer 83450 blt 0,0,640,480,0, 8610,640,480:waittimer 83469 blt 0,0,640,480,0, 8612,640,480:waittimer 83488 blt 0,0,640,480,0, 8614,640,480:waittimer 83508 blt 0,0,640,480,0, 8616,640,480:waittimer 83527 blt 0,0,640,480,0, 8618,640,480:waittimer 83547 blt 0,0,640,480,0, 8620,640,480:waittimer 83566 blt 0,0,640,480,0, 8622,640,480:waittimer 83585 blt 0,0,640,480,0, 8624,640,480:waittimer 83605 blt 0,0,640,480,0, 8626,640,480:waittimer 83624 blt 0,0,640,480,0, 8628,640,480:waittimer 83643 blt 0,0,640,480,0, 8630,640,480:waittimer 83663 blt 0,0,640,480,0, 8632,640,480:waittimer 83682 blt 0,0,640,480,0, 8634,640,480:waittimer 83702 blt 0,0,640,480,0, 8636,640,480:waittimer 83721 blt 0,0,640,480,0, 8638,640,480:waittimer 83740 blt 0,0,640,480,0, 8640,640,480:waittimer 83760 blt 0,0,640,480,0, 8642,640,480:waittimer 83779 blt 0,0,640,480,0, 8644,640,480:waittimer 83799 blt 0,0,640,480,0, 8646,640,480:waittimer 83818 blt 0,0,640,480,0, 8648,640,480:waittimer 83837 blt 0,0,640,480,0, 8650,640,480:waittimer 83857 blt 0,0,640,480,0, 8652,640,480:waittimer 83876 blt 0,0,640,480,0, 8654,640,480:waittimer 83896 blt 0,0,640,480,0, 8656,640,480:waittimer 83915 blt 0,0,640,480,0, 8658,640,480:waittimer 83934 blt 0,0,640,480,0, 8660,640,480:waittimer 83954 blt 0,0,640,480,0, 8662,640,480:waittimer 83973 blt 0,0,640,480,0, 8664,640,480:waittimer 83992 blt 0,0,640,480,0, 8666,640,480:waittimer 84012 blt 0,0,640,480,0, 8668,640,480:waittimer 84031 blt 0,0,640,480,0, 8670,640,480:waittimer 84051 blt 0,0,640,480,0, 8672,640,480:waittimer 84070 blt 0,0,640,480,0, 8674,640,480:waittimer 84089 blt 0,0,640,480,0, 8676,640,480:waittimer 84109 blt 0,0,640,480,0, 8678,640,480:waittimer 84128 blt 0,0,640,480,0, 8680,640,480:waittimer 84148 blt 0,0,640,480,0, 8682,640,480:waittimer 84167 blt 0,0,640,480,0, 8684,640,480:waittimer 84186 blt 0,0,640,480,0, 8686,640,480:waittimer 84206 blt 0,0,640,480,0, 8688,640,480:waittimer 84225 blt 0,0,640,480,0, 8690,640,480:waittimer 84245 blt 0,0,640,480,0, 8692,640,480:waittimer 84264 blt 0,0,640,480,0, 8694,640,480:waittimer 84283 blt 0,0,640,480,0, 8696,640,480:waittimer 84303 blt 0,0,640,480,0, 8698,640,480:waittimer 84322 blt 0,0,640,480,0, 8700,640,480:waittimer 84341 blt 0,0,640,480,0, 8702,640,480:waittimer 84361 blt 0,0,640,480,0, 8704,640,480:waittimer 84380 blt 0,0,640,480,0, 8706,640,480:waittimer 84400 blt 0,0,640,480,0, 8708,640,480:waittimer 84419 blt 0,0,640,480,0, 8710,640,480:waittimer 84438 blt 0,0,640,480,0, 8712,640,480:waittimer 84458 blt 0,0,640,480,0, 8714,640,480:waittimer 84477 blt 0,0,640,480,0, 8716,640,480:waittimer 84497 blt 0,0,640,480,0, 8718,640,480:waittimer 84516 blt 0,0,640,480,0, 8720,640,480:waittimer 84535 blt 0,0,640,480,0, 8722,640,480:waittimer 84555 blt 0,0,640,480,0, 8724,640,480:waittimer 84574 blt 0,0,640,480,0, 8726,640,480:waittimer 84594 blt 0,0,640,480,0, 8728,640,480:waittimer 84613 blt 0,0,640,480,0, 8730,640,480:waittimer 84632 blt 0,0,640,480,0, 8732,640,480:waittimer 84652 blt 0,0,640,480,0, 8734,640,480:waittimer 84671 blt 0,0,640,480,0, 8736,640,480:waittimer 84690 blt 0,0,640,480,0, 8738,640,480:waittimer 84710 blt 0,0,640,480,0, 8740,640,480:waittimer 84729 blt 0,0,640,480,0, 8742,640,480:waittimer 84749 blt 0,0,640,480,0, 8744,640,480:waittimer 84768 blt 0,0,640,480,0, 8746,640,480:waittimer 84787 blt 0,0,640,480,0, 8748,640,480:waittimer 84807 blt 0,0,640,480,0, 8750,640,480:waittimer 84826 blt 0,0,640,480,0, 8752,640,480:waittimer 84846 blt 0,0,640,480,0, 8754,640,480:waittimer 84865 blt 0,0,640,480,0, 8756,640,480:waittimer 84884 blt 0,0,640,480,0, 8758,640,480:waittimer 84904 blt 0,0,640,480,0, 8760,640,480:waittimer 84923 blt 0,0,640,480,0, 8762,640,480:waittimer 84943 blt 0,0,640,480,0, 8764,640,480:waittimer 84962 blt 0,0,640,480,0, 8766,640,480:waittimer 84981 blt 0,0,640,480,0, 8768,640,480:waittimer 85001 blt 0,0,640,480,0, 8770,640,480:waittimer 85020 blt 0,0,640,480,0, 8772,640,480:waittimer 85039 blt 0,0,640,480,0, 8774,640,480:waittimer 85059 blt 0,0,640,480,0, 8776,640,480:waittimer 85078 blt 0,0,640,480,0, 8778,640,480:waittimer 85098 blt 0,0,640,480,0, 8780,640,480:waittimer 85117 blt 0,0,640,480,0, 8782,640,480:waittimer 85136 blt 0,0,640,480,0, 8784,640,480:waittimer 85156 blt 0,0,640,480,0, 8786,640,480:waittimer 85175 blt 0,0,640,480,0, 8788,640,480:waittimer 85195 blt 0,0,640,480,0, 8790,640,480:waittimer 85214 blt 0,0,640,480,0, 8792,640,480:waittimer 85233 blt 0,0,640,480,0, 8794,640,480:waittimer 85253 blt 0,0,640,480,0, 8796,640,480:waittimer 85272 blt 0,0,640,480,0, 8798,640,480:waittimer 85292 blt 0,0,640,480,0, 8800,640,480:waittimer 85311 blt 0,0,640,480,0, 8802,640,480:waittimer 85330 blt 0,0,640,480,0, 8804,640,480:waittimer 85350 blt 0,0,640,480,0, 8806,640,480:waittimer 85369 blt 0,0,640,480,0, 8808,640,480:waittimer 85388 blt 0,0,640,480,0, 8810,640,480:waittimer 85408 blt 0,0,640,480,0, 8812,640,480:waittimer 85427 blt 0,0,640,480,0, 8814,640,480:waittimer 85447 blt 0,0,640,480,0, 8816,640,480:waittimer 85466 blt 0,0,640,480,0, 8818,640,480:waittimer 85485 blt 0,0,640,480,0, 8820,640,480:waittimer 85505 blt 0,0,640,480,0, 8822,640,480:waittimer 85524 blt 0,0,640,480,0, 8824,640,480:waittimer 85544 blt 0,0,640,480,0, 8826,640,480:waittimer 85563 blt 0,0,640,480,0, 8828,640,480:waittimer 85582 blt 0,0,640,480,0, 8830,640,480:waittimer 85602 blt 0,0,640,480,0, 8832,640,480:waittimer 85621 blt 0,0,640,480,0, 8834,640,480:waittimer 85641 blt 0,0,640,480,0, 8836,640,480:waittimer 85660 blt 0,0,640,480,0, 8838,640,480:waittimer 85679 blt 0,0,640,480,0, 8840,640,480:waittimer 85699 blt 0,0,640,480,0, 8842,640,480:waittimer 85718 blt 0,0,640,480,0, 8844,640,480:waittimer 85737 blt 0,0,640,480,0, 8846,640,480:waittimer 85757 blt 0,0,640,480,0, 8848,640,480:waittimer 85776 blt 0,0,640,480,0, 8850,640,480:waittimer 85796 blt 0,0,640,480,0, 8852,640,480:waittimer 85815 blt 0,0,640,480,0, 8854,640,480:waittimer 85834 blt 0,0,640,480,0, 8856,640,480:waittimer 85854 blt 0,0,640,480,0, 8858,640,480:waittimer 85873 blt 0,0,640,480,0, 8860,640,480:waittimer 85893 blt 0,0,640,480,0, 8862,640,480:waittimer 85912 blt 0,0,640,480,0, 8864,640,480:waittimer 85931 blt 0,0,640,480,0, 8866,640,480:waittimer 85951 blt 0,0,640,480,0, 8868,640,480:waittimer 85970 blt 0,0,640,480,0, 8870,640,480:waittimer 85990 blt 0,0,640,480,0, 8872,640,480:waittimer 86009 blt 0,0,640,480,0, 8874,640,480:waittimer 86028 blt 0,0,640,480,0, 8876,640,480:waittimer 86048 blt 0,0,640,480,0, 8878,640,480:waittimer 86067 blt 0,0,640,480,0, 8880,640,480:waittimer 86086 blt 0,0,640,480,0, 8882,640,480:waittimer 86106 blt 0,0,640,480,0, 8884,640,480:waittimer 86125 blt 0,0,640,480,0, 8886,640,480:waittimer 86145 blt 0,0,640,480,0, 8888,640,480:waittimer 86164 blt 0,0,640,480,0, 8890,640,480:waittimer 86183 blt 0,0,640,480,0, 8892,640,480:waittimer 86203 blt 0,0,640,480,0, 8894,640,480:waittimer 86222 blt 0,0,640,480,0, 8896,640,480:waittimer 86242 blt 0,0,640,480,0, 8898,640,480:waittimer 86261 blt 0,0,640,480,0, 8900,640,480:waittimer 86280 blt 0,0,640,480,0, 8902,640,480:waittimer 86300 blt 0,0,640,480,0, 8904,640,480:waittimer 86319 blt 0,0,640,480,0, 8906,640,480:waittimer 86339 blt 0,0,640,480,0, 8908,640,480:waittimer 86358 blt 0,0,640,480,0, 8910,640,480:waittimer 86377 blt 0,0,640,480,0, 8912,640,480:waittimer 86397 blt 0,0,640,480,0, 8914,640,480:waittimer 86416 blt 0,0,640,480,0, 8916,640,480:waittimer 86435 blt 0,0,640,480,0, 8918,640,480:waittimer 86455 blt 0,0,640,480,0, 8920,640,480:waittimer 86474 blt 0,0,640,480,0, 8922,640,480:waittimer 86494 blt 0,0,640,480,0, 8924,640,480:waittimer 86513 blt 0,0,640,480,0, 8926,640,480:waittimer 86532 blt 0,0,640,480,0, 8928,640,480:waittimer 86552 blt 0,0,640,480,0, 8930,640,480:waittimer 86571 blt 0,0,640,480,0, 8932,640,480:waittimer 86591 blt 0,0,640,480,0, 8934,640,480:waittimer 86610 blt 0,0,640,480,0, 8936,640,480:waittimer 86629 blt 0,0,640,480,0, 8938,640,480:waittimer 86649 blt 0,0,640,480,0, 8940,640,480:waittimer 86668 blt 0,0,640,480,0, 8942,640,480:waittimer 86688 blt 0,0,640,480,0, 8944,640,480:waittimer 86707 blt 0,0,640,480,0, 8946,640,480:waittimer 86726 blt 0,0,640,480,0, 8948,640,480:waittimer 86746 blt 0,0,640,480,0, 8950,640,480:waittimer 86765 blt 0,0,640,480,0, 8952,640,480:waittimer 86784 blt 0,0,640,480,0, 8954,640,480:waittimer 86804 blt 0,0,640,480,0, 8956,640,480:waittimer 86823 blt 0,0,640,480,0, 8958,640,480:waittimer 86843 blt 0,0,640,480,0, 8960,640,480:waittimer 86862 blt 0,0,640,480,0, 8962,640,480:waittimer 86881 blt 0,0,640,480,0, 8964,640,480:waittimer 86901 blt 0,0,640,480,0, 8966,640,480:waittimer 86920 blt 0,0,640,480,0, 8968,640,480:waittimer 86940 blt 0,0,640,480,0, 8970,640,480:waittimer 86959 blt 0,0,640,480,0, 8972,640,480:waittimer 86978 blt 0,0,640,480,0, 8974,640,480:waittimer 86998 blt 0,0,640,480,0, 8976,640,480:waittimer 87017 blt 0,0,640,480,0, 8978,640,480:waittimer 87037 blt 0,0,640,480,0, 8980,640,480:waittimer 87056 blt 0,0,640,480,0, 8982,640,480:waittimer 87075 blt 0,0,640,480,0, 8984,640,480:waittimer 87095 blt 0,0,640,480,0, 8986,640,480:waittimer 87114 blt 0,0,640,480,0, 8988,640,480:waittimer 87133 blt 0,0,640,480,0, 8990,640,480:waittimer 87153 blt 0,0,640,480,0, 8992,640,480:waittimer 87172 blt 0,0,640,480,0, 8994,640,480:waittimer 87192 blt 0,0,640,480,0, 8996,640,480:waittimer 87211 blt 0,0,640,480,0, 8998,640,480:waittimer 87230 blt 0,0,640,480,0, 9000,640,480:waittimer 87250 blt 0,0,640,480,0, 9002,640,480:waittimer 87269 blt 0,0,640,480,0, 9004,640,480:waittimer 87289 blt 0,0,640,480,0, 9006,640,480:waittimer 87308 blt 0,0,640,480,0, 9008,640,480:waittimer 87327 blt 0,0,640,480,0, 9010,640,480:waittimer 87347 blt 0,0,640,480,0, 9012,640,480:waittimer 87366 blt 0,0,640,480,0, 9014,640,480:waittimer 87386 blt 0,0,640,480,0, 9016,640,480:waittimer 87405 blt 0,0,640,480,0, 9018,640,480:waittimer 87424 blt 0,0,640,480,0, 9020,640,480:waittimer 87444 blt 0,0,640,480,0, 9022,640,480:waittimer 87463 blt 0,0,640,480,0, 9024,640,480:waittimer 87482 blt 0,0,640,480,0, 9026,640,480:waittimer 87502 blt 0,0,640,480,0, 9028,640,480:waittimer 87521 blt 0,0,640,480,0, 9030,640,480:waittimer 87541 blt 0,0,640,480,0, 9032,640,480:waittimer 87560 blt 0,0,640,480,0, 9034,640,480:waittimer 87579 blt 0,0,640,480,0, 9036,640,480:waittimer 87599 blt 0,0,640,480,0, 9038,640,480:waittimer 87618 blt 0,0,640,480,0, 9040,640,480:waittimer 87638 blt 0,0,640,480,0, 9042,640,480:waittimer 87657 blt 0,0,640,480,0, 9044,640,480:waittimer 87676 blt 0,0,640,480,0, 9046,640,480:waittimer 87696 blt 0,0,640,480,0, 9048,640,480:waittimer 87715 blt 0,0,640,480,0, 9050,640,480:waittimer 87735 blt 0,0,640,480,0, 9052,640,480:waittimer 87754 blt 0,0,640,480,0, 9054,640,480:waittimer 87773 blt 0,0,640,480,0, 9056,640,480:waittimer 87793 blt 0,0,640,480,0, 9058,640,480:waittimer 87812 blt 0,0,640,480,0, 9060,640,480:waittimer 87831 blt 0,0,640,480,0, 9062,640,480:waittimer 87851 blt 0,0,640,480,0, 9064,640,480:waittimer 87870 blt 0,0,640,480,0, 9066,640,480:waittimer 87890 blt 0,0,640,480,0, 9068,640,480:waittimer 87909 blt 0,0,640,480,0, 9070,640,480:waittimer 87928 blt 0,0,640,480,0, 9072,640,480:waittimer 87948 blt 0,0,640,480,0, 9074,640,480:waittimer 87967 blt 0,0,640,480,0, 9076,640,480:waittimer 87987 blt 0,0,640,480,0, 9078,640,480:waittimer 88006 blt 0,0,640,480,0, 9080,640,480:waittimer 88025 blt 0,0,640,480,0, 9082,640,480:waittimer 88045 blt 0,0,640,480,0, 9084,640,480:waittimer 88064 blt 0,0,640,480,0, 9086,640,480:waittimer 88084 blt 0,0,640,480,0, 9088,640,480:waittimer 88103 blt 0,0,640,480,0, 9090,640,480:waittimer 88122 blt 0,0,640,480,0, 9092,640,480:waittimer 88142 blt 0,0,640,480,0, 9094,640,480:waittimer 88161 blt 0,0,640,480,0, 9096,640,480:waittimer 88180 blt 0,0,640,480,0, 9098,640,480:waittimer 88200 blt 0,0,640,480,0, 9100,640,480:waittimer 88219 blt 0,0,640,480,0, 9102,640,480:waittimer 88239 blt 0,0,640,480,0, 9104,640,480:waittimer 88258 blt 0,0,640,480,0, 9106,640,480:waittimer 88277 blt 0,0,640,480,0, 9108,640,480:waittimer 88297 blt 0,0,640,480,0, 9110,640,480:waittimer 88316 blt 0,0,640,480,0, 9112,640,480:waittimer 88336 blt 0,0,640,480,0, 9114,640,480:waittimer 88355 blt 0,0,640,480,0, 9116,640,480:waittimer 88374 blt 0,0,640,480,0, 9118,640,480:waittimer 88394 blt 0,0,640,480,0, 9120,640,480:waittimer 88413 blt 0,0,640,480,0, 9122,640,480:waittimer 88433 blt 0,0,640,480,0, 9124,640,480:waittimer 88452 blt 0,0,640,480,0, 9126,640,480:waittimer 88471 blt 0,0,640,480,0, 9128,640,480:waittimer 88491 blt 0,0,640,480,0, 9130,640,480:waittimer 88510 blt 0,0,640,480,0, 9132,640,480:waittimer 88529 blt 0,0,640,480,0, 9134,640,480:waittimer 88549 blt 0,0,640,480,0, 9136,640,480:waittimer 88568 blt 0,0,640,480,0, 9138,640,480:waittimer 88588 blt 0,0,640,480,0, 9140,640,480:waittimer 88607 blt 0,0,640,480,0, 9142,640,480:waittimer 88626 blt 0,0,640,480,0, 9144,640,480:waittimer 88646 blt 0,0,640,480,0, 9146,640,480:waittimer 88665 blt 0,0,640,480,0, 9148,640,480:waittimer 88685 blt 0,0,640,480,0, 9150,640,480:waittimer 88704 blt 0,0,640,480,0, 9152,640,480:waittimer 88723 blt 0,0,640,480,0, 9154,640,480:waittimer 88743 blt 0,0,640,480,0, 9156,640,480:waittimer 88762 blt 0,0,640,480,0, 9158,640,480:waittimer 88782 blt 0,0,640,480,0, 9160,640,480:waittimer 88801 blt 0,0,640,480,0, 9162,640,480:waittimer 88820 blt 0,0,640,480,0, 9164,640,480:waittimer 88840 blt 0,0,640,480,0, 9166,640,480:waittimer 88859 blt 0,0,640,480,0, 9168,640,480:waittimer 88878 blt 0,0,640,480,0, 9170,640,480:waittimer 88898 blt 0,0,640,480,0, 9172,640,480:waittimer 88917 blt 0,0,640,480,0, 9174,640,480:waittimer 88937 blt 0,0,640,480,0, 9176,640,480:waittimer 88956 blt 0,0,640,480,0, 9178,640,480:waittimer 88975 blt 0,0,640,480,0, 9180,640,480:waittimer 88995 blt 0,0,640,480,0, 9182,640,480:waittimer 89014 blt 0,0,640,480,0, 9184,640,480:waittimer 89034 blt 0,0,640,480,0, 9186,640,480:waittimer 89053 blt 0,0,640,480,0, 9188,640,480:waittimer 89072 blt 0,0,640,480,0, 9190,640,480:waittimer 89092 blt 0,0,640,480,0, 9192,640,480:waittimer 89111 blt 0,0,640,480,0, 9194,640,480:waittimer 89131 blt 0,0,640,480,0, 9196,640,480:waittimer 89150 blt 0,0,640,480,0, 9198,640,480:waittimer 89169 blt 0,0,640,480,0, 9200,640,480:waittimer 89189 blt 0,0,640,480,0, 9202,640,480:waittimer 89208 blt 0,0,640,480,0, 9204,640,480:waittimer 89227 blt 0,0,640,480,0, 9206,640,480:waittimer 89247 blt 0,0,640,480,0, 9208,640,480:waittimer 89266 blt 0,0,640,480,0, 9210,640,480:waittimer 89286 blt 0,0,640,480,0, 9212,640,480:waittimer 89305 blt 0,0,640,480,0, 9214,640,480:waittimer 89324 blt 0,0,640,480,0, 9216,640,480:waittimer 89344 blt 0,0,640,480,0, 9218,640,480:waittimer 89363 blt 0,0,640,480,0, 9220,640,480:waittimer 89383 blt 0,0,640,480,0, 9222,640,480:waittimer 89402 blt 0,0,640,480,0, 9224,640,480:waittimer 89421 blt 0,0,640,480,0, 9226,640,480:waittimer 89441 blt 0,0,640,480,0, 9228,640,480:waittimer 89460 blt 0,0,640,480,0, 9230,640,480:waittimer 89480 blt 0,0,640,480,0, 9232,640,480:waittimer 89499 blt 0,0,640,480,0, 9234,640,480:waittimer 89518 blt 0,0,640,480,0, 9236,640,480:waittimer 89538 blt 0,0,640,480,0, 9238,640,480:waittimer 89557 blt 0,0,640,480,0, 9240,640,480:waittimer 89576 blt 0,0,640,480,0, 9242,640,480:waittimer 89596 blt 0,0,640,480,0, 9244,640,480:waittimer 89615 blt 0,0,640,480,0, 9246,640,480:waittimer 89635 blt 0,0,640,480,0, 9248,640,480:waittimer 89654 blt 0,0,640,480,0, 9250,640,480:waittimer 89673 blt 0,0,640,480,0, 9252,640,480:waittimer 89693 blt 0,0,640,480,0, 9254,640,480:waittimer 89712 blt 0,0,640,480,0, 9256,640,480:waittimer 89732 blt 0,0,640,480,0, 9258,640,480:waittimer 89751 blt 0,0,640,480,0, 9260,640,480:waittimer 89770 blt 0,0,640,480,0, 9262,640,480:waittimer 89790 blt 0,0,640,480,0, 9264,640,480:waittimer 89809 blt 0,0,640,480,0, 9266,640,480:waittimer 89829 blt 0,0,640,480,0, 9268,640,480:waittimer 89848 blt 0,0,640,480,0, 9270,640,480:waittimer 89867 blt 0,0,640,480,0, 9272,640,480:waittimer 89887 blt 0,0,640,480,0, 9274,640,480:waittimer 89906 blt 0,0,640,480,0, 9276,640,480:waittimer 89925 blt 0,0,640,480,0, 9278,640,480:waittimer 89945 blt 0,0,640,480,0, 9280,640,480:waittimer 89964 blt 0,0,640,480,0, 9282,640,480:waittimer 89984 blt 0,0,640,480,0, 9284,640,480:waittimer 90003 blt 0,0,640,480,0, 9286,640,480:waittimer 90022 blt 0,0,640,480,0, 9288,640,480:waittimer 90042 blt 0,0,640,480,0, 9290,640,480:waittimer 90061 blt 0,0,640,480,0, 9292,640,480:waittimer 90081 blt 0,0,640,480,0, 9294,640,480:waittimer 90100 blt 0,0,640,480,0, 9296,640,480:waittimer 90119 blt 0,0,640,480,0, 9298,640,480:waittimer 90139 blt 0,0,640,480,0, 9300,640,480:waittimer 90158 blt 0,0,640,480,0, 9302,640,480:waittimer 90178 blt 0,0,640,480,0, 9304,640,480:waittimer 90197 blt 0,0,640,480,0, 9306,640,480:waittimer 90216 blt 0,0,640,480,0, 9308,640,480:waittimer 90236 blt 0,0,640,480,0, 9310,640,480:waittimer 90255 blt 0,0,640,480,0, 9312,640,480:waittimer 90274 blt 0,0,640,480,0, 9314,640,480:waittimer 90294 blt 0,0,640,480,0, 9316,640,480:waittimer 90313 blt 0,0,640,480,0, 9318,640,480:waittimer 90333 blt 0,0,640,480,0, 9320,640,480:waittimer 90352 blt 0,0,640,480,0, 9322,640,480:waittimer 90371 blt 0,0,640,480,0, 9324,640,480:waittimer 90391 blt 0,0,640,480,0, 9326,640,480:waittimer 90410 blt 0,0,640,480,0, 9328,640,480:waittimer 90430 blt 0,0,640,480,0, 9330,640,480:waittimer 90449 blt 0,0,640,480,0, 9332,640,480:waittimer 90468 blt 0,0,640,480,0, 9334,640,480:waittimer 90488 blt 0,0,640,480,0, 9336,640,480:waittimer 90507 blt 0,0,640,480,0, 9338,640,480:waittimer 90527 blt 0,0,640,480,0, 9340,640,480:waittimer 90546 blt 0,0,640,480,0, 9342,640,480:waittimer 90565 blt 0,0,640,480,0, 9344,640,480:waittimer 90585 blt 0,0,640,480,0, 9346,640,480:waittimer 90604 blt 0,0,640,480,0, 9348,640,480:waittimer 90623 blt 0,0,640,480,0, 9350,640,480:waittimer 90643 blt 0,0,640,480,0, 9352,640,480:waittimer 90662 blt 0,0,640,480,0, 9354,640,480:waittimer 90682 blt 0,0,640,480,0, 9356,640,480:waittimer 90701 blt 0,0,640,480,0, 9358,640,480:waittimer 90720 blt 0,0,640,480,0, 9360,640,480:waittimer 90740 blt 0,0,640,480,0, 9362,640,480:waittimer 90759 blt 0,0,640,480,0, 9364,640,480:waittimer 90779 blt 0,0,640,480,0, 9366,640,480:waittimer 90798 blt 0,0,640,480,0, 9368,640,480:waittimer 90817 blt 0,0,640,480,0, 9370,640,480:waittimer 90837 blt 0,0,640,480,0, 9372,640,480:waittimer 90856 blt 0,0,640,480,0, 9374,640,480:waittimer 90876 blt 0,0,640,480,0, 9376,640,480:waittimer 90895 blt 0,0,640,480,0, 9378,640,480:waittimer 90914 blt 0,0,640,480,0, 9380,640,480:waittimer 90934 blt 0,0,640,480,0, 9382,640,480:waittimer 90953 blt 0,0,640,480,0, 9384,640,480:waittimer 90972 blt 0,0,640,480,0, 9386,640,480:waittimer 90992 blt 0,0,640,480,0, 9388,640,480:waittimer 91011 blt 0,0,640,480,0, 9390,640,480:waittimer 91031 blt 0,0,640,480,0, 9392,640,480:waittimer 91050 blt 0,0,640,480,0, 9394,640,480:waittimer 91069 blt 0,0,640,480,0, 9396,640,480:waittimer 91089 blt 0,0,640,480,0, 9398,640,480:waittimer 91108 blt 0,0,640,480,0, 9400,640,480:waittimer 91128 blt 0,0,640,480,0, 9402,640,480:waittimer 91147 blt 0,0,640,480,0, 9404,640,480:waittimer 91166 blt 0,0,640,480,0, 9406,640,480:waittimer 91186 blt 0,0,640,480,0, 9408,640,480:waittimer 91205 blt 0,0,640,480,0, 9410,640,480:waittimer 91225 blt 0,0,640,480,0, 9412,640,480:waittimer 91244 blt 0,0,640,480,0, 9414,640,480:waittimer 91263 blt 0,0,640,480,0, 9416,640,480:waittimer 91283 blt 0,0,640,480,0, 9418,640,480:waittimer 91302 blt 0,0,640,480,0, 9420,640,480:waittimer 91321 blt 0,0,640,480,0, 9422,640,480:waittimer 91341 blt 0,0,640,480,0, 9424,640,480:waittimer 91360 blt 0,0,640,480,0, 9426,640,480:waittimer 91380 blt 0,0,640,480,0, 9428,640,480:waittimer 91399 blt 0,0,640,480,0, 9430,640,480:waittimer 91418 blt 0,0,640,480,0, 9432,640,480:waittimer 91438 blt 0,0,640,480,0, 9434,640,480:waittimer 91457 blt 0,0,640,480,0, 9436,640,480:waittimer 91477 blt 0,0,640,480,0, 9438,640,480:waittimer 91496 blt 0,0,640,480,0, 9440,640,480:waittimer 91515 blt 0,0,640,480,0, 9442,640,480:waittimer 91535 blt 0,0,640,480,0, 9444,640,480:waittimer 91554 blt 0,0,640,480,0, 9446,640,480:waittimer 91574 blt 0,0,640,480,0, 9448,640,480:waittimer 91593 blt 0,0,640,480,0, 9450,640,480:waittimer 91612 blt 0,0,640,480,0, 9452,640,480:waittimer 91632 blt 0,0,640,480,0, 9454,640,480:waittimer 91651 blt 0,0,640,480,0, 9456,640,480:waittimer 91670 blt 0,0,640,480,0, 9458,640,480:waittimer 91690 blt 0,0,640,480,0, 9460,640,480:waittimer 91709 blt 0,0,640,480,0, 9462,640,480:waittimer 91729 blt 0,0,640,480,0, 9464,640,480:waittimer 91748 blt 0,0,640,480,0, 9466,640,480:waittimer 91767 blt 0,0,640,480,0, 9468,640,480:waittimer 91787 blt 0,0,640,480,0, 9470,640,480:waittimer 91806 blt 0,0,640,480,0, 9472,640,480:waittimer 91826 blt 0,0,640,480,0, 9474,640,480:waittimer 91845 blt 0,0,640,480,0, 9476,640,480:waittimer 91864 blt 0,0,640,480,0, 9478,640,480:waittimer 91884 blt 0,0,640,480,0, 9480,640,480:waittimer 91903 blt 0,0,640,480,0, 9482,640,480:waittimer 91923 blt 0,0,640,480,0, 9484,640,480:waittimer 91942 blt 0,0,640,480,0, 9486,640,480:waittimer 91961 blt 0,0,640,480,0, 9488,640,480:waittimer 91981 blt 0,0,640,480,0, 9490,640,480:waittimer 92000 blt 0,0,640,480,0, 9492,640,480:waittimer 92019 blt 0,0,640,480,0, 9494,640,480:waittimer 92039 blt 0,0,640,480,0, 9496,640,480:waittimer 92058 blt 0,0,640,480,0, 9498,640,480:waittimer 92078 blt 0,0,640,480,0, 9500,640,480:waittimer 92097 blt 0,0,640,480,0, 9502,640,480:waittimer 92116 blt 0,0,640,480,0, 9504,640,480:waittimer 92136 blt 0,0,640,480,0, 9506,640,480:waittimer 92155 blt 0,0,640,480,0, 9508,640,480:waittimer 92175 blt 0,0,640,480,0, 9510,640,480:waittimer 92194 blt 0,0,640,480,0, 9512,640,480:waittimer 92213 blt 0,0,640,480,0, 9514,640,480:waittimer 92233 blt 0,0,640,480,0, 9516,640,480:waittimer 92252 blt 0,0,640,480,0, 9518,640,480:waittimer 92272 blt 0,0,640,480,0, 9520,640,480:waittimer 92291 blt 0,0,640,480,0, 9522,640,480:waittimer 92310 blt 0,0,640,480,0, 9524,640,480:waittimer 92330 blt 0,0,640,480,0, 9526,640,480:waittimer 92349 blt 0,0,640,480,0, 9528,640,480:waittimer 92368 blt 0,0,640,480,0, 9530,640,480:waittimer 92388 blt 0,0,640,480,0, 9532,640,480:waittimer 92407 blt 0,0,640,480,0, 9534,640,480:waittimer 92427 blt 0,0,640,480,0, 9536,640,480:waittimer 92446 blt 0,0,640,480,0, 9538,640,480:waittimer 92465 blt 0,0,640,480,0, 9540,640,480:waittimer 92485 blt 0,0,640,480,0, 9542,640,480:waittimer 92504 blt 0,0,640,480,0, 9544,640,480:waittimer 92524 blt 0,0,640,480,0, 9546,640,480:waittimer 92543 blt 0,0,640,480,0, 9548,640,480:waittimer 92562 blt 0,0,640,480,0, 9550,640,480:waittimer 92582 blt 0,0,640,480,0, 9552,640,480:waittimer 92601 blt 0,0,640,480,0, 9554,640,480:waittimer 92621 blt 0,0,640,480,0, 9556,640,480:waittimer 92640 blt 0,0,640,480,0, 9558,640,480:waittimer 92659 blt 0,0,640,480,0, 9560,640,480:waittimer 92679 blt 0,0,640,480,0, 9562,640,480:waittimer 92698 blt 0,0,640,480,0, 9564,640,480:waittimer 92717 blt 0,0,640,480,0, 9566,640,480:waittimer 92737 blt 0,0,640,480,0, 9568,640,480:waittimer 92756 blt 0,0,640,480,0, 9570,640,480:waittimer 92776 blt 0,0,640,480,0, 9572,640,480:waittimer 92795 blt 0,0,640,480,0, 9574,640,480:waittimer 92814 blt 0,0,640,480,0, 9576,640,480:waittimer 92834 blt 0,0,640,480,0, 9578,640,480:waittimer 92853 blt 0,0,640,480,0, 9580,640,480:waittimer 92873 blt 0,0,640,480,0, 9582,640,480:waittimer 92892 blt 0,0,640,480,0, 9584,640,480:waittimer 92911 blt 0,0,640,480,0, 9586,640,480:waittimer 92931 blt 0,0,640,480,0, 9588,640,480:waittimer 92950 blt 0,0,640,480,0, 9590,640,480:waittimer 92970 blt 0,0,640,480,0, 9592,640,480:waittimer 92989 blt 0,0,640,480,0, 9594,640,480:waittimer 93008 blt 0,0,640,480,0, 9596,640,480:waittimer 93028 blt 0,0,640,480,0, 9598,640,480:waittimer 93047 blt 0,0,640,480,0, 9600,640,480:waittimer 93066 blt 0,0,640,480,0, 9602,640,480:waittimer 93086 blt 0,0,640,480,0, 9604,640,480:waittimer 93105 blt 0,0,640,480,0, 9606,640,480:waittimer 93125 blt 0,0,640,480,0, 9608,640,480:waittimer 93144 blt 0,0,640,480,0, 9610,640,480:waittimer 93163 blt 0,0,640,480,0, 9612,640,480:waittimer 93183 blt 0,0,640,480,0, 9614,640,480:waittimer 93202 blt 0,0,640,480,0, 9616,640,480:waittimer 93222 blt 0,0,640,480,0, 9618,640,480:waittimer 93241 blt 0,0,640,480,0, 9620,640,480:waittimer 93260 blt 0,0,640,480,0, 9622,640,480:waittimer 93280 blt 0,0,640,480,0, 9624,640,480:waittimer 93299 blt 0,0,640,480,0, 9626,640,480:waittimer 93319 blt 0,0,640,480,0, 9628,640,480:waittimer 93338 blt 0,0,640,480,0, 9630,640,480:waittimer 93357 blt 0,0,640,480,0, 9632,640,480:waittimer 93377 blt 0,0,640,480,0, 9634,640,480:waittimer 93396 blt 0,0,640,480,0, 9636,640,480:waittimer 93415 blt 0,0,640,480,0, 9638,640,480:waittimer 93435 blt 0,0,640,480,0, 9640,640,480:waittimer 93454 blt 0,0,640,480,0, 9642,640,480:waittimer 93474 blt 0,0,640,480,0, 9644,640,480:waittimer 93493 blt 0,0,640,480,0, 9646,640,480:waittimer 93512 blt 0,0,640,480,0, 9648,640,480:waittimer 93532 blt 0,0,640,480,0, 9650,640,480:waittimer 93551 blt 0,0,640,480,0, 9652,640,480:waittimer 93571 blt 0,0,640,480,0, 9654,640,480:waittimer 93590 blt 0,0,640,480,0, 9656,640,480:waittimer 93609 blt 0,0,640,480,0, 9658,640,480:waittimer 93629 blt 0,0,640,480,0, 9660,640,480:waittimer 93648 blt 0,0,640,480,0, 9662,640,480:waittimer 93668 blt 0,0,640,480,0, 9664,640,480:waittimer 93687 blt 0,0,640,480,0, 9666,640,480:waittimer 93706 blt 0,0,640,480,0, 9668,640,480:waittimer 93726 blt 0,0,640,480,0, 9670,640,480:waittimer 93745 blt 0,0,640,480,0, 9672,640,480:waittimer 93764 blt 0,0,640,480,0, 9674,640,480:waittimer 93784 blt 0,0,640,480,0, 9676,640,480:waittimer 93803 blt 0,0,640,480,0, 9678,640,480:waittimer 93823 blt 0,0,640,480,0, 9680,640,480:waittimer 93842 blt 0,0,640,480,0, 9682,640,480:waittimer 93861 blt 0,0,640,480,0, 9684,640,480:waittimer 93881 blt 0,0,640,480,0, 9686,640,480:waittimer 93900 blt 0,0,640,480,0, 9688,640,480:waittimer 93920 blt 0,0,640,480,0, 9690,640,480:waittimer 93939 blt 0,0,640,480,0, 9692,640,480:waittimer 93958 blt 0,0,640,480,0, 9694,640,480:waittimer 93978 blt 0,0,640,480,0, 9696,640,480:waittimer 93997 blt 0,0,640,480,0, 9698,640,480:waittimer 94017 blt 0,0,640,480,0, 9700,640,480:waittimer 94036 blt 0,0,640,480,0, 9702,640,480:waittimer 94055 blt 0,0,640,480,0, 9704,640,480:waittimer 94075 blt 0,0,640,480,0, 9706,640,480:waittimer 94094 blt 0,0,640,480,0, 9708,640,480:waittimer 94113 blt 0,0,640,480,0, 9710,640,480:waittimer 94133 blt 0,0,640,480,0, 9712,640,480:waittimer 94152 blt 0,0,640,480,0, 9714,640,480:waittimer 94172 blt 0,0,640,480,0, 9716,640,480:waittimer 94191 blt 0,0,640,480,0, 9718,640,480:waittimer 94210 blt 0,0,640,480,0, 9720,640,480:waittimer 94230 blt 0,0,640,480,0, 9722,640,480:waittimer 94249 blt 0,0,640,480,0, 9724,640,480:waittimer 94269 blt 0,0,640,480,0, 9726,640,480:waittimer 94288 blt 0,0,640,480,0, 9728,640,480:waittimer 94307 blt 0,0,640,480,0, 9730,640,480:waittimer 94327 blt 0,0,640,480,0, 9732,640,480:waittimer 94346 blt 0,0,640,480,0, 9734,640,480:waittimer 94366 blt 0,0,640,480,0, 9736,640,480:waittimer 94385 blt 0,0,640,480,0, 9738,640,480:waittimer 94404 blt 0,0,640,480,0, 9740,640,480:waittimer 94424 blt 0,0,640,480,0, 9742,640,480:waittimer 94443 blt 0,0,640,480,0, 9744,640,480:waittimer 94462 blt 0,0,640,480,0, 9746,640,480:waittimer 94482 blt 0,0,640,480,0, 9748,640,480:waittimer 94501 blt 0,0,640,480,0, 9750,640,480:waittimer 94521 blt 0,0,640,480,0, 9752,640,480:waittimer 94540 blt 0,0,640,480,0, 9754,640,480:waittimer 94559 blt 0,0,640,480,0, 9756,640,480:waittimer 94579 blt 0,0,640,480,0, 9758,640,480:waittimer 94598 blt 0,0,640,480,0, 9760,640,480:waittimer 94618 blt 0,0,640,480,0, 9762,640,480:waittimer 94637 blt 0,0,640,480,0, 9764,640,480:waittimer 94656 blt 0,0,640,480,0, 9766,640,480:waittimer 94676 blt 0,0,640,480,0, 9768,640,480:waittimer 94695 blt 0,0,640,480,0, 9770,640,480:waittimer 94715 blt 0,0,640,480,0, 9772,640,480:waittimer 94734 blt 0,0,640,480,0, 9774,640,480:waittimer 94753 blt 0,0,640,480,0, 9776,640,480:waittimer 94773 blt 0,0,640,480,0, 9778,640,480:waittimer 94792 blt 0,0,640,480,0, 9780,640,480:waittimer 94811 blt 0,0,640,480,0, 9782,640,480:waittimer 94831 blt 0,0,640,480,0, 9784,640,480:waittimer 94850 blt 0,0,640,480,0, 9786,640,480:waittimer 94870 blt 0,0,640,480,0, 9788,640,480:waittimer 94889 blt 0,0,640,480,0, 9790,640,480:waittimer 94908 blt 0,0,640,480,0, 9792,640,480:waittimer 94928 blt 0,0,640,480,0, 9794,640,480:waittimer 94947 blt 0,0,640,480,0, 9796,640,480:waittimer 94967 blt 0,0,640,480,0, 9798,640,480:waittimer 94986 blt 0,0,640,480,0, 9800,640,480:waittimer 95005 blt 0,0,640,480,0, 9802,640,480:waittimer 95025 blt 0,0,640,480,0, 9804,640,480:waittimer 95044 blt 0,0,640,480,0, 9806,640,480:waittimer 95064 blt 0,0,640,480,0, 9808,640,480:waittimer 95083 blt 0,0,640,480,0, 9810,640,480:waittimer 95102 blt 0,0,640,480,0, 9812,640,480:waittimer 95122 blt 0,0,640,480,0, 9814,640,480:waittimer 95141 blt 0,0,640,480,0, 9816,640,480:waittimer 95160 blt 0,0,640,480,0, 9818,640,480:waittimer 95180 blt 0,0,640,480,0, 9820,640,480:waittimer 95199 blt 0,0,640,480,0, 9822,640,480:waittimer 95219 blt 0,0,640,480,0, 9824,640,480:waittimer 95238 blt 0,0,640,480,0, 9826,640,480:waittimer 95257 blt 0,0,640,480,0, 9828,640,480:waittimer 95277 blt 0,0,640,480,0, 9830,640,480:waittimer 95296 blt 0,0,640,480,0, 9832,640,480:waittimer 95316 blt 0,0,640,480,0, 9834,640,480:waittimer 95335 blt 0,0,640,480,0, 9836,640,480:waittimer 95354 blt 0,0,640,480,0, 9838,640,480:waittimer 95374 blt 0,0,640,480,0, 9840,640,480:waittimer 95393 blt 0,0,640,480,0, 9842,640,480:waittimer 95413 blt 0,0,640,480,0, 9844,640,480:waittimer 95432 blt 0,0,640,480,0, 9846,640,480:waittimer 95451 blt 0,0,640,480,0, 9848,640,480:waittimer 95471 blt 0,0,640,480,0, 9850,640,480:waittimer 95490 blt 0,0,640,480,0, 9852,640,480:waittimer 95509 blt 0,0,640,480,0, 9854,640,480:waittimer 95529 blt 0,0,640,480,0, 9856,640,480:waittimer 95548 blt 0,0,640,480,0, 9858,640,480:waittimer 95568 blt 0,0,640,480,0, 9860,640,480:waittimer 95587 blt 0,0,640,480,0, 9862,640,480:waittimer 95606 blt 0,0,640,480,0, 9864,640,480:waittimer 95626 blt 0,0,640,480,0, 9866,640,480:waittimer 95645 blt 0,0,640,480,0, 9868,640,480:waittimer 95665 blt 0,0,640,480,0, 9870,640,480:waittimer 95684 blt 0,0,640,480,0, 9872,640,480:waittimer 95703 blt 0,0,640,480,0, 9874,640,480:waittimer 95723 blt 0,0,640,480,0, 9876,640,480:waittimer 95742 blt 0,0,640,480,0, 9878,640,480:waittimer 95762 blt 0,0,640,480,0, 9880,640,480:waittimer 95781 blt 0,0,640,480,0, 9882,640,480:waittimer 95800 blt 0,0,640,480,0, 9884,640,480:waittimer 95820 blt 0,0,640,480,0, 9886,640,480:waittimer 95839 blt 0,0,640,480,0, 9888,640,480:waittimer 95858 blt 0,0,640,480,0, 9890,640,480:waittimer 95878 blt 0,0,640,480,0, 9892,640,480:waittimer 95897 blt 0,0,640,480,0, 9894,640,480:waittimer 95917 blt 0,0,640,480,0, 9896,640,480:waittimer 95936 blt 0,0,640,480,0, 9898,640,480:waittimer 95955 blt 0,0,640,480,0, 9900,640,480:waittimer 95975 blt 0,0,640,480,0, 9902,640,480:waittimer 95994 blt 0,0,640,480,0, 9904,640,480:waittimer 96014 blt 0,0,640,480,0, 9906,640,480:waittimer 96033 blt 0,0,640,480,0, 9908,640,480:waittimer 96052 blt 0,0,640,480,0, 9910,640,480:waittimer 96072 blt 0,0,640,480,0, 9912,640,480:waittimer 96091 blt 0,0,640,480,0, 9914,640,480:waittimer 96111 blt 0,0,640,480,0, 9916,640,480:waittimer 96130 blt 0,0,640,480,0, 9918,640,480:waittimer 96149 blt 0,0,640,480,0, 9920,640,480:waittimer 96169 blt 0,0,640,480,0, 9922,640,480:waittimer 96188 blt 0,0,640,480,0, 9924,640,480:waittimer 96207 blt 0,0,640,480,0, 9926,640,480:waittimer 96227 blt 0,0,640,480,0, 9928,640,480:waittimer 96246 blt 0,0,640,480,0, 9930,640,480:waittimer 96266 blt 0,0,640,480,0, 9932,640,480:waittimer 96285 blt 0,0,640,480,0, 9934,640,480:waittimer 96304 blt 0,0,640,480,0, 9936,640,480:waittimer 96324 blt 0,0,640,480,0, 9938,640,480:waittimer 96343 blt 0,0,640,480,0, 9940,640,480:waittimer 96363 blt 0,0,640,480,0, 9942,640,480:waittimer 96382 blt 0,0,640,480,0, 9944,640,480:waittimer 96401 blt 0,0,640,480,0, 9946,640,480:waittimer 96421 blt 0,0,640,480,0, 9948,640,480:waittimer 96440 blt 0,0,640,480,0, 9950,640,480:waittimer 96460 blt 0,0,640,480,0, 9952,640,480:waittimer 96479 blt 0,0,640,480,0, 9954,640,480:waittimer 96498 blt 0,0,640,480,0, 9956,640,480:waittimer 96518 blt 0,0,640,480,0, 9958,640,480:waittimer 96537 blt 0,0,640,480,0, 9960,640,480:waittimer 96556 blt 0,0,640,480,0, 9962,640,480:waittimer 96576 blt 0,0,640,480,0, 9964,640,480:waittimer 96595 blt 0,0,640,480,0, 9966,640,480:waittimer 96615 blt 0,0,640,480,0, 9968,640,480:waittimer 96634 blt 0,0,640,480,0, 9970,640,480:waittimer 96653 blt 0,0,640,480,0, 9972,640,480:waittimer 96673 blt 0,0,640,480,0, 9974,640,480:waittimer 96692 blt 0,0,640,480,0, 9976,640,480:waittimer 96712 blt 0,0,640,480,0, 9978,640,480:waittimer 96731 blt 0,0,640,480,0, 9980,640,480:waittimer 96750 blt 0,0,640,480,0, 9982,640,480:waittimer 96770 blt 0,0,640,480,0, 9984,640,480:waittimer 96789 blt 0,0,640,480,0, 9986,640,480:waittimer 96809 blt 0,0,640,480,0, 9988,640,480:waittimer 96828 blt 0,0,640,480,0, 9990,640,480:waittimer 96847 blt 0,0,640,480,0, 9992,640,480:waittimer 96867 blt 0,0,640,480,0, 9994,640,480:waittimer 96886 blt 0,0,640,480,0, 9996,640,480:waittimer 96905 blt 0,0,640,480,0, 9998,640,480:waittimer 96925 blt 0,0,640,480,0, 10000,640,480:waittimer 96944 blt 0,0,640,480,0, 10002,640,480:waittimer 96964 blt 0,0,640,480,0, 10004,640,480:waittimer 96983 blt 0,0,640,480,0, 10006,640,480:waittimer 97002 blt 0,0,640,480,0, 10008,640,480:waittimer 97022 blt 0,0,640,480,0, 10010,640,480:waittimer 97041 blt 0,0,640,480,0, 10012,640,480:waittimer 97061 blt 0,0,640,480,0, 10014,640,480:waittimer 97080 blt 0,0,640,480,0, 10016,640,480:waittimer 97099 blt 0,0,640,480,0, 10018,640,480:waittimer 97119 blt 0,0,640,480,0, 10020,640,480:waittimer 97138 blt 0,0,640,480,0, 10022,640,480:waittimer 97158 blt 0,0,640,480,0, 10024,640,480:waittimer 97177 blt 0,0,640,480,0, 10026,640,480:waittimer 97196 blt 0,0,640,480,0, 10028,640,480:waittimer 97216 blt 0,0,640,480,0, 10030,640,480:waittimer 97235 blt 0,0,640,480,0, 10032,640,480:waittimer 97254 blt 0,0,640,480,0, 10034,640,480:waittimer 97274 blt 0,0,640,480,0, 10036,640,480:waittimer 97293 blt 0,0,640,480,0, 10038,640,480:waittimer 97313 blt 0,0,640,480,0, 10040,640,480:waittimer 97332 blt 0,0,640,480,0, 10042,640,480:waittimer 97351 blt 0,0,640,480,0, 10044,640,480:waittimer 97371 blt 0,0,640,480,0, 10046,640,480:waittimer 97390 blt 0,0,640,480,0, 10048,640,480:waittimer 97410 blt 0,0,640,480,0, 10050,640,480:waittimer 97429 blt 0,0,640,480,0, 10052,640,480:waittimer 97448 blt 0,0,640,480,0, 10054,640,480:waittimer 97468 blt 0,0,640,480,0, 10056,640,480:waittimer 97487 blt 0,0,640,480,0, 10058,640,480:waittimer 97507 blt 0,0,640,480,0, 10060,640,480:waittimer 97526 blt 0,0,640,480,0, 10062,640,480:waittimer 97545 blt 0,0,640,480,0, 10064,640,480:waittimer 97565 blt 0,0,640,480,0, 10066,640,480:waittimer 97584 blt 0,0,640,480,0, 10068,640,480:waittimer 97603 blt 0,0,640,480,0, 10070,640,480:waittimer 97623 blt 0,0,640,480,0, 10072,640,480:waittimer 97642 blt 0,0,640,480,0, 10074,640,480:waittimer 97662 blt 0,0,640,480,0, 10076,640,480:waittimer 97681 blt 0,0,640,480,0, 10078,640,480:waittimer 97700 blt 0,0,640,480,0, 10080,640,480:waittimer 97720 blt 0,0,640,480,0, 10082,640,480:waittimer 97739 blt 0,0,640,480,0, 10084,640,480:waittimer 97759 blt 0,0,640,480,0, 10086,640,480:waittimer 97778 blt 0,0,640,480,0, 10088,640,480:waittimer 97797 blt 0,0,640,480,0, 10090,640,480:waittimer 97817 blt 0,0,640,480,0, 10092,640,480:waittimer 97836 blt 0,0,640,480,0, 10094,640,480:waittimer 97856 blt 0,0,640,480,0, 10096,640,480:waittimer 97875 blt 0,0,640,480,0, 10098,640,480:waittimer 97894 blt 0,0,640,480,0, 10100,640,480:waittimer 97914 blt 0,0,640,480,0, 10102,640,480:waittimer 97933 blt 0,0,640,480,0, 10104,640,480:waittimer 97952 blt 0,0,640,480,0, 10106,640,480:waittimer 97972 blt 0,0,640,480,0, 10108,640,480:waittimer 97991 blt 0,0,640,480,0, 10110,640,480:waittimer 98011 blt 0,0,640,480,0, 10112,640,480:waittimer 98030 blt 0,0,640,480,0, 10114,640,480:waittimer 98049 blt 0,0,640,480,0, 10116,640,480:waittimer 98069 blt 0,0,640,480,0, 10118,640,480:waittimer 98088 blt 0,0,640,480,0, 10120,640,480:waittimer 98108 blt 0,0,640,480,0, 10122,640,480:waittimer 98127 blt 0,0,640,480,0, 10124,640,480:waittimer 98146 blt 0,0,640,480,0, 10126,640,480:waittimer 98166 blt 0,0,640,480,0, 10128,640,480:waittimer 98185 blt 0,0,640,480,0, 10130,640,480:waittimer 98205 blt 0,0,640,480,0, 10132,640,480:waittimer 98224 blt 0,0,640,480,0, 10134,640,480:waittimer 98243 blt 0,0,640,480,0, 10136,640,480:waittimer 98263 blt 0,0,640,480,0, 10138,640,480:waittimer 98282 blt 0,0,640,480,0, 10140,640,480:waittimer 98301 blt 0,0,640,480,0, 10142,640,480:waittimer 98321 blt 0,0,640,480,0, 10144,640,480:waittimer 98340 blt 0,0,640,480,0, 10146,640,480:waittimer 98360 blt 0,0,640,480,0, 10148,640,480:waittimer 98379 blt 0,0,640,480,0, 10150,640,480:waittimer 98398 blt 0,0,640,480,0, 10152,640,480:waittimer 98418 blt 0,0,640,480,0, 10154,640,480:waittimer 98437 blt 0,0,640,480,0, 10156,640,480:waittimer 98457 blt 0,0,640,480,0, 10158,640,480:waittimer 98476 blt 0,0,640,480,0, 10160,640,480:waittimer 98495 blt 0,0,640,480,0, 10162,640,480:waittimer 98515 blt 0,0,640,480,0, 10164,640,480:waittimer 98534 blt 0,0,640,480,0, 10166,640,480:waittimer 98554 blt 0,0,640,480,0, 10168,640,480:waittimer 98573 blt 0,0,640,480,0, 10170,640,480:waittimer 98592 blt 0,0,640,480,0, 10172,640,480:waittimer 98612 blt 0,0,640,480,0, 10174,640,480:waittimer 98631 blt 0,0,640,480,0, 10176,640,480:waittimer 98650 blt 0,0,640,480,0, 10178,640,480:waittimer 98670 blt 0,0,640,480,0, 10180,640,480:waittimer 98689 blt 0,0,640,480,0, 10182,640,480:waittimer 98709 blt 0,0,640,480,0, 10184,640,480:waittimer 98728 blt 0,0,640,480,0, 10186,640,480:waittimer 98747 blt 0,0,640,480,0, 10188,640,480:waittimer 98767 blt 0,0,640,480,0, 10190,640,480:waittimer 98786 blt 0,0,640,480,0, 10192,640,480:waittimer 98806 blt 0,0,640,480,0, 10194,640,480:waittimer 98825 blt 0,0,640,480,0, 10196,640,480:waittimer 98844 blt 0,0,640,480,0, 10198,640,480:waittimer 98864 blt 0,0,640,480,0, 10200,640,480:waittimer 98883 blt 0,0,640,480,0, 10202,640,480:waittimer 98903 blt 0,0,640,480,0, 10204,640,480:waittimer 98922 blt 0,0,640,480,0, 10206,640,480:waittimer 98941 blt 0,0,640,480,0, 10208,640,480:waittimer 98961 blt 0,0,640,480,0, 10210,640,480:waittimer 98980 blt 0,0,640,480,0, 10212,640,480:waittimer 98999 blt 0,0,640,480,0, 10214,640,480:waittimer 99019 blt 0,0,640,480,0, 10216,640,480:waittimer 99038 blt 0,0,640,480,0, 10218,640,480:waittimer 99058 blt 0,0,640,480,0, 10220,640,480:waittimer 99077 blt 0,0,640,480,0, 10222,640,480:waittimer 99096 blt 0,0,640,480,0, 10224,640,480:waittimer 99116 blt 0,0,640,480,0, 10226,640,480:waittimer 99135 blt 0,0,640,480,0, 10228,640,480:waittimer 99155 blt 0,0,640,480,0, 10230,640,480:waittimer 99174 blt 0,0,640,480,0, 10232,640,480:waittimer 99193 blt 0,0,640,480,0, 10234,640,480:waittimer 99213 blt 0,0,640,480,0, 10236,640,480:waittimer 99232 blt 0,0,640,480,0, 10238,640,480:waittimer 99252 blt 0,0,640,480,0, 10240,640,480:waittimer 99271 blt 0,0,640,480,0, 10242,640,480:waittimer 99290 blt 0,0,640,480,0, 10244,640,480:waittimer 99310 blt 0,0,640,480,0, 10246,640,480:waittimer 99329 blt 0,0,640,480,0, 10248,640,480:waittimer 99349 blt 0,0,640,480,0, 10250,640,480:waittimer 99368 blt 0,0,640,480,0, 10252,640,480:waittimer 99387 blt 0,0,640,480,0, 10254,640,480:waittimer 99407 blt 0,0,640,480,0, 10256,640,480:waittimer 99426 blt 0,0,640,480,0, 10258,640,480:waittimer 99445 blt 0,0,640,480,0, 10260,640,480:waittimer 99465 blt 0,0,640,480,0, 10262,640,480:waittimer 99484 blt 0,0,640,480,0, 10264,640,480:waittimer 99504 blt 0,0,640,480,0, 10266,640,480:waittimer 99523 blt 0,0,640,480,0, 10268,640,480:waittimer 99542 blt 0,0,640,480,0, 10270,640,480:waittimer 99562 blt 0,0,640,480,0, 10272,640,480:waittimer 99581 blt 0,0,640,480,0, 10274,640,480:waittimer 99601 blt 0,0,640,480,0, 10276,640,480:waittimer 99620 blt 0,0,640,480,0, 10278,640,480:waittimer 99639 blt 0,0,640,480,0, 10280,640,480:waittimer 99659 blt 0,0,640,480,0, 10282,640,480:waittimer 99678 blt 0,0,640,480,0, 10284,640,480:waittimer 99698 blt 0,0,640,480,0, 10286,640,480:waittimer 99717 blt 0,0,640,480,0, 10288,640,480:waittimer 99736 blt 0,0,640,480,0, 10290,640,480:waittimer 99756 blt 0,0,640,480,0, 10292,640,480:waittimer 99775 blt 0,0,640,480,0, 10294,640,480:waittimer 99794 blt 0,0,640,480,0, 10296,640,480:waittimer 99814 blt 0,0,640,480,0, 10298,640,480:waittimer 99833 blt 0,0,640,480,0, 10300,640,480:waittimer 99853 blt 0,0,640,480,0, 10302,640,480:waittimer 99872 blt 0,0,640,480,0, 10304,640,480:waittimer 99891 blt 0,0,640,480,0, 10306,640,480:waittimer 99911 blt 0,0,640,480,0, 10308,640,480:waittimer 99930 blt 0,0,640,480,0, 10310,640,480:waittimer 99950 blt 0,0,640,480,0, 10312,640,480:waittimer 99969 blt 0,0,640,480,0, 10314,640,480:waittimer 99988 blt 0,0,640,480,0, 10316,640,480:waittimer 100008 blt 0,0,640,480,0, 10318,640,480:waittimer 100027 blt 0,0,640,480,0, 10320,640,480:waittimer 100047 blt 0,0,640,480,0, 10322,640,480:waittimer 100066 blt 0,0,640,480,0, 10324,640,480:waittimer 100085 blt 0,0,640,480,0, 10326,640,480:waittimer 100105 blt 0,0,640,480,0, 10328,640,480:waittimer 100124 blt 0,0,640,480,0, 10330,640,480:waittimer 100143 blt 0,0,640,480,0, 10332,640,480:waittimer 100163 blt 0,0,640,480,0, 10334,640,480:waittimer 100182 blt 0,0,640,480,0, 10336,640,480:waittimer 100202 blt 0,0,640,480,0, 10338,640,480:waittimer 100221 blt 0,0,640,480,0, 10340,640,480:waittimer 100240 blt 0,0,640,480,0, 10342,640,480:waittimer 100260 blt 0,0,640,480,0, 10344,640,480:waittimer 100279 blt 0,0,640,480,0, 10346,640,480:waittimer 100299 blt 0,0,640,480,0, 10348,640,480:waittimer 100318 blt 0,0,640,480,0, 10350,640,480:waittimer 100337 blt 0,0,640,480,0, 10352,640,480:waittimer 100357 blt 0,0,640,480,0, 10354,640,480:waittimer 100376 blt 0,0,640,480,0, 10356,640,480:waittimer 100396 blt 0,0,640,480,0, 10358,640,480:waittimer 100415 blt 0,0,640,480,0, 10360,640,480:waittimer 100434 blt 0,0,640,480,0, 10362,640,480:waittimer 100454 blt 0,0,640,480,0, 10364,640,480:waittimer 100473 blt 0,0,640,480,0, 10366,640,480:waittimer 100492 blt 0,0,640,480,0, 10368,640,480:waittimer 100512 blt 0,0,640,480,0, 10370,640,480:waittimer 100531 blt 0,0,640,480,0, 10372,640,480:waittimer 100551 blt 0,0,640,480,0, 10374,640,480:waittimer 100570 blt 0,0,640,480,0, 10376,640,480:waittimer 100589 blt 0,0,640,480,0, 10378,640,480:waittimer 100609 blt 0,0,640,480,0, 10380,640,480:waittimer 100628 blt 0,0,640,480,0, 10382,640,480:waittimer 100648 blt 0,0,640,480,0, 10384,640,480:waittimer 100667 blt 0,0,640,480,0, 10386,640,480:waittimer 100686 blt 0,0,640,480,0, 10388,640,480:waittimer 100706 blt 0,0,640,480,0, 10390,640,480:waittimer 100725 blt 0,0,640,480,0, 10392,640,480:waittimer 100745 blt 0,0,640,480,0, 10394,640,480:waittimer 100764 blt 0,0,640,480,0, 10396,640,480:waittimer 100783 blt 0,0,640,480,0, 10398,640,480:waittimer 100803 blt 0,0,640,480,0, 10400,640,480:waittimer 100822 blt 0,0,640,480,0, 10402,640,480:waittimer 100841 blt 0,0,640,480,0, 10404,640,480:waittimer 100861 blt 0,0,640,480,0, 10406,640,480:waittimer 100880 blt 0,0,640,480,0, 10408,640,480:waittimer 100900 blt 0,0,640,480,0, 10410,640,480:waittimer 100919 blt 0,0,640,480,0, 10412,640,480:waittimer 100938 blt 0,0,640,480,0, 10414,640,480:waittimer 100958 blt 0,0,640,480,0, 10416,640,480:waittimer 100977 blt 0,0,640,480,0, 10418,640,480:waittimer 100997 blt 0,0,640,480,0, 10420,640,480:waittimer 101016 blt 0,0,640,480,0, 10422,640,480:waittimer 101035 blt 0,0,640,480,0, 10424,640,480:waittimer 101055 blt 0,0,640,480,0, 10426,640,480:waittimer 101074 blt 0,0,640,480,0, 10428,640,480:waittimer 101094 blt 0,0,640,480,0, 10430,640,480:waittimer 101113 blt 0,0,640,480,0, 10432,640,480:waittimer 101132 blt 0,0,640,480,0, 10434,640,480:waittimer 101152 blt 0,0,640,480,0, 10436,640,480:waittimer 101171 blt 0,0,640,480,0, 10438,640,480:waittimer 101190 blt 0,0,640,480,0, 10440,640,480:waittimer 101210 blt 0,0,640,480,0, 10442,640,480:waittimer 101229 blt 0,0,640,480,0, 10444,640,480:waittimer 101249 blt 0,0,640,480,0, 10446,640,480:waittimer 101268 blt 0,0,640,480,0, 10448,640,480:waittimer 101287 blt 0,0,640,480,0, 10450,640,480:waittimer 101307 blt 0,0,640,480,0, 10452,640,480:waittimer 101326 blt 0,0,640,480,0, 10454,640,480:waittimer 101346 blt 0,0,640,480,0, 10456,640,480:waittimer 101365 blt 0,0,640,480,0, 10458,640,480:waittimer 101384 blt 0,0,640,480,0, 10460,640,480:waittimer 101404 blt 0,0,640,480,0, 10462,640,480:waittimer 101423 blt 0,0,640,480,0, 10464,640,480:waittimer 101443 blt 0,0,640,480,0, 10466,640,480:waittimer 101462 blt 0,0,640,480,0, 10468,640,480:waittimer 101481 blt 0,0,640,480,0, 10470,640,480:waittimer 101501 blt 0,0,640,480,0, 10472,640,480:waittimer 101520 blt 0,0,640,480,0, 10474,640,480:waittimer 101539 blt 0,0,640,480,0, 10476,640,480:waittimer 101559 blt 0,0,640,480,0, 10478,640,480:waittimer 101578 blt 0,0,640,480,0, 10480,640,480:waittimer 101598 blt 0,0,640,480,0, 10482,640,480:waittimer 101617 blt 0,0,640,480,0, 10484,640,480:waittimer 101636 blt 0,0,640,480,0, 10486,640,480:waittimer 101656 blt 0,0,640,480,0, 10488,640,480:waittimer 101675 blt 0,0,640,480,0, 10490,640,480:waittimer 101695 blt 0,0,640,480,0, 10492,640,480:waittimer 101714 blt 0,0,640,480,0, 10494,640,480:waittimer 101733 blt 0,0,640,480,0, 10496,640,480:waittimer 101753 blt 0,0,640,480,0, 10498,640,480:waittimer 101772 blt 0,0,640,480,0, 10500,640,480:waittimer 101792 blt 0,0,640,480,0, 10502,640,480:waittimer 101811 blt 0,0,640,480,0, 10504,640,480:waittimer 101830 blt 0,0,640,480,0, 10506,640,480:waittimer 101850 blt 0,0,640,480,0, 10508,640,480:waittimer 101869 blt 0,0,640,480,0, 10510,640,480:waittimer 101888 blt 0,0,640,480,0, 10512,640,480:waittimer 101908 blt 0,0,640,480,0, 10514,640,480:waittimer 101927 blt 0,0,640,480,0, 10516,640,480:waittimer 101947 blt 0,0,640,480,0, 10518,640,480:waittimer 101966 blt 0,0,640,480,0, 10520,640,480:waittimer 101985 blt 0,0,640,480,0, 10522,640,480:waittimer 102005 blt 0,0,640,480,0, 10524,640,480:waittimer 102024 blt 0,0,640,480,0, 10526,640,480:waittimer 102044 blt 0,0,640,480,0, 10528,640,480:waittimer 102063 blt 0,0,640,480,0, 10530,640,480:waittimer 102082 blt 0,0,640,480,0, 10532,640,480:waittimer 102102 blt 0,0,640,480,0, 10534,640,480:waittimer 102121 blt 0,0,640,480,0, 10536,640,480:waittimer 102141 blt 0,0,640,480,0, 10538,640,480:waittimer 102160 blt 0,0,640,480,0, 10540,640,480:waittimer 102179 blt 0,0,640,480,0, 10542,640,480:waittimer 102199 blt 0,0,640,480,0, 10544,640,480:waittimer 102218 blt 0,0,640,480,0, 10546,640,480:waittimer 102237 blt 0,0,640,480,0, 10548,640,480:waittimer 102257 blt 0,0,640,480,0, 10550,640,480:waittimer 102276 blt 0,0,640,480,0, 10552,640,480:waittimer 102296 blt 0,0,640,480,0, 10554,640,480:waittimer 102315 blt 0,0,640,480,0, 10556,640,480:waittimer 102334 blt 0,0,640,480,0, 10558,640,480:waittimer 102354 blt 0,0,640,480,0, 10560,640,480:waittimer 102373 blt 0,0,640,480,0, 10562,640,480:waittimer 102393 blt 0,0,640,480,0, 10564,640,480:waittimer 102412 blt 0,0,640,480,0, 10566,640,480:waittimer 102431 blt 0,0,640,480,0, 10568,640,480:waittimer 102451 blt 0,0,640,480,0, 10570,640,480:waittimer 102470 blt 0,0,640,480,0, 10572,640,480:waittimer 102490 blt 0,0,640,480,0, 10574,640,480:waittimer 102509 blt 0,0,640,480,0, 10576,640,480:waittimer 102528 blt 0,0,640,480,0, 10578,640,480:waittimer 102548 blt 0,0,640,480,0, 10580,640,480:waittimer 102567 blt 0,0,640,480,0, 10582,640,480:waittimer 102586 blt 0,0,640,480,0, 10584,640,480:waittimer 102606 blt 0,0,640,480,0, 10586,640,480:waittimer 102625 blt 0,0,640,480,0, 10588,640,480:waittimer 102645 blt 0,0,640,480,0, 10590,640,480:waittimer 102664 blt 0,0,640,480,0, 10592,640,480:waittimer 102683 blt 0,0,640,480,0, 10594,640,480:waittimer 102703 blt 0,0,640,480,0, 10596,640,480:waittimer 102722 blt 0,0,640,480,0, 10598,640,480:waittimer 102742 blt 0,0,640,480,0, 10600,640,480:waittimer 102761 blt 0,0,640,480,0, 10602,640,480:waittimer 102780 blt 0,0,640,480,0, 10604,640,480:waittimer 102800 blt 0,0,640,480,0, 10606,640,480:waittimer 102819 blt 0,0,640,480,0, 10608,640,480:waittimer 102839 blt 0,0,640,480,0, 10610,640,480:waittimer 102858 blt 0,0,640,480,0, 10612,640,480:waittimer 102877 blt 0,0,640,480,0, 10614,640,480:waittimer 102897 blt 0,0,640,480,0, 10616,640,480:waittimer 102916 blt 0,0,640,480,0, 10618,640,480:waittimer 102935 blt 0,0,640,480,0, 10620,640,480:waittimer 102955 blt 0,0,640,480,0, 10622,640,480:waittimer 102974 blt 0,0,640,480,0, 10624,640,480:waittimer 102994 blt 0,0,640,480,0, 10626,640,480:waittimer 103013 blt 0,0,640,480,0, 10628,640,480:waittimer 103032 blt 0,0,640,480,0, 10630,640,480:waittimer 103052 blt 0,0,640,480,0, 10632,640,480:waittimer 103071 blt 0,0,640,480,0, 10634,640,480:waittimer 103091 blt 0,0,640,480,0, 10636,640,480:waittimer 103110 blt 0,0,640,480,0, 10638,640,480:waittimer 103129 blt 0,0,640,480,0, 10640,640,480:waittimer 103149 blt 0,0,640,480,0, 10642,640,480:waittimer 103168 blt 0,0,640,480,0, 10644,640,480:waittimer 103188 blt 0,0,640,480,0, 10646,640,480:waittimer 103207 blt 0,0,640,480,0, 10648,640,480:waittimer 103226 blt 0,0,640,480,0, 10650,640,480:waittimer 103246 blt 0,0,640,480,0, 10652,640,480:waittimer 103265 blt 0,0,640,480,0, 10654,640,480:waittimer 103284 blt 0,0,640,480,0, 10656,640,480:waittimer 103304 blt 0,0,640,480,0, 10658,640,480:waittimer 103323 blt 0,0,640,480,0, 10660,640,480:waittimer 103343 blt 0,0,640,480,0, 10662,640,480:waittimer 103362 blt 0,0,640,480,0, 10664,640,480:waittimer 103381 blt 0,0,640,480,0, 10666,640,480:waittimer 103401 blt 0,0,640,480,0, 10668,640,480:waittimer 103420 blt 0,0,640,480,0, 10670,640,480:waittimer 103440 blt 0,0,640,480,0, 10672,640,480:waittimer 103459 blt 0,0,640,480,0, 10674,640,480:waittimer 103478 blt 0,0,640,480,0, 10676,640,480:waittimer 103498 blt 0,0,640,480,0, 10678,640,480:waittimer 103517 blt 0,0,640,480,0, 10680,640,480:waittimer 103537 blt 0,0,640,480,0, 10682,640,480:waittimer 103556 blt 0,0,640,480,0, 10684,640,480:waittimer 103575 blt 0,0,640,480,0, 10686,640,480:waittimer 103595 blt 0,0,640,480,0, 10688,640,480:waittimer 103614 blt 0,0,640,480,0, 10690,640,480:waittimer 103633 blt 0,0,640,480,0, 10692,640,480:waittimer 103653 blt 0,0,640,480,0, 10694,640,480:waittimer 103672 blt 0,0,640,480,0, 10696,640,480:waittimer 103692 blt 0,0,640,480,0, 10698,640,480:waittimer 103711 blt 0,0,640,480,0, 10700,640,480:waittimer 103730 blt 0,0,640,480,0, 10702,640,480:waittimer 103750 blt 0,0,640,480,0, 10704,640,480:waittimer 103769 blt 0,0,640,480,0, 10706,640,480:waittimer 103789 blt 0,0,640,480,0, 10708,640,480:waittimer 103808 blt 0,0,640,480,0, 10710,640,480:waittimer 103827 blt 0,0,640,480,0, 10712,640,480:waittimer 103847 blt 0,0,640,480,0, 10714,640,480:waittimer 103866 blt 0,0,640,480,0, 10716,640,480:waittimer 103886 blt 0,0,640,480,0, 10718,640,480:waittimer 103905 blt 0,0,640,480,0, 10720,640,480:waittimer 103924 blt 0,0,640,480,0, 10722,640,480:waittimer 103944 blt 0,0,640,480,0, 10724,640,480:waittimer 103963 blt 0,0,640,480,0, 10726,640,480:waittimer 103982 blt 0,0,640,480,0, 10728,640,480:waittimer 104002 blt 0,0,640,480,0, 10730,640,480:waittimer 104021 blt 0,0,640,480,0, 10732,640,480:waittimer 104041 blt 0,0,640,480,0, 10734,640,480:waittimer 104060 blt 0,0,640,480,0, 10736,640,480:waittimer 104079 blt 0,0,640,480,0, 10738,640,480:waittimer 104099 blt 0,0,640,480,0, 10740,640,480:waittimer 104118 blt 0,0,640,480,0, 10742,640,480:waittimer 104138 blt 0,0,640,480,0, 10744,640,480:waittimer 104157 blt 0,0,640,480,0, 10746,640,480:waittimer 104176 blt 0,0,640,480,0, 10748,640,480:waittimer 104196 blt 0,0,640,480,0, 10750,640,480:waittimer 104215 blt 0,0,640,480,0, 10752,640,480:waittimer 104235 blt 0,0,640,480,0, 10754,640,480:waittimer 104254 blt 0,0,640,480,0, 10756,640,480:waittimer 104273 blt 0,0,640,480,0, 10758,640,480:waittimer 104293 blt 0,0,640,480,0, 10760,640,480:waittimer 104312 blt 0,0,640,480,0, 10762,640,480:waittimer 104331 blt 0,0,640,480,0, 10764,640,480:waittimer 104351 blt 0,0,640,480,0, 10766,640,480:waittimer 104370 blt 0,0,640,480,0, 10768,640,480:waittimer 104390 blt 0,0,640,480,0, 10770,640,480:waittimer 104409 blt 0,0,640,480,0, 10772,640,480:waittimer 104428 blt 0,0,640,480,0, 10774,640,480:waittimer 104448 blt 0,0,640,480,0, 10776,640,480:waittimer 104467 blt 0,0,640,480,0, 10778,640,480:waittimer 104487 blt 0,0,640,480,0, 10780,640,480:waittimer 104506 blt 0,0,640,480,0, 10782,640,480:waittimer 104525 blt 0,0,640,480,0, 10784,640,480:waittimer 104545 blt 0,0,640,480,0, 10786,640,480:waittimer 104564 blt 0,0,640,480,0, 10788,640,480:waittimer 104584 blt 0,0,640,480,0, 10790,640,480:waittimer 104603 blt 0,0,640,480,0, 10792,640,480:waittimer 104622 blt 0,0,640,480,0, 10794,640,480:waittimer 104642 blt 0,0,640,480,0, 10796,640,480:waittimer 104661 blt 0,0,640,480,0, 10798,640,480:waittimer 104680 blt 0,0,640,480,0, 10800,640,480:waittimer 104700 blt 0,0,640,480,0, 10802,640,480:waittimer 104719 blt 0,0,640,480,0, 10804,640,480:waittimer 104739 blt 0,0,640,480,0, 10806,640,480:waittimer 104758 blt 0,0,640,480,0, 10808,640,480:waittimer 104777 blt 0,0,640,480,0, 10810,640,480:waittimer 104797 blt 0,0,640,480,0, 10812,640,480:waittimer 104816 blt 0,0,640,480,0, 10814,640,480:waittimer 104836 blt 0,0,640,480,0, 10816,640,480:waittimer 104855 blt 0,0,640,480,0, 10818,640,480:waittimer 104874 blt 0,0,640,480,0, 10820,640,480:waittimer 104894 blt 0,0,640,480,0, 10822,640,480:waittimer 104913 blt 0,0,640,480,0, 10824,640,480:waittimer 104933 blt 0,0,640,480,0, 10826,640,480:waittimer 104952 blt 0,0,640,480,0, 10828,640,480:waittimer 104971 blt 0,0,640,480,0, 10830,640,480:waittimer 104991 blt 0,0,640,480,0, 10832,640,480:waittimer 105010 blt 0,0,640,480,0, 10834,640,480:waittimer 105029 blt 0,0,640,480,0, 10836,640,480:waittimer 105049 blt 0,0,640,480,0, 10838,640,480:waittimer 105068 blt 0,0,640,480,0, 10840,640,480:waittimer 105088 blt 0,0,640,480,0, 10842,640,480:waittimer 105107 blt 0,0,640,480,0, 10844,640,480:waittimer 105126 blt 0,0,640,480,0, 10846,640,480:waittimer 105146 blt 0,0,640,480,0, 10848,640,480:waittimer 105165 blt 0,0,640,480,0, 10850,640,480:waittimer 105185 blt 0,0,640,480,0, 10852,640,480:waittimer 105204 blt 0,0,640,480,0, 10854,640,480:waittimer 105223 blt 0,0,640,480,0, 10856,640,480:waittimer 105243 blt 0,0,640,480,0, 10858,640,480:waittimer 105262 blt 0,0,640,480,0, 10860,640,480:waittimer 105282 blt 0,0,640,480,0, 10862,640,480:waittimer 105301 blt 0,0,640,480,0, 10864,640,480:waittimer 105320 blt 0,0,640,480,0, 10866,640,480:waittimer 105340 blt 0,0,640,480,0, 10868,640,480:waittimer 105359 blt 0,0,640,480,0, 10870,640,480:waittimer 105378 blt 0,0,640,480,0, 10872,640,480:waittimer 105398 blt 0,0,640,480,0, 10874,640,480:waittimer 105417 blt 0,0,640,480,0, 10876,640,480:waittimer 105437 blt 0,0,640,480,0, 10878,640,480:waittimer 105456 blt 0,0,640,480,0, 10880,640,480:waittimer 105475 blt 0,0,640,480,0, 10882,640,480:waittimer 105495 blt 0,0,640,480,0, 10884,640,480:waittimer 105514 blt 0,0,640,480,0, 10886,640,480:waittimer 105534 blt 0,0,640,480,0, 10888,640,480:waittimer 105553 blt 0,0,640,480,0, 10890,640,480:waittimer 105572 blt 0,0,640,480,0, 10892,640,480:waittimer 105592 blt 0,0,640,480,0, 10894,640,480:waittimer 105611 blt 0,0,640,480,0, 10896,640,480:waittimer 105631 blt 0,0,640,480,0, 10898,640,480:waittimer 105650 blt 0,0,640,480,0, 10900,640,480:waittimer 105669 blt 0,0,640,480,0, 10902,640,480:waittimer 105689 blt 0,0,640,480,0, 10904,640,480:waittimer 105708 blt 0,0,640,480,0, 10906,640,480:waittimer 105727 blt 0,0,640,480,0, 10908,640,480:waittimer 105747 blt 0,0,640,480,0, 10910,640,480:waittimer 105766 blt 0,0,640,480,0, 10912,640,480:waittimer 105786 blt 0,0,640,480,0, 10914,640,480:waittimer 105805 blt 0,0,640,480,0, 10916,640,480:waittimer 105824 blt 0,0,640,480,0, 10918,640,480:waittimer 105844 blt 0,0,640,480,0, 10920,640,480:waittimer 105863 blt 0,0,640,480,0, 10922,640,480:waittimer 105883 blt 0,0,640,480,0, 10924,640,480:waittimer 105902 blt 0,0,640,480,0, 10926,640,480:waittimer 105921 blt 0,0,640,480,0, 10928,640,480:waittimer 105941 blt 0,0,640,480,0, 10930,640,480:waittimer 105960 blt 0,0,640,480,0, 10932,640,480:waittimer 105980 blt 0,0,640,480,0, 10934,640,480:waittimer 105999 blt 0,0,640,480,0, 10936,640,480:waittimer 106018 blt 0,0,640,480,0, 10938,640,480:waittimer 106038 blt 0,0,640,480,0, 10940,640,480:waittimer 106057 blt 0,0,640,480,0, 10942,640,480:waittimer 106076 blt 0,0,640,480,0, 10944,640,480:waittimer 106096 blt 0,0,640,480,0, 10946,640,480:waittimer 106115 blt 0,0,640,480,0, 10948,640,480:waittimer 106135 blt 0,0,640,480,0, 10950,640,480:waittimer 106154 blt 0,0,640,480,0, 10952,640,480:waittimer 106173 blt 0,0,640,480,0, 10954,640,480:waittimer 106193 blt 0,0,640,480,0, 10956,640,480:waittimer 106212 blt 0,0,640,480,0, 10958,640,480:waittimer 106232 blt 0,0,640,480,0, 10960,640,480:waittimer 106251 blt 0,0,640,480,0, 10962,640,480:waittimer 106270 blt 0,0,640,480,0, 10964,640,480:waittimer 106290 blt 0,0,640,480,0, 10966,640,480:waittimer 106309 blt 0,0,640,480,0, 10968,640,480:waittimer 106329 blt 0,0,640,480,0, 10970,640,480:waittimer 106348 blt 0,0,640,480,0, 10972,640,480:waittimer 106367 blt 0,0,640,480,0, 10974,640,480:waittimer 106387 blt 0,0,640,480,0, 10976,640,480:waittimer 106406 blt 0,0,640,480,0, 10978,640,480:waittimer 106425 blt 0,0,640,480,0, 10980,640,480:waittimer 106445 blt 0,0,640,480,0, 10982,640,480:waittimer 106464 blt 0,0,640,480,0, 10984,640,480:waittimer 106484 blt 0,0,640,480,0, 10986,640,480:waittimer 106503 blt 0,0,640,480,0, 10988,640,480:waittimer 106522 blt 0,0,640,480,0, 10990,640,480:waittimer 106542 blt 0,0,640,480,0, 10992,640,480:waittimer 106561 blt 0,0,640,480,0, 10994,640,480:waittimer 106581 blt 0,0,640,480,0, 10996,640,480:waittimer 106600 blt 0,0,640,480,0, 10998,640,480:waittimer 106619 blt 0,0,640,480,0, 11000,640,480:waittimer 106639 blt 0,0,640,480,0, 11002,640,480:waittimer 106658 blt 0,0,640,480,0, 11004,640,480:waittimer 106678 blt 0,0,640,480,0, 11006,640,480:waittimer 106697 blt 0,0,640,480,0, 11008,640,480:waittimer 106716 blt 0,0,640,480,0, 11010,640,480:waittimer 106736 blt 0,0,640,480,0, 11012,640,480:waittimer 106755 blt 0,0,640,480,0, 11014,640,480:waittimer 106774 blt 0,0,640,480,0, 11016,640,480:waittimer 106794 blt 0,0,640,480,0, 11018,640,480:waittimer 106813 blt 0,0,640,480,0, 11020,640,480:waittimer 106833 blt 0,0,640,480,0, 11022,640,480:waittimer 106852 blt 0,0,640,480,0, 11024,640,480:waittimer 106871 blt 0,0,640,480,0, 11026,640,480:waittimer 106891 blt 0,0,640,480,0, 11028,640,480:waittimer 106910 blt 0,0,640,480,0, 11030,640,480:waittimer 106930 blt 0,0,640,480,0, 11032,640,480:waittimer 106949 blt 0,0,640,480,0, 11034,640,480:waittimer 106968 blt 0,0,640,480,0, 11036,640,480:waittimer 106988 blt 0,0,640,480,0, 11038,640,480:waittimer 107007 blt 0,0,640,480,0, 11040,640,480:waittimer 107027 blt 0,0,640,480,0, 11042,640,480:waittimer 107046 blt 0,0,640,480,0, 11044,640,480:waittimer 107065 blt 0,0,640,480,0, 11046,640,480:waittimer 107085 blt 0,0,640,480,0, 11048,640,480:waittimer 107104 blt 0,0,640,480,0, 11050,640,480:waittimer 107123 blt 0,0,640,480,0, 11052,640,480:waittimer 107143 blt 0,0,640,480,0, 11054,640,480:waittimer 107162 blt 0,0,640,480,0, 11056,640,480:waittimer 107182 blt 0,0,640,480,0, 11058,640,480:waittimer 107201 blt 0,0,640,480,0, 11060,640,480:waittimer 107220 blt 0,0,640,480,0, 11062,640,480:waittimer 107240 blt 0,0,640,480,0, 11064,640,480:waittimer 107259 blt 0,0,640,480,0, 11066,640,480:waittimer 107279 blt 0,0,640,480,0, 11068,640,480:waittimer 107298 blt 0,0,640,480,0, 11070,640,480:waittimer 107317 blt 0,0,640,480,0, 11072,640,480:waittimer 107337 blt 0,0,640,480,0, 11074,640,480:waittimer 107356 blt 0,0,640,480,0, 11076,640,480:waittimer 107376 blt 0,0,640,480,0, 11078,640,480:waittimer 107395 blt 0,0,640,480,0, 11080,640,480:waittimer 107414 blt 0,0,640,480,0, 11082,640,480:waittimer 107434 blt 0,0,640,480,0, 11084,640,480:waittimer 107453 blt 0,0,640,480,0, 11086,640,480:waittimer 107472 blt 0,0,640,480,0, 11088,640,480:waittimer 107492 blt 0,0,640,480,0, 11090,640,480:waittimer 107511 blt 0,0,640,480,0, 11092,640,480:waittimer 107531 blt 0,0,640,480,0, 11094,640,480:waittimer 107550 blt 0,0,640,480,0, 11096,640,480:waittimer 107569 blt 0,0,640,480,0, 11098,640,480:waittimer 107589 blt 0,0,640,480,0, 11100,640,480:waittimer 107608 blt 0,0,640,480,0, 11102,640,480:waittimer 107628 blt 0,0,640,480,0, 11104,640,480:waittimer 107647 blt 0,0,640,480,0, 11106,640,480:waittimer 107666 blt 0,0,640,480,0, 11108,640,480:waittimer 107686 blt 0,0,640,480,0, 11110,640,480:waittimer 107705 blt 0,0,640,480,0, 11112,640,480:waittimer 107725 blt 0,0,640,480,0, 11114,640,480:waittimer 107744 blt 0,0,640,480,0, 11116,640,480:waittimer 107763 blt 0,0,640,480,0, 11118,640,480:waittimer 107783 blt 0,0,640,480,0, 11120,640,480:waittimer 107802 blt 0,0,640,480,0, 11122,640,480:waittimer 107821 blt 0,0,640,480,0, 11124,640,480:waittimer 107841 blt 0,0,640,480,0, 11126,640,480:waittimer 107860 blt 0,0,640,480,0, 11128,640,480:waittimer 107880 blt 0,0,640,480,0, 11130,640,480:waittimer 107899 blt 0,0,640,480,0, 11132,640,480:waittimer 107918 blt 0,0,640,480,0, 11134,640,480:waittimer 107938 blt 0,0,640,480,0, 11136,640,480:waittimer 107957 blt 0,0,640,480,0, 11138,640,480:waittimer 107977 blt 0,0,640,480,0, 11140,640,480:waittimer 107996 blt 0,0,640,480,0, 11142,640,480:waittimer 108015 blt 0,0,640,480,0, 11144,640,480:waittimer 108035 blt 0,0,640,480,0, 11146,640,480:waittimer 108054 blt 0,0,640,480,0, 11148,640,480:waittimer 108074 blt 0,0,640,480,0, 11150,640,480:waittimer 108093 blt 0,0,640,480,0, 11152,640,480:waittimer 108112 blt 0,0,640,480,0, 11154,640,480:waittimer 108132 blt 0,0,640,480,0, 11156,640,480:waittimer 108151 blt 0,0,640,480,0, 11158,640,480:waittimer 108170 blt 0,0,640,480,0, 11160,640,480:waittimer 108190 blt 0,0,640,480,0, 11162,640,480:waittimer 108209 blt 0,0,640,480,0, 11164,640,480:waittimer 108229 blt 0,0,640,480,0, 11166,640,480:waittimer 108248 blt 0,0,640,480,0, 11168,640,480:waittimer 108267 blt 0,0,640,480,0, 11170,640,480:waittimer 108287 blt 0,0,640,480,0, 11172,640,480:waittimer 108306 blt 0,0,640,480,0, 11174,640,480:waittimer 108326 blt 0,0,640,480,0, 11176,640,480:waittimer 108345 blt 0,0,640,480,0, 11178,640,480:waittimer 108364 blt 0,0,640,480,0, 11180,640,480:waittimer 108384 blt 0,0,640,480,0, 11182,640,480:waittimer 108403 blt 0,0,640,480,0, 11184,640,480:waittimer 108423 blt 0,0,640,480,0, 11186,640,480:waittimer 108442 blt 0,0,640,480,0, 11188,640,480:waittimer 108461 blt 0,0,640,480,0, 11190,640,480:waittimer 108481 blt 0,0,640,480,0, 11192,640,480:waittimer 108500 blt 0,0,640,480,0, 11194,640,480:waittimer 108519 blt 0,0,640,480,0, 11196,640,480:waittimer 108539 blt 0,0,640,480,0, 11198,640,480:waittimer 108558 blt 0,0,640,480,0, 11200,640,480:waittimer 108578 blt 0,0,640,480,0, 11202,640,480:waittimer 108597 blt 0,0,640,480,0, 11204,640,480:waittimer 108616 blt 0,0,640,480,0, 11206,640,480:waittimer 108636 blt 0,0,640,480,0, 11208,640,480:waittimer 108655 blt 0,0,640,480,0, 11210,640,480:waittimer 108675 blt 0,0,640,480,0, 11212,640,480:waittimer 108694 blt 0,0,640,480,0, 11214,640,480:waittimer 108713 blt 0,0,640,480,0, 11216,640,480:waittimer 108733 blt 0,0,640,480,0, 11218,640,480:waittimer 108752 blt 0,0,640,480,0, 11220,640,480:waittimer 108772 blt 0,0,640,480,0, 11222,640,480:waittimer 108791 blt 0,0,640,480,0, 11224,640,480:waittimer 108810 blt 0,0,640,480,0, 11226,640,480:waittimer 108830 blt 0,0,640,480,0, 11228,640,480:waittimer 108849 blt 0,0,640,480,0, 11230,640,480:waittimer 108868 blt 0,0,640,480,0, 11232,640,480:waittimer 108888 blt 0,0,640,480,0, 11234,640,480:waittimer 108907 blt 0,0,640,480,0, 11236,640,480:waittimer 108927 blt 0,0,640,480,0, 11238,640,480:waittimer 108946 blt 0,0,640,480,0, 11240,640,480:waittimer 108965 blt 0,0,640,480,0, 11242,640,480:waittimer 108985 blt 0,0,640,480,0, 11244,640,480:waittimer 109004 blt 0,0,640,480,0, 11246,640,480:waittimer 109024 blt 0,0,640,480,0, 11248,640,480:waittimer 109043 blt 0,0,640,480,0, 11250,640,480:waittimer 109062 blt 0,0,640,480,0, 11252,640,480:waittimer 109082 blt 0,0,640,480,0, 11254,640,480:waittimer 109101 blt 0,0,640,480,0, 11256,640,480:waittimer 109121 blt 0,0,640,480,0, 11258,640,480:waittimer 109140 blt 0,0,640,480,0, 11260,640,480:waittimer 109159 blt 0,0,640,480,0, 11262,640,480:waittimer 109179 blt 0,0,640,480,0, 11264,640,480:waittimer 109198 blt 0,0,640,480,0, 11266,640,480:waittimer 109217 blt 0,0,640,480,0, 11268,640,480:waittimer 109237 blt 0,0,640,480,0, 11270,640,480:waittimer 109256 blt 0,0,640,480,0, 11272,640,480:waittimer 109276 blt 0,0,640,480,0, 11274,640,480:waittimer 109295 blt 0,0,640,480,0, 11276,640,480:waittimer 109314 blt 0,0,640,480,0, 11278,640,480:waittimer 109334 blt 0,0,640,480,0, 11280,640,480:waittimer 109353 blt 0,0,640,480,0, 11282,640,480:waittimer 109373 blt 0,0,640,480,0, 11284,640,480:waittimer 109392 blt 0,0,640,480,0, 11286,640,480:waittimer 109411 blt 0,0,640,480,0, 11288,640,480:waittimer 109431 blt 0,0,640,480,0, 11290,640,480:waittimer 109450 blt 0,0,640,480,0, 11292,640,480:waittimer 109470 blt 0,0,640,480,0, 11294,640,480:waittimer 109489 blt 0,0,640,480,0, 11296,640,480:waittimer 109508 blt 0,0,640,480,0, 11298,640,480:waittimer 109528 blt 0,0,640,480,0, 11300,640,480:waittimer 109547 blt 0,0,640,480,0, 11302,640,480:waittimer 109566 blt 0,0,640,480,0, 11304,640,480:waittimer 109586 blt 0,0,640,480,0, 11306,640,480:waittimer 109605 blt 0,0,640,480,0, 11308,640,480:waittimer 109625 blt 0,0,640,480,0, 11310,640,480:waittimer 109644 blt 0,0,640,480,0, 11312,640,480:waittimer 109663 blt 0,0,640,480,0, 11314,640,480:waittimer 109683 blt 0,0,640,480,0, 11316,640,480:waittimer 109702 blt 0,0,640,480,0, 11318,640,480:waittimer 109722 blt 0,0,640,480,0, 11320,640,480:waittimer 109741 blt 0,0,640,480,0, 11322,640,480:waittimer 109760 blt 0,0,640,480,0, 11324,640,480:waittimer 109780 blt 0,0,640,480,0, 11326,640,480:waittimer 109799 blt 0,0,640,480,0, 11328,640,480:waittimer 109819 blt 0,0,640,480,0, 11330,640,480:waittimer 109838 blt 0,0,640,480,0, 11332,640,480:waittimer 109857 blt 0,0,640,480,0, 11334,640,480:waittimer 109877 blt 0,0,640,480,0, 11336,640,480:waittimer 109896 blt 0,0,640,480,0, 11338,640,480:waittimer 109915 blt 0,0,640,480,0, 11340,640,480:waittimer 109935 blt 0,0,640,480,0, 11342,640,480:waittimer 109954 blt 0,0,640,480,0, 11344,640,480:waittimer 109974 blt 0,0,640,480,0, 11346,640,480:waittimer 109993 blt 0,0,640,480,0, 11348,640,480:waittimer 110012 blt 0,0,640,480,0, 11350,640,480:waittimer 110032 blt 0,0,640,480,0, 11352,640,480:waittimer 110051 blt 0,0,640,480,0, 11354,640,480:waittimer 110071 blt 0,0,640,480,0, 11356,640,480:waittimer 110090 blt 0,0,640,480,0, 11358,640,480:waittimer 110109 blt 0,0,640,480,0, 11360,640,480:waittimer 110129 blt 0,0,640,480,0, 11362,640,480:waittimer 110148 blt 0,0,640,480,0, 11364,640,480:waittimer 110168 blt 0,0,640,480,0, 11366,640,480:waittimer 110187 blt 0,0,640,480,0, 11368,640,480:waittimer 110206 blt 0,0,640,480,0, 11370,640,480:waittimer 110226 blt 0,0,640,480,0, 11372,640,480:waittimer 110245 blt 0,0,640,480,0, 11374,640,480:waittimer 110264 blt 0,0,640,480,0, 11376,640,480:waittimer 110284 blt 0,0,640,480,0, 11378,640,480:waittimer 110303 blt 0,0,640,480,0, 11380,640,480:waittimer 110323 blt 0,0,640,480,0, 11382,640,480:waittimer 110342 blt 0,0,640,480,0, 11384,640,480:waittimer 110361 blt 0,0,640,480,0, 11386,640,480:waittimer 110381 blt 0,0,640,480,0, 11388,640,480:waittimer 110400 blt 0,0,640,480,0, 11390,640,480:waittimer 110420 blt 0,0,640,480,0, 11392,640,480:waittimer 110439 blt 0,0,640,480,0, 11394,640,480:waittimer 110458 blt 0,0,640,480,0, 11396,640,480:waittimer 110478 blt 0,0,640,480,0, 11398,640,480:waittimer 110497 blt 0,0,640,480,0, 11400,640,480:waittimer 110517 blt 0,0,640,480,0, 11402,640,480:waittimer 110536 blt 0,0,640,480,0, 11404,640,480:waittimer 110555 blt 0,0,640,480,0, 11406,640,480:waittimer 110575 blt 0,0,640,480,0, 11408,640,480:waittimer 110594 blt 0,0,640,480,0, 11410,640,480:waittimer 110613 blt 0,0,640,480,0, 11412,640,480:waittimer 110633 blt 0,0,640,480,0, 11414,640,480:waittimer 110652 blt 0,0,640,480,0, 11416,640,480:waittimer 110672 blt 0,0,640,480,0, 11418,640,480:waittimer 110691 blt 0,0,640,480,0, 11420,640,480:waittimer 110710 blt 0,0,640,480,0, 11422,640,480:waittimer 110730 blt 0,0,640,480,0, 11424,640,480:waittimer 110749 blt 0,0,640,480,0, 11426,640,480:waittimer 110769 blt 0,0,640,480,0, 11428,640,480:waittimer 110788 blt 0,0,640,480,0, 11430,640,480:waittimer 110807 blt 0,0,640,480,0, 11432,640,480:waittimer 110827 blt 0,0,640,480,0, 11434,640,480:waittimer 110846 blt 0,0,640,480,0, 11436,640,480:waittimer 110866 blt 0,0,640,480,0, 11438,640,480:waittimer 110885 blt 0,0,640,480,0, 11440,640,480:waittimer 110904 blt 0,0,640,480,0, 11442,640,480:waittimer 110924 blt 0,0,640,480,0, 11444,640,480:waittimer 110943 blt 0,0,640,480,0, 11446,640,480:waittimer 110962 blt 0,0,640,480,0, 11448,640,480:waittimer 110982 blt 0,0,640,480,0, 11450,640,480:waittimer 111001 blt 0,0,640,480,0, 11452,640,480:waittimer 111021 blt 0,0,640,480,0, 11454,640,480:waittimer 111040 blt 0,0,640,480,0, 11456,640,480:waittimer 111059 blt 0,0,640,480,0, 11458,640,480:waittimer 111079 blt 0,0,640,480,0, 11460,640,480:waittimer 111098 blt 0,0,640,480,0, 11462,640,480:waittimer 111118 blt 0,0,640,480,0, 11464,640,480:waittimer 111137 blt 0,0,640,480,0, 11466,640,480:waittimer 111156 blt 0,0,640,480,0, 11468,640,480:waittimer 111176 blt 0,0,640,480,0, 11470,640,480:waittimer 111195 blt 0,0,640,480,0, 11472,640,480:waittimer 111215 blt 0,0,640,480,0, 11474,640,480:waittimer 111234 blt 0,0,640,480,0, 11476,640,480:waittimer 111253 blt 0,0,640,480,0, 11478,640,480:waittimer 111273 blt 0,0,640,480,0, 11480,640,480:waittimer 111292 blt 0,0,640,480,0, 11482,640,480:waittimer 111311 blt 0,0,640,480,0, 11484,640,480:waittimer 111331 blt 0,0,640,480,0, 11486,640,480:waittimer 111350 blt 0,0,640,480,0, 11488,640,480:waittimer 111370 blt 0,0,640,480,0, 11490,640,480:waittimer 111389 blt 0,0,640,480,0, 11492,640,480:waittimer 111408 blt 0,0,640,480,0, 11494,640,480:waittimer 111428 blt 0,0,640,480,0, 11496,640,480:waittimer 111447 blt 0,0,640,480,0, 11498,640,480:waittimer 111467 blt 0,0,640,480,0, 11500,640,480:waittimer 111486 blt 0,0,640,480,0, 11502,640,480:waittimer 111505 blt 0,0,640,480,0, 11504,640,480:waittimer 111525 blt 0,0,640,480,0, 11506,640,480:waittimer 111544 blt 0,0,640,480,0, 11508,640,480:waittimer 111564 blt 0,0,640,480,0, 11510,640,480:waittimer 111583 blt 0,0,640,480,0, 11512,640,480:waittimer 111602 blt 0,0,640,480,0, 11514,640,480:waittimer 111622 blt 0,0,640,480,0, 11516,640,480:waittimer 111641 blt 0,0,640,480,0, 11518,640,480:waittimer 111660 blt 0,0,640,480,0, 11520,640,480:waittimer 111680 blt 0,0,640,480,0, 11522,640,480:waittimer 111699 blt 0,0,640,480,0, 11524,640,480:waittimer 111719 blt 0,0,640,480,0, 11526,640,480:waittimer 111738 blt 0,0,640,480,0, 11528,640,480:waittimer 111757 blt 0,0,640,480,0, 11530,640,480:waittimer 111777 blt 0,0,640,480,0, 11532,640,480:waittimer 111796 blt 0,0,640,480,0, 11534,640,480:waittimer 111816 blt 0,0,640,480,0, 11536,640,480:waittimer 111835 blt 0,0,640,480,0, 11538,640,480:waittimer 111854 blt 0,0,640,480,0, 11540,640,480:waittimer 111874 blt 0,0,640,480,0, 11542,640,480:waittimer 111893 blt 0,0,640,480,0, 11544,640,480:waittimer 111913 blt 0,0,640,480,0, 11546,640,480:waittimer 111932 blt 0,0,640,480,0, 11548,640,480:waittimer 111951 blt 0,0,640,480,0, 11550,640,480:waittimer 111971 blt 0,0,640,480,0, 11552,640,480:waittimer 111990 blt 0,0,640,480,0, 11554,640,480:waittimer 112009 blt 0,0,640,480,0, 11556,640,480:waittimer 112029 blt 0,0,640,480,0, 11558,640,480:waittimer 112048 blt 0,0,640,480,0, 11560,640,480:waittimer 112068 blt 0,0,640,480,0, 11562,640,480:waittimer 112087 blt 0,0,640,480,0, 11564,640,480:waittimer 112106 blt 0,0,640,480,0, 11566,640,480:waittimer 112126 blt 0,0,640,480,0, 11568,640,480:waittimer 112145 blt 0,0,640,480,0, 11570,640,480:waittimer 112165 blt 0,0,640,480,0, 11572,640,480:waittimer 112184 blt 0,0,640,480,0, 11574,640,480:waittimer 112203 blt 0,0,640,480,0, 11576,640,480:waittimer 112223 blt 0,0,640,480,0, 11578,640,480:waittimer 112242 blt 0,0,640,480,0, 11580,640,480:waittimer 112262 blt 0,0,640,480,0, 11582,640,480:waittimer 112281 blt 0,0,640,480,0, 11584,640,480:waittimer 112300 blt 0,0,640,480,0, 11586,640,480:waittimer 112320 blt 0,0,640,480,0, 11588,640,480:waittimer 112339 blt 0,0,640,480,0, 11590,640,480:waittimer 112358 blt 0,0,640,480,0, 11592,640,480:waittimer 112378 blt 0,0,640,480,0, 11594,640,480:waittimer 112397 blt 0,0,640,480,0, 11596,640,480:waittimer 112417 blt 0,0,640,480,0, 11598,640,480:waittimer 112436 blt 0,0,640,480,0, 11600,640,480:waittimer 112455 blt 0,0,640,480,0, 11602,640,480:waittimer 112475 blt 0,0,640,480,0, 11604,640,480:waittimer 112494 blt 0,0,640,480,0, 11606,640,480:waittimer 112514 blt 0,0,640,480,0, 11608,640,480:waittimer 112533 blt 0,0,640,480,0, 11610,640,480:waittimer 112552 blt 0,0,640,480,0, 11612,640,480:waittimer 112572 blt 0,0,640,480,0, 11614,640,480:waittimer 112591 blt 0,0,640,480,0, 11616,640,480:waittimer 112611 blt 0,0,640,480,0, 11618,640,480:waittimer 112630 blt 0,0,640,480,0, 11620,640,480:waittimer 112649 blt 0,0,640,480,0, 11622,640,480:waittimer 112669 blt 0,0,640,480,0, 11624,640,480:waittimer 112688 blt 0,0,640,480,0, 11626,640,480:waittimer 112707 blt 0,0,640,480,0, 11628,640,480:waittimer 112727 blt 0,0,640,480,0, 11630,640,480:waittimer 112746 blt 0,0,640,480,0, 11632,640,480:waittimer 112766 blt 0,0,640,480,0, 11634,640,480:waittimer 112785 blt 0,0,640,480,0, 11636,640,480:waittimer 112804 blt 0,0,640,480,0, 11638,640,480:waittimer 112824 blt 0,0,640,480,0, 11640,640,480:waittimer 112843 blt 0,0,640,480,0, 11642,640,480:waittimer 112863 blt 0,0,640,480,0, 11644,640,480:waittimer 112882 blt 0,0,640,480,0, 11646,640,480:waittimer 112901 blt 0,0,640,480,0, 11648,640,480:waittimer 112921 blt 0,0,640,480,0, 11650,640,480:waittimer 112940 blt 0,0,640,480,0, 11652,640,480:waittimer 112960 blt 0,0,640,480,0, 11654,640,480:waittimer 112979 blt 0,0,640,480,0, 11656,640,480:waittimer 112998 blt 0,0,640,480,0, 11658,640,480:waittimer 113018 blt 0,0,640,480,0, 11660,640,480:waittimer 113037 blt 0,0,640,480,0, 11662,640,480:waittimer 113056 blt 0,0,640,480,0, 11664,640,480:waittimer 113076 blt 0,0,640,480,0, 11666,640,480:waittimer 113095 blt 0,0,640,480,0, 11668,640,480:waittimer 113115 blt 0,0,640,480,0, 11670,640,480:waittimer 113134 blt 0,0,640,480,0, 11672,640,480:waittimer 113153 blt 0,0,640,480,0, 11674,640,480:waittimer 113173 blt 0,0,640,480,0, 11676,640,480:waittimer 113192 blt 0,0,640,480,0, 11678,640,480:waittimer 113212 blt 0,0,640,480,0, 11680,640,480:waittimer 113231 blt 0,0,640,480,0, 11682,640,480:waittimer 113250 blt 0,0,640,480,0, 11684,640,480:waittimer 113270 blt 0,0,640,480,0, 11686,640,480:waittimer 113289 blt 0,0,640,480,0, 11688,640,480:waittimer 113309 blt 0,0,640,480,0, 11690,640,480:waittimer 113328 blt 0,0,640,480,0, 11692,640,480:waittimer 113347 blt 0,0,640,480,0, 11694,640,480:waittimer 113367 blt 0,0,640,480,0, 11696,640,480:waittimer 113386 blt 0,0,640,480,0, 11698,640,480:waittimer 113405 blt 0,0,640,480,0, 11700,640,480:waittimer 113425 blt 0,0,640,480,0, 11702,640,480:waittimer 113444 blt 0,0,640,480,0, 11704,640,480:waittimer 113464 blt 0,0,640,480,0, 11706,640,480:waittimer 113483 blt 0,0,640,480,0, 11708,640,480:waittimer 113502 blt 0,0,640,480,0, 11710,640,480:waittimer 113522 blt 0,0,640,480,0, 11712,640,480:waittimer 113541 blt 0,0,640,480,0, 11714,640,480:waittimer 113561 blt 0,0,640,480,0, 11716,640,480:waittimer 113580 blt 0,0,640,480,0, 11718,640,480:waittimer 113599 blt 0,0,640,480,0, 11720,640,480:waittimer 113619 blt 0,0,640,480,0, 11722,640,480:waittimer 113638 blt 0,0,640,480,0, 11724,640,480:waittimer 113658 blt 0,0,640,480,0, 11726,640,480:waittimer 113677 blt 0,0,640,480,0, 11728,640,480:waittimer 113696 blt 0,0,640,480,0, 11730,640,480:waittimer 113716 blt 0,0,640,480,0, 11732,640,480:waittimer 113735 blt 0,0,640,480,0, 11734,640,480:waittimer 113754 blt 0,0,640,480,0, 11736,640,480:waittimer 113774 blt 0,0,640,480,0, 11738,640,480:waittimer 113793 blt 0,0,640,480,0, 11740,640,480:waittimer 113813 blt 0,0,640,480,0, 11742,640,480:waittimer 113832 blt 0,0,640,480,0, 11744,640,480:waittimer 113851 blt 0,0,640,480,0, 11746,640,480:waittimer 113871 blt 0,0,640,480,0, 11748,640,480:waittimer 113890 blt 0,0,640,480,0, 11750,640,480:waittimer 113910 blt 0,0,640,480,0, 11752,640,480:waittimer 113929 blt 0,0,640,480,0, 11754,640,480:waittimer 113948 blt 0,0,640,480,0, 11756,640,480:waittimer 113968 blt 0,0,640,480,0, 11758,640,480:waittimer 113987 blt 0,0,640,480,0, 11760,640,480:waittimer 114007 blt 0,0,640,480,0, 11762,640,480:waittimer 114026 blt 0,0,640,480,0, 11764,640,480:waittimer 114045 blt 0,0,640,480,0, 11766,640,480:waittimer 114065 blt 0,0,640,480,0, 11768,640,480:waittimer 114084 blt 0,0,640,480,0, 11770,640,480:waittimer 114103 blt 0,0,640,480,0, 11772,640,480:waittimer 114123 blt 0,0,640,480,0, 11774,640,480:waittimer 114142 blt 0,0,640,480,0, 11776,640,480:waittimer 114162 blt 0,0,640,480,0, 11778,640,480:waittimer 114181 blt 0,0,640,480,0, 11780,640,480:waittimer 114200 blt 0,0,640,480,0, 11782,640,480:waittimer 114220 blt 0,0,640,480,0, 11784,640,480:waittimer 114239 blt 0,0,640,480,0, 11786,640,480:waittimer 114259 blt 0,0,640,480,0, 11788,640,480:waittimer 114278 blt 0,0,640,480,0, 11790,640,480:waittimer 114297 blt 0,0,640,480,0, 11792,640,480:waittimer 114317 blt 0,0,640,480,0, 11794,640,480:waittimer 114336 blt 0,0,640,480,0, 11796,640,480:waittimer 114356 blt 0,0,640,480,0, 11798,640,480:waittimer 114375 blt 0,0,640,480,0, 11800,640,480:waittimer 114394 blt 0,0,640,480,0, 11802,640,480:waittimer 114414 blt 0,0,640,480,0, 11804,640,480:waittimer 114433 blt 0,0,640,480,0, 11806,640,480:waittimer 114452 blt 0,0,640,480,0, 11808,640,480:waittimer 114472 blt 0,0,640,480,0, 11810,640,480:waittimer 114491 blt 0,0,640,480,0, 11812,640,480:waittimer 114511 blt 0,0,640,480,0, 11814,640,480:waittimer 114530 blt 0,0,640,480,0, 11816,640,480:waittimer 114549 blt 0,0,640,480,0, 11818,640,480:waittimer 114569 blt 0,0,640,480,0, 11820,640,480:waittimer 114588 blt 0,0,640,480,0, 11822,640,480:waittimer 114608 blt 0,0,640,480,0, 11824,640,480:waittimer 114627 blt 0,0,640,480,0, 11826,640,480:waittimer 114646 blt 0,0,640,480,0, 11828,640,480:waittimer 114666 blt 0,0,640,480,0, 11830,640,480:waittimer 114685 blt 0,0,640,480,0, 11832,640,480:waittimer 114705 blt 0,0,640,480,0, 11834,640,480:waittimer 114724 blt 0,0,640,480,0, 11836,640,480:waittimer 114743 blt 0,0,640,480,0, 11838,640,480:waittimer 114763 blt 0,0,640,480,0, 11840,640,480:waittimer 114782 blt 0,0,640,480,0, 11842,640,480:waittimer 114801 blt 0,0,640,480,0, 11844,640,480:waittimer 114821 blt 0,0,640,480,0, 11846,640,480:waittimer 114840 blt 0,0,640,480,0, 11848,640,480:waittimer 114860 blt 0,0,640,480,0, 11850,640,480:waittimer 114879 blt 0,0,640,480,0, 11852,640,480:waittimer 114898 blt 0,0,640,480,0, 11854,640,480:waittimer 114918 blt 0,0,640,480,0, 11856,640,480:waittimer 114937 blt 0,0,640,480,0, 11858,640,480:waittimer 114957 blt 0,0,640,480,0, 11860,640,480:waittimer 114976 blt 0,0,640,480,0, 11862,640,480:waittimer 114995 blt 0,0,640,480,0, 11864,640,480:waittimer 115015 blt 0,0,640,480,0, 11866,640,480:waittimer 115034 blt 0,0,640,480,0, 11868,640,480:waittimer 115054 blt 0,0,640,480,0, 11870,640,480:waittimer 115073 blt 0,0,640,480,0, 11872,640,480:waittimer 115092 blt 0,0,640,480,0, 11874,640,480:waittimer 115112 blt 0,0,640,480,0, 11876,640,480:waittimer 115131 blt 0,0,640,480,0, 11878,640,480:waittimer 115150 blt 0,0,640,480,0, 11880,640,480:waittimer 115170 blt 0,0,640,480,0, 11882,640,480:waittimer 115189 blt 0,0,640,480,0, 11884,640,480:waittimer 115209 blt 0,0,640,480,0, 11886,640,480:waittimer 115228 blt 0,0,640,480,0, 11888,640,480:waittimer 115247 blt 0,0,640,480,0, 11890,640,480:waittimer 115267 blt 0,0,640,480,0, 11892,640,480:waittimer 115286 blt 0,0,640,480,0, 11894,640,480:waittimer 115306 blt 0,0,640,480,0, 11896,640,480:waittimer 115325 blt 0,0,640,480,0, 11898,640,480:waittimer 115344 blt 0,0,640,480,0, 11900,640,480:waittimer 115364 blt 0,0,640,480,0, 11902,640,480:waittimer 115383 blt 0,0,640,480,0, 11904,640,480:waittimer 115403 blt 0,0,640,480,0, 11906,640,480:waittimer 115422 blt 0,0,640,480,0, 11908,640,480:waittimer 115441 blt 0,0,640,480,0, 11910,640,480:waittimer 115461 blt 0,0,640,480,0, 11912,640,480:waittimer 115480 blt 0,0,640,480,0, 11914,640,480:waittimer 115499 blt 0,0,640,480,0, 11916,640,480:waittimer 115519 blt 0,0,640,480,0, 11918,640,480:waittimer 115538 blt 0,0,640,480,0, 11920,640,480:waittimer 115558 blt 0,0,640,480,0, 11922,640,480:waittimer 115577 blt 0,0,640,480,0, 11924,640,480:waittimer 115596 blt 0,0,640,480,0, 11926,640,480:waittimer 115616 blt 0,0,640,480,0, 11928,640,480:waittimer 115635 blt 0,0,640,480,0, 11930,640,480:waittimer 115655 blt 0,0,640,480,0, 11932,640,480:waittimer 115674 blt 0,0,640,480,0, 11934,640,480:waittimer 115693 blt 0,0,640,480,0, 11936,640,480:waittimer 115713 blt 0,0,640,480,0, 11938,640,480:waittimer 115732 blt 0,0,640,480,0, 11940,640,480:waittimer 115752 blt 0,0,640,480,0, 11942,640,480:waittimer 115771 blt 0,0,640,480,0, 11944,640,480:waittimer 115790 blt 0,0,640,480,0, 11946,640,480:waittimer 115810 blt 0,0,640,480,0, 11948,640,480:waittimer 115829 blt 0,0,640,480,0, 11950,640,480:waittimer 115849 blt 0,0,640,480,0, 11952,640,480:waittimer 115868 blt 0,0,640,480,0, 11954,640,480:waittimer 115887 blt 0,0,640,480,0, 11956,640,480:waittimer 115907 blt 0,0,640,480,0, 11958,640,480:waittimer 115926 blt 0,0,640,480,0, 11960,640,480:waittimer 115945 blt 0,0,640,480,0, 11962,640,480:waittimer 115965 blt 0,0,640,480,0, 11964,640,480:waittimer 115984 blt 0,0,640,480,0, 11966,640,480:waittimer 116004 blt 0,0,640,480,0, 11968,640,480:waittimer 116023 blt 0,0,640,480,0, 11970,640,480:waittimer 116042 blt 0,0,640,480,0, 11972,640,480:waittimer 116062 blt 0,0,640,480,0, 11974,640,480:waittimer 116081 blt 0,0,640,480,0, 11976,640,480:waittimer 116101 blt 0,0,640,480,0, 11978,640,480:waittimer 116120 blt 0,0,640,480,0, 11980,640,480:waittimer 116139 blt 0,0,640,480,0, 11982,640,480:waittimer 116159 blt 0,0,640,480,0, 11984,640,480:waittimer 116178 blt 0,0,640,480,0, 11986,640,480:waittimer 116198 blt 0,0,640,480,0, 11988,640,480:waittimer 116217 blt 0,0,640,480,0, 11990,640,480:waittimer 116236 blt 0,0,640,480,0, 11992,640,480:waittimer 116256 blt 0,0,640,480,0, 11994,640,480:waittimer 116275 blt 0,0,640,480,0, 11996,640,480:waittimer 116294 blt 0,0,640,480,0, 11998,640,480:waittimer 116314 blt 0,0,640,480,0, 12000,640,480:waittimer 116333 blt 0,0,640,480,0, 12002,640,480:waittimer 116353 blt 0,0,640,480,0, 12004,640,480:waittimer 116372 blt 0,0,640,480,0, 12006,640,480:waittimer 116391 blt 0,0,640,480,0, 12008,640,480:waittimer 116411 blt 0,0,640,480,0, 12010,640,480:waittimer 116430 blt 0,0,640,480,0, 12012,640,480:waittimer 116450 blt 0,0,640,480,0, 12014,640,480:waittimer 116469 blt 0,0,640,480,0, 12016,640,480:waittimer 116488 blt 0,0,640,480,0, 12018,640,480:waittimer 116508 blt 0,0,640,480,0, 12020,640,480:waittimer 116527 blt 0,0,640,480,0, 12022,640,480:waittimer 116547 blt 0,0,640,480,0, 12024,640,480:waittimer 116566 blt 0,0,640,480,0, 12026,640,480:waittimer 116585 blt 0,0,640,480,0, 12028,640,480:waittimer 116605 blt 0,0,640,480,0, 12030,640,480:waittimer 116624 blt 0,0,640,480,0, 12032,640,480:waittimer 116643 blt 0,0,640,480,0, 12034,640,480:waittimer 116663 blt 0,0,640,480,0, 12036,640,480:waittimer 116682 blt 0,0,640,480,0, 12038,640,480:waittimer 116702 blt 0,0,640,480,0, 12040,640,480:waittimer 116721 blt 0,0,640,480,0, 12042,640,480:waittimer 116740 blt 0,0,640,480,0, 12044,640,480:waittimer 116760 blt 0,0,640,480,0, 12046,640,480:waittimer 116779 blt 0,0,640,480,0, 12048,640,480:waittimer 116799 blt 0,0,640,480,0, 12050,640,480:waittimer 116818 blt 0,0,640,480,0, 12052,640,480:waittimer 116837 blt 0,0,640,480,0, 12054,640,480:waittimer 116857 blt 0,0,640,480,0, 12056,640,480:waittimer 116876 blt 0,0,640,480,0, 12058,640,480:waittimer 116896 blt 0,0,640,480,0, 12060,640,480:waittimer 116915 blt 0,0,640,480,0, 12062,640,480:waittimer 116934 blt 0,0,640,480,0, 12064,640,480:waittimer 116954 blt 0,0,640,480,0, 12066,640,480:waittimer 116973 blt 0,0,640,480,0, 12068,640,480:waittimer 116992 blt 0,0,640,480,0, 12070,640,480:waittimer 117012 blt 0,0,640,480,0, 12072,640,480:waittimer 117031 blt 0,0,640,480,0, 12074,640,480:waittimer 117051 blt 0,0,640,480,0, 12076,640,480:waittimer 117070 blt 0,0,640,480,0, 12078,640,480:waittimer 117089 blt 0,0,640,480,0, 12080,640,480:waittimer 117109 blt 0,0,640,480,0, 12082,640,480:waittimer 117128 blt 0,0,640,480,0, 12084,640,480:waittimer 117148 blt 0,0,640,480,0, 12086,640,480:waittimer 117167 blt 0,0,640,480,0, 12088,640,480:waittimer 117186 blt 0,0,640,480,0, 12090,640,480:waittimer 117206 blt 0,0,640,480,0, 12092,640,480:waittimer 117225 blt 0,0,640,480,0, 12094,640,480:waittimer 117245 blt 0,0,640,480,0, 12096,640,480:waittimer 117264 blt 0,0,640,480,0, 12098,640,480:waittimer 117283 blt 0,0,640,480,0, 12100,640,480:waittimer 117303 blt 0,0,640,480,0, 12102,640,480:waittimer 117322 blt 0,0,640,480,0, 12104,640,480:waittimer 117341 blt 0,0,640,480,0, 12106,640,480:waittimer 117361 blt 0,0,640,480,0, 12108,640,480:waittimer 117380 blt 0,0,640,480,0, 12110,640,480:waittimer 117400 blt 0,0,640,480,0, 12112,640,480:waittimer 117419 blt 0,0,640,480,0, 12114,640,480:waittimer 117438 blt 0,0,640,480,0, 12116,640,480:waittimer 117458 blt 0,0,640,480,0, 12118,640,480:waittimer 117477 blt 0,0,640,480,0, 12120,640,480:waittimer 117497 blt 0,0,640,480,0, 12122,640,480:waittimer 117516 blt 0,0,640,480,0, 12124,640,480:waittimer 117535 blt 0,0,640,480,0, 12126,640,480:waittimer 117555 blt 0,0,640,480,0, 12128,640,480:waittimer 117574 blt 0,0,640,480,0, 12130,640,480:waittimer 117594 blt 0,0,640,480,0, 12132,640,480:waittimer 117613 blt 0,0,640,480,0, 12134,640,480:waittimer 117632 blt 0,0,640,480,0, 12136,640,480:waittimer 117652 blt 0,0,640,480,0, 12138,640,480:waittimer 117671 blt 0,0,640,480,0, 12140,640,480:waittimer 117690 blt 0,0,640,480,0, 12142,640,480:waittimer 117710 blt 0,0,640,480,0, 12144,640,480:waittimer 117729 blt 0,0,640,480,0, 12146,640,480:waittimer 117749 blt 0,0,640,480,0, 12148,640,480:waittimer 117768 blt 0,0,640,480,0, 12150,640,480:waittimer 117787 blt 0,0,640,480,0, 12152,640,480:waittimer 117807 blt 0,0,640,480,0, 12154,640,480:waittimer 117826 blt 0,0,640,480,0, 12156,640,480:waittimer 117846 blt 0,0,640,480,0, 12158,640,480:waittimer 117865 blt 0,0,640,480,0, 12160,640,480:waittimer 117884 blt 0,0,640,480,0, 12162,640,480:waittimer 117904 blt 0,0,640,480,0, 12164,640,480:waittimer 117923 blt 0,0,640,480,0, 12166,640,480:waittimer 117943 blt 0,0,640,480,0, 12168,640,480:waittimer 117962 blt 0,0,640,480,0, 12170,640,480:waittimer 117981 blt 0,0,640,480,0, 12172,640,480:waittimer 118001 blt 0,0,640,480,0, 12174,640,480:waittimer 118020 blt 0,0,640,480,0, 12176,640,480:waittimer 118039 blt 0,0,640,480,0, 12178,640,480:waittimer 118059 blt 0,0,640,480,0, 12180,640,480:waittimer 118078 blt 0,0,640,480,0, 12182,640,480:waittimer 118098 blt 0,0,640,480,0, 12184,640,480:waittimer 118117 blt 0,0,640,480,0, 12186,640,480:waittimer 118136 blt 0,0,640,480,0, 12188,640,480:waittimer 118156 blt 0,0,640,480,0, 12190,640,480:waittimer 118175 blt 0,0,640,480,0, 12192,640,480:waittimer 118195 blt 0,0,640,480,0, 12194,640,480:waittimer 118214 blt 0,0,640,480,0, 12196,640,480:waittimer 118233 blt 0,0,640,480,0, 12198,640,480:waittimer 118253 blt 0,0,640,480,0, 12200,640,480:waittimer 118272 blt 0,0,640,480,0, 12202,640,480:waittimer 118292 blt 0,0,640,480,0, 12204,640,480:waittimer 118311 blt 0,0,640,480,0, 12206,640,480:waittimer 118330 blt 0,0,640,480,0, 12208,640,480:waittimer 118350 blt 0,0,640,480,0, 12210,640,480:waittimer 118369 blt 0,0,640,480,0, 12212,640,480:waittimer 118388 blt 0,0,640,480,0, 12214,640,480:waittimer 118408 blt 0,0,640,480,0, 12216,640,480:waittimer 118427 blt 0,0,640,480,0, 12218,640,480:waittimer 118447 blt 0,0,640,480,0, 12220,640,480:waittimer 118466 blt 0,0,640,480,0, 12222,640,480:waittimer 118485 blt 0,0,640,480,0, 12224,640,480:waittimer 118505 blt 0,0,640,480,0, 12226,640,480:waittimer 118524 blt 0,0,640,480,0, 12228,640,480:waittimer 118544 blt 0,0,640,480,0, 12230,640,480:waittimer 118563 blt 0,0,640,480,0, 12232,640,480:waittimer 118582 blt 0,0,640,480,0, 12234,640,480:waittimer 118602 blt 0,0,640,480,0, 12236,640,480:waittimer 118621 blt 0,0,640,480,0, 12238,640,480:waittimer 118641 blt 0,0,640,480,0, 12240,640,480:waittimer 118660 blt 0,0,640,480,0, 12242,640,480:waittimer 118679 blt 0,0,640,480,0, 12244,640,480:waittimer 118699 blt 0,0,640,480,0, 12246,640,480:waittimer 118718 blt 0,0,640,480,0, 12248,640,480:waittimer 118737 blt 0,0,640,480,0, 12250,640,480:waittimer 118757 blt 0,0,640,480,0, 12252,640,480:waittimer 118776 blt 0,0,640,480,0, 12254,640,480:waittimer 118796 blt 0,0,640,480,0, 12256,640,480:waittimer 118815 blt 0,0,640,480,0, 12258,640,480:waittimer 118834 blt 0,0,640,480,0, 12260,640,480:waittimer 118854 blt 0,0,640,480,0, 12262,640,480:waittimer 118873 blt 0,0,640,480,0, 12264,640,480:waittimer 118893 blt 0,0,640,480,0, 12266,640,480:waittimer 118912 blt 0,0,640,480,0, 12268,640,480:waittimer 118931 blt 0,0,640,480,0, 12270,640,480:waittimer 118951 blt 0,0,640,480,0, 12272,640,480:waittimer 118970 blt 0,0,640,480,0, 12274,640,480:waittimer 118990 blt 0,0,640,480,0, 12276,640,480:waittimer 119009 blt 0,0,640,480,0, 12278,640,480:waittimer 119028 blt 0,0,640,480,0, 12280,640,480:waittimer 119048 blt 0,0,640,480,0, 12282,640,480:waittimer 119067 blt 0,0,640,480,0, 12284,640,480:waittimer 119086 blt 0,0,640,480,0, 12286,640,480:waittimer 119106 blt 0,0,640,480,0, 12288,640,480:waittimer 119125 blt 0,0,640,480,0, 12290,640,480:waittimer 119145 blt 0,0,640,480,0, 12292,640,480:waittimer 119164 blt 0,0,640,480,0, 12294,640,480:waittimer 119183 blt 0,0,640,480,0, 12296,640,480:waittimer 119203 blt 0,0,640,480,0, 12298,640,480:waittimer 119222 blt 0,0,640,480,0, 12300,640,480:waittimer 119242 blt 0,0,640,480,0, 12302,640,480:waittimer 119261 blt 0,0,640,480,0, 12304,640,480:waittimer 119280 blt 0,0,640,480,0, 12306,640,480:waittimer 119300 blt 0,0,640,480,0, 12308,640,480:waittimer 119319 blt 0,0,640,480,0, 12310,640,480:waittimer 119339 blt 0,0,640,480,0, 12312,640,480:waittimer 119358 blt 0,0,640,480,0, 12314,640,480:waittimer 119377 blt 0,0,640,480,0, 12316,640,480:waittimer 119397 blt 0,0,640,480,0, 12318,640,480:waittimer 119416 blt 0,0,640,480,0, 12320,640,480:waittimer 119435 blt 0,0,640,480,0, 12322,640,480:waittimer 119455 blt 0,0,640,480,0, 12324,640,480:waittimer 119474 blt 0,0,640,480,0, 12326,640,480:waittimer 119494 blt 0,0,640,480,0, 12328,640,480:waittimer 119513 blt 0,0,640,480,0, 12330,640,480:waittimer 119532 blt 0,0,640,480,0, 12332,640,480:waittimer 119552 blt 0,0,640,480,0, 12334,640,480:waittimer 119571 blt 0,0,640,480,0, 12336,640,480:waittimer 119591 blt 0,0,640,480,0, 12338,640,480:waittimer 119610 blt 0,0,640,480,0, 12340,640,480:waittimer 119629 blt 0,0,640,480,0, 12342,640,480:waittimer 119649 blt 0,0,640,480,0, 12344,640,480:waittimer 119668 blt 0,0,640,480,0, 12346,640,480:waittimer 119688 blt 0,0,640,480,0, 12348,640,480:waittimer 119707 blt 0,0,640,480,0, 12350,640,480:waittimer 119726 blt 0,0,640,480,0, 12352,640,480:waittimer 119746 blt 0,0,640,480,0, 12354,640,480:waittimer 119765 blt 0,0,640,480,0, 12356,640,480:waittimer 119784 blt 0,0,640,480,0, 12358,640,480:waittimer 119804 blt 0,0,640,480,0, 12360,640,480:waittimer 119823 blt 0,0,640,480,0, 12362,640,480:waittimer 119843 blt 0,0,640,480,0, 12364,640,480:waittimer 119862 blt 0,0,640,480,0, 12366,640,480:waittimer 119881 blt 0,0,640,480,0, 12368,640,480:waittimer 119901 blt 0,0,640,480,0, 12370,640,480:waittimer 119920 blt 0,0,640,480,0, 12372,640,480:waittimer 119940 blt 0,0,640,480,0, 12374,640,480:waittimer 119959 blt 0,0,640,480,0, 12376,640,480:waittimer 119978 blt 0,0,640,480,0, 12378,640,480:waittimer 119998 blt 0,0,640,480,0, 12380,640,480:waittimer 120017 blt 0,0,640,480,0, 12382,640,480:waittimer 120037 blt 0,0,640,480,0, 12384,640,480:waittimer 120056 blt 0,0,640,480,0, 12386,640,480:waittimer 120075 blt 0,0,640,480,0, 12388,640,480:waittimer 120095 blt 0,0,640,480,0, 12390,640,480:waittimer 120114 blt 0,0,640,480,0, 12392,640,480:waittimer 120133 blt 0,0,640,480,0, 12394,640,480:waittimer 120153 blt 0,0,640,480,0, 12396,640,480:waittimer 120172 blt 0,0,640,480,0, 12398,640,480:waittimer 120192 blt 0,0,640,480,0, 12400,640,480:waittimer 120211 blt 0,0,640,480,0, 12402,640,480:waittimer 120230 blt 0,0,640,480,0, 12404,640,480:waittimer 120250 blt 0,0,640,480,0, 12406,640,480:waittimer 120269 blt 0,0,640,480,0, 12408,640,480:waittimer 120289 blt 0,0,640,480,0, 12410,640,480:waittimer 120308 blt 0,0,640,480,0, 12412,640,480:waittimer 120327 blt 0,0,640,480,0, 12414,640,480:waittimer 120347 blt 0,0,640,480,0, 12416,640,480:waittimer 120366 blt 0,0,640,480,0, 12418,640,480:waittimer 120386 blt 0,0,640,480,0, 12420,640,480:waittimer 120405 blt 0,0,640,480,0, 12422,640,480:waittimer 120424 blt 0,0,640,480,0, 12424,640,480:waittimer 120444 blt 0,0,640,480,0, 12426,640,480:waittimer 120463 blt 0,0,640,480,0, 12428,640,480:waittimer 120482 blt 0,0,640,480,0, 12430,640,480:waittimer 120502 blt 0,0,640,480,0, 12432,640,480:waittimer 120521 blt 0,0,640,480,0, 12434,640,480:waittimer 120541 blt 0,0,640,480,0, 12436,640,480:waittimer 120560 blt 0,0,640,480,0, 12438,640,480:waittimer 120579 blt 0,0,640,480,0, 12440,640,480:waittimer 120599 blt 0,0,640,480,0, 12442,640,480:waittimer 120618 blt 0,0,640,480,0, 12444,640,480:waittimer 120638 blt 0,0,640,480,0, 12446,640,480:waittimer 120657 blt 0,0,640,480,0, 12448,640,480:waittimer 120676 blt 0,0,640,480,0, 12450,640,480:waittimer 120696 blt 0,0,640,480,0, 12452,640,480:waittimer 120715 blt 0,0,640,480,0, 12454,640,480:waittimer 120735 blt 0,0,640,480,0, 12456,640,480:waittimer 120754 blt 0,0,640,480,0, 12458,640,480:waittimer 120773 blt 0,0,640,480,0, 12460,640,480:waittimer 120793 blt 0,0,640,480,0, 12462,640,480:waittimer 120812 blt 0,0,640,480,0, 12464,640,480:waittimer 120831 blt 0,0,640,480,0, 12466,640,480:waittimer 120851 blt 0,0,640,480,0, 12468,640,480:waittimer 120870 blt 0,0,640,480,0, 12470,640,480:waittimer 120890 blt 0,0,640,480,0, 12472,640,480:waittimer 120909 blt 0,0,640,480,0, 12474,640,480:waittimer 120928 blt 0,0,640,480,0, 12476,640,480:waittimer 120948 blt 0,0,640,480,0, 12478,640,480:waittimer 120967 blt 0,0,640,480,0, 12480,640,480:waittimer 120987 blt 0,0,640,480,0, 12482,640,480:waittimer 121006 blt 0,0,640,480,0, 12484,640,480:waittimer 121025 blt 0,0,640,480,0, 12486,640,480:waittimer 121045 blt 0,0,640,480,0, 12488,640,480:waittimer 121064 blt 0,0,640,480,0, 12490,640,480:waittimer 121084 blt 0,0,640,480,0, 12492,640,480:waittimer 121103 blt 0,0,640,480,0, 12494,640,480:waittimer 121122 blt 0,0,640,480,0, 12496,640,480:waittimer 121142 blt 0,0,640,480,0, 12498,640,480:waittimer 121161 blt 0,0,640,480,0, 12500,640,480:waittimer 121180 blt 0,0,640,480,0, 12502,640,480:waittimer 121200 blt 0,0,640,480,0, 12504,640,480:waittimer 121219 blt 0,0,640,480,0, 12506,640,480:waittimer 121239 blt 0,0,640,480,0, 12508,640,480:waittimer 121258 blt 0,0,640,480,0, 12510,640,480:waittimer 121277 blt 0,0,640,480,0, 12512,640,480:waittimer 121297 blt 0,0,640,480,0, 12514,640,480:waittimer 121316 blt 0,0,640,480,0, 12516,640,480:waittimer 121336 blt 0,0,640,480,0, 12518,640,480:waittimer 121355 blt 0,0,640,480,0, 12520,640,480:waittimer 121374 blt 0,0,640,480,0, 12522,640,480:waittimer 121394 blt 0,0,640,480,0, 12524,640,480:waittimer 121413 blt 0,0,640,480,0, 12526,640,480:waittimer 121433 blt 0,0,640,480,0, 12528,640,480:waittimer 121452 blt 0,0,640,480,0, 12530,640,480:waittimer 121471 blt 0,0,640,480,0, 12532,640,480:waittimer 121491 blt 0,0,640,480,0, 12534,640,480:waittimer 121510 blt 0,0,640,480,0, 12536,640,480:waittimer 121529 blt 0,0,640,480,0, 12538,640,480:waittimer 121549 blt 0,0,640,480,0, 12540,640,480:waittimer 121568 blt 0,0,640,480,0, 12542,640,480:waittimer 121588 blt 0,0,640,480,0, 12544,640,480:waittimer 121607 blt 0,0,640,480,0, 12546,640,480:waittimer 121626 blt 0,0,640,480,0, 12548,640,480:waittimer 121646 blt 0,0,640,480,0, 12550,640,480:waittimer 121665 blt 0,0,640,480,0, 12552,640,480:waittimer 121685 blt 0,0,640,480,0, 12554,640,480:waittimer 121704 blt 0,0,640,480,0, 12556,640,480:waittimer 121723 blt 0,0,640,480,0, 12558,640,480:waittimer 121743 blt 0,0,640,480,0, 12560,640,480:waittimer 121762 blt 0,0,640,480,0, 12562,640,480:waittimer 121782 blt 0,0,640,480,0, 12564,640,480:waittimer 121801 blt 0,0,640,480,0, 12566,640,480:waittimer 121820 blt 0,0,640,480,0, 12568,640,480:waittimer 121840 blt 0,0,640,480,0, 12570,640,480:waittimer 121859 blt 0,0,640,480,0, 12572,640,480:waittimer 121878 blt 0,0,640,480,0, 12574,640,480:waittimer 121898 blt 0,0,640,480,0, 12576,640,480:waittimer 121917 blt 0,0,640,480,0, 12578,640,480:waittimer 121937 blt 0,0,640,480,0, 12580,640,480:waittimer 121956 blt 0,0,640,480,0, 12582,640,480:waittimer 121975 blt 0,0,640,480,0, 12584,640,480:waittimer 121995 blt 0,0,640,480,0, 12586,640,480:waittimer 122014 blt 0,0,640,480,0, 12588,640,480:waittimer 122034 blt 0,0,640,480,0, 12590,640,480:waittimer 122053 blt 0,0,640,480,0, 12592,640,480:waittimer 122072 blt 0,0,640,480,0, 12594,640,480:waittimer 122092 blt 0,0,640,480,0, 12596,640,480:waittimer 122111 blt 0,0,640,480,0, 12598,640,480:waittimer 122131 blt 0,0,640,480,0, 12600,640,480:waittimer 122150 blt 0,0,640,480,0, 12602,640,480:waittimer 122169 blt 0,0,640,480,0, 12604,640,480:waittimer 122189 blt 0,0,640,480,0, 12606,640,480:waittimer 122208 blt 0,0,640,480,0, 12608,640,480:waittimer 122227 blt 0,0,640,480,0, 12610,640,480:waittimer 122247 blt 0,0,640,480,0, 12612,640,480:waittimer 122266 blt 0,0,640,480,0, 12614,640,480:waittimer 122286 blt 0,0,640,480,0, 12616,640,480:waittimer 122305 blt 0,0,640,480,0, 12618,640,480:waittimer 122324 blt 0,0,640,480,0, 12620,640,480:waittimer 122344 blt 0,0,640,480,0, 12622,640,480:waittimer 122363 blt 0,0,640,480,0, 12624,640,480:waittimer 122383 blt 0,0,640,480,0, 12626,640,480:waittimer 122402 blt 0,0,640,480,0, 12628,640,480:waittimer 122421 blt 0,0,640,480,0, 12630,640,480:waittimer 122441 blt 0,0,640,480,0, 12632,640,480:waittimer 122460 blt 0,0,640,480,0, 12634,640,480:waittimer 122480 blt 0,0,640,480,0, 12636,640,480:waittimer 122499 blt 0,0,640,480,0, 12638,640,480:waittimer 122518 blt 0,0,640,480,0, 12640,640,480:waittimer 122538 blt 0,0,640,480,0, 12642,640,480:waittimer 122557 blt 0,0,640,480,0, 12644,640,480:waittimer 122576 blt 0,0,640,480,0, 12646,640,480:waittimer 122596 blt 0,0,640,480,0, 12648,640,480:waittimer 122615 blt 0,0,640,480,0, 12650,640,480:waittimer 122635 blt 0,0,640,480,0, 12652,640,480:waittimer 122654 blt 0,0,640,480,0, 12654,640,480:waittimer 122673 blt 0,0,640,480,0, 12656,640,480:waittimer 122693 blt 0,0,640,480,0, 12658,640,480:waittimer 122712 blt 0,0,640,480,0, 12660,640,480:waittimer 122732 blt 0,0,640,480,0, 12662,640,480:waittimer 122751 blt 0,0,640,480,0, 12664,640,480:waittimer 122770 blt 0,0,640,480,0, 12666,640,480:waittimer 122790 blt 0,0,640,480,0, 12668,640,480:waittimer 122809 blt 0,0,640,480,0, 12670,640,480:waittimer 122829 blt 0,0,640,480,0, 12672,640,480:waittimer 122848 blt 0,0,640,480,0, 12674,640,480:waittimer 122867 blt 0,0,640,480,0, 12676,640,480:waittimer 122887 blt 0,0,640,480,0, 12678,640,480:waittimer 122906 blt 0,0,640,480,0, 12680,640,480:waittimer 122925 blt 0,0,640,480,0, 12682,640,480:waittimer 122945 blt 0,0,640,480,0, 12684,640,480:waittimer 122964 blt 0,0,640,480,0, 12686,640,480:waittimer 122984 blt 0,0,640,480,0, 12688,640,480:waittimer 123003 blt 0,0,640,480,0, 12690,640,480:waittimer 123022 blt 0,0,640,480,0, 12692,640,480:waittimer 123042 blt 0,0,640,480,0, 12694,640,480:waittimer 123061 blt 0,0,640,480,0, 12696,640,480:waittimer 123081 blt 0,0,640,480,0, 12698,640,480:waittimer 123100 blt 0,0,640,480,0, 12700,640,480:waittimer 123119 blt 0,0,640,480,0, 12702,640,480:waittimer 123139 blt 0,0,640,480,0, 12704,640,480:waittimer 123158 blt 0,0,640,480,0, 12706,640,480:waittimer 123178 blt 0,0,640,480,0, 12708,640,480:waittimer 123197 blt 0,0,640,480,0, 12710,640,480:waittimer 123216 blt 0,0,640,480,0, 12712,640,480:waittimer 123236 blt 0,0,640,480,0, 12714,640,480:waittimer 123255 blt 0,0,640,480,0, 12716,640,480:waittimer 123274 blt 0,0,640,480,0, 12718,640,480:waittimer 123294 blt 0,0,640,480,0, 12720,640,480:waittimer 123313 blt 0,0,640,480,0, 12722,640,480:waittimer 123333 blt 0,0,640,480,0, 12724,640,480:waittimer 123352 blt 0,0,640,480,0, 12726,640,480:waittimer 123371 blt 0,0,640,480,0, 12728,640,480:waittimer 123391 blt 0,0,640,480,0, 12730,640,480:waittimer 123410 blt 0,0,640,480,0, 12732,640,480:waittimer 123430 blt 0,0,640,480,0, 12734,640,480:waittimer 123449 blt 0,0,640,480,0, 12736,640,480:waittimer 123468 blt 0,0,640,480,0, 12738,640,480:waittimer 123488 blt 0,0,640,480,0, 12740,640,480:waittimer 123507 blt 0,0,640,480,0, 12742,640,480:waittimer 123527 blt 0,0,640,480,0, 12744,640,480:waittimer 123546 blt 0,0,640,480,0, 12746,640,480:waittimer 123565 blt 0,0,640,480,0, 12748,640,480:waittimer 123585 blt 0,0,640,480,0, 12750,640,480:waittimer 123604 blt 0,0,640,480,0, 12752,640,480:waittimer 123623 blt 0,0,640,480,0, 12754,640,480:waittimer 123643 blt 0,0,640,480,0, 12756,640,480:waittimer 123662 blt 0,0,640,480,0, 12758,640,480:waittimer 123682 blt 0,0,640,480,0, 12760,640,480:waittimer 123701 blt 0,0,640,480,0, 12762,640,480:waittimer 123720 blt 0,0,640,480,0, 12764,640,480:waittimer 123740 blt 0,0,640,480,0, 12766,640,480:waittimer 123759 blt 0,0,640,480,0, 12768,640,480:waittimer 123779 blt 0,0,640,480,0, 12770,640,480:waittimer 123798 blt 0,0,640,480,0, 12772,640,480:waittimer 123817 blt 0,0,640,480,0, 12774,640,480:waittimer 123837 blt 0,0,640,480,0, 12776,640,480:waittimer 123856 blt 0,0,640,480,0, 12778,640,480:waittimer 123876 blt 0,0,640,480,0, 12780,640,480:waittimer 123895 blt 0,0,640,480,0, 12782,640,480:waittimer 123914 blt 0,0,640,480,0, 12784,640,480:waittimer 123934 blt 0,0,640,480,0, 12786,640,480:waittimer 123953 blt 0,0,640,480,0, 12788,640,480:waittimer 123972 blt 0,0,640,480,0, 12790,640,480:waittimer 123992 blt 0,0,640,480,0, 12792,640,480:waittimer 124011 blt 0,0,640,480,0, 12794,640,480:waittimer 124031 blt 0,0,640,480,0, 12796,640,480:waittimer 124050 blt 0,0,640,480,0, 12798,640,480:waittimer 124069 blt 0,0,640,480,0, 12800,640,480:waittimer 124089 blt 0,0,640,480,0, 12802,640,480:waittimer 124108 blt 0,0,640,480,0, 12804,640,480:waittimer 124128 blt 0,0,640,480,0, 12806,640,480:waittimer 124147 blt 0,0,640,480,0, 12808,640,480:waittimer 124166 blt 0,0,640,480,0, 12810,640,480:waittimer 124186 blt 0,0,640,480,0, 12812,640,480:waittimer 124205 blt 0,0,640,480,0, 12814,640,480:waittimer 124225 blt 0,0,640,480,0, 12816,640,480:waittimer 124244 blt 0,0,640,480,0, 12818,640,480:waittimer 124263 blt 0,0,640,480,0, 12820,640,480:waittimer 124283 blt 0,0,640,480,0, 12822,640,480:waittimer 124302 blt 0,0,640,480,0, 12824,640,480:waittimer 124321 blt 0,0,640,480,0, 12826,640,480:waittimer 124341 blt 0,0,640,480,0, 12828,640,480:waittimer 124360 blt 0,0,640,480,0, 12830,640,480:waittimer 124380 blt 0,0,640,480,0, 12832,640,480:waittimer 124399 blt 0,0,640,480,0, 12834,640,480:waittimer 124418 blt 0,0,640,480,0, 12836,640,480:waittimer 124438 blt 0,0,640,480,0, 12838,640,480:waittimer 124457 blt 0,0,640,480,0, 12840,640,480:waittimer 124477 blt 0,0,640,480,0, 12842,640,480:waittimer 124496 blt 0,0,640,480,0, 12844,640,480:waittimer 124515 blt 0,0,640,480,0, 12846,640,480:waittimer 124535 blt 0,0,640,480,0, 12848,640,480:waittimer 124554 blt 0,0,640,480,0, 12850,640,480:waittimer 124574 blt 0,0,640,480,0, 12852,640,480:waittimer 124593 blt 0,0,640,480,0, 12854,640,480:waittimer 124612 blt 0,0,640,480,0, 12856,640,480:waittimer 124632 blt 0,0,640,480,0, 12858,640,480:waittimer 124651 blt 0,0,640,480,0, 12860,640,480:waittimer 124670 blt 0,0,640,480,0, 12862,640,480:waittimer 124690 blt 0,0,640,480,0, 12864,640,480:waittimer 124709 blt 0,0,640,480,0, 12866,640,480:waittimer 124729 blt 0,0,640,480,0, 12868,640,480:waittimer 124748 blt 0,0,640,480,0, 12870,640,480:waittimer 124767 blt 0,0,640,480,0, 12872,640,480:waittimer 124787 blt 0,0,640,480,0, 12874,640,480:waittimer 124806 blt 0,0,640,480,0, 12876,640,480:waittimer 124826 blt 0,0,640,480,0, 12878,640,480:waittimer 124845 blt 0,0,640,480,0, 12880,640,480:waittimer 124864 blt 0,0,640,480,0, 12882,640,480:waittimer 124884 blt 0,0,640,480,0, 12884,640,480:waittimer 124903 blt 0,0,640,480,0, 12886,640,480:waittimer 124923 blt 0,0,640,480,0, 12888,640,480:waittimer 124942 blt 0,0,640,480,0, 12890,640,480:waittimer 124961 blt 0,0,640,480,0, 12892,640,480:waittimer 124981 blt 0,0,640,480,0, 12894,640,480:waittimer 125000 blt 0,0,640,480,0, 12896,640,480:waittimer 125019 blt 0,0,640,480,0, 12898,640,480:waittimer 125039 blt 0,0,640,480,0, 12900,640,480:waittimer 125058 blt 0,0,640,480,0, 12902,640,480:waittimer 125078 blt 0,0,640,480,0, 12904,640,480:waittimer 125097 blt 0,0,640,480,0, 12906,640,480:waittimer 125116 blt 0,0,640,480,0, 12908,640,480:waittimer 125136 blt 0,0,640,480,0, 12910,640,480:waittimer 125155 blt 0,0,640,480,0, 12912,640,480:waittimer 125175 blt 0,0,640,480,0, 12914,640,480:waittimer 125194 blt 0,0,640,480,0, 12916,640,480:waittimer 125213 blt 0,0,640,480,0, 12918,640,480:waittimer 125233 blt 0,0,640,480,0, 12920,640,480:waittimer 125252 blt 0,0,640,480,0, 12922,640,480:waittimer 125272 blt 0,0,640,480,0, 12924,640,480:waittimer 125291 blt 0,0,640,480,0, 12926,640,480:waittimer 125310 blt 0,0,640,480,0, 12928,640,480:waittimer 125330 blt 0,0,640,480,0, 12930,640,480:waittimer 125349 blt 0,0,640,480,0, 12932,640,480:waittimer 125368 blt 0,0,640,480,0, 12934,640,480:waittimer 125388 blt 0,0,640,480,0, 12936,640,480:waittimer 125407 blt 0,0,640,480,0, 12938,640,480:waittimer 125427 blt 0,0,640,480,0, 12940,640,480:waittimer 125446 blt 0,0,640,480,0, 12942,640,480:waittimer 125465 blt 0,0,640,480,0, 12944,640,480:waittimer 125485 blt 0,0,640,480,0, 12946,640,480:waittimer 125504 blt 0,0,640,480,0, 12948,640,480:waittimer 125524 blt 0,0,640,480,0, 12950,640,480:waittimer 125543 blt 0,0,640,480,0, 12952,640,480:waittimer 125562 blt 0,0,640,480,0, 12954,640,480:waittimer 125582 blt 0,0,640,480,0, 12956,640,480:waittimer 125601 blt 0,0,640,480,0, 12958,640,480:waittimer 125621 blt 0,0,640,480,0, 12960,640,480:waittimer 125640 blt 0,0,640,480,0, 12962,640,480:waittimer 125659 blt 0,0,640,480,0, 12964,640,480:waittimer 125679 blt 0,0,640,480,0, 12966,640,480:waittimer 125698 blt 0,0,640,480,0, 12968,640,480:waittimer 125717 blt 0,0,640,480,0, 12970,640,480:waittimer 125737 blt 0,0,640,480,0, 12972,640,480:waittimer 125756 blt 0,0,640,480,0, 12974,640,480:waittimer 125776 blt 0,0,640,480,0, 12976,640,480:waittimer 125795 blt 0,0,640,480,0, 12978,640,480:waittimer 125814 blt 0,0,640,480,0, 12980,640,480:waittimer 125834 blt 0,0,640,480,0, 12982,640,480:waittimer 125853 blt 0,0,640,480,0, 12984,640,480:waittimer 125873 blt 0,0,640,480,0, 12986,640,480:waittimer 125892 blt 0,0,640,480,0, 12988,640,480:waittimer 125911 blt 0,0,640,480,0, 12990,640,480:waittimer 125931 blt 0,0,640,480,0, 12992,640,480:waittimer 125950 blt 0,0,640,480,0, 12994,640,480:waittimer 125970 blt 0,0,640,480,0, 12996,640,480:waittimer 125989 blt 0,0,640,480,0, 12998,640,480:waittimer 126008 blt 0,0,640,480,0, 13000,640,480:waittimer 126028 blt 0,0,640,480,0, 13002,640,480:waittimer 126047 blt 0,0,640,480,0, 13004,640,480:waittimer 126066 blt 0,0,640,480,0, 13006,640,480:waittimer 126086 blt 0,0,640,480,0, 13008,640,480:waittimer 126105 blt 0,0,640,480,0, 13010,640,480:waittimer 126125 blt 0,0,640,480,0, 13012,640,480:waittimer 126144 blt 0,0,640,480,0, 13014,640,480:waittimer 126163 blt 0,0,640,480,0, 13016,640,480:waittimer 126183 blt 0,0,640,480,0, 13018,640,480:waittimer 126202 blt 0,0,640,480,0, 13020,640,480:waittimer 126222 blt 0,0,640,480,0, 13022,640,480:waittimer 126241 blt 0,0,640,480,0, 13024,640,480:waittimer 126260 blt 0,0,640,480,0, 13026,640,480:waittimer 126280 blt 0,0,640,480,0, 13028,640,480:waittimer 126299 blt 0,0,640,480,0, 13030,640,480:waittimer 126319 blt 0,0,640,480,0, 13032,640,480:waittimer 126338 blt 0,0,640,480,0, 13034,640,480:waittimer 126357 blt 0,0,640,480,0, 13036,640,480:waittimer 126377 blt 0,0,640,480,0, 13038,640,480:waittimer 126396 blt 0,0,640,480,0, 13040,640,480:waittimer 126415 blt 0,0,640,480,0, 13042,640,480:waittimer 126435 blt 0,0,640,480,0, 13044,640,480:waittimer 126454 blt 0,0,640,480,0, 13046,640,480:waittimer 126474 blt 0,0,640,480,0, 13048,640,480:waittimer 126493 blt 0,0,640,480,0, 13050,640,480:waittimer 126512 blt 0,0,640,480,0, 13052,640,480:waittimer 126532 blt 0,0,640,480,0, 13054,640,480:waittimer 126551 blt 0,0,640,480,0, 13056,640,480:waittimer 126571 blt 0,0,640,480,0, 13058,640,480:waittimer 126590 blt 0,0,640,480,0, 13060,640,480:waittimer 126609 blt 0,0,640,480,0, 13062,640,480:waittimer 126629 blt 0,0,640,480,0, 13064,640,480:waittimer 126648 blt 0,0,640,480,0, 13066,640,480:waittimer 126668 blt 0,0,640,480,0, 13068,640,480:waittimer 126687 blt 0,0,640,480,0, 13070,640,480:waittimer 126706 blt 0,0,640,480,0, 13072,640,480:waittimer 126726 blt 0,0,640,480,0, 13074,640,480:waittimer 126745 blt 0,0,640,480,0, 13076,640,480:waittimer 126764 blt 0,0,640,480,0, 13078,640,480:waittimer 126784 blt 0,0,640,480,0, 13080,640,480:waittimer 126803 blt 0,0,640,480,0, 13082,640,480:waittimer 126823 blt 0,0,640,480,0, 13084,640,480:waittimer 126842 blt 0,0,640,480,0, 13086,640,480:waittimer 126861 blt 0,0,640,480,0, 13088,640,480:waittimer 126881 blt 0,0,640,480,0, 13090,640,480:waittimer 126900 blt 0,0,640,480,0, 13092,640,480:waittimer 126920 blt 0,0,640,480,0, 13094,640,480:waittimer 126939 blt 0,0,640,480,0, 13096,640,480:waittimer 126958 blt 0,0,640,480,0, 13098,640,480:waittimer 126978 blt 0,0,640,480,0, 13100,640,480:waittimer 126997 blt 0,0,640,480,0, 13102,640,480:waittimer 127017 blt 0,0,640,480,0, 13104,640,480:waittimer 127036 blt 0,0,640,480,0, 13106,640,480:waittimer 127055 blt 0,0,640,480,0, 13108,640,480:waittimer 127075 blt 0,0,640,480,0, 13110,640,480:waittimer 127094 blt 0,0,640,480,0, 13112,640,480:waittimer 127113 blt 0,0,640,480,0, 13114,640,480:waittimer 127133 blt 0,0,640,480,0, 13116,640,480:waittimer 127152 blt 0,0,640,480,0, 13118,640,480:waittimer 127172 blt 0,0,640,480,0, 13120,640,480:waittimer 127191 blt 0,0,640,480,0, 13122,640,480:waittimer 127210 blt 0,0,640,480,0, 13124,640,480:waittimer 127230 blt 0,0,640,480,0, 13126,640,480:waittimer 127249 blt 0,0,640,480,0, 13128,640,480:waittimer 127269 blt 0,0,640,480,0, 13130,640,480:waittimer 127288 blt 0,0,640,480,0, 13132,640,480:waittimer 127307 blt 0,0,640,480,0, 13134,640,480:waittimer 127327 blt 0,0,640,480,0, 13136,640,480:waittimer 127346 blt 0,0,640,480,0, 13138,640,480:waittimer 127366 blt 0,0,640,480,0, 13140,640,480:waittimer 127385 blt 0,0,640,480,0, 13142,640,480:waittimer 127404 blt 0,0,640,480,0, 13144,640,480:waittimer 127424 blt 0,0,640,480,0, 13146,640,480:waittimer 127443 blt 0,0,640,480,0, 13148,640,480:waittimer 127462 blt 0,0,640,480,0, 13150,640,480:waittimer 127482 blt 0,0,640,480,0, 13152,640,480:waittimer 127501 blt 0,0,640,480,0, 13154,640,480:waittimer 127521 blt 0,0,640,480,0, 13156,640,480:waittimer 127540 blt 0,0,640,480,0, 13158,640,480:waittimer 127559 blt 0,0,640,480,0, 13160,640,480:waittimer 127579 blt 0,0,640,480,0, 13162,640,480:waittimer 127598 blt 0,0,640,480,0, 13164,640,480:waittimer 127618 blt 0,0,640,480,0, 13166,640,480:waittimer 127637 blt 0,0,640,480,0, 13168,640,480:waittimer 127656 blt 0,0,640,480,0, 13170,640,480:waittimer 127676 blt 0,0,640,480,0, 13172,640,480:waittimer 127695 blt 0,0,640,480,0, 13174,640,480:waittimer 127715 blt 0,0,640,480,0, 13176,640,480:waittimer 127734 blt 0,0,640,480,0, 13178,640,480:waittimer 127753 blt 0,0,640,480,0, 13180,640,480:waittimer 127773 blt 0,0,640,480,0, 13182,640,480:waittimer 127792 blt 0,0,640,480,0, 13184,640,480:waittimer 127811 blt 0,0,640,480,0, 13186,640,480:waittimer 127831 blt 0,0,640,480,0, 13188,640,480:waittimer 127850 blt 0,0,640,480,0, 13190,640,480:waittimer 127870 blt 0,0,640,480,0, 13192,640,480:waittimer 127889 blt 0,0,640,480,0, 13194,640,480:waittimer 127908 blt 0,0,640,480,0, 13196,640,480:waittimer 127928 blt 0,0,640,480,0, 13198,640,480:waittimer 127947 blt 0,0,640,480,0, 13200,640,480:waittimer 127967 blt 0,0,640,480,0, 13202,640,480:waittimer 127986 blt 0,0,640,480,0, 13204,640,480:waittimer 128005 blt 0,0,640,480,0, 13206,640,480:waittimer 128025 blt 0,0,640,480,0, 13208,640,480:waittimer 128044 blt 0,0,640,480,0, 13210,640,480:waittimer 128064 blt 0,0,640,480,0, 13212,640,480:waittimer 128083 blt 0,0,640,480,0, 13214,640,480:waittimer 128102 blt 0,0,640,480,0, 13216,640,480:waittimer 128122 blt 0,0,640,480,0, 13218,640,480:waittimer 128141 blt 0,0,640,480,0, 13220,640,480:waittimer 128160 blt 0,0,640,480,0, 13222,640,480:waittimer 128180 blt 0,0,640,480,0, 13224,640,480:waittimer 128199 blt 0,0,640,480,0, 13226,640,480:waittimer 128219 blt 0,0,640,480,0, 13228,640,480:waittimer 128238 blt 0,0,640,480,0, 13230,640,480:waittimer 128257 blt 0,0,640,480,0, 13232,640,480:waittimer 128277 blt 0,0,640,480,0, 13234,640,480:waittimer 128296 blt 0,0,640,480,0, 13236,640,480:waittimer 128316 blt 0,0,640,480,0, 13238,640,480:waittimer 128335 blt 0,0,640,480,0, 13240,640,480:waittimer 128354 blt 0,0,640,480,0, 13242,640,480:waittimer 128374 blt 0,0,640,480,0, 13244,640,480:waittimer 128393 blt 0,0,640,480,0, 13246,640,480:waittimer 128413 blt 0,0,640,480,0, 13248,640,480:waittimer 128432 blt 0,0,640,480,0, 13250,640,480:waittimer 128451 blt 0,0,640,480,0, 13252,640,480:waittimer 128471 blt 0,0,640,480,0, 13254,640,480:waittimer 128490 blt 0,0,640,480,0, 13256,640,480:waittimer 128509 blt 0,0,640,480,0, 13258,640,480:waittimer 128529 blt 0,0,640,480,0, 13260,640,480:waittimer 128548 blt 0,0,640,480,0, 13262,640,480:waittimer 128568 blt 0,0,640,480,0, 13264,640,480:waittimer 128587 blt 0,0,640,480,0, 13266,640,480:waittimer 128606 blt 0,0,640,480,0, 13268,640,480:waittimer 128626 blt 0,0,640,480,0, 13270,640,480:waittimer 128645 blt 0,0,640,480,0, 13272,640,480:waittimer 128665 blt 0,0,640,480,0, 13274,640,480:waittimer 128684 blt 0,0,640,480,0, 13276,640,480:waittimer 128703 blt 0,0,640,480,0, 13278,640,480:waittimer 128723 blt 0,0,640,480,0, 13280,640,480:waittimer 128742 blt 0,0,640,480,0, 13282,640,480:waittimer 128762 blt 0,0,640,480,0, 13284,640,480:waittimer 128781 blt 0,0,640,480,0, 13286,640,480:waittimer 128800 blt 0,0,640,480,0, 13288,640,480:waittimer 128820 blt 0,0,640,480,0, 13290,640,480:waittimer 128839 blt 0,0,640,480,0, 13292,640,480:waittimer 128858 blt 0,0,640,480,0, 13294,640,480:waittimer 128878 blt 0,0,640,480,0, 13296,640,480:waittimer 128897 blt 0,0,640,480,0, 13298,640,480:waittimer 128917 blt 0,0,640,480,0, 13300,640,480:waittimer 128936 blt 0,0,640,480,0, 13302,640,480:waittimer 128955 blt 0,0,640,480,0, 13304,640,480:waittimer 128975 blt 0,0,640,480,0, 13306,640,480:waittimer 128994 blt 0,0,640,480,0, 13308,640,480:waittimer 129014 blt 0,0,640,480,0, 13310,640,480:waittimer 129033 blt 0,0,640,480,0, 13312,640,480:waittimer 129052 blt 0,0,640,480,0, 13314,640,480:waittimer 129072 blt 0,0,640,480,0, 13316,640,480:waittimer 129091 blt 0,0,640,480,0, 13318,640,480:waittimer 129111 blt 0,0,640,480,0, 13320,640,480:waittimer 129130 blt 0,0,640,480,0, 13322,640,480:waittimer 129149 blt 0,0,640,480,0, 13324,640,480:waittimer 129169 blt 0,0,640,480,0, 13326,640,480:waittimer 129188 blt 0,0,640,480,0, 13328,640,480:waittimer 129207 blt 0,0,640,480,0, 13330,640,480:waittimer 129227 blt 0,0,640,480,0, 13332,640,480:waittimer 129246 blt 0,0,640,480,0, 13334,640,480:waittimer 129266 blt 0,0,640,480,0, 13336,640,480:waittimer 129285 blt 0,0,640,480,0, 13338,640,480:waittimer 129304 blt 0,0,640,480,0, 13340,640,480:waittimer 129324 blt 0,0,640,480,0, 13342,640,480:waittimer 129343 blt 0,0,640,480,0, 13344,640,480:waittimer 129363 blt 0,0,640,480,0, 13346,640,480:waittimer 129382 blt 0,0,640,480,0, 13348,640,480:waittimer 129401 blt 0,0,640,480,0, 13350,640,480:waittimer 129421 blt 0,0,640,480,0, 13352,640,480:waittimer 129440 blt 0,0,640,480,0, 13354,640,480:waittimer 129460 blt 0,0,640,480,0, 13356,640,480:waittimer 129479 blt 0,0,640,480,0, 13358,640,480:waittimer 129498 blt 0,0,640,480,0, 13360,640,480:waittimer 129518 blt 0,0,640,480,0, 13362,640,480:waittimer 129537 blt 0,0,640,480,0, 13364,640,480:waittimer 129556 blt 0,0,640,480,0, 13366,640,480:waittimer 129576 blt 0,0,640,480,0, 13368,640,480:waittimer 129595 blt 0,0,640,480,0, 13370,640,480:waittimer 129615 blt 0,0,640,480,0, 13372,640,480:waittimer 129634 blt 0,0,640,480,0, 13374,640,480:waittimer 129653 blt 0,0,640,480,0, 13376,640,480:waittimer 129673 blt 0,0,640,480,0, 13378,640,480:waittimer 129692 blt 0,0,640,480,0, 13380,640,480:waittimer 129712 blt 0,0,640,480,0, 13382,640,480:waittimer 129731 blt 0,0,640,480,0, 13384,640,480:waittimer 129750 blt 0,0,640,480,0, 13386,640,480:waittimer 129770 blt 0,0,640,480,0, 13388,640,480:waittimer 129789 blt 0,0,640,480,0, 13390,640,480:waittimer 129809 blt 0,0,640,480,0, 13392,640,480:waittimer 129828 blt 0,0,640,480,0, 13394,640,480:waittimer 129847 blt 0,0,640,480,0, 13396,640,480:waittimer 129867 blt 0,0,640,480,0, 13398,640,480:waittimer 129886 blt 0,0,640,480,0, 13400,640,480:waittimer 129905 blt 0,0,640,480,0, 13402,640,480:waittimer 129925 blt 0,0,640,480,0, 13404,640,480:waittimer 129944 blt 0,0,640,480,0, 13406,640,480:waittimer 129964 blt 0,0,640,480,0, 13408,640,480:waittimer 129983 blt 0,0,640,480,0, 13410,640,480:waittimer 130002 blt 0,0,640,480,0, 13412,640,480:waittimer 130022 blt 0,0,640,480,0, 13414,640,480:waittimer 130041 blt 0,0,640,480,0, 13416,640,480:waittimer 130061 blt 0,0,640,480,0, 13418,640,480:waittimer 130080 blt 0,0,640,480,0, 13420,640,480:waittimer 130099 blt 0,0,640,480,0, 13422,640,480:waittimer 130119 blt 0,0,640,480,0, 13424,640,480:waittimer 130138 blt 0,0,640,480,0, 13426,640,480:waittimer 130158 blt 0,0,640,480,0, 13428,640,480:waittimer 130177 blt 0,0,640,480,0, 13430,640,480:waittimer 130196 blt 0,0,640,480,0, 13432,640,480:waittimer 130216 blt 0,0,640,480,0, 13434,640,480:waittimer 130235 blt 0,0,640,480,0, 13436,640,480:waittimer 130254 blt 0,0,640,480,0, 13438,640,480:waittimer 130274 blt 0,0,640,480,0, 13440,640,480:waittimer 130293 blt 0,0,640,480,0, 13442,640,480:waittimer 130313 blt 0,0,640,480,0, 13444,640,480:waittimer 130332 blt 0,0,640,480,0, 13446,640,480:waittimer 130351 blt 0,0,640,480,0, 13448,640,480:waittimer 130371 blt 0,0,640,480,0, 13450,640,480:waittimer 130390 blt 0,0,640,480,0, 13452,640,480:waittimer 130410 blt 0,0,640,480,0, 13454,640,480:waittimer 130429 blt 0,0,640,480,0, 13456,640,480:waittimer 130448 blt 0,0,640,480,0, 13458,640,480:waittimer 130468 blt 0,0,640,480,0, 13460,640,480:waittimer 130487 blt 0,0,640,480,0, 13462,640,480:waittimer 130507 blt 0,0,640,480,0, 13464,640,480:waittimer 130526 blt 0,0,640,480,0, 13466,640,480:waittimer 130545 blt 0,0,640,480,0, 13468,640,480:waittimer 130565 blt 0,0,640,480,0, 13470,640,480:waittimer 130584 blt 0,0,640,480,0, 13472,640,480:waittimer 130603 blt 0,0,640,480,0, 13474,640,480:waittimer 130623 blt 0,0,640,480,0, 13476,640,480:waittimer 130642 blt 0,0,640,480,0, 13478,640,480:waittimer 130662 blt 0,0,640,480,0, 13480,640,480:waittimer 130681 blt 0,0,640,480,0, 13482,640,480:waittimer 130700 blt 0,0,640,480,0, 13484,640,480:waittimer 130720 blt 0,0,640,480,0, 13486,640,480:waittimer 130739 blt 0,0,640,480,0, 13488,640,480:waittimer 130759 blt 0,0,640,480,0, 13490,640,480:waittimer 130778 blt 0,0,640,480,0, 13492,640,480:waittimer 130797 blt 0,0,640,480,0, 13494,640,480:waittimer 130817 blt 0,0,640,480,0, 13496,640,480:waittimer 130836 blt 0,0,640,480,0, 13498,640,480:waittimer 130856 blt 0,0,640,480,0, 13500,640,480:waittimer 130875 blt 0,0,640,480,0, 13502,640,480:waittimer 130894 blt 0,0,640,480,0, 13504,640,480:waittimer 130914 blt 0,0,640,480,0, 13506,640,480:waittimer 130933 blt 0,0,640,480,0, 13508,640,480:waittimer 130952 blt 0,0,640,480,0, 13510,640,480:waittimer 130972 blt 0,0,640,480,0, 13512,640,480:waittimer 130991 blt 0,0,640,480,0, 13514,640,480:waittimer 131011 blt 0,0,640,480,0, 13516,640,480:waittimer 131030 blt 0,0,640,480,0, 13518,640,480:waittimer 131049 blt 0,0,640,480,0, 13520,640,480:waittimer 131069 blt 0,0,640,480,0, 13522,640,480:waittimer 131088 blt 0,0,640,480,0, 13524,640,480:waittimer 131108 blt 0,0,640,480,0, 13526,640,480:waittimer 131127 blt 0,0,640,480,0, 13528,640,480:waittimer 131146 blt 0,0,640,480,0, 13530,640,480:waittimer 131166 blt 0,0,640,480,0, 13532,640,480:waittimer 131185 blt 0,0,640,480,0, 13534,640,480:waittimer 131205 blt 0,0,640,480,0, 13536,640,480:waittimer 131224 blt 0,0,640,480,0, 13538,640,480:waittimer 131243 blt 0,0,640,480,0, 13540,640,480:waittimer 131263 blt 0,0,640,480,0, 13542,640,480:waittimer 131282 blt 0,0,640,480,0, 13544,640,480:waittimer 131301 blt 0,0,640,480,0, 13546,640,480:waittimer 131321 blt 0,0,640,480,0, 13548,640,480:waittimer 131340 blt 0,0,640,480,0, 13550,640,480:waittimer 131360 blt 0,0,640,480,0, 13552,640,480:waittimer 131379 blt 0,0,640,480,0, 13554,640,480:waittimer 131398 blt 0,0,640,480,0, 13556,640,480:waittimer 131418 blt 0,0,640,480,0, 13558,640,480:waittimer 131437 blt 0,0,640,480,0, 13560,640,480:waittimer 131457 blt 0,0,640,480,0, 13562,640,480:waittimer 131476 blt 0,0,640,480,0, 13564,640,480:waittimer 131495 blt 0,0,640,480,0, 13566,640,480:waittimer 131515 blt 0,0,640,480,0, 13568,640,480:waittimer 131534 blt 0,0,640,480,0, 13570,640,480:waittimer 131554 blt 0,0,640,480,0, 13572,640,480:waittimer 131573 blt 0,0,640,480,0, 13574,640,480:waittimer 131592 blt 0,0,640,480,0, 13576,640,480:waittimer 131612 blt 0,0,640,480,0, 13578,640,480:waittimer 131631 blt 0,0,640,480,0, 13580,640,480:waittimer 131650 blt 0,0,640,480,0, 13582,640,480:waittimer 131670 blt 0,0,640,480,0, 13584,640,480:waittimer 131689 blt 0,0,640,480,0, 13586,640,480:waittimer 131709 blt 0,0,640,480,0, 13588,640,480:waittimer 131728 blt 0,0,640,480,0, 13590,640,480:waittimer 131747 blt 0,0,640,480,0, 13592,640,480:waittimer 131767 blt 0,0,640,480,0, 13594,640,480:waittimer 131786 blt 0,0,640,480,0, 13596,640,480:waittimer 131806 blt 0,0,640,480,0, 13598,640,480:waittimer 131825 blt 0,0,640,480,0, 13600,640,480:waittimer 131844 blt 0,0,640,480,0, 13602,640,480:waittimer 131864 blt 0,0,640,480,0, 13604,640,480:waittimer 131883 blt 0,0,640,480,0, 13606,640,480:waittimer 131903 blt 0,0,640,480,0, 13608,640,480:waittimer 131922 blt 0,0,640,480,0, 13610,640,480:waittimer 131941 blt 0,0,640,480,0, 13612,640,480:waittimer 131961 blt 0,0,640,480,0, 13614,640,480:waittimer 131980 blt 0,0,640,480,0, 13616,640,480:waittimer 131999 blt 0,0,640,480,0, 13618,640,480:waittimer 132019 blt 0,0,640,480,0, 13620,640,480:waittimer 132038 blt 0,0,640,480,0, 13622,640,480:waittimer 132058 blt 0,0,640,480,0, 13624,640,480:waittimer 132077 blt 0,0,640,480,0, 13626,640,480:waittimer 132096 blt 0,0,640,480,0, 13628,640,480:waittimer 132116 blt 0,0,640,480,0, 13630,640,480:waittimer 132135 blt 0,0,640,480,0, 13632,640,480:waittimer 132155 blt 0,0,640,480,0, 13634,640,480:waittimer 132174 blt 0,0,640,480,0, 13636,640,480:waittimer 132193 blt 0,0,640,480,0, 13638,640,480:waittimer 132213 blt 0,0,640,480,0, 13640,640,480:waittimer 132232 blt 0,0,640,480,0, 13642,640,480:waittimer 132252 blt 0,0,640,480,0, 13644,640,480:waittimer 132271 blt 0,0,640,480,0, 13646,640,480:waittimer 132290 blt 0,0,640,480,0, 13648,640,480:waittimer 132310 blt 0,0,640,480,0, 13650,640,480:waittimer 132329 blt 0,0,640,480,0, 13652,640,480:waittimer 132349 blt 0,0,640,480,0, 13654,640,480:waittimer 132368 blt 0,0,640,480,0, 13656,640,480:waittimer 132387 blt 0,0,640,480,0, 13658,640,480:waittimer 132407 blt 0,0,640,480,0, 13660,640,480:waittimer 132426 blt 0,0,640,480,0, 13662,640,480:waittimer 132445 blt 0,0,640,480,0, 13664,640,480:waittimer 132465 blt 0,0,640,480,0, 13666,640,480:waittimer 132484 blt 0,0,640,480,0, 13668,640,480:waittimer 132504 blt 0,0,640,480,0, 13670,640,480:waittimer 132523 blt 0,0,640,480,0, 13672,640,480:waittimer 132542 blt 0,0,640,480,0, 13674,640,480:waittimer 132562 blt 0,0,640,480,0, 13676,640,480:waittimer 132581 blt 0,0,640,480,0, 13678,640,480:waittimer 132601 blt 0,0,640,480,0, 13680,640,480:waittimer 132620 blt 0,0,640,480,0, 13682,640,480:waittimer 132639 blt 0,0,640,480,0, 13684,640,480:waittimer 132659 blt 0,0,640,480,0, 13686,640,480:waittimer 132678 blt 0,0,640,480,0, 13688,640,480:waittimer 132698 blt 0,0,640,480,0, 13690,640,480:waittimer 132717 blt 0,0,640,480,0, 13692,640,480:waittimer 132736 blt 0,0,640,480,0, 13694,640,480:waittimer 132756 blt 0,0,640,480,0, 13696,640,480:waittimer 132775 blt 0,0,640,480,0, 13698,640,480:waittimer 132794 blt 0,0,640,480,0, 13700,640,480:waittimer 132814 blt 0,0,640,480,0, 13702,640,480:waittimer 132833 blt 0,0,640,480,0, 13704,640,480:waittimer 132853 blt 0,0,640,480,0, 13706,640,480:waittimer 132872 blt 0,0,640,480,0, 13708,640,480:waittimer 132891 blt 0,0,640,480,0, 13710,640,480:waittimer 132911 blt 0,0,640,480,0, 13712,640,480:waittimer 132930 blt 0,0,640,480,0, 13714,640,480:waittimer 132950 blt 0,0,640,480,0, 13716,640,480:waittimer 132969 blt 0,0,640,480,0, 13718,640,480:waittimer 132988 blt 0,0,640,480,0, 13720,640,480:waittimer 133008 blt 0,0,640,480,0, 13722,640,480:waittimer 133027 blt 0,0,640,480,0, 13724,640,480:waittimer 133047 blt 0,0,640,480,0, 13726,640,480:waittimer 133066 blt 0,0,640,480,0, 13728,640,480:waittimer 133085 blt 0,0,640,480,0, 13730,640,480:waittimer 133105 blt 0,0,640,480,0, 13732,640,480:waittimer 133124 blt 0,0,640,480,0, 13734,640,480:waittimer 133143 blt 0,0,640,480,0, 13736,640,480:waittimer 133163 blt 0,0,640,480,0, 13738,640,480:waittimer 133182 blt 0,0,640,480,0, 13740,640,480:waittimer 133202 blt 0,0,640,480,0, 13742,640,480:waittimer 133221 blt 0,0,640,480,0, 13744,640,480:waittimer 133240 blt 0,0,640,480,0, 13746,640,480:waittimer 133260 blt 0,0,640,480,0, 13748,640,480:waittimer 133279 blt 0,0,640,480,0, 13750,640,480:waittimer 133299 blt 0,0,640,480,0, 13752,640,480:waittimer 133318 blt 0,0,640,480,0, 13754,640,480:waittimer 133337 blt 0,0,640,480,0, 13756,640,480:waittimer 133357 blt 0,0,640,480,0, 13758,640,480:waittimer 133376 blt 0,0,640,480,0, 13760,640,480:waittimer 133396 blt 0,0,640,480,0, 13762,640,480:waittimer 133415 blt 0,0,640,480,0, 13764,640,480:waittimer 133434 blt 0,0,640,480,0, 13766,640,480:waittimer 133454 blt 0,0,640,480,0, 13768,640,480:waittimer 133473 blt 0,0,640,480,0, 13770,640,480:waittimer 133492 blt 0,0,640,480,0, 13772,640,480:waittimer 133512 blt 0,0,640,480,0, 13774,640,480:waittimer 133531 blt 0,0,640,480,0, 13776,640,480:waittimer 133551 blt 0,0,640,480,0, 13778,640,480:waittimer 133570 blt 0,0,640,480,0, 13780,640,480:waittimer 133589 blt 0,0,640,480,0, 13782,640,480:waittimer 133609 blt 0,0,640,480,0, 13784,640,480:waittimer 133628 blt 0,0,640,480,0, 13786,640,480:waittimer 133648 blt 0,0,640,480,0, 13788,640,480:waittimer 133667 blt 0,0,640,480,0, 13790,640,480:waittimer 133686 blt 0,0,640,480,0, 13792,640,480:waittimer 133706 blt 0,0,640,480,0, 13794,640,480:waittimer 133725 blt 0,0,640,480,0, 13796,640,480:waittimer 133745 blt 0,0,640,480,0, 13798,640,480:waittimer 133764 blt 0,0,640,480,0, 13800,640,480:waittimer 133783 blt 0,0,640,480,0, 13802,640,480:waittimer 133803 blt 0,0,640,480,0, 13804,640,480:waittimer 133822 blt 0,0,640,480,0, 13806,640,480:waittimer 133841 blt 0,0,640,480,0, 13808,640,480:waittimer 133861 blt 0,0,640,480,0, 13810,640,480:waittimer 133880 blt 0,0,640,480,0, 13812,640,480:waittimer 133900 blt 0,0,640,480,0, 13814,640,480:waittimer 133919 blt 0,0,640,480,0, 13816,640,480:waittimer 133938 blt 0,0,640,480,0, 13818,640,480:waittimer 133958 blt 0,0,640,480,0, 13820,640,480:waittimer 133977 blt 0,0,640,480,0, 13822,640,480:waittimer 133997 blt 0,0,640,480,0, 13824,640,480:waittimer 134016 blt 0,0,640,480,0, 13826,640,480:waittimer 134035 blt 0,0,640,480,0, 13828,640,480:waittimer 134055 blt 0,0,640,480,0, 13830,640,480:waittimer 134074 blt 0,0,640,480,0, 13832,640,480:waittimer 134094 blt 0,0,640,480,0, 13834,640,480:waittimer 134113 blt 0,0,640,480,0, 13836,640,480:waittimer 134132 blt 0,0,640,480,0, 13838,640,480:waittimer 134152 blt 0,0,640,480,0, 13840,640,480:waittimer 134171 blt 0,0,640,480,0, 13842,640,480:waittimer 134190 blt 0,0,640,480,0, 13844,640,480:waittimer 134210 blt 0,0,640,480,0, 13846,640,480:waittimer 134229 blt 0,0,640,480,0, 13848,640,480:waittimer 134249 blt 0,0,640,480,0, 13850,640,480:waittimer 134268 blt 0,0,640,480,0, 13852,640,480:waittimer 134287 blt 0,0,640,480,0, 13854,640,480:waittimer 134307 blt 0,0,640,480,0, 13856,640,480:waittimer 134326 blt 0,0,640,480,0, 13858,640,480:waittimer 134346 blt 0,0,640,480,0, 13860,640,480:waittimer 134365 blt 0,0,640,480,0, 13862,640,480:waittimer 134384 blt 0,0,640,480,0, 13864,640,480:waittimer 134404 blt 0,0,640,480,0, 13866,640,480:waittimer 134423 blt 0,0,640,480,0, 13868,640,480:waittimer 134443 blt 0,0,640,480,0, 13870,640,480:waittimer 134462 blt 0,0,640,480,0, 13872,640,480:waittimer 134481 blt 0,0,640,480,0, 13874,640,480:waittimer 134501 blt 0,0,640,480,0, 13876,640,480:waittimer 134520 blt 0,0,640,480,0, 13878,640,480:waittimer 134539 blt 0,0,640,480,0, 13880,640,480:waittimer 134559 blt 0,0,640,480,0, 13882,640,480:waittimer 134578 blt 0,0,640,480,0, 13884,640,480:waittimer 134598 blt 0,0,640,480,0, 13886,640,480:waittimer 134617 blt 0,0,640,480,0, 13888,640,480:waittimer 134636 blt 0,0,640,480,0, 13890,640,480:waittimer 134656 blt 0,0,640,480,0, 13892,640,480:waittimer 134675 blt 0,0,640,480,0, 13894,640,480:waittimer 134695 blt 0,0,640,480,0, 13896,640,480:waittimer 134714 blt 0,0,640,480,0, 13898,640,480:waittimer 134733 blt 0,0,640,480,0, 13900,640,480:waittimer 134753 blt 0,0,640,480,0, 13902,640,480:waittimer 134772 blt 0,0,640,480,0, 13904,640,480:waittimer 134792 blt 0,0,640,480,0, 13906,640,480:waittimer 134811 blt 0,0,640,480,0, 13908,640,480:waittimer 134830 blt 0,0,640,480,0, 13910,640,480:waittimer 134850 blt 0,0,640,480,0, 13912,640,480:waittimer 134869 blt 0,0,640,480,0, 13914,640,480:waittimer 134888 blt 0,0,640,480,0, 13916,640,480:waittimer 134908 blt 0,0,640,480,0, 13918,640,480:waittimer 134927 blt 0,0,640,480,0, 13920,640,480:waittimer 134947 blt 0,0,640,480,0, 13922,640,480:waittimer 134966 blt 0,0,640,480,0, 13924,640,480:waittimer 134985 blt 0,0,640,480,0, 13926,640,480:waittimer 135005 blt 0,0,640,480,0, 13928,640,480:waittimer 135024 blt 0,0,640,480,0, 13930,640,480:waittimer 135044 blt 0,0,640,480,0, 13932,640,480:waittimer 135063 blt 0,0,640,480,0, 13934,640,480:waittimer 135082 blt 0,0,640,480,0, 13936,640,480:waittimer 135102 blt 0,0,640,480,0, 13938,640,480:waittimer 135121 blt 0,0,640,480,0, 13940,640,480:waittimer 135141 blt 0,0,640,480,0, 13942,640,480:waittimer 135160 blt 0,0,640,480,0, 13944,640,480:waittimer 135179 blt 0,0,640,480,0, 13946,640,480:waittimer 135199 blt 0,0,640,480,0, 13948,640,480:waittimer 135218 blt 0,0,640,480,0, 13950,640,480:waittimer 135237 blt 0,0,640,480,0, 13952,640,480:waittimer 135257 blt 0,0,640,480,0, 13954,640,480:waittimer 135276 blt 0,0,640,480,0, 13956,640,480:waittimer 135296 blt 0,0,640,480,0, 13958,640,480:waittimer 135315 blt 0,0,640,480,0, 13960,640,480:waittimer 135334 blt 0,0,640,480,0, 13962,640,480:waittimer 135354 blt 0,0,640,480,0, 13964,640,480:waittimer 135373 blt 0,0,640,480,0, 13966,640,480:waittimer 135393 blt 0,0,640,480,0, 13968,640,480:waittimer 135412 blt 0,0,640,480,0, 13970,640,480:waittimer 135431 blt 0,0,640,480,0, 13972,640,480:waittimer 135451 blt 0,0,640,480,0, 13974,640,480:waittimer 135470 blt 0,0,640,480,0, 13976,640,480:waittimer 135490 blt 0,0,640,480,0, 13978,640,480:waittimer 135509 blt 0,0,640,480,0, 13980,640,480:waittimer 135528 blt 0,0,640,480,0, 13982,640,480:waittimer 135548 blt 0,0,640,480,0, 13984,640,480:waittimer 135567 blt 0,0,640,480,0, 13986,640,480:waittimer 135586 blt 0,0,640,480,0, 13988,640,480:waittimer 135606 blt 0,0,640,480,0, 13990,640,480:waittimer 135625 blt 0,0,640,480,0, 13992,640,480:waittimer 135645 blt 0,0,640,480,0, 13994,640,480:waittimer 135664 blt 0,0,640,480,0, 13996,640,480:waittimer 135683 blt 0,0,640,480,0, 13998,640,480:waittimer 135703 blt 0,0,640,480,0, 14000,640,480:waittimer 135722 blt 0,0,640,480,0, 14002,640,480:waittimer 135742 blt 0,0,640,480,0, 14004,640,480:waittimer 135761 blt 0,0,640,480,0, 14006,640,480:waittimer 135780 blt 0,0,640,480,0, 14008,640,480:waittimer 135800 blt 0,0,640,480,0, 14010,640,480:waittimer 135819 blt 0,0,640,480,0, 14012,640,480:waittimer 135839 blt 0,0,640,480,0, 14014,640,480:waittimer 135858 blt 0,0,640,480,0, 14016,640,480:waittimer 135877 blt 0,0,640,480,0, 14018,640,480:waittimer 135897 blt 0,0,640,480,0, 14020,640,480:waittimer 135916 blt 0,0,640,480,0, 14022,640,480:waittimer 135935 blt 0,0,640,480,0, 14024,640,480:waittimer 135955 blt 0,0,640,480,0, 14026,640,480:waittimer 135974 blt 0,0,640,480,0, 14028,640,480:waittimer 135994 blt 0,0,640,480,0, 14030,640,480:waittimer 136013 blt 0,0,640,480,0, 14032,640,480:waittimer 136032 blt 0,0,640,480,0, 14034,640,480:waittimer 136052 blt 0,0,640,480,0, 14036,640,480:waittimer 136071 blt 0,0,640,480,0, 14038,640,480:waittimer 136091 blt 0,0,640,480,0, 14040,640,480:waittimer 136110 blt 0,0,640,480,0, 14042,640,480:waittimer 136129 blt 0,0,640,480,0, 14044,640,480:waittimer 136149 blt 0,0,640,480,0, 14046,640,480:waittimer 136168 blt 0,0,640,480,0, 14048,640,480:waittimer 136188 blt 0,0,640,480,0, 14050,640,480:waittimer 136207 blt 0,0,640,480,0, 14052,640,480:waittimer 136226 blt 0,0,640,480,0, 14054,640,480:waittimer 136246 blt 0,0,640,480,0, 14056,640,480:waittimer 136265 blt 0,0,640,480,0, 14058,640,480:waittimer 136284 blt 0,0,640,480,0, 14060,640,480:waittimer 136304 blt 0,0,640,480,0, 14062,640,480:waittimer 136323 blt 0,0,640,480,0, 14064,640,480:waittimer 136343 blt 0,0,640,480,0, 14066,640,480:waittimer 136362 blt 0,0,640,480,0, 14068,640,480:waittimer 136381 blt 0,0,640,480,0, 14070,640,480:waittimer 136401 blt 0,0,640,480,0, 14072,640,480:waittimer 136420 blt 0,0,640,480,0, 14074,640,480:waittimer 136440 blt 0,0,640,480,0, 14076,640,480:waittimer 136459 blt 0,0,640,480,0, 14078,640,480:waittimer 136478 blt 0,0,640,480,0, 14080,640,480:waittimer 136498 blt 0,0,640,480,0, 14082,640,480:waittimer 136517 blt 0,0,640,480,0, 14084,640,480:waittimer 136537 blt 0,0,640,480,0, 14086,640,480:waittimer 136556 blt 0,0,640,480,0, 14088,640,480:waittimer 136575 blt 0,0,640,480,0, 14090,640,480:waittimer 136595 blt 0,0,640,480,0, 14092,640,480:waittimer 136614 blt 0,0,640,480,0, 14094,640,480:waittimer 136633 blt 0,0,640,480,0, 14096,640,480:waittimer 136653 blt 0,0,640,480,0, 14098,640,480:waittimer 136672 blt 0,0,640,480,0, 14100,640,480:waittimer 136692 blt 0,0,640,480,0, 14102,640,480:waittimer 136711 blt 0,0,640,480,0, 14104,640,480:waittimer 136730 blt 0,0,640,480,0, 14106,640,480:waittimer 136750 blt 0,0,640,480,0, 14108,640,480:waittimer 136769 blt 0,0,640,480,0, 14110,640,480:waittimer 136789 blt 0,0,640,480,0, 14112,640,480:waittimer 136808 blt 0,0,640,480,0, 14114,640,480:waittimer 136827 blt 0,0,640,480,0, 14116,640,480:waittimer 136847 blt 0,0,640,480,0, 14118,640,480:waittimer 136866 blt 0,0,640,480,0, 14120,640,480:waittimer 136886 blt 0,0,640,480,0, 14122,640,480:waittimer 136905 blt 0,0,640,480,0, 14124,640,480:waittimer 136924 blt 0,0,640,480,0, 14126,640,480:waittimer 136944 blt 0,0,640,480,0, 14128,640,480:waittimer 136963 blt 0,0,640,480,0, 14130,640,480:waittimer 136982 blt 0,0,640,480,0, 14132,640,480:waittimer 137002 blt 0,0,640,480,0, 14134,640,480:waittimer 137021 blt 0,0,640,480,0, 14136,640,480:waittimer 137041 blt 0,0,640,480,0, 14138,640,480:waittimer 137060 blt 0,0,640,480,0, 14140,640,480:waittimer 137079 blt 0,0,640,480,0, 14142,640,480:waittimer 137099 blt 0,0,640,480,0, 14144,640,480:waittimer 137118 blt 0,0,640,480,0, 14146,640,480:waittimer 137138 blt 0,0,640,480,0, 14148,640,480:waittimer 137157 blt 0,0,640,480,0, 14150,640,480:waittimer 137176 blt 0,0,640,480,0, 14152,640,480:waittimer 137196 blt 0,0,640,480,0, 14154,640,480:waittimer 137215 blt 0,0,640,480,0, 14156,640,480:waittimer 137235 blt 0,0,640,480,0, 14158,640,480:waittimer 137254 blt 0,0,640,480,0, 14160,640,480:waittimer 137273 blt 0,0,640,480,0, 14162,640,480:waittimer 137293 blt 0,0,640,480,0, 14164,640,480:waittimer 137312 blt 0,0,640,480,0, 14166,640,480:waittimer 137331 blt 0,0,640,480,0, 14168,640,480:waittimer 137351 blt 0,0,640,480,0, 14170,640,480:waittimer 137370 blt 0,0,640,480,0, 14172,640,480:waittimer 137390 blt 0,0,640,480,0, 14174,640,480:waittimer 137409 blt 0,0,640,480,0, 14176,640,480:waittimer 137428 blt 0,0,640,480,0, 14178,640,480:waittimer 137448 blt 0,0,640,480,0, 14180,640,480:waittimer 137467 blt 0,0,640,480,0, 14182,640,480:waittimer 137487 blt 0,0,640,480,0, 14184,640,480:waittimer 137506 blt 0,0,640,480,0, 14186,640,480:waittimer 137525 blt 0,0,640,480,0, 14188,640,480:waittimer 137545 blt 0,0,640,480,0, 14190,640,480:waittimer 137564 blt 0,0,640,480,0, 14192,640,480:waittimer 137584 blt 0,0,640,480,0, 14194,640,480:waittimer 137603 blt 0,0,640,480,0, 14196,640,480:waittimer 137622 blt 0,0,640,480,0, 14198,640,480:waittimer 137642 blt 0,0,640,480,0, 14200,640,480:waittimer 137661 blt 0,0,640,480,0, 14202,640,480:waittimer 137680 blt 0,0,640,480,0, 14204,640,480:waittimer 137700 blt 0,0,640,480,0, 14206,640,480:waittimer 137719 blt 0,0,640,480,0, 14208,640,480:waittimer 137739 blt 0,0,640,480,0, 14210,640,480:waittimer 137758 blt 0,0,640,480,0, 14212,640,480:waittimer 137777 blt 0,0,640,480,0, 14214,640,480:waittimer 137797 blt 0,0,640,480,0, 14216,640,480:waittimer 137816 blt 0,0,640,480,0, 14218,640,480:waittimer 137836 blt 0,0,640,480,0, 14220,640,480:waittimer 137855 blt 0,0,640,480,0, 14222,640,480:waittimer 137874 blt 0,0,640,480,0, 14224,640,480:waittimer 137894 blt 0,0,640,480,0, 14226,640,480:waittimer 137913 blt 0,0,640,480,0, 14228,640,480:waittimer 137933 blt 0,0,640,480,0, 14230,640,480:waittimer 137952 blt 0,0,640,480,0, 14232,640,480:waittimer 137971 blt 0,0,640,480,0, 14234,640,480:waittimer 137991 blt 0,0,640,480,0, 14236,640,480:waittimer 138010 blt 0,0,640,480,0, 14238,640,480:waittimer 138029 blt 0,0,640,480,0, 14240,640,480:waittimer 138049 blt 0,0,640,480,0, 14242,640,480:waittimer 138068 blt 0,0,640,480,0, 14244,640,480:waittimer 138088 blt 0,0,640,480,0, 14246,640,480:waittimer 138107 blt 0,0,640,480,0, 14248,640,480:waittimer 138126 blt 0,0,640,480,0, 14250,640,480:waittimer 138146 blt 0,0,640,480,0, 14252,640,480:waittimer 138165 blt 0,0,640,480,0, 14254,640,480:waittimer 138185 blt 0,0,640,480,0, 14256,640,480:waittimer 138204 blt 0,0,640,480,0, 14258,640,480:waittimer 138223 blt 0,0,640,480,0, 14260,640,480:waittimer 138243 blt 0,0,640,480,0, 14262,640,480:waittimer 138262 blt 0,0,640,480,0, 14264,640,480:waittimer 138282 blt 0,0,640,480,0, 14266,640,480:waittimer 138301 blt 0,0,640,480,0, 14268,640,480:waittimer 138320 blt 0,0,640,480,0, 14270,640,480:waittimer 138340 blt 0,0,640,480,0, 14272,640,480:waittimer 138359 blt 0,0,640,480,0, 14274,640,480:waittimer 138378 blt 0,0,640,480,0, 14276,640,480:waittimer 138398 blt 0,0,640,480,0, 14278,640,480:waittimer 138417 blt 0,0,640,480,0, 14280,640,480:waittimer 138437 blt 0,0,640,480,0, 14282,640,480:waittimer 138456 blt 0,0,640,480,0, 14284,640,480:waittimer 138475 blt 0,0,640,480,0, 14286,640,480:waittimer 138495 blt 0,0,640,480,0, 14288,640,480:waittimer 138514 blt 0,0,640,480,0, 14290,640,480:waittimer 138534 blt 0,0,640,480,0, 14292,640,480:waittimer 138553 blt 0,0,640,480,0, 14294,640,480:waittimer 138572 blt 0,0,640,480,0, 14296,640,480:waittimer 138592 blt 0,0,640,480,0, 14298,640,480:waittimer 138611 blt 0,0,640,480,0, 14300,640,480:waittimer 138631 blt 0,0,640,480,0, 14302,640,480:waittimer 138650 blt 0,0,640,480,0, 14304,640,480:waittimer 138669 blt 0,0,640,480,0, 14306,640,480:waittimer 138689 blt 0,0,640,480,0, 14308,640,480:waittimer 138708 blt 0,0,640,480,0, 14310,640,480:waittimer 138727 blt 0,0,640,480,0, 14312,640,480:waittimer 138747 blt 0,0,640,480,0, 14314,640,480:waittimer 138766 blt 0,0,640,480,0, 14316,640,480:waittimer 138786 blt 0,0,640,480,0, 14318,640,480:waittimer 138805 blt 0,0,640,480,0, 14320,640,480:waittimer 138824 blt 0,0,640,480,0, 14322,640,480:waittimer 138844 blt 0,0,640,480,0, 14324,640,480:waittimer 138863 blt 0,0,640,480,0, 14326,640,480:waittimer 138883 blt 0,0,640,480,0, 14328,640,480:waittimer 138902 blt 0,0,640,480,0, 14330,640,480:waittimer 138921 blt 0,0,640,480,0, 14332,640,480:waittimer 138941 blt 0,0,640,480,0, 14334,640,480:waittimer 138960 blt 0,0,640,480,0, 14336,640,480:waittimer 138980 blt 0,0,640,480,0, 14338,640,480:waittimer 138999 blt 0,0,640,480,0, 14340,640,480:waittimer 139018 blt 0,0,640,480,0, 14342,640,480:waittimer 139038 blt 0,0,640,480,0, 14344,640,480:waittimer 139057 blt 0,0,640,480,0, 14346,640,480:waittimer 139076 blt 0,0,640,480,0, 14348,640,480:waittimer 139096 blt 0,0,640,480,0, 14350,640,480:waittimer 139115 blt 0,0,640,480,0, 14352,640,480:waittimer 139135 blt 0,0,640,480,0, 14354,640,480:waittimer 139154 blt 0,0,640,480,0, 14356,640,480:waittimer 139173 blt 0,0,640,480,0, 14358,640,480:waittimer 139193 blt 0,0,640,480,0, 14360,640,480:waittimer 139212 blt 0,0,640,480,0, 14362,640,480:waittimer 139232 blt 0,0,640,480,0, 14364,640,480:waittimer 139251 blt 0,0,640,480,0, 14366,640,480:waittimer 139270 blt 0,0,640,480,0, 14368,640,480:waittimer 139290 blt 0,0,640,480,0, 14370,640,480:waittimer 139309 blt 0,0,640,480,0, 14372,640,480:waittimer 139329 blt 0,0,640,480,0, 14374,640,480:waittimer 139348 blt 0,0,640,480,0, 14376,640,480:waittimer 139367 blt 0,0,640,480,0, 14378,640,480:waittimer 139387 blt 0,0,640,480,0, 14380,640,480:waittimer 139406 blt 0,0,640,480,0, 14382,640,480:waittimer 139425 blt 0,0,640,480,0, 14384,640,480:waittimer 139445 blt 0,0,640,480,0, 14386,640,480:waittimer 139464 blt 0,0,640,480,0, 14388,640,480:waittimer 139484 blt 0,0,640,480,0, 14390,640,480:waittimer 139503 blt 0,0,640,480,0, 14392,640,480:waittimer 139522 blt 0,0,640,480,0, 14394,640,480:waittimer 139542 blt 0,0,640,480,0, 14396,640,480:waittimer 139561 blt 0,0,640,480,0, 14398,640,480:waittimer 139581 blt 0,0,640,480,0, 14400,640,480:waittimer 139600 blt 0,0,640,480,0, 14402,640,480:waittimer 139619 blt 0,0,640,480,0, 14404,640,480:waittimer 139639 blt 0,0,640,480,0, 14406,640,480:waittimer 139658 blt 0,0,640,480,0, 14408,640,480:waittimer 139678 blt 0,0,640,480,0, 14410,640,480:waittimer 139697 blt 0,0,640,480,0, 14412,640,480:waittimer 139716 blt 0,0,640,480,0, 14414,640,480:waittimer 139736 blt 0,0,640,480,0, 14416,640,480:waittimer 139755 blt 0,0,640,480,0, 14418,640,480:waittimer 139774 blt 0,0,640,480,0, 14420,640,480:waittimer 139794 blt 0,0,640,480,0, 14422,640,480:waittimer 139813 blt 0,0,640,480,0, 14424,640,480:waittimer 139833 blt 0,0,640,480,0, 14426,640,480:waittimer 139852 blt 0,0,640,480,0, 14428,640,480:waittimer 139871 blt 0,0,640,480,0, 14430,640,480:waittimer 139891 blt 0,0,640,480,0, 14432,640,480:waittimer 139910 blt 0,0,640,480,0, 14434,640,480:waittimer 139930 blt 0,0,640,480,0, 14436,640,480:waittimer 139949 blt 0,0,640,480,0, 14438,640,480:waittimer 139968 blt 0,0,640,480,0, 14440,640,480:waittimer 139988 blt 0,0,640,480,0, 14442,640,480:waittimer 140007 blt 0,0,640,480,0, 14444,640,480:waittimer 140027 blt 0,0,640,480,0, 14446,640,480:waittimer 140046 blt 0,0,640,480,0, 14448,640,480:waittimer 140065 blt 0,0,640,480,0, 14450,640,480:waittimer 140085 blt 0,0,640,480,0, 14452,640,480:waittimer 140104 blt 0,0,640,480,0, 14454,640,480:waittimer 140123 blt 0,0,640,480,0, 14456,640,480:waittimer 140143 blt 0,0,640,480,0, 14458,640,480:waittimer 140162 blt 0,0,640,480,0, 14460,640,480:waittimer 140182 blt 0,0,640,480,0, 14462,640,480:waittimer 140201 blt 0,0,640,480,0, 14464,640,480:waittimer 140220 blt 0,0,640,480,0, 14466,640,480:waittimer 140240 blt 0,0,640,480,0, 14468,640,480:waittimer 140259 blt 0,0,640,480,0, 14470,640,480:waittimer 140279 blt 0,0,640,480,0, 14472,640,480:waittimer 140298 blt 0,0,640,480,0, 14474,640,480:waittimer 140317 blt 0,0,640,480,0, 14476,640,480:waittimer 140337 blt 0,0,640,480,0, 14478,640,480:waittimer 140356 blt 0,0,640,480,0, 14480,640,480:waittimer 140376 blt 0,0,640,480,0, 14482,640,480:waittimer 140395 blt 0,0,640,480,0, 14484,640,480:waittimer 140414 blt 0,0,640,480,0, 14486,640,480:waittimer 140434 blt 0,0,640,480,0, 14488,640,480:waittimer 140453 blt 0,0,640,480,0, 14490,640,480:waittimer 140472 blt 0,0,640,480,0, 14492,640,480:waittimer 140492 blt 0,0,640,480,0, 14494,640,480:waittimer 140511 blt 0,0,640,480,0, 14496,640,480:waittimer 140531 blt 0,0,640,480,0, 14498,640,480:waittimer 140550 blt 0,0,640,480,0, 14500,640,480:waittimer 140569 blt 0,0,640,480,0, 14502,640,480:waittimer 140589 blt 0,0,640,480,0, 14504,640,480:waittimer 140608 blt 0,0,640,480,0, 14506,640,480:waittimer 140628 blt 0,0,640,480,0, 14508,640,480:waittimer 140647 blt 0,0,640,480,0, 14510,640,480:waittimer 140666 blt 0,0,640,480,0, 14512,640,480:waittimer 140686 blt 0,0,640,480,0, 14514,640,480:waittimer 140705 blt 0,0,640,480,0, 14516,640,480:waittimer 140725 blt 0,0,640,480,0, 14518,640,480:waittimer 140744 blt 0,0,640,480,0, 14520,640,480:waittimer 140763 blt 0,0,640,480,0, 14522,640,480:waittimer 140783 blt 0,0,640,480,0, 14524,640,480:waittimer 140802 blt 0,0,640,480,0, 14526,640,480:waittimer 140821 blt 0,0,640,480,0, 14528,640,480:waittimer 140841 blt 0,0,640,480,0, 14530,640,480:waittimer 140860 blt 0,0,640,480,0, 14532,640,480:waittimer 140880 blt 0,0,640,480,0, 14534,640,480:waittimer 140899 blt 0,0,640,480,0, 14536,640,480:waittimer 140918 blt 0,0,640,480,0, 14538,640,480:waittimer 140938 blt 0,0,640,480,0, 14540,640,480:waittimer 140957 blt 0,0,640,480,0, 14542,640,480:waittimer 140977 blt 0,0,640,480,0, 14544,640,480:waittimer 140996 blt 0,0,640,480,0, 14546,640,480:waittimer 141015 blt 0,0,640,480,0, 14548,640,480:waittimer 141035 blt 0,0,640,480,0, 14550,640,480:waittimer 141054 blt 0,0,640,480,0, 14552,640,480:waittimer 141074 blt 0,0,640,480,0, 14554,640,480:waittimer 141093 blt 0,0,640,480,0, 14556,640,480:waittimer 141112 blt 0,0,640,480,0, 14558,640,480:waittimer 141132 blt 0,0,640,480,0, 14560,640,480:waittimer 141151 blt 0,0,640,480,0, 14562,640,480:waittimer 141170 blt 0,0,640,480,0, 14564,640,480:waittimer 141190 blt 0,0,640,480,0, 14566,640,480:waittimer 141209 blt 0,0,640,480,0, 14568,640,480:waittimer 141229 blt 0,0,640,480,0, 14570,640,480:waittimer 141248 blt 0,0,640,480,0, 14572,640,480:waittimer 141267 blt 0,0,640,480,0, 14574,640,480:waittimer 141287 blt 0,0,640,480,0, 14576,640,480:waittimer 141306 blt 0,0,640,480,0, 14578,640,480:waittimer 141326 blt 0,0,640,480,0, 14580,640,480:waittimer 141345 blt 0,0,640,480,0, 14582,640,480:waittimer 141364 blt 0,0,640,480,0, 14584,640,480:waittimer 141384 blt 0,0,640,480,0, 14586,640,480:waittimer 141403 blt 0,0,640,480,0, 14588,640,480:waittimer 141423 blt 0,0,640,480,0, 14590,640,480:waittimer 141442 blt 0,0,640,480,0, 14592,640,480:waittimer 141461 blt 0,0,640,480,0, 14594,640,480:waittimer 141481 blt 0,0,640,480,0, 14596,640,480:waittimer 141500 blt 0,0,640,480,0, 14598,640,480:waittimer 141519 blt 0,0,640,480,0, 14600,640,480:waittimer 141539 blt 0,0,640,480,0, 14602,640,480:waittimer 141558 blt 0,0,640,480,0, 14604,640,480:waittimer 141578 blt 0,0,640,480,0, 14606,640,480:waittimer 141597 blt 0,0,640,480,0, 14608,640,480:waittimer 141616 blt 0,0,640,480,0, 14610,640,480:waittimer 141636 blt 0,0,640,480,0, 14612,640,480:waittimer 141655 blt 0,0,640,480,0, 14614,640,480:waittimer 141675 blt 0,0,640,480,0, 14616,640,480:waittimer 141694 blt 0,0,640,480,0, 14618,640,480:waittimer 141713 blt 0,0,640,480,0, 14620,640,480:waittimer 141733 blt 0,0,640,480,0, 14622,640,480:waittimer 141752 blt 0,0,640,480,0, 14624,640,480:waittimer 141772 blt 0,0,640,480,0, 14626,640,480:waittimer 141791 blt 0,0,640,480,0, 14628,640,480:waittimer 141810 blt 0,0,640,480,0, 14630,640,480:waittimer 141830 blt 0,0,640,480,0, 14632,640,480:waittimer 141849 blt 0,0,640,480,0, 14634,640,480:waittimer 141868 blt 0,0,640,480,0, 14636,640,480:waittimer 141888 blt 0,0,640,480,0, 14638,640,480:waittimer 141907 blt 0,0,640,480,0, 14640,640,480:waittimer 141927 blt 0,0,640,480,0, 14642,640,480:waittimer 141946 blt 0,0,640,480,0, 14644,640,480:waittimer 141965 blt 0,0,640,480,0, 14646,640,480:waittimer 141985 blt 0,0,640,480,0, 14648,640,480:waittimer 142004 blt 0,0,640,480,0, 14650,640,480:waittimer 142024 blt 0,0,640,480,0, 14652,640,480:waittimer 142043 blt 0,0,640,480,0, 14654,640,480:waittimer 142062 blt 0,0,640,480,0, 14656,640,480:waittimer 142082 blt 0,0,640,480,0, 14658,640,480:waittimer 142101 blt 0,0,640,480,0, 14660,640,480:waittimer 142121 blt 0,0,640,480,0, 14662,640,480:waittimer 142140 blt 0,0,640,480,0, 14664,640,480:waittimer 142159 blt 0,0,640,480,0, 14666,640,480:waittimer 142179 blt 0,0,640,480,0, 14668,640,480:waittimer 142198 blt 0,0,640,480,0, 14670,640,480:waittimer 142217 blt 0,0,640,480,0, 14672,640,480:waittimer 142237 blt 0,0,640,480,0, 14674,640,480:waittimer 142256 blt 0,0,640,480,0, 14676,640,480:waittimer 142276 blt 0,0,640,480,0, 14678,640,480:waittimer 142295 blt 0,0,640,480,0, 14680,640,480:waittimer 142314 blt 0,0,640,480,0, 14682,640,480:waittimer 142334 blt 0,0,640,480,0, 14684,640,480:waittimer 142353 blt 0,0,640,480,0, 14686,640,480:waittimer 142373 blt 0,0,640,480,0, 14688,640,480:waittimer 142392 blt 0,0,640,480,0, 14690,640,480:waittimer 142411 blt 0,0,640,480,0, 14692,640,480:waittimer 142431 blt 0,0,640,480,0, 14694,640,480:waittimer 142450 blt 0,0,640,480,0, 14696,640,480:waittimer 142470 blt 0,0,640,480,0, 14698,640,480:waittimer 142489 blt 0,0,640,480,0, 14700,640,480:waittimer 142508 blt 0,0,640,480,0, 14702,640,480:waittimer 142528 blt 0,0,640,480,0, 14704,640,480:waittimer 142547 blt 0,0,640,480,0, 14706,640,480:waittimer 142566 blt 0,0,640,480,0, 14708,640,480:waittimer 142586 blt 0,0,640,480,0, 14710,640,480:waittimer 142605 blt 0,0,640,480,0, 14712,640,480:waittimer 142625 blt 0,0,640,480,0, 14714,640,480:waittimer 142644 blt 0,0,640,480,0, 14716,640,480:waittimer 142663 blt 0,0,640,480,0, 14718,640,480:waittimer 142683 blt 0,0,640,480,0, 14720,640,480:waittimer 142702 blt 0,0,640,480,0, 14722,640,480:waittimer 142722 blt 0,0,640,480,0, 14724,640,480:waittimer 142741 blt 0,0,640,480,0, 14726,640,480:waittimer 142760 blt 0,0,640,480,0, 14728,640,480:waittimer 142780 blt 0,0,640,480,0, 14730,640,480:waittimer 142799 blt 0,0,640,480,0, 14732,640,480:waittimer 142819 blt 0,0,640,480,0, 14734,640,480:waittimer 142838 blt 0,0,640,480,0, 14736,640,480:waittimer 142857 blt 0,0,640,480,0, 14738,640,480:waittimer 142877 blt 0,0,640,480,0, 14740,640,480:waittimer 142896 blt 0,0,640,480,0, 14742,640,480:waittimer 142915 blt 0,0,640,480,0, 14744,640,480:waittimer 142935 blt 0,0,640,480,0, 14746,640,480:waittimer 142954 blt 0,0,640,480,0, 14748,640,480:waittimer 142974 blt 0,0,640,480,0, 14750,640,480:waittimer 142993 blt 0,0,640,480,0, 14752,640,480:waittimer 143012 blt 0,0,640,480,0, 14754,640,480:waittimer 143032 blt 0,0,640,480,0, 14756,640,480:waittimer 143051 blt 0,0,640,480,0, 14758,640,480:waittimer 143071 blt 0,0,640,480,0, 14760,640,480:waittimer 143090 blt 0,0,640,480,0, 14762,640,480:waittimer 143109 blt 0,0,640,480,0, 14764,640,480:waittimer 143129 blt 0,0,640,480,0, 14766,640,480:waittimer 143148 blt 0,0,640,480,0, 14768,640,480:waittimer 143168 blt 0,0,640,480,0, 14770,640,480:waittimer 143187 blt 0,0,640,480,0, 14772,640,480:waittimer 143206 blt 0,0,640,480,0, 14774,640,480:waittimer 143226 blt 0,0,640,480,0, 14776,640,480:waittimer 143245 blt 0,0,640,480,0, 14778,640,480:waittimer 143264 blt 0,0,640,480,0, 14780,640,480:waittimer 143284 blt 0,0,640,480,0, 14782,640,480:waittimer 143303 blt 0,0,640,480,0, 14784,640,480:waittimer 143323 blt 0,0,640,480,0, 14786,640,480:waittimer 143342 blt 0,0,640,480,0, 14788,640,480:waittimer 143361 blt 0,0,640,480,0, 14790,640,480:waittimer 143381 blt 0,0,640,480,0, 14792,640,480:waittimer 143400 blt 0,0,640,480,0, 14794,640,480:waittimer 143420 blt 0,0,640,480,0, 14796,640,480:waittimer 143439 blt 0,0,640,480,0, 14798,640,480:waittimer 143458 blt 0,0,640,480,0, 14800,640,480:waittimer 143478 blt 0,0,640,480,0, 14802,640,480:waittimer 143497 blt 0,0,640,480,0, 14804,640,480:waittimer 143517 blt 0,0,640,480,0, 14806,640,480:waittimer 143536 blt 0,0,640,480,0, 14808,640,480:waittimer 143555 blt 0,0,640,480,0, 14810,640,480:waittimer 143575 blt 0,0,640,480,0, 14812,640,480:waittimer 143594 blt 0,0,640,480,0, 14814,640,480:waittimer 143613 blt 0,0,640,480,0, 14816,640,480:waittimer 143633 blt 0,0,640,480,0, 14818,640,480:waittimer 143652 blt 0,0,640,480,0, 14820,640,480:waittimer 143672 blt 0,0,640,480,0, 14822,640,480:waittimer 143691 blt 0,0,640,480,0, 14824,640,480:waittimer 143710 blt 0,0,640,480,0, 14826,640,480:waittimer 143730 blt 0,0,640,480,0, 14828,640,480:waittimer 143749 blt 0,0,640,480,0, 14830,640,480:waittimer 143769 blt 0,0,640,480,0, 14832,640,480:waittimer 143788 blt 0,0,640,480,0, 14834,640,480:waittimer 143807 blt 0,0,640,480,0, 14836,640,480:waittimer 143827 blt 0,0,640,480,0, 14838,640,480:waittimer 143846 blt 0,0,640,480,0, 14840,640,480:waittimer 143866 blt 0,0,640,480,0, 14842,640,480:waittimer 143885 blt 0,0,640,480,0, 14844,640,480:waittimer 143904 blt 0,0,640,480,0, 14846,640,480:waittimer 143924 blt 0,0,640,480,0, 14848,640,480:waittimer 143943 blt 0,0,640,480,0, 14850,640,480:waittimer 143962 blt 0,0,640,480,0, 14852,640,480:waittimer 143982 blt 0,0,640,480,0, 14854,640,480:waittimer 144001 blt 0,0,640,480,0, 14856,640,480:waittimer 144021 blt 0,0,640,480,0, 14858,640,480:waittimer 144040 blt 0,0,640,480,0, 14860,640,480:waittimer 144059 blt 0,0,640,480,0, 14862,640,480:waittimer 144079 blt 0,0,640,480,0, 14864,640,480:waittimer 144098 blt 0,0,640,480,0, 14866,640,480:waittimer 144118 blt 0,0,640,480,0, 14868,640,480:waittimer 144137 blt 0,0,640,480,0, 14870,640,480:waittimer 144156 blt 0,0,640,480,0, 14872,640,480:waittimer 144176 blt 0,0,640,480,0, 14874,640,480:waittimer 144195 blt 0,0,640,480,0, 14876,640,480:waittimer 144215 blt 0,0,640,480,0, 14878,640,480:waittimer 144234 blt 0,0,640,480,0, 14880,640,480:waittimer 144253 blt 0,0,640,480,0, 14882,640,480:waittimer 144273 blt 0,0,640,480,0, 14884,640,480:waittimer 144292 blt 0,0,640,480,0, 14886,640,480:waittimer 144311 blt 0,0,640,480,0, 14888,640,480:waittimer 144331 blt 0,0,640,480,0, 14890,640,480:waittimer 144350 blt 0,0,640,480,0, 14892,640,480:waittimer 144370 blt 0,0,640,480,0, 14894,640,480:waittimer 144389 blt 0,0,640,480,0, 14896,640,480:waittimer 144408 blt 0,0,640,480,0, 14898,640,480:waittimer 144428 blt 0,0,640,480,0, 14900,640,480:waittimer 144447 blt 0,0,640,480,0, 14902,640,480:waittimer 144467 blt 0,0,640,480,0, 14904,640,480:waittimer 144486 blt 0,0,640,480,0, 14906,640,480:waittimer 144505 blt 0,0,640,480,0, 14908,640,480:waittimer 144525 blt 0,0,640,480,0, 14910,640,480:waittimer 144544 blt 0,0,640,480,0, 14912,640,480:waittimer 144564 blt 0,0,640,480,0, 14914,640,480:waittimer 144583 blt 0,0,640,480,0, 14916,640,480:waittimer 144602 blt 0,0,640,480,0, 14918,640,480:waittimer 144622 blt 0,0,640,480,0, 14920,640,480:waittimer 144641 blt 0,0,640,480,0, 14922,640,480:waittimer 144660 blt 0,0,640,480,0, 14924,640,480:waittimer 144680 blt 0,0,640,480,0, 14926,640,480:waittimer 144699 blt 0,0,640,480,0, 14928,640,480:waittimer 144719 blt 0,0,640,480,0, 14930,640,480:waittimer 144738 blt 0,0,640,480,0, 14932,640,480:waittimer 144757 blt 0,0,640,480,0, 14934,640,480:waittimer 144777 blt 0,0,640,480,0, 14936,640,480:waittimer 144796 blt 0,0,640,480,0, 14938,640,480:waittimer 144816 blt 0,0,640,480,0, 14940,640,480:waittimer 144835 blt 0,0,640,480,0, 14942,640,480:waittimer 144854 blt 0,0,640,480,0, 14944,640,480:waittimer 144874 blt 0,0,640,480,0, 14946,640,480:waittimer 144893 blt 0,0,640,480,0, 14948,640,480:waittimer 144913 blt 0,0,640,480,0, 14950,640,480:waittimer 144932 blt 0,0,640,480,0, 14952,640,480:waittimer 144951 blt 0,0,640,480,0, 14954,640,480:waittimer 144971 blt 0,0,640,480,0, 14956,640,480:waittimer 144990 blt 0,0,640,480,0, 14958,640,480:waittimer 145009 blt 0,0,640,480,0, 14960,640,480:waittimer 145029 blt 0,0,640,480,0, 14962,640,480:waittimer 145048 blt 0,0,640,480,0, 14964,640,480:waittimer 145068 blt 0,0,640,480,0, 14966,640,480:waittimer 145087 blt 0,0,640,480,0, 14968,640,480:waittimer 145106 blt 0,0,640,480,0, 14970,640,480:waittimer 145126 blt 0,0,640,480,0, 14972,640,480:waittimer 145145 blt 0,0,640,480,0, 14974,640,480:waittimer 145165 blt 0,0,640,480,0, 14976,640,480:waittimer 145184 blt 0,0,640,480,0, 14978,640,480:waittimer 145203 blt 0,0,640,480,0, 14980,640,480:waittimer 145223 blt 0,0,640,480,0, 14982,640,480:waittimer 145242 blt 0,0,640,480,0, 14984,640,480:waittimer 145262 blt 0,0,640,480,0, 14986,640,480:waittimer 145281 blt 0,0,640,480,0, 14988,640,480:waittimer 145300 blt 0,0,640,480,0, 14990,640,480:waittimer 145320 blt 0,0,640,480,0, 14992,640,480:waittimer 145339 blt 0,0,640,480,0, 14994,640,480:waittimer 145358 blt 0,0,640,480,0, 14996,640,480:waittimer 145378 blt 0,0,640,480,0, 14998,640,480:waittimer 145397 blt 0,0,640,480,0, 15000,640,480:waittimer 145417 blt 0,0,640,480,0, 15002,640,480:waittimer 145436 blt 0,0,640,480,0, 15004,640,480:waittimer 145455 blt 0,0,640,480,0, 15006,640,480:waittimer 145475 blt 0,0,640,480,0, 15008,640,480:waittimer 145494 blt 0,0,640,480,0, 15010,640,480:waittimer 145514 blt 0,0,640,480,0, 15012,640,480:waittimer 145533 blt 0,0,640,480,0, 15014,640,480:waittimer 145552 blt 0,0,640,480,0, 15016,640,480:waittimer 145572 blt 0,0,640,480,0, 15018,640,480:waittimer 145591 blt 0,0,640,480,0, 15020,640,480:waittimer 145611 blt 0,0,640,480,0, 15022,640,480:waittimer 145630 blt 0,0,640,480,0, 15024,640,480:waittimer 145649 blt 0,0,640,480,0, 15026,640,480:waittimer 145669 blt 0,0,640,480,0, 15028,640,480:waittimer 145688 blt 0,0,640,480,0, 15030,640,480:waittimer 145707 blt 0,0,640,480,0, 15032,640,480:waittimer 145727 blt 0,0,640,480,0, 15034,640,480:waittimer 145746 blt 0,0,640,480,0, 15036,640,480:waittimer 145766 blt 0,0,640,480,0, 15038,640,480:waittimer 145785 blt 0,0,640,480,0, 15040,640,480:waittimer 145804 blt 0,0,640,480,0, 15042,640,480:waittimer 145824 blt 0,0,640,480,0, 15044,640,480:waittimer 145843 blt 0,0,640,480,0, 15046,640,480:waittimer 145863 blt 0,0,640,480,0, 15048,640,480:waittimer 145882 blt 0,0,640,480,0, 15050,640,480:waittimer 145901 blt 0,0,640,480,0, 15052,640,480:waittimer 145921 blt 0,0,640,480,0, 15054,640,480:waittimer 145940 blt 0,0,640,480,0, 15056,640,480:waittimer 145960 blt 0,0,640,480,0, 15058,640,480:waittimer 145979 blt 0,0,640,480,0, 15060,640,480:waittimer 145998 blt 0,0,640,480,0, 15062,640,480:waittimer 146018 blt 0,0,640,480,0, 15064,640,480:waittimer 146037 blt 0,0,640,480,0, 15066,640,480:waittimer 146056 blt 0,0,640,480,0, 15068,640,480:waittimer 146076 blt 0,0,640,480,0, 15070,640,480:waittimer 146095 blt 0,0,640,480,0, 15072,640,480:waittimer 146115 blt 0,0,640,480,0, 15074,640,480:waittimer 146134 blt 0,0,640,480,0, 15076,640,480:waittimer 146153 blt 0,0,640,480,0, 15078,640,480:waittimer 146173 blt 0,0,640,480,0, 15080,640,480:waittimer 146192 blt 0,0,640,480,0, 15082,640,480:waittimer 146212 blt 0,0,640,480,0, 15084,640,480:waittimer 146231 blt 0,0,640,480,0, 15086,640,480:waittimer 146250 blt 0,0,640,480,0, 15088,640,480:waittimer 146270 blt 0,0,640,480,0, 15090,640,480:waittimer 146289 blt 0,0,640,480,0, 15092,640,480:waittimer 146309 blt 0,0,640,480,0, 15094,640,480:waittimer 146328 blt 0,0,640,480,0, 15096,640,480:waittimer 146347 blt 0,0,640,480,0, 15098,640,480:waittimer 146367 blt 0,0,640,480,0, 15100,640,480:waittimer 146386 blt 0,0,640,480,0, 15102,640,480:waittimer 146405 blt 0,0,640,480,0, 15104,640,480:waittimer 146425 blt 0,0,640,480,0, 15106,640,480:waittimer 146444 blt 0,0,640,480,0, 15108,640,480:waittimer 146464 blt 0,0,640,480,0, 15110,640,480:waittimer 146483 blt 0,0,640,480,0, 15112,640,480:waittimer 146502 blt 0,0,640,480,0, 15114,640,480:waittimer 146522 blt 0,0,640,480,0, 15116,640,480:waittimer 146541 blt 0,0,640,480,0, 15118,640,480:waittimer 146561 blt 0,0,640,480,0, 15120,640,480:waittimer 146580 blt 0,0,640,480,0, 15122,640,480:waittimer 146599 blt 0,0,640,480,0, 15124,640,480:waittimer 146619 blt 0,0,640,480,0, 15126,640,480:waittimer 146638 blt 0,0,640,480,0, 15128,640,480:waittimer 146658 blt 0,0,640,480,0, 15130,640,480:waittimer 146677 blt 0,0,640,480,0, 15132,640,480:waittimer 146696 blt 0,0,640,480,0, 15134,640,480:waittimer 146716 blt 0,0,640,480,0, 15136,640,480:waittimer 146735 blt 0,0,640,480,0, 15138,640,480:waittimer 146754 blt 0,0,640,480,0, 15140,640,480:waittimer 146774 blt 0,0,640,480,0, 15142,640,480:waittimer 146793 blt 0,0,640,480,0, 15144,640,480:waittimer 146813 blt 0,0,640,480,0, 15146,640,480:waittimer 146832 blt 0,0,640,480,0, 15148,640,480:waittimer 146851 blt 0,0,640,480,0, 15150,640,480:waittimer 146871 blt 0,0,640,480,0, 15152,640,480:waittimer 146890 blt 0,0,640,480,0, 15154,640,480:waittimer 146910 blt 0,0,640,480,0, 15156,640,480:waittimer 146929 blt 0,0,640,480,0, 15158,640,480:waittimer 146948 blt 0,0,640,480,0, 15160,640,480:waittimer 146968 blt 0,0,640,480,0, 15162,640,480:waittimer 146987 blt 0,0,640,480,0, 15164,640,480:waittimer 147007 blt 0,0,640,480,0, 15166,640,480:waittimer 147026 blt 0,0,640,480,0, 15168,640,480:waittimer 147045 blt 0,0,640,480,0, 15170,640,480:waittimer 147065 blt 0,0,640,480,0, 15172,640,480:waittimer 147084 blt 0,0,640,480,0, 15174,640,480:waittimer 147103 blt 0,0,640,480,0, 15176,640,480:waittimer 147123 blt 0,0,640,480,0, 15178,640,480:waittimer 147142 blt 0,0,640,480,0, 15180,640,480:waittimer 147162 blt 0,0,640,480,0, 15182,640,480:waittimer 147181 blt 0,0,640,480,0, 15184,640,480:waittimer 147200 blt 0,0,640,480,0, 15186,640,480:waittimer 147220 blt 0,0,640,480,0, 15188,640,480:waittimer 147239 blt 0,0,640,480,0, 15190,640,480:waittimer 147259 blt 0,0,640,480,0, 15192,640,480:waittimer 147278 blt 0,0,640,480,0, 15194,640,480:waittimer 147297 blt 0,0,640,480,0, 15196,640,480:waittimer 147317 blt 0,0,640,480,0, 15198,640,480:waittimer 147336 blt 0,0,640,480,0, 15200,640,480:waittimer 147356 blt 0,0,640,480,0, 15202,640,480:waittimer 147375 blt 0,0,640,480,0, 15204,640,480:waittimer 147394 blt 0,0,640,480,0, 15206,640,480:waittimer 147414 blt 0,0,640,480,0, 15208,640,480:waittimer 147433 blt 0,0,640,480,0, 15210,640,480:waittimer 147452 blt 0,0,640,480,0, 15212,640,480:waittimer 147472 blt 0,0,640,480,0, 15214,640,480:waittimer 147491 blt 0,0,640,480,0, 15216,640,480:waittimer 147511 blt 0,0,640,480,0, 15218,640,480:waittimer 147530 blt 0,0,640,480,0, 15220,640,480:waittimer 147549 blt 0,0,640,480,0, 15222,640,480:waittimer 147569 blt 0,0,640,480,0, 15224,640,480:waittimer 147588 blt 0,0,640,480,0, 15226,640,480:waittimer 147608 blt 0,0,640,480,0, 15228,640,480:waittimer 147627 blt 0,0,640,480,0, 15230,640,480:waittimer 147646 blt 0,0,640,480,0, 15232,640,480:waittimer 147666 blt 0,0,640,480,0, 15234,640,480:waittimer 147685 blt 0,0,640,480,0, 15236,640,480:waittimer 147705 blt 0,0,640,480,0, 15238,640,480:waittimer 147724 blt 0,0,640,480,0, 15240,640,480:waittimer 147743 blt 0,0,640,480,0, 15242,640,480:waittimer 147763 blt 0,0,640,480,0, 15244,640,480:waittimer 147782 blt 0,0,640,480,0, 15246,640,480:waittimer 147801 blt 0,0,640,480,0, 15248,640,480:waittimer 147821 blt 0,0,640,480,0, 15250,640,480:waittimer 147840 blt 0,0,640,480,0, 15252,640,480:waittimer 147860 blt 0,0,640,480,0, 15254,640,480:waittimer 147879 blt 0,0,640,480,0, 15256,640,480:waittimer 147898 blt 0,0,640,480,0, 15258,640,480:waittimer 147918 blt 0,0,640,480,0, 15260,640,480:waittimer 147937 blt 0,0,640,480,0, 15262,640,480:waittimer 147957 blt 0,0,640,480,0, 15264,640,480:waittimer 147976 blt 0,0,640,480,0, 15266,640,480:waittimer 147995 blt 0,0,640,480,0, 15268,640,480:waittimer 148015 blt 0,0,640,480,0, 15270,640,480:waittimer 148034 blt 0,0,640,480,0, 15272,640,480:waittimer 148054 blt 0,0,640,480,0, 15274,640,480:waittimer 148073 blt 0,0,640,480,0, 15276,640,480:waittimer 148092 blt 0,0,640,480,0, 15278,640,480:waittimer 148112 blt 0,0,640,480,0, 15280,640,480:waittimer 148131 blt 0,0,640,480,0, 15282,640,480:waittimer 148150 blt 0,0,640,480,0, 15284,640,480:waittimer 148170 blt 0,0,640,480,0, 15286,640,480:waittimer 148189 blt 0,0,640,480,0, 15288,640,480:waittimer 148209 blt 0,0,640,480,0, 15290,640,480:waittimer 148228 blt 0,0,640,480,0, 15292,640,480:waittimer 148247 blt 0,0,640,480,0, 15294,640,480:waittimer 148267 blt 0,0,640,480,0, 15296,640,480:waittimer 148286 blt 0,0,640,480,0, 15298,640,480:waittimer 148306 blt 0,0,640,480,0, 15300,640,480:waittimer 148325 blt 0,0,640,480,0, 15302,640,480:waittimer 148344 blt 0,0,640,480,0, 15304,640,480:waittimer 148364 blt 0,0,640,480,0, 15306,640,480:waittimer 148383 blt 0,0,640,480,0, 15308,640,480:waittimer 148403 blt 0,0,640,480,0, 15310,640,480:waittimer 148422 blt 0,0,640,480,0, 15312,640,480:waittimer 148441 blt 0,0,640,480,0, 15314,640,480:waittimer 148461 blt 0,0,640,480,0, 15316,640,480:waittimer 148480 blt 0,0,640,480,0, 15318,640,480:waittimer 148499 blt 0,0,640,480,0, 15320,640,480:waittimer 148519 blt 0,0,640,480,0, 15322,640,480:waittimer 148538 blt 0,0,640,480,0, 15324,640,480:waittimer 148558 blt 0,0,640,480,0, 15326,640,480:waittimer 148577 blt 0,0,640,480,0, 15328,640,480:waittimer 148596 blt 0,0,640,480,0, 15330,640,480:waittimer 148616 blt 0,0,640,480,0, 15332,640,480:waittimer 148635 blt 0,0,640,480,0, 15334,640,480:waittimer 148655 blt 0,0,640,480,0, 15336,640,480:waittimer 148674 blt 0,0,640,480,0, 15338,640,480:waittimer 148693 blt 0,0,640,480,0, 15340,640,480:waittimer 148713 blt 0,0,640,480,0, 15342,640,480:waittimer 148732 blt 0,0,640,480,0, 15344,640,480:waittimer 148752 blt 0,0,640,480,0, 15346,640,480:waittimer 148771 blt 0,0,640,480,0, 15348,640,480:waittimer 148790 blt 0,0,640,480,0, 15350,640,480:waittimer 148810 blt 0,0,640,480,0, 15352,640,480:waittimer 148829 blt 0,0,640,480,0, 15354,640,480:waittimer 148849 blt 0,0,640,480,0, 15356,640,480:waittimer 148868 blt 0,0,640,480,0, 15358,640,480:waittimer 148887 blt 0,0,640,480,0, 15360,640,480:waittimer 148907 blt 0,0,640,480,0, 15362,640,480:waittimer 148926 blt 0,0,640,480,0, 15364,640,480:waittimer 148945 blt 0,0,640,480,0, 15366,640,480:waittimer 148965 blt 0,0,640,480,0, 15368,640,480:waittimer 148984 blt 0,0,640,480,0, 15370,640,480:waittimer 149004 blt 0,0,640,480,0, 15372,640,480:waittimer 149023 blt 0,0,640,480,0, 15374,640,480:waittimer 149042 blt 0,0,640,480,0, 15376,640,480:waittimer 149062 blt 0,0,640,480,0, 15378,640,480:waittimer 149081 blt 0,0,640,480,0, 15380,640,480:waittimer 149101 blt 0,0,640,480,0, 15382,640,480:waittimer 149120 blt 0,0,640,480,0, 15384,640,480:waittimer 149139 blt 0,0,640,480,0, 15386,640,480:waittimer 149159 blt 0,0,640,480,0, 15388,640,480:waittimer 149178 blt 0,0,640,480,0, 15390,640,480:waittimer 149198 blt 0,0,640,480,0, 15392,640,480:waittimer 149217 blt 0,0,640,480,0, 15394,640,480:waittimer 149236 blt 0,0,640,480,0, 15396,640,480:waittimer 149256 blt 0,0,640,480,0, 15398,640,480:waittimer 149275 blt 0,0,640,480,0, 15400,640,480:waittimer 149294 blt 0,0,640,480,0, 15402,640,480:waittimer 149314 blt 0,0,640,480,0, 15404,640,480:waittimer 149333 blt 0,0,640,480,0, 15406,640,480:waittimer 149353 blt 0,0,640,480,0, 15408,640,480:waittimer 149372 blt 0,0,640,480,0, 15410,640,480:waittimer 149391 blt 0,0,640,480,0, 15412,640,480:waittimer 149411 blt 0,0,640,480,0, 15414,640,480:waittimer 149430 blt 0,0,640,480,0, 15416,640,480:waittimer 149450 blt 0,0,640,480,0, 15418,640,480:waittimer 149469 blt 0,0,640,480,0, 15420,640,480:waittimer 149488 blt 0,0,640,480,0, 15422,640,480:waittimer 149508 blt 0,0,640,480,0, 15424,640,480:waittimer 149527 blt 0,0,640,480,0, 15426,640,480:waittimer 149547 blt 0,0,640,480,0, 15428,640,480:waittimer 149566 blt 0,0,640,480,0, 15430,640,480:waittimer 149585 blt 0,0,640,480,0, 15432,640,480:waittimer 149605 blt 0,0,640,480,0, 15434,640,480:waittimer 149624 blt 0,0,640,480,0, 15436,640,480:waittimer 149643 blt 0,0,640,480,0, 15438,640,480:waittimer 149663 blt 0,0,640,480,0, 15440,640,480:waittimer 149682 blt 0,0,640,480,0, 15442,640,480:waittimer 149702 blt 0,0,640,480,0, 15444,640,480:waittimer 149721 blt 0,0,640,480,0, 15446,640,480:waittimer 149740 blt 0,0,640,480,0, 15448,640,480:waittimer 149760 blt 0,0,640,480,0, 15450,640,480:waittimer 149779 blt 0,0,640,480,0, 15452,640,480:waittimer 149799 blt 0,0,640,480,0, 15454,640,480:waittimer 149818 blt 0,0,640,480,0, 15456,640,480:waittimer 149837 blt 0,0,640,480,0, 15458,640,480:waittimer 149857 blt 0,0,640,480,0, 15460,640,480:waittimer 149876 blt 0,0,640,480,0, 15462,640,480:waittimer 149896 blt 0,0,640,480,0, 15464,640,480:waittimer 149915 blt 0,0,640,480,0, 15466,640,480:waittimer 149934 blt 0,0,640,480,0, 15468,640,480:waittimer 149954 blt 0,0,640,480,0, 15470,640,480:waittimer 149973 blt 0,0,640,480,0, 15472,640,480:waittimer 149992 blt 0,0,640,480,0, 15474,640,480:waittimer 150012 blt 0,0,640,480,0, 15476,640,480:waittimer 150031 blt 0,0,640,480,0, 15478,640,480:waittimer 150051 blt 0,0,640,480,0, 15480,640,480:waittimer 150070 blt 0,0,640,480,0, 15482,640,480:waittimer 150089 blt 0,0,640,480,0, 15484,640,480:waittimer 150109 blt 0,0,640,480,0, 15486,640,480:waittimer 150128 blt 0,0,640,480,0, 15488,640,480:waittimer 150148 blt 0,0,640,480,0, 15490,640,480:waittimer 150167 blt 0,0,640,480,0, 15492,640,480:waittimer 150186 blt 0,0,640,480,0, 15494,640,480:waittimer 150206 blt 0,0,640,480,0, 15496,640,480:waittimer 150225 blt 0,0,640,480,0, 15498,640,480:waittimer 150245 blt 0,0,640,480,0, 15500,640,480:waittimer 150264 blt 0,0,640,480,0, 15502,640,480:waittimer 150283 blt 0,0,640,480,0, 15504,640,480:waittimer 150303 blt 0,0,640,480,0, 15506,640,480:waittimer 150322 blt 0,0,640,480,0, 15508,640,480:waittimer 150341 blt 0,0,640,480,0, 15510,640,480:waittimer 150361 blt 0,0,640,480,0, 15512,640,480:waittimer 150380 blt 0,0,640,480,0, 15514,640,480:waittimer 150400 blt 0,0,640,480,0, 15516,640,480:waittimer 150419 blt 0,0,640,480,0, 15518,640,480:waittimer 150438 blt 0,0,640,480,0, 15520,640,480:waittimer 150458 blt 0,0,640,480,0, 15522,640,480:waittimer 150477 blt 0,0,640,480,0, 15524,640,480:waittimer 150497 blt 0,0,640,480,0, 15526,640,480:waittimer 150516 blt 0,0,640,480,0, 15528,640,480:waittimer 150535 blt 0,0,640,480,0, 15530,640,480:waittimer 150555 blt 0,0,640,480,0, 15532,640,480:waittimer 150574 blt 0,0,640,480,0, 15534,640,480:waittimer 150594 blt 0,0,640,480,0, 15536,640,480:waittimer 150613 blt 0,0,640,480,0, 15538,640,480:waittimer 150632 blt 0,0,640,480,0, 15540,640,480:waittimer 150652 blt 0,0,640,480,0, 15542,640,480:waittimer 150671 blt 0,0,640,480,0, 15544,640,480:waittimer 150690 blt 0,0,640,480,0, 15546,640,480:waittimer 150710 blt 0,0,640,480,0, 15548,640,480:waittimer 150729 blt 0,0,640,480,0, 15550,640,480:waittimer 150749 blt 0,0,640,480,0, 15552,640,480:waittimer 150768 blt 0,0,640,480,0, 15554,640,480:waittimer 150787 blt 0,0,640,480,0, 15556,640,480:waittimer 150807 blt 0,0,640,480,0, 15558,640,480:waittimer 150826 blt 0,0,640,480,0, 15560,640,480:waittimer 150846 blt 0,0,640,480,0, 15562,640,480:waittimer 150865 blt 0,0,640,480,0, 15564,640,480:waittimer 150884 blt 0,0,640,480,0, 15566,640,480:waittimer 150904 blt 0,0,640,480,0, 15568,640,480:waittimer 150923 blt 0,0,640,480,0, 15570,640,480:waittimer 150943 blt 0,0,640,480,0, 15572,640,480:waittimer 150962 blt 0,0,640,480,0, 15574,640,480:waittimer 150981 blt 0,0,640,480,0, 15576,640,480:waittimer 151001 blt 0,0,640,480,0, 15578,640,480:waittimer 151020 blt 0,0,640,480,0, 15580,640,480:waittimer 151039 blt 0,0,640,480,0, 15582,640,480:waittimer 151059 blt 0,0,640,480,0, 15584,640,480:waittimer 151078 blt 0,0,640,480,0, 15586,640,480:waittimer 151098 blt 0,0,640,480,0, 15588,640,480:waittimer 151117 blt 0,0,640,480,0, 15590,640,480:waittimer 151136 blt 0,0,640,480,0, 15592,640,480:waittimer 151156 blt 0,0,640,480,0, 15594,640,480:waittimer 151175 blt 0,0,640,480,0, 15596,640,480:waittimer 151195 blt 0,0,640,480,0, 15598,640,480:waittimer 151214 blt 0,0,640,480,0, 15600,640,480:waittimer 151233 blt 0,0,640,480,0, 15602,640,480:waittimer 151253 blt 0,0,640,480,0, 15604,640,480:waittimer 151272 blt 0,0,640,480,0, 15606,640,480:waittimer 151292 blt 0,0,640,480,0, 15608,640,480:waittimer 151311 blt 0,0,640,480,0, 15610,640,480:waittimer 151330 blt 0,0,640,480,0, 15612,640,480:waittimer 151350 blt 0,0,640,480,0, 15614,640,480:waittimer 151369 blt 0,0,640,480,0, 15616,640,480:waittimer 151388 blt 0,0,640,480,0, 15618,640,480:waittimer 151408 blt 0,0,640,480,0, 15620,640,480:waittimer 151427 blt 0,0,640,480,0, 15622,640,480:waittimer 151447 blt 0,0,640,480,0, 15624,640,480:waittimer 151466 blt 0,0,640,480,0, 15626,640,480:waittimer 151485 blt 0,0,640,480,0, 15628,640,480:waittimer 151505 blt 0,0,640,480,0, 15630,640,480:waittimer 151524 blt 0,0,640,480,0, 15632,640,480:waittimer 151544 blt 0,0,640,480,0, 15634,640,480:waittimer 151563 blt 0,0,640,480,0, 15636,640,480:waittimer 151582 blt 0,0,640,480,0, 15638,640,480:waittimer 151602 blt 0,0,640,480,0, 15640,640,480:waittimer 151621 blt 0,0,640,480,0, 15642,640,480:waittimer 151641 blt 0,0,640,480,0, 15644,640,480:waittimer 151660 blt 0,0,640,480,0, 15646,640,480:waittimer 151679 blt 0,0,640,480,0, 15648,640,480:waittimer 151699 blt 0,0,640,480,0, 15650,640,480:waittimer 151718 blt 0,0,640,480,0, 15652,640,480:waittimer 151737 blt 0,0,640,480,0, 15654,640,480:waittimer 151757 blt 0,0,640,480,0, 15656,640,480:waittimer 151776 blt 0,0,640,480,0, 15658,640,480:waittimer 151796 blt 0,0,640,480,0, 15660,640,480:waittimer 151815 blt 0,0,640,480,0, 15662,640,480:waittimer 151834 blt 0,0,640,480,0, 15664,640,480:waittimer 151854 blt 0,0,640,480,0, 15666,640,480:waittimer 151873 blt 0,0,640,480,0, 15668,640,480:waittimer 151893 blt 0,0,640,480,0, 15670,640,480:waittimer 151912 blt 0,0,640,480,0, 15672,640,480:waittimer 151931 blt 0,0,640,480,0, 15674,640,480:waittimer 151951 blt 0,0,640,480,0, 15676,640,480:waittimer 151970 blt 0,0,640,480,0, 15678,640,480:waittimer 151990 blt 0,0,640,480,0, 15680,640,480:waittimer 152009 blt 0,0,640,480,0, 15682,640,480:waittimer 152028 blt 0,0,640,480,0, 15684,640,480:waittimer 152048 blt 0,0,640,480,0, 15686,640,480:waittimer 152067 blt 0,0,640,480,0, 15688,640,480:waittimer 152086 blt 0,0,640,480,0, 15690,640,480:waittimer 152106 blt 0,0,640,480,0, 15692,640,480:waittimer 152125 blt 0,0,640,480,0, 15694,640,480:waittimer 152145 blt 0,0,640,480,0, 15696,640,480:waittimer 152164 blt 0,0,640,480,0, 15698,640,480:waittimer 152183 blt 0,0,640,480,0, 15700,640,480:waittimer 152203 blt 0,0,640,480,0, 15702,640,480:waittimer 152222 blt 0,0,640,480,0, 15704,640,480:waittimer 152242 blt 0,0,640,480,0, 15706,640,480:waittimer 152261 blt 0,0,640,480,0, 15708,640,480:waittimer 152280 blt 0,0,640,480,0, 15710,640,480:waittimer 152300 blt 0,0,640,480,0, 15712,640,480:waittimer 152319 blt 0,0,640,480,0, 15714,640,480:waittimer 152339 blt 0,0,640,480,0, 15716,640,480:waittimer 152358 blt 0,0,640,480,0, 15718,640,480:waittimer 152377 blt 0,0,640,480,0, 15720,640,480:waittimer 152397 blt 0,0,640,480,0, 15722,640,480:waittimer 152416 blt 0,0,640,480,0, 15724,640,480:waittimer 152435 blt 0,0,640,480,0, 15726,640,480:waittimer 152455 blt 0,0,640,480,0, 15728,640,480:waittimer 152474 blt 0,0,640,480,0, 15730,640,480:waittimer 152494 blt 0,0,640,480,0, 15732,640,480:waittimer 152513 blt 0,0,640,480,0, 15734,640,480:waittimer 152532 blt 0,0,640,480,0, 15736,640,480:waittimer 152552 blt 0,0,640,480,0, 15738,640,480:waittimer 152571 blt 0,0,640,480,0, 15740,640,480:waittimer 152591 blt 0,0,640,480,0, 15742,640,480:waittimer 152610 blt 0,0,640,480,0, 15744,640,480:waittimer 152629 blt 0,0,640,480,0, 15746,640,480:waittimer 152649 blt 0,0,640,480,0, 15748,640,480:waittimer 152668 blt 0,0,640,480,0, 15750,640,480:waittimer 152688 blt 0,0,640,480,0, 15752,640,480:waittimer 152707 blt 0,0,640,480,0, 15754,640,480:waittimer 152726 blt 0,0,640,480,0, 15756,640,480:waittimer 152746 blt 0,0,640,480,0, 15758,640,480:waittimer 152765 blt 0,0,640,480,0, 15760,640,480:waittimer 152784 blt 0,0,640,480,0, 15762,640,480:waittimer 152804 blt 0,0,640,480,0, 15764,640,480:waittimer 152823 blt 0,0,640,480,0, 15766,640,480:waittimer 152843 blt 0,0,640,480,0, 15768,640,480:waittimer 152862 blt 0,0,640,480,0, 15770,640,480:waittimer 152881 blt 0,0,640,480,0, 15772,640,480:waittimer 152901 blt 0,0,640,480,0, 15774,640,480:waittimer 152920 blt 0,0,640,480,0, 15776,640,480:waittimer 152940 blt 0,0,640,480,0, 15778,640,480:waittimer 152959 blt 0,0,640,480,0, 15780,640,480:waittimer 152978 blt 0,0,640,480,0, 15782,640,480:waittimer 152998 blt 0,0,640,480,0, 15784,640,480:waittimer 153017 blt 0,0,640,480,0, 15786,640,480:waittimer 153037 blt 0,0,640,480,0, 15788,640,480:waittimer 153056 blt 0,0,640,480,0, 15790,640,480:waittimer 153075 blt 0,0,640,480,0, 15792,640,480:waittimer 153095 blt 0,0,640,480,0, 15794,640,480:waittimer 153114 blt 0,0,640,480,0, 15796,640,480:waittimer 153133 blt 0,0,640,480,0, 15798,640,480:waittimer 153153 blt 0,0,640,480,0, 15800,640,480:waittimer 153172 blt 0,0,640,480,0, 15802,640,480:waittimer 153192 blt 0,0,640,480,0, 15804,640,480:waittimer 153211 blt 0,0,640,480,0, 15806,640,480:waittimer 153230 blt 0,0,640,480,0, 15808,640,480:waittimer 153250 blt 0,0,640,480,0, 15810,640,480:waittimer 153269 blt 0,0,640,480,0, 15812,640,480:waittimer 153289 blt 0,0,640,480,0, 15814,640,480:waittimer 153308 blt 0,0,640,480,0, 15816,640,480:waittimer 153327 blt 0,0,640,480,0, 15818,640,480:waittimer 153347 blt 0,0,640,480,0, 15820,640,480:waittimer 153366 blt 0,0,640,480,0, 15822,640,480:waittimer 153386 blt 0,0,640,480,0, 15824,640,480:waittimer 153405 blt 0,0,640,480,0, 15826,640,480:waittimer 153424 blt 0,0,640,480,0, 15828,640,480:waittimer 153444 blt 0,0,640,480,0, 15830,640,480:waittimer 153463 blt 0,0,640,480,0, 15832,640,480:waittimer 153482 blt 0,0,640,480,0, 15834,640,480:waittimer 153502 blt 0,0,640,480,0, 15836,640,480:waittimer 153521 blt 0,0,640,480,0, 15838,640,480:waittimer 153541 blt 0,0,640,480,0, 15840,640,480:waittimer 153560 blt 0,0,640,480,0, 15842,640,480:waittimer 153579 blt 0,0,640,480,0, 15844,640,480:waittimer 153599 blt 0,0,640,480,0, 15846,640,480:waittimer 153618 blt 0,0,640,480,0, 15848,640,480:waittimer 153638 blt 0,0,640,480,0, 15850,640,480:waittimer 153657 blt 0,0,640,480,0, 15852,640,480:waittimer 153676 blt 0,0,640,480,0, 15854,640,480:waittimer 153696 blt 0,0,640,480,0, 15856,640,480:waittimer 153715 blt 0,0,640,480,0, 15858,640,480:waittimer 153735 blt 0,0,640,480,0, 15860,640,480:waittimer 153754 blt 0,0,640,480,0, 15862,640,480:waittimer 153773 blt 0,0,640,480,0, 15864,640,480:waittimer 153793 blt 0,0,640,480,0, 15866,640,480:waittimer 153812 blt 0,0,640,480,0, 15868,640,480:waittimer 153831 blt 0,0,640,480,0, 15870,640,480:waittimer 153851 blt 0,0,640,480,0, 15872,640,480:waittimer 153870 blt 0,0,640,480,0, 15874,640,480:waittimer 153890 blt 0,0,640,480,0, 15876,640,480:waittimer 153909 blt 0,0,640,480,0, 15878,640,480:waittimer 153928 blt 0,0,640,480,0, 15880,640,480:waittimer 153948 blt 0,0,640,480,0, 15882,640,480:waittimer 153967 blt 0,0,640,480,0, 15884,640,480:waittimer 153987 blt 0,0,640,480,0, 15886,640,480:waittimer 154006 blt 0,0,640,480,0, 15888,640,480:waittimer 154025 blt 0,0,640,480,0, 15890,640,480:waittimer 154045 blt 0,0,640,480,0, 15892,640,480:waittimer 154064 blt 0,0,640,480,0, 15894,640,480:waittimer 154084 blt 0,0,640,480,0, 15896,640,480:waittimer 154103 blt 0,0,640,480,0, 15898,640,480:waittimer 154122 blt 0,0,640,480,0, 15900,640,480:waittimer 154142 blt 0,0,640,480,0, 15902,640,480:waittimer 154161 blt 0,0,640,480,0, 15904,640,480:waittimer 154180 blt 0,0,640,480,0, 15906,640,480:waittimer 154200 blt 0,0,640,480,0, 15908,640,480:waittimer 154219 blt 0,0,640,480,0, 15910,640,480:waittimer 154239 blt 0,0,640,480,0, 15912,640,480:waittimer 154258 blt 0,0,640,480,0, 15914,640,480:waittimer 154277 blt 0,0,640,480,0, 15916,640,480:waittimer 154297 blt 0,0,640,480,0, 15918,640,480:waittimer 154316 blt 0,0,640,480,0, 15920,640,480:waittimer 154336 blt 0,0,640,480,0, 15922,640,480:waittimer 154355 blt 0,0,640,480,0, 15924,640,480:waittimer 154374 blt 0,0,640,480,0, 15926,640,480:waittimer 154394 blt 0,0,640,480,0, 15928,640,480:waittimer 154413 blt 0,0,640,480,0, 15930,640,480:waittimer 154433 blt 0,0,640,480,0, 15932,640,480:waittimer 154452 blt 0,0,640,480,0, 15934,640,480:waittimer 154471 blt 0,0,640,480,0, 15936,640,480:waittimer 154491 blt 0,0,640,480,0, 15938,640,480:waittimer 154510 blt 0,0,640,480,0, 15940,640,480:waittimer 154529 blt 0,0,640,480,0, 15942,640,480:waittimer 154549 blt 0,0,640,480,0, 15944,640,480:waittimer 154568 blt 0,0,640,480,0, 15946,640,480:waittimer 154588 blt 0,0,640,480,0, 15948,640,480:waittimer 154607 blt 0,0,640,480,0, 15950,640,480:waittimer 154626 blt 0,0,640,480,0, 15952,640,480:waittimer 154646 blt 0,0,640,480,0, 15954,640,480:waittimer 154665 blt 0,0,640,480,0, 15956,640,480:waittimer 154685 blt 0,0,640,480,0, 15958,640,480:waittimer 154704 blt 0,0,640,480,0, 15960,640,480:waittimer 154723 blt 0,0,640,480,0, 15962,640,480:waittimer 154743 blt 0,0,640,480,0, 15964,640,480:waittimer 154762 blt 0,0,640,480,0, 15966,640,480:waittimer 154782 blt 0,0,640,480,0, 15968,640,480:waittimer 154801 blt 0,0,640,480,0, 15970,640,480:waittimer 154820 blt 0,0,640,480,0, 15972,640,480:waittimer 154840 blt 0,0,640,480,0, 15974,640,480:waittimer 154859 blt 0,0,640,480,0, 15976,640,480:waittimer 154878 blt 0,0,640,480,0, 15978,640,480:waittimer 154898 blt 0,0,640,480,0, 15980,640,480:waittimer 154917 blt 0,0,640,480,0, 15982,640,480:waittimer 154937 blt 0,0,640,480,0, 15984,640,480:waittimer 154956 blt 0,0,640,480,0, 15986,640,480:waittimer 154975 blt 0,0,640,480,0, 15988,640,480:waittimer 154995 blt 0,0,640,480,0, 15990,640,480:waittimer 155014 blt 0,0,640,480,0, 15992,640,480:waittimer 155034 blt 0,0,640,480,0, 15994,640,480:waittimer 155053 blt 0,0,640,480,0, 15996,640,480:waittimer 155072 blt 0,0,640,480,0, 15998,640,480:waittimer 155092 blt 0,0,640,480,0, 16000,640,480:waittimer 155111 blt 0,0,640,480,0, 16002,640,480:waittimer 155131 blt 0,0,640,480,0, 16004,640,480:waittimer 155150 blt 0,0,640,480,0, 16006,640,480:waittimer 155169 blt 0,0,640,480,0, 16008,640,480:waittimer 155189 blt 0,0,640,480,0, 16010,640,480:waittimer 155208 blt 0,0,640,480,0, 16012,640,480:waittimer 155227 blt 0,0,640,480,0, 16014,640,480:waittimer 155247 blt 0,0,640,480,0, 16016,640,480:waittimer 155266 blt 0,0,640,480,0, 16018,640,480:waittimer 155286 blt 0,0,640,480,0, 16020,640,480:waittimer 155305 blt 0,0,640,480,0, 16022,640,480:waittimer 155324 blt 0,0,640,480,0, 16024,640,480:waittimer 155344 blt 0,0,640,480,0, 16026,640,480:waittimer 155363 blt 0,0,640,480,0, 16028,640,480:waittimer 155383 blt 0,0,640,480,0, 16030,640,480:waittimer 155402 blt 0,0,640,480,0, 16032,640,480:waittimer 155421 blt 0,0,640,480,0, 16034,640,480:waittimer 155441 blt 0,0,640,480,0, 16036,640,480:waittimer 155460 blt 0,0,640,480,0, 16038,640,480:waittimer 155480 blt 0,0,640,480,0, 16040,640,480:waittimer 155499 blt 0,0,640,480,0, 16042,640,480:waittimer 155518 blt 0,0,640,480,0, 16044,640,480:waittimer 155538 blt 0,0,640,480,0, 16046,640,480:waittimer 155557 blt 0,0,640,480,0, 16048,640,480:waittimer 155576 blt 0,0,640,480,0, 16050,640,480:waittimer 155596 blt 0,0,640,480,0, 16052,640,480:waittimer 155615 blt 0,0,640,480,0, 16054,640,480:waittimer 155635 blt 0,0,640,480,0, 16056,640,480:waittimer 155654 blt 0,0,640,480,0, 16058,640,480:waittimer 155673 blt 0,0,640,480,0, 16060,640,480:waittimer 155693 blt 0,0,640,480,0, 16062,640,480:waittimer 155712 blt 0,0,640,480,0, 16064,640,480:waittimer 155732 blt 0,0,640,480,0, 16066,640,480:waittimer 155751 blt 0,0,640,480,0, 16068,640,480:waittimer 155770 blt 0,0,640,480,0, 16070,640,480:waittimer 155790 blt 0,0,640,480,0, 16072,640,480:waittimer 155809 blt 0,0,640,480,0, 16074,640,480:waittimer 155829 blt 0,0,640,480,0, 16076,640,480:waittimer 155848 blt 0,0,640,480,0, 16078,640,480:waittimer 155867 blt 0,0,640,480,0, 16080,640,480:waittimer 155887 blt 0,0,640,480,0, 16082,640,480:waittimer 155906 blt 0,0,640,480,0, 16084,640,480:waittimer 155925 blt 0,0,640,480,0, 16086,640,480:waittimer 155945 blt 0,0,640,480,0, 16088,640,480:waittimer 155964 blt 0,0,640,480,0, 16090,640,480:waittimer 155984 blt 0,0,640,480,0, 16092,640,480:waittimer 156003 blt 0,0,640,480,0, 16094,640,480:waittimer 156022 blt 0,0,640,480,0, 16096,640,480:waittimer 156042 blt 0,0,640,480,0, 16098,640,480:waittimer 156061 blt 0,0,640,480,0, 16100,640,480:waittimer 156081 blt 0,0,640,480,0, 16102,640,480:waittimer 156100 blt 0,0,640,480,0, 16104,640,480:waittimer 156119 blt 0,0,640,480,0, 16106,640,480:waittimer 156139 blt 0,0,640,480,0, 16108,640,480:waittimer 156158 blt 0,0,640,480,0, 16110,640,480:waittimer 156178 blt 0,0,640,480,0, 16112,640,480:waittimer 156197 blt 0,0,640,480,0, 16114,640,480:waittimer 156216 blt 0,0,640,480,0, 16116,640,480:waittimer 156236 blt 0,0,640,480,0, 16118,640,480:waittimer 156255 blt 0,0,640,480,0, 16120,640,480:waittimer 156274 blt 0,0,640,480,0, 16122,640,480:waittimer 156294 blt 0,0,640,480,0, 16124,640,480:waittimer 156313 blt 0,0,640,480,0, 16126,640,480:waittimer 156333 blt 0,0,640,480,0, 16128,640,480:waittimer 156352 blt 0,0,640,480,0, 16130,640,480:waittimer 156371 blt 0,0,640,480,0, 16132,640,480:waittimer 156391 blt 0,0,640,480,0, 16134,640,480:waittimer 156410 blt 0,0,640,480,0, 16136,640,480:waittimer 156430 blt 0,0,640,480,0, 16138,640,480:waittimer 156449 blt 0,0,640,480,0, 16140,640,480:waittimer 156468 blt 0,0,640,480,0, 16142,640,480:waittimer 156488 blt 0,0,640,480,0, 16144,640,480:waittimer 156507 blt 0,0,640,480,0, 16146,640,480:waittimer 156527 blt 0,0,640,480,0, 16148,640,480:waittimer 156546 blt 0,0,640,480,0, 16150,640,480:waittimer 156565 blt 0,0,640,480,0, 16152,640,480:waittimer 156585 blt 0,0,640,480,0, 16154,640,480:waittimer 156604 blt 0,0,640,480,0, 16156,640,480:waittimer 156623 blt 0,0,640,480,0, 16158,640,480:waittimer 156643 blt 0,0,640,480,0, 16160,640,480:waittimer 156662 blt 0,0,640,480,0, 16162,640,480:waittimer 156682 blt 0,0,640,480,0, 16164,640,480:waittimer 156701 blt 0,0,640,480,0, 16166,640,480:waittimer 156720 blt 0,0,640,480,0, 16168,640,480:waittimer 156740 blt 0,0,640,480,0, 16170,640,480:waittimer 156759 blt 0,0,640,480,0, 16172,640,480:waittimer 156779 blt 0,0,640,480,0, 16174,640,480:waittimer 156798 blt 0,0,640,480,0, 16176,640,480:waittimer 156817 blt 0,0,640,480,0, 16178,640,480:waittimer 156837 blt 0,0,640,480,0, 16180,640,480:waittimer 156856 blt 0,0,640,480,0, 16182,640,480:waittimer 156876 blt 0,0,640,480,0, 16184,640,480:waittimer 156895 blt 0,0,640,480,0, 16186,640,480:waittimer 156914 blt 0,0,640,480,0, 16188,640,480:waittimer 156934 blt 0,0,640,480,0, 16190,640,480:waittimer 156953 blt 0,0,640,480,0, 16192,640,480:waittimer 156972 blt 0,0,640,480,0, 16194,640,480:waittimer 156992 blt 0,0,640,480,0, 16196,640,480:waittimer 157011 blt 0,0,640,480,0, 16198,640,480:waittimer 157031 blt 0,0,640,480,0, 16200,640,480:waittimer 157050 blt 0,0,640,480,0, 16202,640,480:waittimer 157069 blt 0,0,640,480,0, 16204,640,480:waittimer 157089 blt 0,0,640,480,0, 16206,640,480:waittimer 157108 blt 0,0,640,480,0, 16208,640,480:waittimer 157128 blt 0,0,640,480,0, 16210,640,480:waittimer 157147 blt 0,0,640,480,0, 16212,640,480:waittimer 157166 blt 0,0,640,480,0, 16214,640,480:waittimer 157186 blt 0,0,640,480,0, 16216,640,480:waittimer 157205 blt 0,0,640,480,0, 16218,640,480:waittimer 157225 blt 0,0,640,480,0, 16220,640,480:waittimer 157244 blt 0,0,640,480,0, 16222,640,480:waittimer 157263 blt 0,0,640,480,0, 16224,640,480:waittimer 157283 blt 0,0,640,480,0, 16226,640,480:waittimer 157302 blt 0,0,640,480,0, 16228,640,480:waittimer 157321 blt 0,0,640,480,0, 16230,640,480:waittimer 157341 blt 0,0,640,480,0, 16232,640,480:waittimer 157360 blt 0,0,640,480,0, 16234,640,480:waittimer 157380 blt 0,0,640,480,0, 16236,640,480:waittimer 157399 blt 0,0,640,480,0, 16238,640,480:waittimer 157418 blt 0,0,640,480,0, 16240,640,480:waittimer 157438 blt 0,0,640,480,0, 16242,640,480:waittimer 157457 blt 0,0,640,480,0, 16244,640,480:waittimer 157477 blt 0,0,640,480,0, 16246,640,480:waittimer 157496 blt 0,0,640,480,0, 16248,640,480:waittimer 157515 blt 0,0,640,480,0, 16250,640,480:waittimer 157535 blt 0,0,640,480,0, 16252,640,480:waittimer 157554 blt 0,0,640,480,0, 16254,640,480:waittimer 157574 blt 0,0,640,480,0, 16256,640,480:waittimer 157593 blt 0,0,640,480,0, 16258,640,480:waittimer 157612 blt 0,0,640,480,0, 16260,640,480:waittimer 157632 blt 0,0,640,480,0, 16262,640,480:waittimer 157651 blt 0,0,640,480,0, 16264,640,480:waittimer 157670 blt 0,0,640,480,0, 16266,640,480:waittimer 157690 blt 0,0,640,480,0, 16268,640,480:waittimer 157709 blt 0,0,640,480,0, 16270,640,480:waittimer 157729 blt 0,0,640,480,0, 16272,640,480:waittimer 157748 blt 0,0,640,480,0, 16274,640,480:waittimer 157767 blt 0,0,640,480,0, 16276,640,480:waittimer 157787 blt 0,0,640,480,0, 16278,640,480:waittimer 157806 blt 0,0,640,480,0, 16280,640,480:waittimer 157826 blt 0,0,640,480,0, 16282,640,480:waittimer 157845 blt 0,0,640,480,0, 16284,640,480:waittimer 157864 blt 0,0,640,480,0, 16286,640,480:waittimer 157884 blt 0,0,640,480,0, 16288,640,480:waittimer 157903 blt 0,0,640,480,0, 16290,640,480:waittimer 157923 blt 0,0,640,480,0, 16292,640,480:waittimer 157942 blt 0,0,640,480,0, 16294,640,480:waittimer 157961 blt 0,0,640,480,0, 16296,640,480:waittimer 157981 blt 0,0,640,480,0, 16298,640,480:waittimer 158000 blt 0,0,640,480,0, 16300,640,480:waittimer 158019 blt 0,0,640,480,0, 16302,640,480:waittimer 158039 blt 0,0,640,480,0, 16304,640,480:waittimer 158058 blt 0,0,640,480,0, 16306,640,480:waittimer 158078 blt 0,0,640,480,0, 16308,640,480:waittimer 158097 blt 0,0,640,480,0, 16310,640,480:waittimer 158116 blt 0,0,640,480,0, 16312,640,480:waittimer 158136 blt 0,0,640,480,0, 16314,640,480:waittimer 158155 blt 0,0,640,480,0, 16316,640,480:waittimer 158175 blt 0,0,640,480,0, 16318,640,480:waittimer 158194 blt 0,0,640,480,0, 16320,640,480:waittimer 158213 blt 0,0,640,480,0, 16322,640,480:waittimer 158233 blt 0,0,640,480,0, 16324,640,480:waittimer 158252 blt 0,0,640,480,0, 16326,640,480:waittimer 158272 blt 0,0,640,480,0, 16328,640,480:waittimer 158291 blt 0,0,640,480,0, 16330,640,480:waittimer 158310 blt 0,0,640,480,0, 16332,640,480:waittimer 158330 blt 0,0,640,480,0, 16334,640,480:waittimer 158349 blt 0,0,640,480,0, 16336,640,480:waittimer 158368 blt 0,0,640,480,0, 16338,640,480:waittimer 158388 blt 0,0,640,480,0, 16340,640,480:waittimer 158407 blt 0,0,640,480,0, 16342,640,480:waittimer 158427 blt 0,0,640,480,0, 16344,640,480:waittimer 158446 blt 0,0,640,480,0, 16346,640,480:waittimer 158465 blt 0,0,640,480,0, 16348,640,480:waittimer 158485 blt 0,0,640,480,0, 16350,640,480:waittimer 158504 blt 0,0,640,480,0, 16352,640,480:waittimer 158524 blt 0,0,640,480,0, 16354,640,480:waittimer 158543 blt 0,0,640,480,0, 16356,640,480:waittimer 158562 blt 0,0,640,480,0, 16358,640,480:waittimer 158582 blt 0,0,640,480,0, 16360,640,480:waittimer 158601 blt 0,0,640,480,0, 16362,640,480:waittimer 158621 blt 0,0,640,480,0, 16364,640,480:waittimer 158640 blt 0,0,640,480,0, 16366,640,480:waittimer 158659 blt 0,0,640,480,0, 16368,640,480:waittimer 158679 blt 0,0,640,480,0, 16370,640,480:waittimer 158698 blt 0,0,640,480,0, 16372,640,480:waittimer 158717 blt 0,0,640,480,0, 16374,640,480:waittimer 158737 blt 0,0,640,480,0, 16376,640,480:waittimer 158756 blt 0,0,640,480,0, 16378,640,480:waittimer 158776 blt 0,0,640,480,0, 16380,640,480:waittimer 158795 blt 0,0,640,480,0, 16382,640,480:waittimer 158814 blt 0,0,640,480,0, 16384,640,480:waittimer 158834 blt 0,0,640,480,0, 16386,640,480:waittimer 158853 blt 0,0,640,480,0, 16388,640,480:waittimer 158873 blt 0,0,640,480,0, 16390,640,480:waittimer 158892 blt 0,0,640,480,0, 16392,640,480:waittimer 158911 blt 0,0,640,480,0, 16394,640,480:waittimer 158931 blt 0,0,640,480,0, 16396,640,480:waittimer 158950 blt 0,0,640,480,0, 16398,640,480:waittimer 158970 blt 0,0,640,480,0, 16400,640,480:waittimer 158989 blt 0,0,640,480,0, 16402,640,480:waittimer 159008 blt 0,0,640,480,0, 16404,640,480:waittimer 159028 blt 0,0,640,480,0, 16406,640,480:waittimer 159047 blt 0,0,640,480,0, 16408,640,480:waittimer 159066 blt 0,0,640,480,0, 16410,640,480:waittimer 159086 blt 0,0,640,480,0, 16412,640,480:waittimer 159105 blt 0,0,640,480,0, 16414,640,480:waittimer 159125 blt 0,0,640,480,0, 16416,640,480:waittimer 159144 blt 0,0,640,480,0, 16418,640,480:waittimer 159163 blt 0,0,640,480,0, 16420,640,480:waittimer 159183 blt 0,0,640,480,0, 16422,640,480:waittimer 159202 blt 0,0,640,480,0, 16424,640,480:waittimer 159222 blt 0,0,640,480,0, 16426,640,480:waittimer 159241 blt 0,0,640,480,0, 16428,640,480:waittimer 159260 blt 0,0,640,480,0, 16430,640,480:waittimer 159280 blt 0,0,640,480,0, 16432,640,480:waittimer 159299 blt 0,0,640,480,0, 16434,640,480:waittimer 159319 blt 0,0,640,480,0, 16436,640,480:waittimer 159338 blt 0,0,640,480,0, 16438,640,480:waittimer 159357 blt 0,0,640,480,0, 16440,640,480:waittimer 159377 blt 0,0,640,480,0, 16442,640,480:waittimer 159396 blt 0,0,640,480,0, 16444,640,480:waittimer 159415 blt 0,0,640,480,0, 16446,640,480:waittimer 159435 blt 0,0,640,480,0, 16448,640,480:waittimer 159454 blt 0,0,640,480,0, 16450,640,480:waittimer 159474 blt 0,0,640,480,0, 16452,640,480:waittimer 159493 blt 0,0,640,480,0, 16454,640,480:waittimer 159512 blt 0,0,640,480,0, 16456,640,480:waittimer 159532 blt 0,0,640,480,0, 16458,640,480:waittimer 159551 blt 0,0,640,480,0, 16460,640,480:waittimer 159571 blt 0,0,640,480,0, 16462,640,480:waittimer 159590 blt 0,0,640,480,0, 16464,640,480:waittimer 159609 blt 0,0,640,480,0, 16466,640,480:waittimer 159629 blt 0,0,640,480,0, 16468,640,480:waittimer 159648 blt 0,0,640,480,0, 16470,640,480:waittimer 159668 blt 0,0,640,480,0, 16472,640,480:waittimer 159687 blt 0,0,640,480,0, 16474,640,480:waittimer 159706 blt 0,0,640,480,0, 16476,640,480:waittimer 159726 blt 0,0,640,480,0, 16478,640,480:waittimer 159745 blt 0,0,640,480,0, 16480,640,480:waittimer 159764 blt 0,0,640,480,0, 16482,640,480:waittimer 159784 blt 0,0,640,480,0, 16484,640,480:waittimer 159803 blt 0,0,640,480,0, 16486,640,480:waittimer 159823 blt 0,0,640,480,0, 16488,640,480:waittimer 159842 blt 0,0,640,480,0, 16490,640,480:waittimer 159861 blt 0,0,640,480,0, 16492,640,480:waittimer 159881 blt 0,0,640,480,0, 16494,640,480:waittimer 159900 blt 0,0,640,480,0, 16496,640,480:waittimer 159920 blt 0,0,640,480,0, 16498,640,480:waittimer 159939 blt 0,0,640,480,0, 16500,640,480:waittimer 159958 blt 0,0,640,480,0, 16502,640,480:waittimer 159978 blt 0,0,640,480,0, 16504,640,480:waittimer 159997 blt 0,0,640,480,0, 16506,640,480:waittimer 160017 blt 0,0,640,480,0, 16508,640,480:waittimer 160036 blt 0,0,640,480,0, 16510,640,480:waittimer 160055 blt 0,0,640,480,0, 16512,640,480:waittimer 160075 blt 0,0,640,480,0, 16514,640,480:waittimer 160094 blt 0,0,640,480,0, 16516,640,480:waittimer 160113 blt 0,0,640,480,0, 16518,640,480:waittimer 160133 blt 0,0,640,480,0, 16520,640,480:waittimer 160152 blt 0,0,640,480,0, 16522,640,480:waittimer 160172 blt 0,0,640,480,0, 16524,640,480:waittimer 160191 blt 0,0,640,480,0, 16526,640,480:waittimer 160210 blt 0,0,640,480,0, 16528,640,480:waittimer 160230 blt 0,0,640,480,0, 16530,640,480:waittimer 160249 blt 0,0,640,480,0, 16532,640,480:waittimer 160269 blt 0,0,640,480,0, 16534,640,480:waittimer 160288 blt 0,0,640,480,0, 16536,640,480:waittimer 160307 blt 0,0,640,480,0, 16538,640,480:waittimer 160327 blt 0,0,640,480,0, 16540,640,480:waittimer 160346 blt 0,0,640,480,0, 16542,640,480:waittimer 160366 blt 0,0,640,480,0, 16544,640,480:waittimer 160385 blt 0,0,640,480,0, 16546,640,480:waittimer 160404 blt 0,0,640,480,0, 16548,640,480:waittimer 160424 blt 0,0,640,480,0, 16550,640,480:waittimer 160443 blt 0,0,640,480,0, 16552,640,480:waittimer 160462 blt 0,0,640,480,0, 16554,640,480:waittimer 160482 blt 0,0,640,480,0, 16556,640,480:waittimer 160501 blt 0,0,640,480,0, 16558,640,480:waittimer 160521 blt 0,0,640,480,0, 16560,640,480:waittimer 160540 blt 0,0,640,480,0, 16562,640,480:waittimer 160559 blt 0,0,640,480,0, 16564,640,480:waittimer 160579 blt 0,0,640,480,0, 16566,640,480:waittimer 160598 blt 0,0,640,480,0, 16568,640,480:waittimer 160618 blt 0,0,640,480,0, 16570,640,480:waittimer 160637 blt 0,0,640,480,0, 16572,640,480:waittimer 160656 blt 0,0,640,480,0, 16574,640,480:waittimer 160676 blt 0,0,640,480,0, 16576,640,480:waittimer 160695 blt 0,0,640,480,0, 16578,640,480:waittimer 160715 blt 0,0,640,480,0, 16580,640,480:waittimer 160734 blt 0,0,640,480,0, 16582,640,480:waittimer 160753 blt 0,0,640,480,0, 16584,640,480:waittimer 160773 blt 0,0,640,480,0, 16586,640,480:waittimer 160792 blt 0,0,640,480,0, 16588,640,480:waittimer 160811 blt 0,0,640,480,0, 16590,640,480:waittimer 160831 blt 0,0,640,480,0, 16592,640,480:waittimer 160850 blt 0,0,640,480,0, 16594,640,480:waittimer 160870 blt 0,0,640,480,0, 16596,640,480:waittimer 160889 blt 0,0,640,480,0, 16598,640,480:waittimer 160908 blt 0,0,640,480,0, 16600,640,480:waittimer 160928 blt 0,0,640,480,0, 16602,640,480:waittimer 160947 blt 0,0,640,480,0, 16604,640,480:waittimer 160967 blt 0,0,640,480,0, 16606,640,480:waittimer 160986 blt 0,0,640,480,0, 16608,640,480:waittimer 161005 blt 0,0,640,480,0, 16610,640,480:waittimer 161025 blt 0,0,640,480,0, 16612,640,480:waittimer 161044 blt 0,0,640,480,0, 16614,640,480:waittimer 161064 blt 0,0,640,480,0, 16616,640,480:waittimer 161083 blt 0,0,640,480,0, 16618,640,480:waittimer 161102 blt 0,0,640,480,0, 16620,640,480:waittimer 161122 blt 0,0,640,480,0, 16622,640,480:waittimer 161141 blt 0,0,640,480,0, 16624,640,480:waittimer 161160 blt 0,0,640,480,0, 16626,640,480:waittimer 161180 blt 0,0,640,480,0, 16628,640,480:waittimer 161199 blt 0,0,640,480,0, 16630,640,480:waittimer 161219 blt 0,0,640,480,0, 16632,640,480:waittimer 161238 blt 0,0,640,480,0, 16634,640,480:waittimer 161257 blt 0,0,640,480,0, 16636,640,480:waittimer 161277 blt 0,0,640,480,0, 16638,640,480:waittimer 161296 blt 0,0,640,480,0, 16640,640,480:waittimer 161316 blt 0,0,640,480,0, 16642,640,480:waittimer 161335 blt 0,0,640,480,0, 16644,640,480:waittimer 161354 blt 0,0,640,480,0, 16646,640,480:waittimer 161374 blt 0,0,640,480,0, 16648,640,480:waittimer 161393 blt 0,0,640,480,0, 16650,640,480:waittimer 161413 blt 0,0,640,480,0, 16652,640,480:waittimer 161432 blt 0,0,640,480,0, 16654,640,480:waittimer 161451 blt 0,0,640,480,0, 16656,640,480:waittimer 161471 blt 0,0,640,480,0, 16658,640,480:waittimer 161490 blt 0,0,640,480,0, 16660,640,480:waittimer 161509 blt 0,0,640,480,0, 16662,640,480:waittimer 161529 blt 0,0,640,480,0, 16664,640,480:waittimer 161548 blt 0,0,640,480,0, 16666,640,480:waittimer 161568 blt 0,0,640,480,0, 16668,640,480:waittimer 161587 blt 0,0,640,480,0, 16670,640,480:waittimer 161606 blt 0,0,640,480,0, 16672,640,480:waittimer 161626 blt 0,0,640,480,0, 16674,640,480:waittimer 161645 blt 0,0,640,480,0, 16676,640,480:waittimer 161665 blt 0,0,640,480,0, 16678,640,480:waittimer 161684 blt 0,0,640,480,0, 16680,640,480:waittimer 161703 blt 0,0,640,480,0, 16682,640,480:waittimer 161723 blt 0,0,640,480,0, 16684,640,480:waittimer 161742 blt 0,0,640,480,0, 16686,640,480:waittimer 161762 blt 0,0,640,480,0, 16688,640,480:waittimer 161781 blt 0,0,640,480,0, 16690,640,480:waittimer 161800 blt 0,0,640,480,0, 16692,640,480:waittimer 161820 blt 0,0,640,480,0, 16694,640,480:waittimer 161839 blt 0,0,640,480,0, 16696,640,480:waittimer 161858 blt 0,0,640,480,0, 16698,640,480:waittimer 161878 blt 0,0,640,480,0, 16700,640,480:waittimer 161897 blt 0,0,640,480,0, 16702,640,480:waittimer 161917 blt 0,0,640,480,0, 16704,640,480:waittimer 161936 blt 0,0,640,480,0, 16706,640,480:waittimer 161955 blt 0,0,640,480,0, 16708,640,480:waittimer 161975 blt 0,0,640,480,0, 16710,640,480:waittimer 161994 blt 0,0,640,480,0, 16712,640,480:waittimer 162014 blt 0,0,640,480,0, 16714,640,480:waittimer 162033 blt 0,0,640,480,0, 16716,640,480:waittimer 162052 blt 0,0,640,480,0, 16718,640,480:waittimer 162072 blt 0,0,640,480,0, 16720,640,480:waittimer 162091 blt 0,0,640,480,0, 16722,640,480:waittimer 162111 blt 0,0,640,480,0, 16724,640,480:waittimer 162130 blt 0,0,640,480,0, 16726,640,480:waittimer 162149 blt 0,0,640,480,0, 16728,640,480:waittimer 162169 blt 0,0,640,480,0, 16730,640,480:waittimer 162188 blt 0,0,640,480,0, 16732,640,480:waittimer 162207 blt 0,0,640,480,0, 16734,640,480:waittimer 162227 blt 0,0,640,480,0, 16736,640,480:waittimer 162246 blt 0,0,640,480,0, 16738,640,480:waittimer 162266 blt 0,0,640,480,0, 16740,640,480:waittimer 162285 blt 0,0,640,480,0, 16742,640,480:waittimer 162304 blt 0,0,640,480,0, 16744,640,480:waittimer 162324 blt 0,0,640,480,0, 16746,640,480:waittimer 162343 blt 0,0,640,480,0, 16748,640,480:waittimer 162363 blt 0,0,640,480,0, 16750,640,480:waittimer 162382 blt 0,0,640,480,0, 16752,640,480:waittimer 162401 blt 0,0,640,480,0, 16754,640,480:waittimer 162421 blt 0,0,640,480,0, 16756,640,480:waittimer 162440 blt 0,0,640,480,0, 16758,640,480:waittimer 162460 blt 0,0,640,480,0, 16760,640,480:waittimer 162479 blt 0,0,640,480,0, 16762,640,480:waittimer 162498 blt 0,0,640,480,0, 16764,640,480:waittimer 162518 blt 0,0,640,480,0, 16766,640,480:waittimer 162537 blt 0,0,640,480,0, 16768,640,480:waittimer 162556 blt 0,0,640,480,0, 16770,640,480:waittimer 162576 blt 0,0,640,480,0, 16772,640,480:waittimer 162595 blt 0,0,640,480,0, 16774,640,480:waittimer 162615 blt 0,0,640,480,0, 16776,640,480:waittimer 162634 blt 0,0,640,480,0, 16778,640,480:waittimer 162653 blt 0,0,640,480,0, 16780,640,480:waittimer 162673 blt 0,0,640,480,0, 16782,640,480:waittimer 162692 blt 0,0,640,480,0, 16784,640,480:waittimer 162712 blt 0,0,640,480,0, 16786,640,480:waittimer 162731 blt 0,0,640,480,0, 16788,640,480:waittimer 162750 blt 0,0,640,480,0, 16790,640,480:waittimer 162770 blt 0,0,640,480,0, 16792,640,480:waittimer 162789 blt 0,0,640,480,0, 16794,640,480:waittimer 162809 blt 0,0,640,480,0, 16796,640,480:waittimer 162828 blt 0,0,640,480,0, 16798,640,480:waittimer 162847 blt 0,0,640,480,0, 16800,640,480:waittimer 162867 blt 0,0,640,480,0, 16802,640,480:waittimer 162886 blt 0,0,640,480,0, 16804,640,480:waittimer 162905 blt 0,0,640,480,0, 16806,640,480:waittimer 162925 blt 0,0,640,480,0, 16808,640,480:waittimer 162944 blt 0,0,640,480,0, 16810,640,480:waittimer 162964 blt 0,0,640,480,0, 16812,640,480:waittimer 162983 blt 0,0,640,480,0, 16814,640,480:waittimer 163002 blt 0,0,640,480,0, 16816,640,480:waittimer 163022 blt 0,0,640,480,0, 16818,640,480:waittimer 163041 blt 0,0,640,480,0, 16820,640,480:waittimer 163061 blt 0,0,640,480,0, 16822,640,480:waittimer 163080 blt 0,0,640,480,0, 16824,640,480:waittimer 163099 blt 0,0,640,480,0, 16826,640,480:waittimer 163119 blt 0,0,640,480,0, 16828,640,480:waittimer 163138 blt 0,0,640,480,0, 16830,640,480:waittimer 163158 blt 0,0,640,480,0, 16832,640,480:waittimer 163177 blt 0,0,640,480,0, 16834,640,480:waittimer 163196 blt 0,0,640,480,0, 16836,640,480:waittimer 163216 blt 0,0,640,480,0, 16838,640,480:waittimer 163235 blt 0,0,640,480,0, 16840,640,480:waittimer 163254 blt 0,0,640,480,0, 16842,640,480:waittimer 163274 blt 0,0,640,480,0, 16844,640,480:waittimer 163293 blt 0,0,640,480,0, 16846,640,480:waittimer 163313 blt 0,0,640,480,0, 16848,640,480:waittimer 163332 blt 0,0,640,480,0, 16850,640,480:waittimer 163351 blt 0,0,640,480,0, 16852,640,480:waittimer 163371 blt 0,0,640,480,0, 16854,640,480:waittimer 163390 blt 0,0,640,480,0, 16856,640,480:waittimer 163410 blt 0,0,640,480,0, 16858,640,480:waittimer 163429 blt 0,0,640,480,0, 16860,640,480:waittimer 163448 blt 0,0,640,480,0, 16862,640,480:waittimer 163468 blt 0,0,640,480,0, 16864,640,480:waittimer 163487 blt 0,0,640,480,0, 16866,640,480:waittimer 163507 blt 0,0,640,480,0, 16868,640,480:waittimer 163526 blt 0,0,640,480,0, 16870,640,480:waittimer 163545 blt 0,0,640,480,0, 16872,640,480:waittimer 163565 blt 0,0,640,480,0, 16874,640,480:waittimer 163584 blt 0,0,640,480,0, 16876,640,480:waittimer 163603 blt 0,0,640,480,0, 16878,640,480:waittimer 163623 blt 0,0,640,480,0, 16880,640,480:waittimer 163642 blt 0,0,640,480,0, 16882,640,480:waittimer 163662 blt 0,0,640,480,0, 16884,640,480:waittimer 163681 blt 0,0,640,480,0, 16886,640,480:waittimer 163700 blt 0,0,640,480,0, 16888,640,480:waittimer 163720 blt 0,0,640,480,0, 16890,640,480:waittimer 163739 blt 0,0,640,480,0, 16892,640,480:waittimer 163759 blt 0,0,640,480,0, 16894,640,480:waittimer 163778 blt 0,0,640,480,0, 16896,640,480:waittimer 163797 blt 0,0,640,480,0, 16898,640,480:waittimer 163817 blt 0,0,640,480,0, 16900,640,480:waittimer 163836 blt 0,0,640,480,0, 16902,640,480:waittimer 163856 blt 0,0,640,480,0, 16904,640,480:waittimer 163875 blt 0,0,640,480,0, 16906,640,480:waittimer 163894 blt 0,0,640,480,0, 16908,640,480:waittimer 163914 blt 0,0,640,480,0, 16910,640,480:waittimer 163933 blt 0,0,640,480,0, 16912,640,480:waittimer 163952 blt 0,0,640,480,0, 16914,640,480:waittimer 163972 blt 0,0,640,480,0, 16916,640,480:waittimer 163991 blt 0,0,640,480,0, 16918,640,480:waittimer 164011 blt 0,0,640,480,0, 16920,640,480:waittimer 164030 blt 0,0,640,480,0, 16922,640,480:waittimer 164049 blt 0,0,640,480,0, 16924,640,480:waittimer 164069 blt 0,0,640,480,0, 16926,640,480:waittimer 164088 blt 0,0,640,480,0, 16928,640,480:waittimer 164108 blt 0,0,640,480,0, 16930,640,480:waittimer 164127 blt 0,0,640,480,0, 16932,640,480:waittimer 164146 blt 0,0,640,480,0, 16934,640,480:waittimer 164166 blt 0,0,640,480,0, 16936,640,480:waittimer 164185 blt 0,0,640,480,0, 16938,640,480:waittimer 164205 blt 0,0,640,480,0, 16940,640,480:waittimer 164224 blt 0,0,640,480,0, 16942,640,480:waittimer 164243 blt 0,0,640,480,0, 16944,640,480:waittimer 164263 blt 0,0,640,480,0, 16946,640,480:waittimer 164282 blt 0,0,640,480,0, 16948,640,480:waittimer 164301 blt 0,0,640,480,0, 16950,640,480:waittimer 164321 blt 0,0,640,480,0, 16952,640,480:waittimer 164340 blt 0,0,640,480,0, 16954,640,480:waittimer 164360 blt 0,0,640,480,0, 16956,640,480:waittimer 164379 blt 0,0,640,480,0, 16958,640,480:waittimer 164398 blt 0,0,640,480,0, 16960,640,480:waittimer 164418 blt 0,0,640,480,0, 16962,640,480:waittimer 164437 blt 0,0,640,480,0, 16964,640,480:waittimer 164457 blt 0,0,640,480,0, 16966,640,480:waittimer 164476 blt 0,0,640,480,0, 16968,640,480:waittimer 164495 blt 0,0,640,480,0, 16970,640,480:waittimer 164515 blt 0,0,640,480,0, 16972,640,480:waittimer 164534 blt 0,0,640,480,0, 16974,640,480:waittimer 164554 blt 0,0,640,480,0, 16976,640,480:waittimer 164573 blt 0,0,640,480,0, 16978,640,480:waittimer 164592 blt 0,0,640,480,0, 16980,640,480:waittimer 164612 blt 0,0,640,480,0, 16982,640,480:waittimer 164631 blt 0,0,640,480,0, 16984,640,480:waittimer 164650 blt 0,0,640,480,0, 16986,640,480:waittimer 164670 blt 0,0,640,480,0, 16988,640,480:waittimer 164689 blt 0,0,640,480,0, 16990,640,480:waittimer 164709 blt 0,0,640,480,0, 16992,640,480:waittimer 164728 blt 0,0,640,480,0, 16994,640,480:waittimer 164747 blt 0,0,640,480,0, 16996,640,480:waittimer 164767 blt 0,0,640,480,0, 16998,640,480:waittimer 164786 blt 0,0,640,480,0, 17000,640,480:waittimer 164806 blt 0,0,640,480,0, 17002,640,480:waittimer 164825 blt 0,0,640,480,0, 17004,640,480:waittimer 164844 blt 0,0,640,480,0, 17006,640,480:waittimer 164864 blt 0,0,640,480,0, 17008,640,480:waittimer 164883 blt 0,0,640,480,0, 17010,640,480:waittimer 164903 blt 0,0,640,480,0, 17012,640,480:waittimer 164922 blt 0,0,640,480,0, 17014,640,480:waittimer 164941 blt 0,0,640,480,0, 17016,640,480:waittimer 164961 blt 0,0,640,480,0, 17018,640,480:waittimer 164980 blt 0,0,640,480,0, 17020,640,480:waittimer 164999 saveon return *ep8a_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 36 blt 0,0,640,480,0, 4,640,480:waittimer 72 blt 0,0,640,480,0, 6,640,480:waittimer 108 blt 0,0,640,480,0, 8,640,480:waittimer 144 blt 0,0,640,480,0, 10,640,480:waittimer 180 blt 0,0,640,480,0, 12,640,480:waittimer 217 blt 0,0,640,480,0, 14,640,480:waittimer 253 blt 0,0,640,480,0, 16,640,480:waittimer 289 blt 0,0,640,480,0, 18,640,480:waittimer 325 blt 0,0,640,480,0, 20,640,480:waittimer 361 blt 0,0,640,480,0, 22,640,480:waittimer 398 blt 0,0,640,480,0, 24,640,480:waittimer 434 blt 0,0,640,480,0, 26,640,480:waittimer 470 blt 0,0,640,480,0, 28,640,480:waittimer 506 blt 0,0,640,480,0, 30,640,480:waittimer 542 blt 0,0,640,480,0, 32,640,480:waittimer 578 blt 0,0,640,480,0, 34,640,480:waittimer 615 blt 0,0,640,480,0, 36,640,480:waittimer 651 blt 0,0,640,480,0, 38,640,480:waittimer 687 blt 0,0,640,480,0, 40,640,480:waittimer 723 blt 0,0,640,480,0, 42,640,480:waittimer 759 blt 0,0,640,480,0, 44,640,480:waittimer 796 blt 0,0,640,480,0, 46,640,480:waittimer 832 blt 0,0,640,480,0, 48,640,480:waittimer 868 blt 0,0,640,480,0, 50,640,480:waittimer 904 blt 0,0,640,480,0, 52,640,480:waittimer 940 blt 0,0,640,480,0, 54,640,480:waittimer 976 blt 0,0,640,480,0, 56,640,480:waittimer 1013 blt 0,0,640,480,0, 58,640,480:waittimer 1049 blt 0,0,640,480,0, 60,640,480:waittimer 1085 blt 0,0,640,480,0, 62,640,480:waittimer 1121 blt 0,0,640,480,0, 64,640,480:waittimer 1157 blt 0,0,640,480,0, 66,640,480:waittimer 1194 blt 0,0,640,480,0, 68,640,480:waittimer 1230 blt 0,0,640,480,0, 70,640,480:waittimer 1266 blt 0,0,640,480,0, 72,640,480:waittimer 1302 blt 0,0,640,480,0, 74,640,480:waittimer 1338 blt 0,0,640,480,0, 76,640,480:waittimer 1375 blt 0,0,640,480,0, 78,640,480:waittimer 1411 blt 0,0,640,480,0, 80,640,480:waittimer 1447 blt 0,0,640,480,0, 82,640,480:waittimer 1483 blt 0,0,640,480,0, 84,640,480:waittimer 1519 blt 0,0,640,480,0, 86,640,480:waittimer 1555 blt 0,0,640,480,0, 88,640,480:waittimer 1592 blt 0,0,640,480,0, 90,640,480:waittimer 1628 blt 0,0,640,480,0, 92,640,480:waittimer 1664 blt 0,0,640,480,0, 94,640,480:waittimer 1700 blt 0,0,640,480,0, 96,640,480:waittimer 1736 blt 0,0,640,480,0, 98,640,480:waittimer 1773 blt 0,0,640,480,0, 100,640,480:waittimer 1809 blt 0,0,640,480,0, 102,640,480:waittimer 1845 blt 0,0,640,480,0, 104,640,480:waittimer 1881 blt 0,0,640,480,0, 106,640,480:waittimer 1917 blt 0,0,640,480,0, 108,640,480:waittimer 1953 blt 0,0,640,480,0, 110,640,480:waittimer 1990 blt 0,0,640,480,0, 112,640,480:waittimer 2026 blt 0,0,640,480,0, 114,640,480:waittimer 2062 blt 0,0,640,480,0, 116,640,480:waittimer 2098 blt 0,0,640,480,0, 118,640,480:waittimer 2134 blt 0,0,640,480,0, 120,640,480:waittimer 2171 blt 0,0,640,480,0, 122,640,480:waittimer 2207 blt 0,0,640,480,0, 124,640,480:waittimer 2243 blt 0,0,640,480,0, 126,640,480:waittimer 2279 blt 0,0,640,480,0, 128,640,480:waittimer 2315 blt 0,0,640,480,0, 130,640,480:waittimer 2351 blt 0,0,640,480,0, 132,640,480:waittimer 2388 blt 0,0,640,480,0, 134,640,480:waittimer 2424 blt 0,0,640,480,0, 136,640,480:waittimer 2460 blt 0,0,640,480,0, 138,640,480:waittimer 2496 blt 0,0,640,480,0, 140,640,480:waittimer 2532 blt 0,0,640,480,0, 142,640,480:waittimer 2569 blt 0,0,640,480,0, 144,640,480:waittimer 2605 blt 0,0,640,480,0, 146,640,480:waittimer 2641 blt 0,0,640,480,0, 148,640,480:waittimer 2677 blt 0,0,640,480,0, 150,640,480:waittimer 2713 blt 0,0,640,480,0, 152,640,480:waittimer 2750 blt 0,0,640,480,0, 154,640,480:waittimer 2786 blt 0,0,640,480,0, 156,640,480:waittimer 2822 blt 0,0,640,480,0, 158,640,480:waittimer 2858 blt 0,0,640,480,0, 160,640,480:waittimer 2894 blt 0,0,640,480,0, 162,640,480:waittimer 2930 blt 0,0,640,480,0, 164,640,480:waittimer 2967 blt 0,0,640,480,0, 166,640,480:waittimer 3003 blt 0,0,640,480,0, 168,640,480:waittimer 3039 blt 0,0,640,480,0, 170,640,480:waittimer 3075 blt 0,0,640,480,0, 172,640,480:waittimer 3111 blt 0,0,640,480,0, 174,640,480:waittimer 3148 blt 0,0,640,480,0, 176,640,480:waittimer 3184 blt 0,0,640,480,0, 178,640,480:waittimer 3220 blt 0,0,640,480,0, 180,640,480:waittimer 3256 blt 0,0,640,480,0, 182,640,480:waittimer 3292 blt 0,0,640,480,0, 184,640,480:waittimer 3328 blt 0,0,640,480,0, 186,640,480:waittimer 3365 blt 0,0,640,480,0, 188,640,480:waittimer 3401 blt 0,0,640,480,0, 190,640,480:waittimer 3437 blt 0,0,640,480,0, 192,640,480:waittimer 3473 blt 0,0,640,480,0, 194,640,480:waittimer 3509 blt 0,0,640,480,0, 196,640,480:waittimer 3546 blt 0,0,640,480,0, 198,640,480:waittimer 3582 blt 0,0,640,480,0, 200,640,480:waittimer 3618 blt 0,0,640,480,0, 202,640,480:waittimer 3654 blt 0,0,640,480,0, 204,640,480:waittimer 3690 blt 0,0,640,480,0, 206,640,480:waittimer 3726 blt 0,0,640,480,0, 208,640,480:waittimer 3763 blt 0,0,640,480,0, 210,640,480:waittimer 3799 blt 0,0,640,480,0, 212,640,480:waittimer 3835 blt 0,0,640,480,0, 214,640,480:waittimer 3871 blt 0,0,640,480,0, 216,640,480:waittimer 3907 blt 0,0,640,480,0, 218,640,480:waittimer 3944 blt 0,0,640,480,0, 220,640,480:waittimer 3980 blt 0,0,640,480,0, 222,640,480:waittimer 4016 blt 0,0,640,480,0, 224,640,480:waittimer 4052 blt 0,0,640,480,0, 226,640,480:waittimer 4088 blt 0,0,640,480,0, 228,640,480:waittimer 4125 blt 0,0,640,480,0, 230,640,480:waittimer 4161 blt 0,0,640,480,0, 232,640,480:waittimer 4197 blt 0,0,640,480,0, 234,640,480:waittimer 4233 blt 0,0,640,480,0, 236,640,480:waittimer 4269 blt 0,0,640,480,0, 238,640,480:waittimer 4305 blt 0,0,640,480,0, 240,640,480:waittimer 4342 blt 0,0,640,480,0, 242,640,480:waittimer 4378 blt 0,0,640,480,0, 244,640,480:waittimer 4414 blt 0,0,640,480,0, 246,640,480:waittimer 4450 blt 0,0,640,480,0, 248,640,480:waittimer 4486 blt 0,0,640,480,0, 250,640,480:waittimer 4523 blt 0,0,640,480,0, 252,640,480:waittimer 4559 blt 0,0,640,480,0, 254,640,480:waittimer 4595 blt 0,0,640,480,0, 256,640,480:waittimer 4631 blt 0,0,640,480,0, 258,640,480:waittimer 4667 blt 0,0,640,480,0, 260,640,480:waittimer 4703 blt 0,0,640,480,0, 262,640,480:waittimer 4740 blt 0,0,640,480,0, 264,640,480:waittimer 4776 blt 0,0,640,480,0, 266,640,480:waittimer 4812 blt 0,0,640,480,0, 268,640,480:waittimer 4848 blt 0,0,640,480,0, 270,640,480:waittimer 4884 blt 0,0,640,480,0, 272,640,480:waittimer 4921 blt 0,0,640,480,0, 274,640,480:waittimer 4957 blt 0,0,640,480,0, 276,640,480:waittimer 4993 blt 0,0,640,480,0, 278,640,480:waittimer 5029 blt 0,0,640,480,0, 280,640,480:waittimer 5065 blt 0,0,640,480,0, 282,640,480:waittimer 5101 blt 0,0,640,480,0, 284,640,480:waittimer 5138 blt 0,0,640,480,0, 286,640,480:waittimer 5174 blt 0,0,640,480,0, 288,640,480:waittimer 5210 blt 0,0,640,480,0, 290,640,480:waittimer 5246 blt 0,0,640,480,0, 292,640,480:waittimer 5282 blt 0,0,640,480,0, 294,640,480:waittimer 5319 blt 0,0,640,480,0, 296,640,480:waittimer 5355 blt 0,0,640,480,0, 298,640,480:waittimer 5391 blt 0,0,640,480,0, 300,640,480:waittimer 5427 blt 0,0,640,480,0, 302,640,480:waittimer 5463 blt 0,0,640,480,0, 304,640,480:waittimer 5500 blt 0,0,640,480,0, 306,640,480:waittimer 5536 blt 0,0,640,480,0, 308,640,480:waittimer 5572 blt 0,0,640,480,0, 310,640,480:waittimer 5608 blt 0,0,640,480,0, 312,640,480:waittimer 5644 blt 0,0,640,480,0, 314,640,480:waittimer 5680 blt 0,0,640,480,0, 316,640,480:waittimer 5717 blt 0,0,640,480,0, 318,640,480:waittimer 5753 blt 0,0,640,480,0, 320,640,480:waittimer 5789 blt 0,0,640,480,0, 322,640,480:waittimer 5825 blt 0,0,640,480,0, 324,640,480:waittimer 5861 blt 0,0,640,480,0, 326,640,480:waittimer 5898 blt 0,0,640,480,0, 328,640,480:waittimer 5934 blt 0,0,640,480,0, 330,640,480:waittimer 5970 blt 0,0,640,480,0, 332,640,480:waittimer 6006 blt 0,0,640,480,0, 334,640,480:waittimer 6042 blt 0,0,640,480,0, 336,640,480:waittimer 6078 blt 0,0,640,480,0, 338,640,480:waittimer 6115 blt 0,0,640,480,0, 340,640,480:waittimer 6151 blt 0,0,640,480,0, 342,640,480:waittimer 6187 blt 0,0,640,480,0, 344,640,480:waittimer 6223 blt 0,0,640,480,0, 346,640,480:waittimer 6259 blt 0,0,640,480,0, 348,640,480:waittimer 6296 blt 0,0,640,480,0, 350,640,480:waittimer 6332 blt 0,0,640,480,0, 352,640,480:waittimer 6368 blt 0,0,640,480,0, 354,640,480:waittimer 6404 blt 0,0,640,480,0, 356,640,480:waittimer 6440 blt 0,0,640,480,0, 358,640,480:waittimer 6476 blt 0,0,640,480,0, 360,640,480:waittimer 6513 blt 0,0,640,480,0, 362,640,480:waittimer 6549 blt 0,0,640,480,0, 364,640,480:waittimer 6585 blt 0,0,640,480,0, 366,640,480:waittimer 6621 blt 0,0,640,480,0, 368,640,480:waittimer 6657 blt 0,0,640,480,0, 370,640,480:waittimer 6694 blt 0,0,640,480,0, 372,640,480:waittimer 6730 blt 0,0,640,480,0, 374,640,480:waittimer 6766 blt 0,0,640,480,0, 376,640,480:waittimer 6802 blt 0,0,640,480,0, 378,640,480:waittimer 6838 blt 0,0,640,480,0, 380,640,480:waittimer 6875 blt 0,0,640,480,0, 382,640,480:waittimer 6911 blt 0,0,640,480,0, 384,640,480:waittimer 6947 blt 0,0,640,480,0, 386,640,480:waittimer 6983 blt 0,0,640,480,0, 388,640,480:waittimer 7019 blt 0,0,640,480,0, 390,640,480:waittimer 7055 blt 0,0,640,480,0, 392,640,480:waittimer 7092 blt 0,0,640,480,0, 394,640,480:waittimer 7128 blt 0,0,640,480,0, 396,640,480:waittimer 7164 blt 0,0,640,480,0, 398,640,480:waittimer 7200 blt 0,0,640,480,0, 400,640,480:waittimer 7236 blt 0,0,640,480,0, 402,640,480:waittimer 7273 blt 0,0,640,480,0, 404,640,480:waittimer 7309 blt 0,0,640,480,0, 406,640,480:waittimer 7345 blt 0,0,640,480,0, 408,640,480:waittimer 7381 blt 0,0,640,480,0, 410,640,480:waittimer 7417 blt 0,0,640,480,0, 412,640,480:waittimer 7453 blt 0,0,640,480,0, 414,640,480:waittimer 7490 blt 0,0,640,480,0, 416,640,480:waittimer 7526 blt 0,0,640,480,0, 418,640,480:waittimer 7562 blt 0,0,640,480,0, 420,640,480:waittimer 7598 blt 0,0,640,480,0, 422,640,480:waittimer 7634 blt 0,0,640,480,0, 424,640,480:waittimer 7671 blt 0,0,640,480,0, 426,640,480:waittimer 7707 blt 0,0,640,480,0, 428,640,480:waittimer 7743 blt 0,0,640,480,0, 430,640,480:waittimer 7779 blt 0,0,640,480,0, 432,640,480:waittimer 7815 blt 0,0,640,480,0, 434,640,480:waittimer 7851 blt 0,0,640,480,0, 436,640,480:waittimer 7888 blt 0,0,640,480,0, 438,640,480:waittimer 7924 blt 0,0,640,480,0, 440,640,480:waittimer 7960 blt 0,0,640,480,0, 442,640,480:waittimer 7996 blt 0,0,640,480,0, 444,640,480:waittimer 8032 blt 0,0,640,480,0, 446,640,480:waittimer 8069 blt 0,0,640,480,0, 448,640,480:waittimer 8105 blt 0,0,640,480,0, 450,640,480:waittimer 8141 blt 0,0,640,480,0, 452,640,480:waittimer 8177 blt 0,0,640,480,0, 454,640,480:waittimer 8213 blt 0,0,640,480,0, 456,640,480:waittimer 8250 blt 0,0,640,480,0, 458,640,480:waittimer 8286 blt 0,0,640,480,0, 460,640,480:waittimer 8322 blt 0,0,640,480,0, 462,640,480:waittimer 8358 blt 0,0,640,480,0, 464,640,480:waittimer 8394 blt 0,0,640,480,0, 466,640,480:waittimer 8430 blt 0,0,640,480,0, 468,640,480:waittimer 8467 blt 0,0,640,480,0, 470,640,480:waittimer 8503 blt 0,0,640,480,0, 472,640,480:waittimer 8539 blt 0,0,640,480,0, 474,640,480:waittimer 8575 blt 0,0,640,480,0, 476,640,480:waittimer 8611 blt 0,0,640,480,0, 478,640,480:waittimer 8648 blt 0,0,640,480,0, 480,640,480:waittimer 8684 blt 0,0,640,480,0, 482,640,480:waittimer 8720 blt 0,0,640,480,0, 484,640,480:waittimer 8756 blt 0,0,640,480,0, 486,640,480:waittimer 8792 blt 0,0,640,480,0, 488,640,480:waittimer 8828 blt 0,0,640,480,0, 490,640,480:waittimer 8865 blt 0,0,640,480,0, 492,640,480:waittimer 8901 blt 0,0,640,480,0, 494,640,480:waittimer 8937 blt 0,0,640,480,0, 496,640,480:waittimer 8973 blt 0,0,640,480,0, 498,640,480:waittimer 9009 blt 0,0,640,480,0, 500,640,480:waittimer 9046 blt 0,0,640,480,0, 502,640,480:waittimer 9082 blt 0,0,640,480,0, 504,640,480:waittimer 9118 blt 0,0,640,480,0, 506,640,480:waittimer 9154 blt 0,0,640,480,0, 508,640,480:waittimer 9190 blt 0,0,640,480,0, 510,640,480:waittimer 9226 blt 0,0,640,480,0, 512,640,480:waittimer 9263 blt 0,0,640,480,0, 514,640,480:waittimer 9299 blt 0,0,640,480,0, 516,640,480:waittimer 9335 blt 0,0,640,480,0, 518,640,480:waittimer 9371 blt 0,0,640,480,0, 520,640,480:waittimer 9407 blt 0,0,640,480,0, 522,640,480:waittimer 9444 blt 0,0,640,480,0, 524,640,480:waittimer 9480 blt 0,0,640,480,0, 526,640,480:waittimer 9516 blt 0,0,640,480,0, 528,640,480:waittimer 9552 blt 0,0,640,480,0, 530,640,480:waittimer 9588 blt 0,0,640,480,0, 532,640,480:waittimer 9625 blt 0,0,640,480,0, 534,640,480:waittimer 9661 blt 0,0,640,480,0, 536,640,480:waittimer 9697 blt 0,0,640,480,0, 538,640,480:waittimer 9733 blt 0,0,640,480,0, 540,640,480:waittimer 9769 blt 0,0,640,480,0, 542,640,480:waittimer 9805 blt 0,0,640,480,0, 544,640,480:waittimer 9842 blt 0,0,640,480,0, 546,640,480:waittimer 9878 blt 0,0,640,480,0, 548,640,480:waittimer 9914 blt 0,0,640,480,0, 550,640,480:waittimer 9950 blt 0,0,640,480,0, 552,640,480:waittimer 9986 blt 0,0,640,480,0, 554,640,480:waittimer 10023 blt 0,0,640,480,0, 556,640,480:waittimer 10059 blt 0,0,640,480,0, 558,640,480:waittimer 10095 blt 0,0,640,480,0, 560,640,480:waittimer 10131 blt 0,0,640,480,0, 562,640,480:waittimer 10167 blt 0,0,640,480,0, 564,640,480:waittimer 10203 blt 0,0,640,480,0, 566,640,480:waittimer 10240 blt 0,0,640,480,0, 568,640,480:waittimer 10276 blt 0,0,640,480,0, 570,640,480:waittimer 10312 blt 0,0,640,480,0, 572,640,480:waittimer 10348 blt 0,0,640,480,0, 574,640,480:waittimer 10384 blt 0,0,640,480,0, 576,640,480:waittimer 10421 blt 0,0,640,480,0, 578,640,480:waittimer 10457 blt 0,0,640,480,0, 580,640,480:waittimer 10493 blt 0,0,640,480,0, 582,640,480:waittimer 10529 blt 0,0,640,480,0, 584,640,480:waittimer 10565 blt 0,0,640,480,0, 586,640,480:waittimer 10601 blt 0,0,640,480,0, 588,640,480:waittimer 10638 blt 0,0,640,480,0, 590,640,480:waittimer 10674 blt 0,0,640,480,0, 592,640,480:waittimer 10710 blt 0,0,640,480,0, 594,640,480:waittimer 10746 blt 0,0,640,480,0, 596,640,480:waittimer 10782 blt 0,0,640,480,0, 598,640,480:waittimer 10819 blt 0,0,640,480,0, 600,640,480:waittimer 10855 blt 0,0,640,480,0, 602,640,480:waittimer 10891 blt 0,0,640,480,0, 604,640,480:waittimer 10927 blt 0,0,640,480,0, 606,640,480:waittimer 10963 blt 0,0,640,480,0, 608,640,480:waittimer 11000 blt 0,0,640,480,0, 610,640,480:waittimer 11036 blt 0,0,640,480,0, 612,640,480:waittimer 11072 blt 0,0,640,480,0, 614,640,480:waittimer 11108 blt 0,0,640,480,0, 616,640,480:waittimer 11144 blt 0,0,640,480,0, 618,640,480:waittimer 11180 blt 0,0,640,480,0, 620,640,480:waittimer 11217 blt 0,0,640,480,0, 622,640,480:waittimer 11253 blt 0,0,640,480,0, 624,640,480:waittimer 11289 blt 0,0,640,480,0, 626,640,480:waittimer 11325 blt 0,0,640,480,0, 628,640,480:waittimer 11361 blt 0,0,640,480,0, 630,640,480:waittimer 11398 blt 0,0,640,480,0, 632,640,480:waittimer 11434 blt 0,0,640,480,0, 634,640,480:waittimer 11470 blt 0,0,640,480,0, 636,640,480:waittimer 11506 blt 0,0,640,480,0, 638,640,480:waittimer 11542 blt 0,0,640,480,0, 640,640,480:waittimer 11578 blt 0,0,640,480,0, 642,640,480:waittimer 11615 blt 0,0,640,480,0, 644,640,480:waittimer 11651 blt 0,0,640,480,0, 646,640,480:waittimer 11687 blt 0,0,640,480,0, 648,640,480:waittimer 11723 blt 0,0,640,480,0, 650,640,480:waittimer 11759 blt 0,0,640,480,0, 652,640,480:waittimer 11796 blt 0,0,640,480,0, 654,640,480:waittimer 11832 blt 0,0,640,480,0, 656,640,480:waittimer 11868 blt 0,0,640,480,0, 658,640,480:waittimer 11904 blt 0,0,640,480,0, 660,640,480:waittimer 11940 blt 0,0,640,480,0, 662,640,480:waittimer 11976 blt 0,0,640,480,0, 664,640,480:waittimer 12013 blt 0,0,640,480,0, 666,640,480:waittimer 12049 blt 0,0,640,480,0, 668,640,480:waittimer 12085 blt 0,0,640,480,0, 670,640,480:waittimer 12121 blt 0,0,640,480,0, 672,640,480:waittimer 12157 blt 0,0,640,480,0, 674,640,480:waittimer 12194 blt 0,0,640,480,0, 676,640,480:waittimer 12230 blt 0,0,640,480,0, 678,640,480:waittimer 12266 blt 0,0,640,480,0, 680,640,480:waittimer 12302 blt 0,0,640,480,0, 682,640,480:waittimer 12338 blt 0,0,640,480,0, 684,640,480:waittimer 12375 blt 0,0,640,480,0, 686,640,480:waittimer 12411 blt 0,0,640,480,0, 688,640,480:waittimer 12447 blt 0,0,640,480,0, 690,640,480:waittimer 12483 blt 0,0,640,480,0, 692,640,480:waittimer 12519 blt 0,0,640,480,0, 694,640,480:waittimer 12555 blt 0,0,640,480,0, 696,640,480:waittimer 12592 blt 0,0,640,480,0, 698,640,480:waittimer 12628 blt 0,0,640,480,0, 700,640,480:waittimer 12664 blt 0,0,640,480,0, 702,640,480:waittimer 12700 blt 0,0,640,480,0, 704,640,480:waittimer 12736 blt 0,0,640,480,0, 706,640,480:waittimer 12773 blt 0,0,640,480,0, 708,640,480:waittimer 12809 blt 0,0,640,480,0, 710,640,480:waittimer 12845 blt 0,0,640,480,0, 712,640,480:waittimer 12881 blt 0,0,640,480,0, 714,640,480:waittimer 12917 blt 0,0,640,480,0, 716,640,480:waittimer 12953 blt 0,0,640,480,0, 718,640,480:waittimer 12990 blt 0,0,640,480,0, 720,640,480:waittimer 13026 blt 0,0,640,480,0, 722,640,480:waittimer 13062 blt 0,0,640,480,0, 724,640,480:waittimer 13098 blt 0,0,640,480,0, 726,640,480:waittimer 13134 blt 0,0,640,480,0, 728,640,480:waittimer 13171 blt 0,0,640,480,0, 730,640,480:waittimer 13207 blt 0,0,640,480,0, 732,640,480:waittimer 13243 blt 0,0,640,480,0, 734,640,480:waittimer 13279 blt 0,0,640,480,0, 736,640,480:waittimer 13315 blt 0,0,640,480,0, 738,640,480:waittimer 13351 blt 0,0,640,480,0, 740,640,480:waittimer 13388 blt 0,0,640,480,0, 742,640,480:waittimer 13424 blt 0,0,640,480,0, 744,640,480:waittimer 13460 blt 0,0,640,480,0, 746,640,480:waittimer 13496 blt 0,0,640,480,0, 748,640,480:waittimer 13532 blt 0,0,640,480,0, 750,640,480:waittimer 13569 blt 0,0,640,480,0, 752,640,480:waittimer 13605 blt 0,0,640,480,0, 754,640,480:waittimer 13641 blt 0,0,640,480,0, 756,640,480:waittimer 13677 blt 0,0,640,480,0, 758,640,480:waittimer 13713 blt 0,0,640,480,0, 760,640,480:waittimer 13750 blt 0,0,640,480,0, 762,640,480:waittimer 13786 blt 0,0,640,480,0, 764,640,480:waittimer 13822 blt 0,0,640,480,0, 766,640,480:waittimer 13858 blt 0,0,640,480,0, 768,640,480:waittimer 13894 blt 0,0,640,480,0, 770,640,480:waittimer 13930 blt 0,0,640,480,0, 772,640,480:waittimer 13967 blt 0,0,640,480,0, 774,640,480:waittimer 14003 blt 0,0,640,480,0, 776,640,480:waittimer 14039 blt 0,0,640,480,0, 778,640,480:waittimer 14075 blt 0,0,640,480,0, 780,640,480:waittimer 14111 blt 0,0,640,480,0, 782,640,480:waittimer 14148 blt 0,0,640,480,0, 784,640,480:waittimer 14184 blt 0,0,640,480,0, 786,640,480:waittimer 14220 blt 0,0,640,480,0, 788,640,480:waittimer 14256 blt 0,0,640,480,0, 790,640,480:waittimer 14292 blt 0,0,640,480,0, 792,640,480:waittimer 14328 blt 0,0,640,480,0, 794,640,480:waittimer 14365 blt 0,0,640,480,0, 796,640,480:waittimer 14401 blt 0,0,640,480,0, 798,640,480:waittimer 14437 blt 0,0,640,480,0, 800,640,480:waittimer 14473 blt 0,0,640,480,0, 802,640,480:waittimer 14509 blt 0,0,640,480,0, 804,640,480:waittimer 14546 blt 0,0,640,480,0, 806,640,480:waittimer 14582 blt 0,0,640,480,0, 808,640,480:waittimer 14618 blt 0,0,640,480,0, 810,640,480:waittimer 14654 blt 0,0,640,480,0, 812,640,480:waittimer 14690 blt 0,0,640,480,0, 814,640,480:waittimer 14726 blt 0,0,640,480,0, 816,640,480:waittimer 14763 blt 0,0,640,480,0, 818,640,480:waittimer 14799 blt 0,0,640,480,0, 820,640,480:waittimer 14835 blt 0,0,640,480,0, 822,640,480:waittimer 14871 blt 0,0,640,480,0, 824,640,480:waittimer 14907 blt 0,0,640,480,0, 826,640,480:waittimer 14944 blt 0,0,640,480,0, 828,640,480:waittimer 14980 blt 0,0,640,480,0, 830,640,480:waittimer 15016 blt 0,0,640,480,0, 832,640,480:waittimer 15052 blt 0,0,640,480,0, 834,640,480:waittimer 15088 blt 0,0,640,480,0, 836,640,480:waittimer 15125 blt 0,0,640,480,0, 838,640,480:waittimer 15161 blt 0,0,640,480,0, 840,640,480:waittimer 15197 blt 0,0,640,480,0, 842,640,480:waittimer 15233 blt 0,0,640,480,0, 844,640,480:waittimer 15269 blt 0,0,640,480,0, 846,640,480:waittimer 15305 blt 0,0,640,480,0, 848,640,480:waittimer 15342 blt 0,0,640,480,0, 850,640,480:waittimer 15378 blt 0,0,640,480,0, 852,640,480:waittimer 15414 blt 0,0,640,480,0, 854,640,480:waittimer 15450 blt 0,0,640,480,0, 856,640,480:waittimer 15486 blt 0,0,640,480,0, 858,640,480:waittimer 15523 blt 0,0,640,480,0, 860,640,480:waittimer 15559 blt 0,0,640,480,0, 862,640,480:waittimer 15595 blt 0,0,640,480,0, 864,640,480:waittimer 15631 blt 0,0,640,480,0, 866,640,480:waittimer 15667 blt 0,0,640,480,0, 868,640,480:waittimer 15703 blt 0,0,640,480,0, 870,640,480:waittimer 15740 blt 0,0,640,480,0, 872,640,480:waittimer 15776 blt 0,0,640,480,0, 874,640,480:waittimer 15812 blt 0,0,640,480,0, 876,640,480:waittimer 15848 blt 0,0,640,480,0, 878,640,480:waittimer 15884 blt 0,0,640,480,0, 880,640,480:waittimer 15921 blt 0,0,640,480,0, 882,640,480:waittimer 15957 blt 0,0,640,480,0, 884,640,480:waittimer 15993 blt 0,0,640,480,0, 886,640,480:waittimer 16029 blt 0,0,640,480,0, 888,640,480:waittimer 16065 blt 0,0,640,480,0, 890,640,480:waittimer 16101 blt 0,0,640,480,0, 892,640,480:waittimer 16138 blt 0,0,640,480,0, 894,640,480:waittimer 16174 blt 0,0,640,480,0, 896,640,480:waittimer 16210 blt 0,0,640,480,0, 898,640,480:waittimer 16246 blt 0,0,640,480,0, 900,640,480:waittimer 16282 blt 0,0,640,480,0, 902,640,480:waittimer 16319 blt 0,0,640,480,0, 904,640,480:waittimer 16355 blt 0,0,640,480,0, 906,640,480:waittimer 16391 blt 0,0,640,480,0, 908,640,480:waittimer 16427 blt 0,0,640,480,0, 910,640,480:waittimer 16463 blt 0,0,640,480,0, 912,640,480:waittimer 16500 blt 0,0,640,480,0, 914,640,480:waittimer 16536 blt 0,0,640,480,0, 916,640,480:waittimer 16572 blt 0,0,640,480,0, 918,640,480:waittimer 16608 blt 0,0,640,480,0, 920,640,480:waittimer 16644 blt 0,0,640,480,0, 922,640,480:waittimer 16680 blt 0,0,640,480,0, 924,640,480:waittimer 16717 blt 0,0,640,480,0, 926,640,480:waittimer 16753 blt 0,0,640,480,0, 928,640,480:waittimer 16789 blt 0,0,640,480,0, 930,640,480:waittimer 16825 blt 0,0,640,480,0, 932,640,480:waittimer 16861 blt 0,0,640,480,0, 934,640,480:waittimer 16898 blt 0,0,640,480,0, 936,640,480:waittimer 16934 blt 0,0,640,480,0, 938,640,480:waittimer 16970 blt 0,0,640,480,0, 940,640,480:waittimer 17006 blt 0,0,640,480,0, 942,640,480:waittimer 17042 blt 0,0,640,480,0, 944,640,480:waittimer 17078 blt 0,0,640,480,0, 946,640,480:waittimer 17115 blt 0,0,640,480,0, 948,640,480:waittimer 17151 blt 0,0,640,480,0, 950,640,480:waittimer 17187 blt 0,0,640,480,0, 952,640,480:waittimer 17223 blt 0,0,640,480,0, 954,640,480:waittimer 17259 blt 0,0,640,480,0, 956,640,480:waittimer 17296 blt 0,0,640,480,0, 958,640,480:waittimer 17332 blt 0,0,640,480,0, 960,640,480:waittimer 17368 blt 0,0,640,480,0, 962,640,480:waittimer 17404 blt 0,0,640,480,0, 964,640,480:waittimer 17440 blt 0,0,640,480,0, 966,640,480:waittimer 17476 blt 0,0,640,480,0, 968,640,480:waittimer 17513 blt 0,0,640,480,0, 970,640,480:waittimer 17549 blt 0,0,640,480,0, 972,640,480:waittimer 17585 blt 0,0,640,480,0, 974,640,480:waittimer 17621 blt 0,0,640,480,0, 976,640,480:waittimer 17657 blt 0,0,640,480,0, 978,640,480:waittimer 17694 blt 0,0,640,480,0, 980,640,480:waittimer 17730 blt 0,0,640,480,0, 982,640,480:waittimer 17766 blt 0,0,640,480,0, 984,640,480:waittimer 17802 blt 0,0,640,480,0, 986,640,480:waittimer 17838 blt 0,0,640,480,0, 988,640,480:waittimer 17875 blt 0,0,640,480,0, 990,640,480:waittimer 17911 blt 0,0,640,480,0, 992,640,480:waittimer 17947 blt 0,0,640,480,0, 994,640,480:waittimer 17983 blt 0,0,640,480,0, 996,640,480:waittimer 18019 blt 0,0,640,480,0, 998,640,480:waittimer 18055 blt 0,0,640,480,0, 1000,640,480:waittimer 18092 blt 0,0,640,480,0, 1002,640,480:waittimer 18128 blt 0,0,640,480,0, 1004,640,480:waittimer 18164 blt 0,0,640,480,0, 1006,640,480:waittimer 18200 blt 0,0,640,480,0, 1008,640,480:waittimer 18236 blt 0,0,640,480,0, 1010,640,480:waittimer 18273 blt 0,0,640,480,0, 1012,640,480:waittimer 18309 blt 0,0,640,480,0, 1014,640,480:waittimer 18345 blt 0,0,640,480,0, 1016,640,480:waittimer 18381 blt 0,0,640,480,0, 1018,640,480:waittimer 18417 blt 0,0,640,480,0, 1020,640,480:waittimer 18453 blt 0,0,640,480,0, 1022,640,480:waittimer 18490 blt 0,0,640,480,0, 1024,640,480:waittimer 18526 blt 0,0,640,480,0, 1026,640,480:waittimer 18562 blt 0,0,640,480,0, 1028,640,480:waittimer 18598 blt 0,0,640,480,0, 1030,640,480:waittimer 18634 blt 0,0,640,480,0, 1032,640,480:waittimer 18671 blt 0,0,640,480,0, 1034,640,480:waittimer 18707 blt 0,0,640,480,0, 1036,640,480:waittimer 18743 blt 0,0,640,480,0, 1038,640,480:waittimer 18779 blt 0,0,640,480,0, 1040,640,480:waittimer 18815 blt 0,0,640,480,0, 1042,640,480:waittimer 18851 blt 0,0,640,480,0, 1044,640,480:waittimer 18888 blt 0,0,640,480,0, 1046,640,480:waittimer 18924 blt 0,0,640,480,0, 1048,640,480:waittimer 18960 blt 0,0,640,480,0, 1050,640,480:waittimer 18996 blt 0,0,640,480,0, 1052,640,480:waittimer 19032 blt 0,0,640,480,0, 1054,640,480:waittimer 19069 blt 0,0,640,480,0, 1056,640,480:waittimer 19105 blt 0,0,640,480,0, 1058,640,480:waittimer 19141 blt 0,0,640,480,0, 1060,640,480:waittimer 19177 blt 0,0,640,480,0, 1062,640,480:waittimer 19213 blt 0,0,640,480,0, 1064,640,480:waittimer 19250 blt 0,0,640,480,0, 1066,640,480:waittimer 19286 blt 0,0,640,480,0, 1068,640,480:waittimer 19322 blt 0,0,640,480,0, 1070,640,480:waittimer 19358 blt 0,0,640,480,0, 1072,640,480:waittimer 19394 blt 0,0,640,480,0, 1074,640,480:waittimer 19430 blt 0,0,640,480,0, 1076,640,480:waittimer 19467 blt 0,0,640,480,0, 1078,640,480:waittimer 19503 blt 0,0,640,480,0, 1080,640,480:waittimer 19539 blt 0,0,640,480,0, 1082,640,480:waittimer 19575 blt 0,0,640,480,0, 1084,640,480:waittimer 19611 blt 0,0,640,480,0, 1086,640,480:waittimer 19648 blt 0,0,640,480,0, 1088,640,480:waittimer 19684 blt 0,0,640,480,0, 1090,640,480:waittimer 19720 blt 0,0,640,480,0, 1092,640,480:waittimer 19756 blt 0,0,640,480,0, 1094,640,480:waittimer 19792 blt 0,0,640,480,0, 1096,640,480:waittimer 19828 blt 0,0,640,480,0, 1098,640,480:waittimer 19865 blt 0,0,640,480,0, 1100,640,480:waittimer 19901 blt 0,0,640,480,0, 1102,640,480:waittimer 19937 blt 0,0,640,480,0, 1104,640,480:waittimer 19973 blt 0,0,640,480,0, 1106,640,480:waittimer 20009 blt 0,0,640,480,0, 1108,640,480:waittimer 20046 blt 0,0,640,480,0, 1110,640,480:waittimer 20082 blt 0,0,640,480,0, 1112,640,480:waittimer 20118 blt 0,0,640,480,0, 1114,640,480:waittimer 20154 blt 0,0,640,480,0, 1116,640,480:waittimer 20190 blt 0,0,640,480,0, 1118,640,480:waittimer 20226 blt 0,0,640,480,0, 1120,640,480:waittimer 20263 blt 0,0,640,480,0, 1122,640,480:waittimer 20299 blt 0,0,640,480,0, 1124,640,480:waittimer 20335 blt 0,0,640,480,0, 1126,640,480:waittimer 20371 blt 0,0,640,480,0, 1128,640,480:waittimer 20407 blt 0,0,640,480,0, 1130,640,480:waittimer 20444 blt 0,0,640,480,0, 1132,640,480:waittimer 20480 blt 0,0,640,480,0, 1134,640,480:waittimer 20516 blt 0,0,640,480,0, 1136,640,480:waittimer 20552 blt 0,0,640,480,0, 1138,640,480:waittimer 20588 blt 0,0,640,480,0, 1140,640,480:waittimer 20625 blt 0,0,640,480,0, 1142,640,480:waittimer 20661 blt 0,0,640,480,0, 1144,640,480:waittimer 20697 blt 0,0,640,480,0, 1146,640,480:waittimer 20733 blt 0,0,640,480,0, 1148,640,480:waittimer 20769 blt 0,0,640,480,0, 1150,640,480:waittimer 20805 blt 0,0,640,480,0, 1152,640,480:waittimer 20842 blt 0,0,640,480,0, 1154,640,480:waittimer 20878 blt 0,0,640,480,0, 1156,640,480:waittimer 20914 blt 0,0,640,480,0, 1158,640,480:waittimer 20950 blt 0,0,640,480,0, 1160,640,480:waittimer 20986 blt 0,0,640,480,0, 1162,640,480:waittimer 21023 blt 0,0,640,480,0, 1164,640,480:waittimer 21059 blt 0,0,640,480,0, 1166,640,480:waittimer 21095 blt 0,0,640,480,0, 1168,640,480:waittimer 21131 blt 0,0,640,480,0, 1170,640,480:waittimer 21167 blt 0,0,640,480,0, 1172,640,480:waittimer 21203 blt 0,0,640,480,0, 1174,640,480:waittimer 21240 blt 0,0,640,480,0, 1176,640,480:waittimer 21276 blt 0,0,640,480,0, 1178,640,480:waittimer 21312 blt 0,0,640,480,0, 1180,640,480:waittimer 21348 blt 0,0,640,480,0, 1182,640,480:waittimer 21384 blt 0,0,640,480,0, 1184,640,480:waittimer 21421 blt 0,0,640,480,0, 1186,640,480:waittimer 21457 blt 0,0,640,480,0, 1188,640,480:waittimer 21493 blt 0,0,640,480,0, 1190,640,480:waittimer 21529 blt 0,0,640,480,0, 1192,640,480:waittimer 21565 blt 0,0,640,480,0, 1194,640,480:waittimer 21601 blt 0,0,640,480,0, 1196,640,480:waittimer 21638 blt 0,0,640,480,0, 1198,640,480:waittimer 21674 blt 0,0,640,480,0, 1200,640,480:waittimer 21710 blt 0,0,640,480,0, 1202,640,480:waittimer 21746 blt 0,0,640,480,0, 1204,640,480:waittimer 21782 blt 0,0,640,480,0, 1206,640,480:waittimer 21819 blt 0,0,640,480,0, 1208,640,480:waittimer 21855 blt 0,0,640,480,0, 1210,640,480:waittimer 21891 blt 0,0,640,480,0, 1212,640,480:waittimer 21927 blt 0,0,640,480,0, 1214,640,480:waittimer 21963 blt 0,0,640,480,0, 1216,640,480:waittimer 22000 blt 0,0,640,480,0, 1218,640,480:waittimer 22036 blt 0,0,640,480,0, 1220,640,480:waittimer 22072 blt 0,0,640,480,0, 1222,640,480:waittimer 22108 blt 0,0,640,480,0, 1224,640,480:waittimer 22144 blt 0,0,640,480,0, 1226,640,480:waittimer 22180 blt 0,0,640,480,0, 1228,640,480:waittimer 22217 blt 0,0,640,480,0, 1230,640,480:waittimer 22253 blt 0,0,640,480,0, 1232,640,480:waittimer 22289 blt 0,0,640,480,0, 1234,640,480:waittimer 22325 blt 0,0,640,480,0, 1236,640,480:waittimer 22361 blt 0,0,640,480,0, 1238,640,480:waittimer 22398 blt 0,0,640,480,0, 1240,640,480:waittimer 22434 blt 0,0,640,480,0, 1242,640,480:waittimer 22470 blt 0,0,640,480,0, 1244,640,480:waittimer 22506 blt 0,0,640,480,0, 1246,640,480:waittimer 22542 blt 0,0,640,480,0, 1248,640,480:waittimer 22578 blt 0,0,640,480,0, 1250,640,480:waittimer 22615 blt 0,0,640,480,0, 1252,640,480:waittimer 22651 blt 0,0,640,480,0, 1254,640,480:waittimer 22687 blt 0,0,640,480,0, 1256,640,480:waittimer 22723 blt 0,0,640,480,0, 1258,640,480:waittimer 22759 blt 0,0,640,480,0, 1260,640,480:waittimer 22796 blt 0,0,640,480,0, 1262,640,480:waittimer 22832 blt 0,0,640,480,0, 1264,640,480:waittimer 22868 blt 0,0,640,480,0, 1266,640,480:waittimer 22904 blt 0,0,640,480,0, 1268,640,480:waittimer 22940 blt 0,0,640,480,0, 1270,640,480:waittimer 22976 blt 0,0,640,480,0, 1272,640,480:waittimer 23013 blt 0,0,640,480,0, 1274,640,480:waittimer 23049 blt 0,0,640,480,0, 1276,640,480:waittimer 23085 blt 0,0,640,480,0, 1278,640,480:waittimer 23121 blt 0,0,640,480,0, 1280,640,480:waittimer 23157 blt 0,0,640,480,0, 1282,640,480:waittimer 23194 blt 0,0,640,480,0, 1284,640,480:waittimer 23230 blt 0,0,640,480,0, 1286,640,480:waittimer 23266 blt 0,0,640,480,0, 1288,640,480:waittimer 23302 blt 0,0,640,480,0, 1290,640,480:waittimer 23338 blt 0,0,640,480,0, 1292,640,480:waittimer 23375 blt 0,0,640,480,0, 1294,640,480:waittimer 23411 blt 0,0,640,480,0, 1296,640,480:waittimer 23447 blt 0,0,640,480,0, 1298,640,480:waittimer 23483 blt 0,0,640,480,0, 1300,640,480:waittimer 23519 blt 0,0,640,480,0, 1302,640,480:waittimer 23555 blt 0,0,640,480,0, 1304,640,480:waittimer 23592 blt 0,0,640,480,0, 1306,640,480:waittimer 23628 blt 0,0,640,480,0, 1308,640,480:waittimer 23664 blt 0,0,640,480,0, 1310,640,480:waittimer 23700 blt 0,0,640,480,0, 1312,640,480:waittimer 23736 blt 0,0,640,480,0, 1314,640,480:waittimer 23773 blt 0,0,640,480,0, 1316,640,480:waittimer 23809 blt 0,0,640,480,0, 1318,640,480:waittimer 23845 blt 0,0,640,480,0, 1320,640,480:waittimer 23881 blt 0,0,640,480,0, 1322,640,480:waittimer 23917 blt 0,0,640,480,0, 1324,640,480:waittimer 23953 blt 0,0,640,480,0, 1326,640,480:waittimer 23990 blt 0,0,640,480,0, 1328,640,480:waittimer 24026 blt 0,0,640,480,0, 1330,640,480:waittimer 24062 blt 0,0,640,480,0, 1332,640,480:waittimer 24098 blt 0,0,640,480,0, 1334,640,480:waittimer 24134 blt 0,0,640,480,0, 1336,640,480:waittimer 24171 blt 0,0,640,480,0, 1338,640,480:waittimer 24207 blt 0,0,640,480,0, 1340,640,480:waittimer 24243 blt 0,0,640,480,0, 1342,640,480:waittimer 24279 blt 0,0,640,480,0, 1344,640,480:waittimer 24315 blt 0,0,640,480,0, 1346,640,480:waittimer 24351 blt 0,0,640,480,0, 1348,640,480:waittimer 24388 blt 0,0,640,480,0, 1350,640,480:waittimer 24424 blt 0,0,640,480,0, 1352,640,480:waittimer 24460 blt 0,0,640,480,0, 1354,640,480:waittimer 24496 blt 0,0,640,480,0, 1356,640,480:waittimer 24532 blt 0,0,640,480,0, 1358,640,480:waittimer 24569 blt 0,0,640,480,0, 1360,640,480:waittimer 24605 blt 0,0,640,480,0, 1362,640,480:waittimer 24641 blt 0,0,640,480,0, 1364,640,480:waittimer 24677 blt 0,0,640,480,0, 1366,640,480:waittimer 24713 blt 0,0,640,480,0, 1368,640,480:waittimer 24750 blt 0,0,640,480,0, 1370,640,480:waittimer 24786 blt 0,0,640,480,0, 1372,640,480:waittimer 24822 blt 0,0,640,480,0, 1374,640,480:waittimer 24858 blt 0,0,640,480,0, 1376,640,480:waittimer 24894 blt 0,0,640,480,0, 1378,640,480:waittimer 24930 blt 0,0,640,480,0, 1380,640,480:waittimer 24967 blt 0,0,640,480,0, 1382,640,480:waittimer 25003 blt 0,0,640,480,0, 1384,640,480:waittimer 25039 blt 0,0,640,480,0, 1386,640,480:waittimer 25075 blt 0,0,640,480,0, 1388,640,480:waittimer 25111 blt 0,0,640,480,0, 1390,640,480:waittimer 25148 blt 0,0,640,480,0, 1392,640,480:waittimer 25184 blt 0,0,640,480,0, 1394,640,480:waittimer 25220 blt 0,0,640,480,0, 1396,640,480:waittimer 25256 blt 0,0,640,480,0, 1398,640,480:waittimer 25292 blt 0,0,640,480,0, 1400,640,480:waittimer 25328 blt 0,0,640,480,0, 1402,640,480:waittimer 25365 blt 0,0,640,480,0, 1404,640,480:waittimer 25401 blt 0,0,640,480,0, 1406,640,480:waittimer 25437 blt 0,0,640,480,0, 1408,640,480:waittimer 25473 blt 0,0,640,480,0, 1410,640,480:waittimer 25509 blt 0,0,640,480,0, 1412,640,480:waittimer 25546 blt 0,0,640,480,0, 1414,640,480:waittimer 25582 blt 0,0,640,480,0, 1416,640,480:waittimer 25618 blt 0,0,640,480,0, 1418,640,480:waittimer 25654 blt 0,0,640,480,0, 1420,640,480:waittimer 25690 blt 0,0,640,480,0, 1422,640,480:waittimer 25726 blt 0,0,640,480,0, 1424,640,480:waittimer 25763 blt 0,0,640,480,0, 1426,640,480:waittimer 25799 blt 0,0,640,480,0, 1428,640,480:waittimer 25835 blt 0,0,640,480,0, 1430,640,480:waittimer 25871 blt 0,0,640,480,0, 1432,640,480:waittimer 25907 blt 0,0,640,480,0, 1434,640,480:waittimer 25944 blt 0,0,640,480,0, 1436,640,480:waittimer 25980 blt 0,0,640,480,0, 1438,640,480:waittimer 26016 blt 0,0,640,480,0, 1440,640,480:waittimer 26052 blt 0,0,640,480,0, 1442,640,480:waittimer 26088 blt 0,0,640,480,0, 1444,640,480:waittimer 26125 blt 0,0,640,480,0, 1446,640,480:waittimer 26161 blt 0,0,640,480,0, 1448,640,480:waittimer 26197 blt 0,0,640,480,0, 1450,640,480:waittimer 26233 blt 0,0,640,480,0, 1452,640,480:waittimer 26269 blt 0,0,640,480,0, 1454,640,480:waittimer 26305 blt 0,0,640,480,0, 1456,640,480:waittimer 26342 blt 0,0,640,480,0, 1458,640,480:waittimer 26378 blt 0,0,640,480,0, 1460,640,480:waittimer 26414 blt 0,0,640,480,0, 1462,640,480:waittimer 26450 blt 0,0,640,480,0, 1464,640,480:waittimer 26486 blt 0,0,640,480,0, 1466,640,480:waittimer 26523 blt 0,0,640,480,0, 1468,640,480:waittimer 26559 blt 0,0,640,480,0, 1470,640,480:waittimer 26595 blt 0,0,640,480,0, 1472,640,480:waittimer 26631 blt 0,0,640,480,0, 1474,640,480:waittimer 26667 blt 0,0,640,480,0, 1476,640,480:waittimer 26703 blt 0,0,640,480,0, 1478,640,480:waittimer 26740 blt 0,0,640,480,0, 1480,640,480:waittimer 26776 blt 0,0,640,480,0, 1482,640,480:waittimer 26812 blt 0,0,640,480,0, 1484,640,480:waittimer 26848 blt 0,0,640,480,0, 1486,640,480:waittimer 26884 blt 0,0,640,480,0, 1488,640,480:waittimer 26921 blt 0,0,640,480,0, 1490,640,480:waittimer 26957 blt 0,0,640,480,0, 1492,640,480:waittimer 26993 blt 0,0,640,480,0, 1494,640,480:waittimer 27029 blt 0,0,640,480,0, 1496,640,480:waittimer 27065 blt 0,0,640,480,0, 1498,640,480:waittimer 27101 blt 0,0,640,480,0, 1500,640,480:waittimer 27138 blt 0,0,640,480,0, 1502,640,480:waittimer 27174 blt 0,0,640,480,0, 1504,640,480:waittimer 27210 blt 0,0,640,480,0, 1506,640,480:waittimer 27246 blt 0,0,640,480,0, 1508,640,480:waittimer 27282 blt 0,0,640,480,0, 1510,640,480:waittimer 27319 blt 0,0,640,480,0, 1512,640,480:waittimer 27355 blt 0,0,640,480,0, 1514,640,480:waittimer 27391 blt 0,0,640,480,0, 1516,640,480:waittimer 27427 blt 0,0,640,480,0, 1518,640,480:waittimer 27463 blt 0,0,640,480,0, 1520,640,480:waittimer 27500 blt 0,0,640,480,0, 1522,640,480:waittimer 27536 blt 0,0,640,480,0, 1524,640,480:waittimer 27572 blt 0,0,640,480,0, 1526,640,480:waittimer 27608 blt 0,0,640,480,0, 1528,640,480:waittimer 27644 blt 0,0,640,480,0, 1530,640,480:waittimer 27680 blt 0,0,640,480,0, 1532,640,480:waittimer 27717 blt 0,0,640,480,0, 1534,640,480:waittimer 27753 blt 0,0,640,480,0, 1536,640,480:waittimer 27789 blt 0,0,640,480,0, 1538,640,480:waittimer 27825 blt 0,0,640,480,0, 1540,640,480:waittimer 27861 blt 0,0,640,480,0, 1542,640,480:waittimer 27898 blt 0,0,640,480,0, 1544,640,480:waittimer 27934 blt 0,0,640,480,0, 1546,640,480:waittimer 27970 blt 0,0,640,480,0, 1548,640,480:waittimer 28006 blt 0,0,640,480,0, 1550,640,480:waittimer 28042 blt 0,0,640,480,0, 1552,640,480:waittimer 28078 blt 0,0,640,480,0, 1554,640,480:waittimer 28115 blt 0,0,640,480,0, 1556,640,480:waittimer 28151 blt 0,0,640,480,0, 1558,640,480:waittimer 28187 blt 0,0,640,480,0, 1560,640,480:waittimer 28223 blt 0,0,640,480,0, 1562,640,480:waittimer 28259 blt 0,0,640,480,0, 1564,640,480:waittimer 28296 blt 0,0,640,480,0, 1566,640,480:waittimer 28332 blt 0,0,640,480,0, 1568,640,480:waittimer 28368 blt 0,0,640,480,0, 1570,640,480:waittimer 28404 blt 0,0,640,480,0, 1572,640,480:waittimer 28440 blt 0,0,640,480,0, 1574,640,480:waittimer 28476 blt 0,0,640,480,0, 1576,640,480:waittimer 28513 blt 0,0,640,480,0, 1578,640,480:waittimer 28549 blt 0,0,640,480,0, 1580,640,480:waittimer 28585 blt 0,0,640,480,0, 1582,640,480:waittimer 28621 blt 0,0,640,480,0, 1584,640,480:waittimer 28657 blt 0,0,640,480,0, 1586,640,480:waittimer 28694 blt 0,0,640,480,0, 1588,640,480:waittimer 28730 blt 0,0,640,480,0, 1590,640,480:waittimer 28766 blt 0,0,640,480,0, 1592,640,480:waittimer 28802 blt 0,0,640,480,0, 1594,640,480:waittimer 28838 blt 0,0,640,480,0, 1596,640,480:waittimer 28875 blt 0,0,640,480,0, 1598,640,480:waittimer 28911 blt 0,0,640,480,0, 1600,640,480:waittimer 28947 blt 0,0,640,480,0, 1602,640,480:waittimer 28983 blt 0,0,640,480,0, 1604,640,480:waittimer 29019 blt 0,0,640,480,0, 1606,640,480:waittimer 29055 blt 0,0,640,480,0, 1608,640,480:waittimer 29092 blt 0,0,640,480,0, 1610,640,480:waittimer 29128 blt 0,0,640,480,0, 1612,640,480:waittimer 29164 blt 0,0,640,480,0, 1614,640,480:waittimer 29200 blt 0,0,640,480,0, 1616,640,480:waittimer 29236 blt 0,0,640,480,0, 1618,640,480:waittimer 29273 blt 0,0,640,480,0, 1620,640,480:waittimer 29309 blt 0,0,640,480,0, 1622,640,480:waittimer 29345 blt 0,0,640,480,0, 1624,640,480:waittimer 29381 blt 0,0,640,480,0, 1626,640,480:waittimer 29417 blt 0,0,640,480,0, 1628,640,480:waittimer 29453 blt 0,0,640,480,0, 1630,640,480:waittimer 29490 blt 0,0,640,480,0, 1632,640,480:waittimer 29526 blt 0,0,640,480,0, 1634,640,480:waittimer 29562 blt 0,0,640,480,0, 1636,640,480:waittimer 29598 blt 0,0,640,480,0, 1638,640,480:waittimer 29634 blt 0,0,640,480,0, 1640,640,480:waittimer 29671 blt 0,0,640,480,0, 1642,640,480:waittimer 29707 blt 0,0,640,480,0, 1644,640,480:waittimer 29743 blt 0,0,640,480,0, 1646,640,480:waittimer 29779 blt 0,0,640,480,0, 1648,640,480:waittimer 29815 blt 0,0,640,480,0, 1650,640,480:waittimer 29851 blt 0,0,640,480,0, 1652,640,480:waittimer 29888 blt 0,0,640,480,0, 1654,640,480:waittimer 29924 blt 0,0,640,480,0, 1656,640,480:waittimer 29960 blt 0,0,640,480,0, 1658,640,480:waittimer 29996 blt 0,0,640,480,0, 1660,640,480:waittimer 30032 blt 0,0,640,480,0, 1662,640,480:waittimer 30069 blt 0,0,640,480,0, 1664,640,480:waittimer 30105 blt 0,0,640,480,0, 1666,640,480:waittimer 30141 blt 0,0,640,480,0, 1668,640,480:waittimer 30177 blt 0,0,640,480,0, 1670,640,480:waittimer 30213 blt 0,0,640,480,0, 1672,640,480:waittimer 30250 blt 0,0,640,480,0, 1674,640,480:waittimer 30286 blt 0,0,640,480,0, 1676,640,480:waittimer 30322 blt 0,0,640,480,0, 1678,640,480:waittimer 30358 blt 0,0,640,480,0, 1680,640,480:waittimer 30394 blt 0,0,640,480,0, 1682,640,480:waittimer 30430 blt 0,0,640,480,0, 1684,640,480:waittimer 30467 blt 0,0,640,480,0, 1686,640,480:waittimer 30503 blt 0,0,640,480,0, 1688,640,480:waittimer 30539 blt 0,0,640,480,0, 1690,640,480:waittimer 30575 blt 0,0,640,480,0, 1692,640,480:waittimer 30611 blt 0,0,640,480,0, 1694,640,480:waittimer 30648 blt 0,0,640,480,0, 1696,640,480:waittimer 30684 blt 0,0,640,480,0, 1698,640,480:waittimer 30720 blt 0,0,640,480,0, 1700,640,480:waittimer 30756 blt 0,0,640,480,0, 1702,640,480:waittimer 30792 blt 0,0,640,480,0, 1704,640,480:waittimer 30828 blt 0,0,640,480,0, 1706,640,480:waittimer 30865 blt 0,0,640,480,0, 1708,640,480:waittimer 30901 blt 0,0,640,480,0, 1710,640,480:waittimer 30937 blt 0,0,640,480,0, 1712,640,480:waittimer 30973 blt 0,0,640,480,0, 1714,640,480:waittimer 31009 blt 0,0,640,480,0, 1716,640,480:waittimer 31046 blt 0,0,640,480,0, 1718,640,480:waittimer 31082 blt 0,0,640,480,0, 1720,640,480:waittimer 31118 blt 0,0,640,480,0, 1722,640,480:waittimer 31154 blt 0,0,640,480,0, 1724,640,480:waittimer 31190 blt 0,0,640,480,0, 1726,640,480:waittimer 31226 blt 0,0,640,480,0, 1728,640,480:waittimer 31263 blt 0,0,640,480,0, 1730,640,480:waittimer 31299 blt 0,0,640,480,0, 1732,640,480:waittimer 31335 blt 0,0,640,480,0, 1734,640,480:waittimer 31371 blt 0,0,640,480,0, 1736,640,480:waittimer 31407 blt 0,0,640,480,0, 1738,640,480:waittimer 31444 blt 0,0,640,480,0, 1740,640,480:waittimer 31480 blt 0,0,640,480,0, 1742,640,480:waittimer 31516 blt 0,0,640,480,0, 1744,640,480:waittimer 31552 blt 0,0,640,480,0, 1746,640,480:waittimer 31588 blt 0,0,640,480,0, 1748,640,480:waittimer 31625 blt 0,0,640,480,0, 1750,640,480:waittimer 31661 blt 0,0,640,480,0, 1752,640,480:waittimer 31697 blt 0,0,640,480,0, 1754,640,480:waittimer 31733 blt 0,0,640,480,0, 1756,640,480:waittimer 31769 blt 0,0,640,480,0, 1758,640,480:waittimer 31805 blt 0,0,640,480,0, 1760,640,480:waittimer 31842 blt 0,0,640,480,0, 1762,640,480:waittimer 31878 blt 0,0,640,480,0, 1764,640,480:waittimer 31914 blt 0,0,640,480,0, 1766,640,480:waittimer 31950 blt 0,0,640,480,0, 1768,640,480:waittimer 31986 blt 0,0,640,480,0, 1770,640,480:waittimer 32023 blt 0,0,640,480,0, 1772,640,480:waittimer 32059 blt 0,0,640,480,0, 1774,640,480:waittimer 32095 blt 0,0,640,480,0, 1776,640,480:waittimer 32131 blt 0,0,640,480,0, 1778,640,480:waittimer 32167 blt 0,0,640,480,0, 1780,640,480:waittimer 32203 blt 0,0,640,480,0, 1782,640,480:waittimer 32240 blt 0,0,640,480,0, 1784,640,480:waittimer 32276 blt 0,0,640,480,0, 1786,640,480:waittimer 32312 blt 0,0,640,480,0, 1788,640,480:waittimer 32348 blt 0,0,640,480,0, 1790,640,480:waittimer 32384 blt 0,0,640,480,0, 1792,640,480:waittimer 32421 blt 0,0,640,480,0, 1794,640,480:waittimer 32457 blt 0,0,640,480,0, 1796,640,480:waittimer 32493 blt 0,0,640,480,0, 1798,640,480:waittimer 32529 blt 0,0,640,480,0, 1800,640,480:waittimer 32565 blt 0,0,640,480,0, 1802,640,480:waittimer 32601 blt 0,0,640,480,0, 1804,640,480:waittimer 32638 blt 0,0,640,480,0, 1806,640,480:waittimer 32674 blt 0,0,640,480,0, 1808,640,480:waittimer 32710 blt 0,0,640,480,0, 1810,640,480:waittimer 32746 blt 0,0,640,480,0, 1812,640,480:waittimer 32782 blt 0,0,640,480,0, 1814,640,480:waittimer 32819 blt 0,0,640,480,0, 1816,640,480:waittimer 32855 blt 0,0,640,480,0, 1818,640,480:waittimer 32891 blt 0,0,640,480,0, 1820,640,480:waittimer 32927 blt 0,0,640,480,0, 1822,640,480:waittimer 32963 blt 0,0,640,480,0, 1824,640,480:waittimer 33000 blt 0,0,640,480,0, 1826,640,480:waittimer 33036 blt 0,0,640,480,0, 1828,640,480:waittimer 33072 blt 0,0,640,480,0, 1830,640,480:waittimer 33108 blt 0,0,640,480,0, 1832,640,480:waittimer 33144 blt 0,0,640,480,0, 1834,640,480:waittimer 33180 blt 0,0,640,480,0, 1836,640,480:waittimer 33217 blt 0,0,640,480,0, 1838,640,480:waittimer 33253 blt 0,0,640,480,0, 1840,640,480:waittimer 33289 blt 0,0,640,480,0, 1842,640,480:waittimer 33325 blt 0,0,640,480,0, 1844,640,480:waittimer 33361 blt 0,0,640,480,0, 1846,640,480:waittimer 33398 blt 0,0,640,480,0, 1848,640,480:waittimer 33434 blt 0,0,640,480,0, 1850,640,480:waittimer 33470 blt 0,0,640,480,0, 1852,640,480:waittimer 33506 blt 0,0,640,480,0, 1854,640,480:waittimer 33542 blt 0,0,640,480,0, 1856,640,480:waittimer 33578 blt 0,0,640,480,0, 1858,640,480:waittimer 33615 blt 0,0,640,480,0, 1860,640,480:waittimer 33651 blt 0,0,640,480,0, 1862,640,480:waittimer 33687 blt 0,0,640,480,0, 1864,640,480:waittimer 33723 blt 0,0,640,480,0, 1866,640,480:waittimer 33759 blt 0,0,640,480,0, 1868,640,480:waittimer 33796 blt 0,0,640,480,0, 1870,640,480:waittimer 33832 blt 0,0,640,480,0, 1872,640,480:waittimer 33868 blt 0,0,640,480,0, 1874,640,480:waittimer 33904 blt 0,0,640,480,0, 1876,640,480:waittimer 33940 blt 0,0,640,480,0, 1878,640,480:waittimer 33976 blt 0,0,640,480,0, 1880,640,480:waittimer 34013 blt 0,0,640,480,0, 1882,640,480:waittimer 34049 blt 0,0,640,480,0, 1884,640,480:waittimer 34085 blt 0,0,640,480,0, 1886,640,480:waittimer 34121 blt 0,0,640,480,0, 1888,640,480:waittimer 34157 blt 0,0,640,480,0, 1890,640,480:waittimer 34194 blt 0,0,640,480,0, 1892,640,480:waittimer 34230 blt 0,0,640,480,0, 1894,640,480:waittimer 34266 blt 0,0,640,480,0, 1896,640,480:waittimer 34302 blt 0,0,640,480,0, 1898,640,480:waittimer 34338 blt 0,0,640,480,0, 1900,640,480:waittimer 34375 blt 0,0,640,480,0, 1902,640,480:waittimer 34411 blt 0,0,640,480,0, 1904,640,480:waittimer 34447 blt 0,0,640,480,0, 1906,640,480:waittimer 34483 blt 0,0,640,480,0, 1908,640,480:waittimer 34519 blt 0,0,640,480,0, 1910,640,480:waittimer 34555 blt 0,0,640,480,0, 1912,640,480:waittimer 34592 blt 0,0,640,480,0, 1914,640,480:waittimer 34628 blt 0,0,640,480,0, 1916,640,480:waittimer 34664 blt 0,0,640,480,0, 1918,640,480:waittimer 34700 blt 0,0,640,480,0, 1920,640,480:waittimer 34736 blt 0,0,640,480,0, 1922,640,480:waittimer 34773 blt 0,0,640,480,0, 1924,640,480:waittimer 34809 blt 0,0,640,480,0, 1926,640,480:waittimer 34845 blt 0,0,640,480,0, 1928,640,480:waittimer 34881 blt 0,0,640,480,0, 1930,640,480:waittimer 34917 blt 0,0,640,480,0, 1932,640,480:waittimer 34953 blt 0,0,640,480,0, 1934,640,480:waittimer 34990 blt 0,0,640,480,0, 1936,640,480:waittimer 35026 blt 0,0,640,480,0, 1938,640,480:waittimer 35062 blt 0,0,640,480,0, 1940,640,480:waittimer 35098 blt 0,0,640,480,0, 1942,640,480:waittimer 35134 blt 0,0,640,480,0, 1944,640,480:waittimer 35171 blt 0,0,640,480,0, 1946,640,480:waittimer 35207 blt 0,0,640,480,0, 1948,640,480:waittimer 35243 blt 0,0,640,480,0, 1950,640,480:waittimer 35279 blt 0,0,640,480,0, 1952,640,480:waittimer 35315 blt 0,0,640,480,0, 1954,640,480:waittimer 35351 blt 0,0,640,480,0, 1956,640,480:waittimer 35388 blt 0,0,640,480,0, 1958,640,480:waittimer 35424 blt 0,0,640,480,0, 1960,640,480:waittimer 35460 blt 0,0,640,480,0, 1962,640,480:waittimer 35496 blt 0,0,640,480,0, 1964,640,480:waittimer 35532 blt 0,0,640,480,0, 1966,640,480:waittimer 35569 blt 0,0,640,480,0, 1968,640,480:waittimer 35605 blt 0,0,640,480,0, 1970,640,480:waittimer 35641 blt 0,0,640,480,0, 1972,640,480:waittimer 35677 blt 0,0,640,480,0, 1974,640,480:waittimer 35713 blt 0,0,640,480,0, 1976,640,480:waittimer 35750 blt 0,0,640,480,0, 1978,640,480:waittimer 35786 blt 0,0,640,480,0, 1980,640,480:waittimer 35822 blt 0,0,640,480,0, 1982,640,480:waittimer 35858 blt 0,0,640,480,0, 1984,640,480:waittimer 35894 blt 0,0,640,480,0, 1986,640,480:waittimer 35930 blt 0,0,640,480,0, 1988,640,480:waittimer 35967 blt 0,0,640,480,0, 1990,640,480:waittimer 36003 blt 0,0,640,480,0, 1992,640,480:waittimer 36039 blt 0,0,640,480,0, 1994,640,480:waittimer 36075 blt 0,0,640,480,0, 1996,640,480:waittimer 36111 blt 0,0,640,480,0, 1998,640,480:waittimer 36148 blt 0,0,640,480,0, 2000,640,480:waittimer 36184 blt 0,0,640,480,0, 2002,640,480:waittimer 36220 blt 0,0,640,480,0, 2004,640,480:waittimer 36256 blt 0,0,640,480,0, 2006,640,480:waittimer 36292 blt 0,0,640,480,0, 2008,640,480:waittimer 36328 blt 0,0,640,480,0, 2010,640,480:waittimer 36365 blt 0,0,640,480,0, 2012,640,480:waittimer 36401 blt 0,0,640,480,0, 2014,640,480:waittimer 36437 blt 0,0,640,480,0, 2016,640,480:waittimer 36473 blt 0,0,640,480,0, 2018,640,480:waittimer 36509 blt 0,0,640,480,0, 2020,640,480:waittimer 36546 blt 0,0,640,480,0, 2022,640,480:waittimer 36582 blt 0,0,640,480,0, 2024,640,480:waittimer 36618 blt 0,0,640,480,0, 2026,640,480:waittimer 36654 blt 0,0,640,480,0, 2028,640,480:waittimer 36690 blt 0,0,640,480,0, 2030,640,480:waittimer 36726 blt 0,0,640,480,0, 2032,640,480:waittimer 36763 blt 0,0,640,480,0, 2034,640,480:waittimer 36799 blt 0,0,640,480,0, 2036,640,480:waittimer 36835 blt 0,0,640,480,0, 2038,640,480:waittimer 36871 blt 0,0,640,480,0, 2040,640,480:waittimer 36907 blt 0,0,640,480,0, 2042,640,480:waittimer 36944 blt 0,0,640,480,0, 2044,640,480:waittimer 36980 blt 0,0,640,480,0, 2046,640,480:waittimer 37016 blt 0,0,640,480,0, 2048,640,480:waittimer 37052 blt 0,0,640,480,0, 2050,640,480:waittimer 37088 blt 0,0,640,480,0, 2052,640,480:waittimer 37125 blt 0,0,640,480,0, 2054,640,480:waittimer 37161 blt 0,0,640,480,0, 2056,640,480:waittimer 37197 blt 0,0,640,480,0, 2058,640,480:waittimer 37233 blt 0,0,640,480,0, 2060,640,480:waittimer 37269 blt 0,0,640,480,0, 2062,640,480:waittimer 37305 blt 0,0,640,480,0, 2064,640,480:waittimer 37342 blt 0,0,640,480,0, 2066,640,480:waittimer 37378 blt 0,0,640,480,0, 2068,640,480:waittimer 37414 blt 0,0,640,480,0, 2070,640,480:waittimer 37450 blt 0,0,640,480,0, 2072,640,480:waittimer 37486 blt 0,0,640,480,0, 2074,640,480:waittimer 37523 blt 0,0,640,480,0, 2076,640,480:waittimer 37559 blt 0,0,640,480,0, 2078,640,480:waittimer 37595 blt 0,0,640,480,0, 2080,640,480:waittimer 37631 blt 0,0,640,480,0, 2082,640,480:waittimer 37667 blt 0,0,640,480,0, 2084,640,480:waittimer 37703 blt 0,0,640,480,0, 2086,640,480:waittimer 37740 blt 0,0,640,480,0, 2088,640,480:waittimer 37776 blt 0,0,640,480,0, 2090,640,480:waittimer 37812 blt 0,0,640,480,0, 2092,640,480:waittimer 37848 blt 0,0,640,480,0, 2094,640,480:waittimer 37884 blt 0,0,640,480,0, 2096,640,480:waittimer 37921 blt 0,0,640,480,0, 2098,640,480:waittimer 37957 blt 0,0,640,480,0, 2100,640,480:waittimer 37993 blt 0,0,640,480,0, 2102,640,480:waittimer 38029 blt 0,0,640,480,0, 2104,640,480:waittimer 38065 blt 0,0,640,480,0, 2106,640,480:waittimer 38101 blt 0,0,640,480,0, 2108,640,480:waittimer 38138 blt 0,0,640,480,0, 2110,640,480:waittimer 38174 blt 0,0,640,480,0, 2112,640,480:waittimer 38210 blt 0,0,640,480,0, 2114,640,480:waittimer 38246 blt 0,0,640,480,0, 2116,640,480:waittimer 38282 blt 0,0,640,480,0, 2118,640,480:waittimer 38319 blt 0,0,640,480,0, 2120,640,480:waittimer 38355 blt 0,0,640,480,0, 2122,640,480:waittimer 38391 blt 0,0,640,480,0, 2124,640,480:waittimer 38427 blt 0,0,640,480,0, 2126,640,480:waittimer 38463 blt 0,0,640,480,0, 2128,640,480:waittimer 38500 blt 0,0,640,480,0, 2130,640,480:waittimer 38536 blt 0,0,640,480,0, 2132,640,480:waittimer 38572 blt 0,0,640,480,0, 2134,640,480:waittimer 38608 blt 0,0,640,480,0, 2136,640,480:waittimer 38644 blt 0,0,640,480,0, 2138,640,480:waittimer 38680 blt 0,0,640,480,0, 2140,640,480:waittimer 38717 blt 0,0,640,480,0, 2142,640,480:waittimer 38753 blt 0,0,640,480,0, 2144,640,480:waittimer 38789 blt 0,0,640,480,0, 2146,640,480:waittimer 38825 blt 0,0,640,480,0, 2148,640,480:waittimer 38861 blt 0,0,640,480,0, 2150,640,480:waittimer 38898 blt 0,0,640,480,0, 2152,640,480:waittimer 38934 blt 0,0,640,480,0, 2154,640,480:waittimer 38970 blt 0,0,640,480,0, 2156,640,480:waittimer 39006 blt 0,0,640,480,0, 2158,640,480:waittimer 39042 blt 0,0,640,480,0, 2160,640,480:waittimer 39078 blt 0,0,640,480,0, 2162,640,480:waittimer 39115 blt 0,0,640,480,0, 2164,640,480:waittimer 39151 blt 0,0,640,480,0, 2166,640,480:waittimer 39187 blt 0,0,640,480,0, 2168,640,480:waittimer 39223 blt 0,0,640,480,0, 2170,640,480:waittimer 39259 blt 0,0,640,480,0, 2172,640,480:waittimer 39296 blt 0,0,640,480,0, 2174,640,480:waittimer 39332 blt 0,0,640,480,0, 2176,640,480:waittimer 39368 blt 0,0,640,480,0, 2178,640,480:waittimer 39404 blt 0,0,640,480,0, 2180,640,480:waittimer 39440 blt 0,0,640,480,0, 2182,640,480:waittimer 39476 blt 0,0,640,480,0, 2184,640,480:waittimer 39513 blt 0,0,640,480,0, 2186,640,480:waittimer 39549 blt 0,0,640,480,0, 2188,640,480:waittimer 39585 blt 0,0,640,480,0, 2190,640,480:waittimer 39621 blt 0,0,640,480,0, 2192,640,480:waittimer 39657 blt 0,0,640,480,0, 2194,640,480:waittimer 39694 blt 0,0,640,480,0, 2196,640,480:waittimer 39730 blt 0,0,640,480,0, 2198,640,480:waittimer 39766 blt 0,0,640,480,0, 2200,640,480:waittimer 39802 blt 0,0,640,480,0, 2202,640,480:waittimer 39838 blt 0,0,640,480,0, 2204,640,480:waittimer 39875 blt 0,0,640,480,0, 2206,640,480:waittimer 39911 blt 0,0,640,480,0, 2208,640,480:waittimer 39947 blt 0,0,640,480,0, 2210,640,480:waittimer 39983 blt 0,0,640,480,0, 2212,640,480:waittimer 40019 blt 0,0,640,480,0, 2214,640,480:waittimer 40055 blt 0,0,640,480,0, 2216,640,480:waittimer 40092 blt 0,0,640,480,0, 2218,640,480:waittimer 40128 blt 0,0,640,480,0, 2220,640,480:waittimer 40164 blt 0,0,640,480,0, 2222,640,480:waittimer 40200 blt 0,0,640,480,0, 2224,640,480:waittimer 40236 blt 0,0,640,480,0, 2226,640,480:waittimer 40273 blt 0,0,640,480,0, 2228,640,480:waittimer 40309 blt 0,0,640,480,0, 2230,640,480:waittimer 40345 blt 0,0,640,480,0, 2232,640,480:waittimer 40381 blt 0,0,640,480,0, 2234,640,480:waittimer 40417 blt 0,0,640,480,0, 2236,640,480:waittimer 40453 blt 0,0,640,480,0, 2238,640,480:waittimer 40490 blt 0,0,640,480,0, 2240,640,480:waittimer 40526 blt 0,0,640,480,0, 2242,640,480:waittimer 40562 blt 0,0,640,480,0, 2244,640,480:waittimer 40598 blt 0,0,640,480,0, 2246,640,480:waittimer 40634 blt 0,0,640,480,0, 2248,640,480:waittimer 40671 blt 0,0,640,480,0, 2250,640,480:waittimer 40707 blt 0,0,640,480,0, 2252,640,480:waittimer 40743 blt 0,0,640,480,0, 2254,640,480:waittimer 40779 blt 0,0,640,480,0, 2256,640,480:waittimer 40815 blt 0,0,640,480,0, 2258,640,480:waittimer 40851 blt 0,0,640,480,0, 2260,640,480:waittimer 40888 blt 0,0,640,480,0, 2262,640,480:waittimer 40924 blt 0,0,640,480,0, 2264,640,480:waittimer 40960 blt 0,0,640,480,0, 2266,640,480:waittimer 40996 blt 0,0,640,480,0, 2268,640,480:waittimer 41032 blt 0,0,640,480,0, 2270,640,480:waittimer 41069 blt 0,0,640,480,0, 2272,640,480:waittimer 41105 blt 0,0,640,480,0, 2274,640,480:waittimer 41141 blt 0,0,640,480,0, 2276,640,480:waittimer 41177 blt 0,0,640,480,0, 2278,640,480:waittimer 41213 blt 0,0,640,480,0, 2280,640,480:waittimer 41250 blt 0,0,640,480,0, 2282,640,480:waittimer 41286 blt 0,0,640,480,0, 2284,640,480:waittimer 41322 blt 0,0,640,480,0, 2286,640,480:waittimer 41358 blt 0,0,640,480,0, 2288,640,480:waittimer 41394 blt 0,0,640,480,0, 2290,640,480:waittimer 41430 blt 0,0,640,480,0, 2292,640,480:waittimer 41467 blt 0,0,640,480,0, 2294,640,480:waittimer 41503 blt 0,0,640,480,0, 2296,640,480:waittimer 41539 blt 0,0,640,480,0, 2298,640,480:waittimer 41575 blt 0,0,640,480,0, 2300,640,480:waittimer 41611 blt 0,0,640,480,0, 2302,640,480:waittimer 41648 blt 0,0,640,480,0, 2304,640,480:waittimer 41684 blt 0,0,640,480,0, 2306,640,480:waittimer 41720 blt 0,0,640,480,0, 2308,640,480:waittimer 41756 blt 0,0,640,480,0, 2310,640,480:waittimer 41792 blt 0,0,640,480,0, 2312,640,480:waittimer 41828 blt 0,0,640,480,0, 2314,640,480:waittimer 41865 blt 0,0,640,480,0, 2316,640,480:waittimer 41901 blt 0,0,640,480,0, 2318,640,480:waittimer 41937 blt 0,0,640,480,0, 2320,640,480:waittimer 41973 blt 0,0,640,480,0, 2322,640,480:waittimer 42009 blt 0,0,640,480,0, 2324,640,480:waittimer 42046 blt 0,0,640,480,0, 2326,640,480:waittimer 42082 blt 0,0,640,480,0, 2328,640,480:waittimer 42118 blt 0,0,640,480,0, 2330,640,480:waittimer 42154 blt 0,0,640,480,0, 2332,640,480:waittimer 42190 blt 0,0,640,480,0, 2334,640,480:waittimer 42226 blt 0,0,640,480,0, 2336,640,480:waittimer 42263 blt 0,0,640,480,0, 2338,640,480:waittimer 42299 blt 0,0,640,480,0, 2340,640,480:waittimer 42335 blt 0,0,640,480,0, 2342,640,480:waittimer 42371 blt 0,0,640,480,0, 2344,640,480:waittimer 42407 blt 0,0,640,480,0, 2346,640,480:waittimer 42444 blt 0,0,640,480,0, 2348,640,480:waittimer 42480 blt 0,0,640,480,0, 2350,640,480:waittimer 42516 blt 0,0,640,480,0, 2352,640,480:waittimer 42552 blt 0,0,640,480,0, 2354,640,480:waittimer 42588 blt 0,0,640,480,0, 2356,640,480:waittimer 42625 blt 0,0,640,480,0, 2358,640,480:waittimer 42661 blt 0,0,640,480,0, 2360,640,480:waittimer 42697 blt 0,0,640,480,0, 2362,640,480:waittimer 42733 blt 0,0,640,480,0, 2364,640,480:waittimer 42769 blt 0,0,640,480,0, 2366,640,480:waittimer 42805 blt 0,0,640,480,0, 2368,640,480:waittimer 42842 blt 0,0,640,480,0, 2370,640,480:waittimer 42878 blt 0,0,640,480,0, 2372,640,480:waittimer 42914 blt 0,0,640,480,0, 2374,640,480:waittimer 42950 blt 0,0,640,480,0, 2376,640,480:waittimer 42986 blt 0,0,640,480,0, 2378,640,480:waittimer 43023 blt 0,0,640,480,0, 2380,640,480:waittimer 43059 blt 0,0,640,480,0, 2382,640,480:waittimer 43095 blt 0,0,640,480,0, 2384,640,480:waittimer 43131 blt 0,0,640,480,0, 2386,640,480:waittimer 43167 blt 0,0,640,480,0, 2388,640,480:waittimer 43203 blt 0,0,640,480,0, 2390,640,480:waittimer 43240 blt 0,0,640,480,0, 2392,640,480:waittimer 43276 blt 0,0,640,480,0, 2394,640,480:waittimer 43312 blt 0,0,640,480,0, 2396,640,480:waittimer 43348 blt 0,0,640,480,0, 2398,640,480:waittimer 43384 blt 0,0,640,480,0, 2400,640,480:waittimer 43421 blt 0,0,640,480,0, 2402,640,480:waittimer 43457 blt 0,0,640,480,0, 2404,640,480:waittimer 43493 blt 0,0,640,480,0, 2406,640,480:waittimer 43529 blt 0,0,640,480,0, 2408,640,480:waittimer 43565 blt 0,0,640,480,0, 2410,640,480:waittimer 43601 blt 0,0,640,480,0, 2412,640,480:waittimer 43638 blt 0,0,640,480,0, 2414,640,480:waittimer 43674 blt 0,0,640,480,0, 2416,640,480:waittimer 43710 blt 0,0,640,480,0, 2418,640,480:waittimer 43746 blt 0,0,640,480,0, 2420,640,480:waittimer 43782 blt 0,0,640,480,0, 2422,640,480:waittimer 43819 blt 0,0,640,480,0, 2424,640,480:waittimer 43855 blt 0,0,640,480,0, 2426,640,480:waittimer 43891 blt 0,0,640,480,0, 2428,640,480:waittimer 43927 blt 0,0,640,480,0, 2430,640,480:waittimer 43963 blt 0,0,640,480,0, 2432,640,480:waittimer 44000 blt 0,0,640,480,0, 2434,640,480:waittimer 44036 blt 0,0,640,480,0, 2436,640,480:waittimer 44072 blt 0,0,640,480,0, 2438,640,480:waittimer 44108 blt 0,0,640,480,0, 2440,640,480:waittimer 44144 blt 0,0,640,480,0, 2442,640,480:waittimer 44180 blt 0,0,640,480,0, 2444,640,480:waittimer 44217 blt 0,0,640,480,0, 2446,640,480:waittimer 44253 blt 0,0,640,480,0, 2448,640,480:waittimer 44289 blt 0,0,640,480,0, 2450,640,480:waittimer 44325 blt 0,0,640,480,0, 2452,640,480:waittimer 44361 blt 0,0,640,480,0, 2454,640,480:waittimer 44398 blt 0,0,640,480,0, 2456,640,480:waittimer 44434 blt 0,0,640,480,0, 2458,640,480:waittimer 44470 blt 0,0,640,480,0, 2460,640,480:waittimer 44506 blt 0,0,640,480,0, 2462,640,480:waittimer 44542 blt 0,0,640,480,0, 2464,640,480:waittimer 44578 blt 0,0,640,480,0, 2466,640,480:waittimer 44615 blt 0,0,640,480,0, 2468,640,480:waittimer 44651 blt 0,0,640,480,0, 2470,640,480:waittimer 44687 blt 0,0,640,480,0, 2472,640,480:waittimer 44723 blt 0,0,640,480,0, 2474,640,480:waittimer 44759 blt 0,0,640,480,0, 2476,640,480:waittimer 44796 blt 0,0,640,480,0, 2478,640,480:waittimer 44832 blt 0,0,640,480,0, 2480,640,480:waittimer 44868 blt 0,0,640,480,0, 2482,640,480:waittimer 44904 blt 0,0,640,480,0, 2484,640,480:waittimer 44940 blt 0,0,640,480,0, 2486,640,480:waittimer 44976 blt 0,0,640,480,0, 2488,640,480:waittimer 45013 blt 0,0,640,480,0, 2490,640,480:waittimer 45049 blt 0,0,640,480,0, 2492,640,480:waittimer 45085 blt 0,0,640,480,0, 2494,640,480:waittimer 45121 blt 0,0,640,480,0, 2496,640,480:waittimer 45157 blt 0,0,640,480,0, 2498,640,480:waittimer 45194 blt 0,0,640,480,0, 2500,640,480:waittimer 45230 blt 0,0,640,480,0, 2502,640,480:waittimer 45266 blt 0,0,640,480,0, 2504,640,480:waittimer 45302 blt 0,0,640,480,0, 2506,640,480:waittimer 45338 blt 0,0,640,480,0, 2508,640,480:waittimer 45375 blt 0,0,640,480,0, 2510,640,480:waittimer 45411 blt 0,0,640,480,0, 2512,640,480:waittimer 45447 blt 0,0,640,480,0, 2514,640,480:waittimer 45483 blt 0,0,640,480,0, 2516,640,480:waittimer 45519 blt 0,0,640,480,0, 2518,640,480:waittimer 45555 blt 0,0,640,480,0, 2520,640,480:waittimer 45592 blt 0,0,640,480,0, 2522,640,480:waittimer 45628 blt 0,0,640,480,0, 2524,640,480:waittimer 45664 blt 0,0,640,480,0, 2526,640,480:waittimer 45700 blt 0,0,640,480,0, 2528,640,480:waittimer 45736 blt 0,0,640,480,0, 2530,640,480:waittimer 45773 blt 0,0,640,480,0, 2532,640,480:waittimer 45809 blt 0,0,640,480,0, 2534,640,480:waittimer 45845 blt 0,0,640,480,0, 2536,640,480:waittimer 45881 blt 0,0,640,480,0, 2538,640,480:waittimer 45917 blt 0,0,640,480,0, 2540,640,480:waittimer 45953 blt 0,0,640,480,0, 2542,640,480:waittimer 45990 blt 0,0,640,480,0, 2544,640,480:waittimer 46026 blt 0,0,640,480,0, 2546,640,480:waittimer 46062 blt 0,0,640,480,0, 2548,640,480:waittimer 46098 blt 0,0,640,480,0, 2550,640,480:waittimer 46134 blt 0,0,640,480,0, 2552,640,480:waittimer 46171 blt 0,0,640,480,0, 2554,640,480:waittimer 46207 blt 0,0,640,480,0, 2556,640,480:waittimer 46243 blt 0,0,640,480,0, 2558,640,480:waittimer 46279 blt 0,0,640,480,0, 2560,640,480:waittimer 46315 blt 0,0,640,480,0, 2562,640,480:waittimer 46351 blt 0,0,640,480,0, 2564,640,480:waittimer 46388 blt 0,0,640,480,0, 2566,640,480:waittimer 46424 blt 0,0,640,480,0, 2568,640,480:waittimer 46460 blt 0,0,640,480,0, 2570,640,480:waittimer 46496 blt 0,0,640,480,0, 2572,640,480:waittimer 46532 blt 0,0,640,480,0, 2574,640,480:waittimer 46569 blt 0,0,640,480,0, 2576,640,480:waittimer 46605 blt 0,0,640,480,0, 2578,640,480:waittimer 46641 blt 0,0,640,480,0, 2580,640,480:waittimer 46677 blt 0,0,640,480,0, 2582,640,480:waittimer 46713 blt 0,0,640,480,0, 2584,640,480:waittimer 46750 blt 0,0,640,480,0, 2586,640,480:waittimer 46786 blt 0,0,640,480,0, 2588,640,480:waittimer 46822 blt 0,0,640,480,0, 2590,640,480:waittimer 46858 blt 0,0,640,480,0, 2592,640,480:waittimer 46894 blt 0,0,640,480,0, 2594,640,480:waittimer 46930 blt 0,0,640,480,0, 2596,640,480:waittimer 46967 blt 0,0,640,480,0, 2598,640,480:waittimer 47003 blt 0,0,640,480,0, 2600,640,480:waittimer 47039 blt 0,0,640,480,0, 2602,640,480:waittimer 47075 blt 0,0,640,480,0, 2604,640,480:waittimer 47111 blt 0,0,640,480,0, 2606,640,480:waittimer 47148 blt 0,0,640,480,0, 2608,640,480:waittimer 47184 blt 0,0,640,480,0, 2610,640,480:waittimer 47220 blt 0,0,640,480,0, 2612,640,480:waittimer 47256 blt 0,0,640,480,0, 2614,640,480:waittimer 47292 blt 0,0,640,480,0, 2616,640,480:waittimer 47328 blt 0,0,640,480,0, 2618,640,480:waittimer 47365 blt 0,0,640,480,0, 2620,640,480:waittimer 47401 blt 0,0,640,480,0, 2622,640,480:waittimer 47437 blt 0,0,640,480,0, 2624,640,480:waittimer 47473 blt 0,0,640,480,0, 2626,640,480:waittimer 47509 blt 0,0,640,480,0, 2628,640,480:waittimer 47546 blt 0,0,640,480,0, 2630,640,480:waittimer 47582 blt 0,0,640,480,0, 2632,640,480:waittimer 47618 blt 0,0,640,480,0, 2634,640,480:waittimer 47654 blt 0,0,640,480,0, 2636,640,480:waittimer 47690 blt 0,0,640,480,0, 2638,640,480:waittimer 47726 blt 0,0,640,480,0, 2640,640,480:waittimer 47763 blt 0,0,640,480,0, 2642,640,480:waittimer 47799 blt 0,0,640,480,0, 2644,640,480:waittimer 47835 blt 0,0,640,480,0, 2646,640,480:waittimer 47871 blt 0,0,640,480,0, 2648,640,480:waittimer 47907 blt 0,0,640,480,0, 2650,640,480:waittimer 47944 blt 0,0,640,480,0, 2652,640,480:waittimer 47980 blt 0,0,640,480,0, 2654,640,480:waittimer 48016 blt 0,0,640,480,0, 2656,640,480:waittimer 48052 blt 0,0,640,480,0, 2658,640,480:waittimer 48088 blt 0,0,640,480,0, 2660,640,480:waittimer 48125 blt 0,0,640,480,0, 2662,640,480:waittimer 48161 blt 0,0,640,480,0, 2664,640,480:waittimer 48197 blt 0,0,640,480,0, 2666,640,480:waittimer 48233 blt 0,0,640,480,0, 2668,640,480:waittimer 48269 blt 0,0,640,480,0, 2670,640,480:waittimer 48305 blt 0,0,640,480,0, 2672,640,480:waittimer 48342 blt 0,0,640,480,0, 2674,640,480:waittimer 48378 blt 0,0,640,480,0, 2676,640,480:waittimer 48414 blt 0,0,640,480,0, 2678,640,480:waittimer 48450 blt 0,0,640,480,0, 2680,640,480:waittimer 48486 blt 0,0,640,480,0, 2682,640,480:waittimer 48523 blt 0,0,640,480,0, 2684,640,480:waittimer 48559 blt 0,0,640,480,0, 2686,640,480:waittimer 48595 blt 0,0,640,480,0, 2688,640,480:waittimer 48631 blt 0,0,640,480,0, 2690,640,480:waittimer 48667 blt 0,0,640,480,0, 2692,640,480:waittimer 48703 blt 0,0,640,480,0, 2694,640,480:waittimer 48740 blt 0,0,640,480,0, 2696,640,480:waittimer 48776 blt 0,0,640,480,0, 2698,640,480:waittimer 48812 blt 0,0,640,480,0, 2700,640,480:waittimer 48848 blt 0,0,640,480,0, 2702,640,480:waittimer 48884 blt 0,0,640,480,0, 2704,640,480:waittimer 48921 blt 0,0,640,480,0, 2706,640,480:waittimer 48957 blt 0,0,640,480,0, 2708,640,480:waittimer 48993 blt 0,0,640,480,0, 2710,640,480:waittimer 49029 blt 0,0,640,480,0, 2712,640,480:waittimer 49065 blt 0,0,640,480,0, 2714,640,480:waittimer 49101 blt 0,0,640,480,0, 2716,640,480:waittimer 49138 blt 0,0,640,480,0, 2718,640,480:waittimer 49174 blt 0,0,640,480,0, 2720,640,480:waittimer 49210 blt 0,0,640,480,0, 2722,640,480:waittimer 49246 blt 0,0,640,480,0, 2724,640,480:waittimer 49282 blt 0,0,640,480,0, 2726,640,480:waittimer 49319 blt 0,0,640,480,0, 2728,640,480:waittimer 49355 blt 0,0,640,480,0, 2730,640,480:waittimer 49391 blt 0,0,640,480,0, 2732,640,480:waittimer 49427 blt 0,0,640,480,0, 2734,640,480:waittimer 49463 blt 0,0,640,480,0, 2736,640,480:waittimer 49500 blt 0,0,640,480,0, 2738,640,480:waittimer 49536 blt 0,0,640,480,0, 2740,640,480:waittimer 49572 blt 0,0,640,480,0, 2742,640,480:waittimer 49608 blt 0,0,640,480,0, 2744,640,480:waittimer 49644 blt 0,0,640,480,0, 2746,640,480:waittimer 49680 blt 0,0,640,480,0, 2748,640,480:waittimer 49717 blt 0,0,640,480,0, 2750,640,480:waittimer 49753 blt 0,0,640,480,0, 2752,640,480:waittimer 49789 blt 0,0,640,480,0, 2754,640,480:waittimer 49825 blt 0,0,640,480,0, 2756,640,480:waittimer 49861 blt 0,0,640,480,0, 2758,640,480:waittimer 49898 blt 0,0,640,480,0, 2760,640,480:waittimer 49934 blt 0,0,640,480,0, 2762,640,480:waittimer 49970 blt 0,0,640,480,0, 2764,640,480:waittimer 50006 blt 0,0,640,480,0, 2766,640,480:waittimer 50042 blt 0,0,640,480,0, 2768,640,480:waittimer 50078 blt 0,0,640,480,0, 2770,640,480:waittimer 50115 blt 0,0,640,480,0, 2772,640,480:waittimer 50151 blt 0,0,640,480,0, 2774,640,480:waittimer 50187 blt 0,0,640,480,0, 2776,640,480:waittimer 50223 blt 0,0,640,480,0, 2778,640,480:waittimer 50259 blt 0,0,640,480,0, 2780,640,480:waittimer 50296 blt 0,0,640,480,0, 2782,640,480:waittimer 50332 blt 0,0,640,480,0, 2784,640,480:waittimer 50368 blt 0,0,640,480,0, 2786,640,480:waittimer 50404 blt 0,0,640,480,0, 2788,640,480:waittimer 50440 blt 0,0,640,480,0, 2790,640,480:waittimer 50476 blt 0,0,640,480,0, 2792,640,480:waittimer 50513 blt 0,0,640,480,0, 2794,640,480:waittimer 50549 blt 0,0,640,480,0, 2796,640,480:waittimer 50585 blt 0,0,640,480,0, 2798,640,480:waittimer 50621 blt 0,0,640,480,0, 2800,640,480:waittimer 50657 blt 0,0,640,480,0, 2802,640,480:waittimer 50694 blt 0,0,640,480,0, 2804,640,480:waittimer 50730 blt 0,0,640,480,0, 2806,640,480:waittimer 50766 blt 0,0,640,480,0, 2808,640,480:waittimer 50802 blt 0,0,640,480,0, 2810,640,480:waittimer 50838 blt 0,0,640,480,0, 2812,640,480:waittimer 50875 blt 0,0,640,480,0, 2814,640,480:waittimer 50911 blt 0,0,640,480,0, 2816,640,480:waittimer 50947 blt 0,0,640,480,0, 2818,640,480:waittimer 50983 blt 0,0,640,480,0, 2820,640,480:waittimer 51019 blt 0,0,640,480,0, 2822,640,480:waittimer 51055 blt 0,0,640,480,0, 2824,640,480:waittimer 51092 blt 0,0,640,480,0, 2826,640,480:waittimer 51128 blt 0,0,640,480,0, 2828,640,480:waittimer 51164 blt 0,0,640,480,0, 2830,640,480:waittimer 51200 blt 0,0,640,480,0, 2832,640,480:waittimer 51236 blt 0,0,640,480,0, 2834,640,480:waittimer 51273 blt 0,0,640,480,0, 2836,640,480:waittimer 51309 blt 0,0,640,480,0, 2838,640,480:waittimer 51345 blt 0,0,640,480,0, 2840,640,480:waittimer 51381 blt 0,0,640,480,0, 2842,640,480:waittimer 51417 blt 0,0,640,480,0, 2844,640,480:waittimer 51453 blt 0,0,640,480,0, 2846,640,480:waittimer 51490 blt 0,0,640,480,0, 2848,640,480:waittimer 51526 blt 0,0,640,480,0, 2850,640,480:waittimer 51562 blt 0,0,640,480,0, 2852,640,480:waittimer 51598 blt 0,0,640,480,0, 2854,640,480:waittimer 51634 blt 0,0,640,480,0, 2856,640,480:waittimer 51671 blt 0,0,640,480,0, 2858,640,480:waittimer 51707 blt 0,0,640,480,0, 2860,640,480:waittimer 51743 blt 0,0,640,480,0, 2862,640,480:waittimer 51779 blt 0,0,640,480,0, 2864,640,480:waittimer 51815 blt 0,0,640,480,0, 2866,640,480:waittimer 51851 blt 0,0,640,480,0, 2868,640,480:waittimer 51888 blt 0,0,640,480,0, 2870,640,480:waittimer 51924 blt 0,0,640,480,0, 2872,640,480:waittimer 51960 blt 0,0,640,480,0, 2874,640,480:waittimer 51996 blt 0,0,640,480,0, 2876,640,480:waittimer 52032 blt 0,0,640,480,0, 2878,640,480:waittimer 52069 blt 0,0,640,480,0, 2880,640,480:waittimer 52105 blt 0,0,640,480,0, 2882,640,480:waittimer 52141 blt 0,0,640,480,0, 2884,640,480:waittimer 52177 blt 0,0,640,480,0, 2886,640,480:waittimer 52213 blt 0,0,640,480,0, 2888,640,480:waittimer 52250 blt 0,0,640,480,0, 2890,640,480:waittimer 52286 blt 0,0,640,480,0, 2892,640,480:waittimer 52322 blt 0,0,640,480,0, 2894,640,480:waittimer 52358 blt 0,0,640,480,0, 2896,640,480:waittimer 52394 blt 0,0,640,480,0, 2898,640,480:waittimer 52430 blt 0,0,640,480,0, 2900,640,480:waittimer 52467 blt 0,0,640,480,0, 2902,640,480:waittimer 52503 blt 0,0,640,480,0, 2904,640,480:waittimer 52539 blt 0,0,640,480,0, 2906,640,480:waittimer 52575 blt 0,0,640,480,0, 2908,640,480:waittimer 52611 blt 0,0,640,480,0, 2910,640,480:waittimer 52648 blt 0,0,640,480,0, 2912,640,480:waittimer 52684 blt 0,0,640,480,0, 2914,640,480:waittimer 52720 blt 0,0,640,480,0, 2916,640,480:waittimer 52756 blt 0,0,640,480,0, 2918,640,480:waittimer 52792 blt 0,0,640,480,0, 2920,640,480:waittimer 52828 blt 0,0,640,480,0, 2922,640,480:waittimer 52865 blt 0,0,640,480,0, 2924,640,480:waittimer 52901 blt 0,0,640,480,0, 2926,640,480:waittimer 52937 blt 0,0,640,480,0, 2928,640,480:waittimer 52973 blt 0,0,640,480,0, 2930,640,480:waittimer 53009 blt 0,0,640,480,0, 2932,640,480:waittimer 53046 blt 0,0,640,480,0, 2934,640,480:waittimer 53082 blt 0,0,640,480,0, 2936,640,480:waittimer 53118 blt 0,0,640,480,0, 2938,640,480:waittimer 53154 blt 0,0,640,480,0, 2940,640,480:waittimer 53190 blt 0,0,640,480,0, 2942,640,480:waittimer 53226 blt 0,0,640,480,0, 2944,640,480:waittimer 53263 blt 0,0,640,480,0, 2946,640,480:waittimer 53299 blt 0,0,640,480,0, 2948,640,480:waittimer 53335 blt 0,0,640,480,0, 2950,640,480:waittimer 53371 blt 0,0,640,480,0, 2952,640,480:waittimer 53407 blt 0,0,640,480,0, 2954,640,480:waittimer 53444 blt 0,0,640,480,0, 2956,640,480:waittimer 53480 blt 0,0,640,480,0, 2958,640,480:waittimer 53516 blt 0,0,640,480,0, 2960,640,480:waittimer 53552 blt 0,0,640,480,0, 2962,640,480:waittimer 53588 blt 0,0,640,480,0, 2964,640,480:waittimer 53625 blt 0,0,640,480,0, 2966,640,480:waittimer 53661 blt 0,0,640,480,0, 2968,640,480:waittimer 53697 blt 0,0,640,480,0, 2970,640,480:waittimer 53733 blt 0,0,640,480,0, 2972,640,480:waittimer 53769 blt 0,0,640,480,0, 2974,640,480:waittimer 53805 blt 0,0,640,480,0, 2976,640,480:waittimer 53842 blt 0,0,640,480,0, 2978,640,480:waittimer 53878 blt 0,0,640,480,0, 2980,640,480:waittimer 53914 blt 0,0,640,480,0, 2982,640,480:waittimer 53950 blt 0,0,640,480,0, 2984,640,480:waittimer 53986 blt 0,0,640,480,0, 2986,640,480:waittimer 54023 blt 0,0,640,480,0, 2988,640,480:waittimer 54059 blt 0,0,640,480,0, 2990,640,480:waittimer 54095 blt 0,0,640,480,0, 2992,640,480:waittimer 54131 blt 0,0,640,480,0, 2994,640,480:waittimer 54167 blt 0,0,640,480,0, 2996,640,480:waittimer 54203 blt 0,0,640,480,0, 2998,640,480:waittimer 54240 blt 0,0,640,480,0, 3000,640,480:waittimer 54276 blt 0,0,640,480,0, 3002,640,480:waittimer 54312 blt 0,0,640,480,0, 3004,640,480:waittimer 54348 blt 0,0,640,480,0, 3006,640,480:waittimer 54384 blt 0,0,640,480,0, 3008,640,480:waittimer 54421 blt 0,0,640,480,0, 3010,640,480:waittimer 54457 blt 0,0,640,480,0, 3012,640,480:waittimer 54493 blt 0,0,640,480,0, 3014,640,480:waittimer 54529 blt 0,0,640,480,0, 3016,640,480:waittimer 54565 blt 0,0,640,480,0, 3018,640,480:waittimer 54601 blt 0,0,640,480,0, 3020,640,480:waittimer 54638 blt 0,0,640,480,0, 3022,640,480:waittimer 54674 blt 0,0,640,480,0, 3024,640,480:waittimer 54710 blt 0,0,640,480,0, 3026,640,480:waittimer 54746 blt 0,0,640,480,0, 3028,640,480:waittimer 54782 blt 0,0,640,480,0, 3030,640,480:waittimer 54819 blt 0,0,640,480,0, 3032,640,480:waittimer 54855 blt 0,0,640,480,0, 3034,640,480:waittimer 54891 blt 0,0,640,480,0, 3036,640,480:waittimer 54927 blt 0,0,640,480,0, 3038,640,480:waittimer 54963 blt 0,0,640,480,0, 3040,640,480:waittimer 55000 blt 0,0,640,480,0, 3042,640,480:waittimer 55036 blt 0,0,640,480,0, 3044,640,480:waittimer 55072 blt 0,0,640,480,0, 3046,640,480:waittimer 55108 blt 0,0,640,480,0, 3048,640,480:waittimer 55144 blt 0,0,640,480,0, 3050,640,480:waittimer 55180 blt 0,0,640,480,0, 3052,640,480:waittimer 55217 blt 0,0,640,480,0, 3054,640,480:waittimer 55253 blt 0,0,640,480,0, 3056,640,480:waittimer 55289 blt 0,0,640,480,0, 3058,640,480:waittimer 55325 blt 0,0,640,480,0, 3060,640,480:waittimer 55361 blt 0,0,640,480,0, 3062,640,480:waittimer 55398 blt 0,0,640,480,0, 3064,640,480:waittimer 55434 blt 0,0,640,480,0, 3066,640,480:waittimer 55470 blt 0,0,640,480,0, 3068,640,480:waittimer 55506 blt 0,0,640,480,0, 3070,640,480:waittimer 55542 blt 0,0,640,480,0, 3072,640,480:waittimer 55578 blt 0,0,640,480,0, 3074,640,480:waittimer 55615 blt 0,0,640,480,0, 3076,640,480:waittimer 55651 blt 0,0,640,480,0, 3078,640,480:waittimer 55687 blt 0,0,640,480,0, 3080,640,480:waittimer 55723 blt 0,0,640,480,0, 3082,640,480:waittimer 55759 blt 0,0,640,480,0, 3084,640,480:waittimer 55796 blt 0,0,640,480,0, 3086,640,480:waittimer 55832 blt 0,0,640,480,0, 3088,640,480:waittimer 55868 blt 0,0,640,480,0, 3090,640,480:waittimer 55904 blt 0,0,640,480,0, 3092,640,480:waittimer 55940 blt 0,0,640,480,0, 3094,640,480:waittimer 55976 blt 0,0,640,480,0, 3096,640,480:waittimer 56013 blt 0,0,640,480,0, 3098,640,480:waittimer 56049 blt 0,0,640,480,0, 3100,640,480:waittimer 56085 blt 0,0,640,480,0, 3102,640,480:waittimer 56121 blt 0,0,640,480,0, 3104,640,480:waittimer 56157 blt 0,0,640,480,0, 3106,640,480:waittimer 56194 blt 0,0,640,480,0, 3108,640,480:waittimer 56230 blt 0,0,640,480,0, 3110,640,480:waittimer 56266 blt 0,0,640,480,0, 3112,640,480:waittimer 56302 blt 0,0,640,480,0, 3114,640,480:waittimer 56338 blt 0,0,640,480,0, 3116,640,480:waittimer 56375 blt 0,0,640,480,0, 3118,640,480:waittimer 56411 blt 0,0,640,480,0, 3120,640,480:waittimer 56447 blt 0,0,640,480,0, 3122,640,480:waittimer 56483 blt 0,0,640,480,0, 3124,640,480:waittimer 56519 blt 0,0,640,480,0, 3126,640,480:waittimer 56555 blt 0,0,640,480,0, 3128,640,480:waittimer 56592 blt 0,0,640,480,0, 3130,640,480:waittimer 56628 blt 0,0,640,480,0, 3132,640,480:waittimer 56664 blt 0,0,640,480,0, 3134,640,480:waittimer 56700 blt 0,0,640,480,0, 3136,640,480:waittimer 56736 blt 0,0,640,480,0, 3138,640,480:waittimer 56773 blt 0,0,640,480,0, 3140,640,480:waittimer 56809 blt 0,0,640,480,0, 3142,640,480:waittimer 56845 blt 0,0,640,480,0, 3144,640,480:waittimer 56881 blt 0,0,640,480,0, 3146,640,480:waittimer 56917 blt 0,0,640,480,0, 3148,640,480:waittimer 56953 blt 0,0,640,480,0, 3150,640,480:waittimer 56990 blt 0,0,640,480,0, 3152,640,480:waittimer 57026 blt 0,0,640,480,0, 3154,640,480:waittimer 57062 blt 0,0,640,480,0, 3156,640,480:waittimer 57098 blt 0,0,640,480,0, 3158,640,480:waittimer 57134 blt 0,0,640,480,0, 3160,640,480:waittimer 57171 blt 0,0,640,480,0, 3162,640,480:waittimer 57207 blt 0,0,640,480,0, 3164,640,480:waittimer 57243 blt 0,0,640,480,0, 3166,640,480:waittimer 57279 blt 0,0,640,480,0, 3168,640,480:waittimer 57315 blt 0,0,640,480,0, 3170,640,480:waittimer 57351 blt 0,0,640,480,0, 3172,640,480:waittimer 57388 blt 0,0,640,480,0, 3174,640,480:waittimer 57424 blt 0,0,640,480,0, 3176,640,480:waittimer 57460 blt 0,0,640,480,0, 3178,640,480:waittimer 57496 blt 0,0,640,480,0, 3180,640,480:waittimer 57532 blt 0,0,640,480,0, 3182,640,480:waittimer 57569 blt 0,0,640,480,0, 3184,640,480:waittimer 57605 blt 0,0,640,480,0, 3186,640,480:waittimer 57641 blt 0,0,640,480,0, 3188,640,480:waittimer 57677 blt 0,0,640,480,0, 3190,640,480:waittimer 57713 blt 0,0,640,480,0, 3192,640,480:waittimer 57750 blt 0,0,640,480,0, 3194,640,480:waittimer 57786 blt 0,0,640,480,0, 3196,640,480:waittimer 57822 blt 0,0,640,480,0, 3198,640,480:waittimer 57858 blt 0,0,640,480,0, 3200,640,480:waittimer 57894 blt 0,0,640,480,0, 3202,640,480:waittimer 57930 blt 0,0,640,480,0, 3204,640,480:waittimer 57967 blt 0,0,640,480,0, 3206,640,480:waittimer 58003 blt 0,0,640,480,0, 3208,640,480:waittimer 58039 blt 0,0,640,480,0, 3210,640,480:waittimer 58075 blt 0,0,640,480,0, 3212,640,480:waittimer 58111 blt 0,0,640,480,0, 3214,640,480:waittimer 58148 blt 0,0,640,480,0, 3216,640,480:waittimer 58184 blt 0,0,640,480,0, 3218,640,480:waittimer 58220 blt 0,0,640,480,0, 3220,640,480:waittimer 58256 blt 0,0,640,480,0, 3222,640,480:waittimer 58292 blt 0,0,640,480,0, 3224,640,480:waittimer 58328 blt 0,0,640,480,0, 3226,640,480:waittimer 58365 blt 0,0,640,480,0, 3228,640,480:waittimer 58401 blt 0,0,640,480,0, 3230,640,480:waittimer 58437 blt 0,0,640,480,0, 3232,640,480:waittimer 58473 blt 0,0,640,480,0, 3234,640,480:waittimer 58509 blt 0,0,640,480,0, 3236,640,480:waittimer 58546 blt 0,0,640,480,0, 3238,640,480:waittimer 58582 blt 0,0,640,480,0, 3240,640,480:waittimer 58618 blt 0,0,640,480,0, 3242,640,480:waittimer 58654 blt 0,0,640,480,0, 3244,640,480:waittimer 58690 blt 0,0,640,480,0, 3246,640,480:waittimer 58726 blt 0,0,640,480,0, 3248,640,480:waittimer 58763 blt 0,0,640,480,0, 3250,640,480:waittimer 58799 blt 0,0,640,480,0, 3252,640,480:waittimer 58835 blt 0,0,640,480,0, 3254,640,480:waittimer 58871 blt 0,0,640,480,0, 3256,640,480:waittimer 58907 blt 0,0,640,480,0, 3258,640,480:waittimer 58944 blt 0,0,640,480,0, 3260,640,480:waittimer 58980 blt 0,0,640,480,0, 3262,640,480:waittimer 59016 blt 0,0,640,480,0, 3264,640,480:waittimer 59052 blt 0,0,640,480,0, 3266,640,480:waittimer 59088 blt 0,0,640,480,0, 3268,640,480:waittimer 59125 blt 0,0,640,480,0, 3270,640,480:waittimer 59161 blt 0,0,640,480,0, 3272,640,480:waittimer 59197 blt 0,0,640,480,0, 3274,640,480:waittimer 59233 blt 0,0,640,480,0, 3276,640,480:waittimer 59269 blt 0,0,640,480,0, 3278,640,480:waittimer 59305 blt 0,0,640,480,0, 3280,640,480:waittimer 59342 blt 0,0,640,480,0, 3282,640,480:waittimer 59378 blt 0,0,640,480,0, 3284,640,480:waittimer 59414 blt 0,0,640,480,0, 3286,640,480:waittimer 59450 blt 0,0,640,480,0, 3288,640,480:waittimer 59486 blt 0,0,640,480,0, 3290,640,480:waittimer 59523 blt 0,0,640,480,0, 3292,640,480:waittimer 59559 blt 0,0,640,480,0, 3294,640,480:waittimer 59595 blt 0,0,640,480,0, 3296,640,480:waittimer 59631 blt 0,0,640,480,0, 3298,640,480:waittimer 59667 blt 0,0,640,480,0, 3300,640,480:waittimer 59703 blt 0,0,640,480,0, 3302,640,480:waittimer 59740 blt 0,0,640,480,0, 3304,640,480:waittimer 59776 blt 0,0,640,480,0, 3306,640,480:waittimer 59812 blt 0,0,640,480,0, 3308,640,480:waittimer 59848 blt 0,0,640,480,0, 3310,640,480:waittimer 59884 blt 0,0,640,480,0, 3312,640,480:waittimer 59921 blt 0,0,640,480,0, 3314,640,480:waittimer 59957 blt 0,0,640,480,0, 3316,640,480:waittimer 59993 blt 0,0,640,480,0, 3318,640,480:waittimer 60029 blt 0,0,640,480,0, 3320,640,480:waittimer 60065 blt 0,0,640,480,0, 3322,640,480:waittimer 60101 blt 0,0,640,480,0, 3324,640,480:waittimer 60138 blt 0,0,640,480,0, 3326,640,480:waittimer 60174 blt 0,0,640,480,0, 3328,640,480:waittimer 60210 blt 0,0,640,480,0, 3330,640,480:waittimer 60246 blt 0,0,640,480,0, 3332,640,480:waittimer 60282 blt 0,0,640,480,0, 3334,640,480:waittimer 60319 blt 0,0,640,480,0, 3336,640,480:waittimer 60355 blt 0,0,640,480,0, 3338,640,480:waittimer 60391 blt 0,0,640,480,0, 3340,640,480:waittimer 60427 blt 0,0,640,480,0, 3342,640,480:waittimer 60463 blt 0,0,640,480,0, 3344,640,480:waittimer 60500 blt 0,0,640,480,0, 3346,640,480:waittimer 60536 blt 0,0,640,480,0, 3348,640,480:waittimer 60572 blt 0,0,640,480,0, 3350,640,480:waittimer 60608 blt 0,0,640,480,0, 3352,640,480:waittimer 60644 blt 0,0,640,480,0, 3354,640,480:waittimer 60680 blt 0,0,640,480,0, 3356,640,480:waittimer 60717 blt 0,0,640,480,0, 3358,640,480:waittimer 60753 blt 0,0,640,480,0, 3360,640,480:waittimer 60789 blt 0,0,640,480,0, 3362,640,480:waittimer 60825 blt 0,0,640,480,0, 3364,640,480:waittimer 60861 blt 0,0,640,480,0, 3366,640,480:waittimer 60898 blt 0,0,640,480,0, 3368,640,480:waittimer 60934 blt 0,0,640,480,0, 3370,640,480:waittimer 60970 blt 0,0,640,480,0, 3372,640,480:waittimer 61006 blt 0,0,640,480,0, 3374,640,480:waittimer 61042 blt 0,0,640,480,0, 3376,640,480:waittimer 61078 blt 0,0,640,480,0, 3378,640,480:waittimer 61115 blt 0,0,640,480,0, 3380,640,480:waittimer 61151 blt 0,0,640,480,0, 3382,640,480:waittimer 61187 blt 0,0,640,480,0, 3384,640,480:waittimer 61223 blt 0,0,640,480,0, 3386,640,480:waittimer 61259 blt 0,0,640,480,0, 3388,640,480:waittimer 61296 blt 0,0,640,480,0, 3390,640,480:waittimer 61332 blt 0,0,640,480,0, 3392,640,480:waittimer 61368 blt 0,0,640,480,0, 3394,640,480:waittimer 61404 blt 0,0,640,480,0, 3396,640,480:waittimer 61440 blt 0,0,640,480,0, 3398,640,480:waittimer 61476 blt 0,0,640,480,0, 3400,640,480:waittimer 61513 blt 0,0,640,480,0, 3402,640,480:waittimer 61549 blt 0,0,640,480,0, 3404,640,480:waittimer 61585 blt 0,0,640,480,0, 3406,640,480:waittimer 61621 blt 0,0,640,480,0, 3408,640,480:waittimer 61657 blt 0,0,640,480,0, 3410,640,480:waittimer 61694 blt 0,0,640,480,0, 3412,640,480:waittimer 61730 blt 0,0,640,480,0, 3414,640,480:waittimer 61766 blt 0,0,640,480,0, 3416,640,480:waittimer 61802 blt 0,0,640,480,0, 3418,640,480:waittimer 61838 blt 0,0,640,480,0, 3420,640,480:waittimer 61875 blt 0,0,640,480,0, 3422,640,480:waittimer 61911 blt 0,0,640,480,0, 3424,640,480:waittimer 61947 blt 0,0,640,480,0, 3426,640,480:waittimer 61983 blt 0,0,640,480,0, 3428,640,480:waittimer 62019 blt 0,0,640,480,0, 3430,640,480:waittimer 62055 blt 0,0,640,480,0, 3432,640,480:waittimer 62092 blt 0,0,640,480,0, 3434,640,480:waittimer 62128 blt 0,0,640,480,0, 3436,640,480:waittimer 62164 blt 0,0,640,480,0, 3438,640,480:waittimer 62200 blt 0,0,640,480,0, 3440,640,480:waittimer 62236 blt 0,0,640,480,0, 3442,640,480:waittimer 62273 blt 0,0,640,480,0, 3444,640,480:waittimer 62309 blt 0,0,640,480,0, 3446,640,480:waittimer 62345 blt 0,0,640,480,0, 3448,640,480:waittimer 62381 blt 0,0,640,480,0, 3450,640,480:waittimer 62417 blt 0,0,640,480,0, 3452,640,480:waittimer 62453 blt 0,0,640,480,0, 3454,640,480:waittimer 62490 blt 0,0,640,480,0, 3456,640,480:waittimer 62526 blt 0,0,640,480,0, 3458,640,480:waittimer 62562 blt 0,0,640,480,0, 3460,640,480:waittimer 62598 blt 0,0,640,480,0, 3462,640,480:waittimer 62634 blt 0,0,640,480,0, 3464,640,480:waittimer 62671 blt 0,0,640,480,0, 3466,640,480:waittimer 62707 blt 0,0,640,480,0, 3468,640,480:waittimer 62743 blt 0,0,640,480,0, 3470,640,480:waittimer 62779 blt 0,0,640,480,0, 3472,640,480:waittimer 62815 blt 0,0,640,480,0, 3474,640,480:waittimer 62851 blt 0,0,640,480,0, 3476,640,480:waittimer 62888 blt 0,0,640,480,0, 3478,640,480:waittimer 62924 blt 0,0,640,480,0, 3480,640,480:waittimer 62960 blt 0,0,640,480,0, 3482,640,480:waittimer 62996 blt 0,0,640,480,0, 3484,640,480:waittimer 63032 blt 0,0,640,480,0, 3486,640,480:waittimer 63069 blt 0,0,640,480,0, 3488,640,480:waittimer 63105 blt 0,0,640,480,0, 3490,640,480:waittimer 63141 blt 0,0,640,480,0, 3492,640,480:waittimer 63177 blt 0,0,640,480,0, 3494,640,480:waittimer 63213 blt 0,0,640,480,0, 3496,640,480:waittimer 63250 blt 0,0,640,480,0, 3498,640,480:waittimer 63286 blt 0,0,640,480,0, 3500,640,480:waittimer 63322 blt 0,0,640,480,0, 3502,640,480:waittimer 63358 blt 0,0,640,480,0, 3504,640,480:waittimer 63394 blt 0,0,640,480,0, 3506,640,480:waittimer 63430 blt 0,0,640,480,0, 3508,640,480:waittimer 63467 blt 0,0,640,480,0, 3510,640,480:waittimer 63503 blt 0,0,640,480,0, 3512,640,480:waittimer 63539 blt 0,0,640,480,0, 3514,640,480:waittimer 63575 blt 0,0,640,480,0, 3516,640,480:waittimer 63611 blt 0,0,640,480,0, 3518,640,480:waittimer 63648 blt 0,0,640,480,0, 3520,640,480:waittimer 63684 blt 0,0,640,480,0, 3522,640,480:waittimer 63720 blt 0,0,640,480,0, 3524,640,480:waittimer 63756 blt 0,0,640,480,0, 3526,640,480:waittimer 63792 blt 0,0,640,480,0, 3528,640,480:waittimer 63828 blt 0,0,640,480,0, 3530,640,480:waittimer 63865 blt 0,0,640,480,0, 3532,640,480:waittimer 63901 blt 0,0,640,480,0, 3534,640,480:waittimer 63937 blt 0,0,640,480,0, 3536,640,480:waittimer 63973 blt 0,0,640,480,0, 3538,640,480:waittimer 64009 blt 0,0,640,480,0, 3540,640,480:waittimer 64046 blt 0,0,640,480,0, 3542,640,480:waittimer 64082 blt 0,0,640,480,0, 3544,640,480:waittimer 64118 blt 0,0,640,480,0, 3546,640,480:waittimer 64154 blt 0,0,640,480,0, 3548,640,480:waittimer 64190 blt 0,0,640,480,0, 3550,640,480:waittimer 64226 blt 0,0,640,480,0, 3552,640,480:waittimer 64263 blt 0,0,640,480,0, 3554,640,480:waittimer 64299 blt 0,0,640,480,0, 3556,640,480:waittimer 64335 blt 0,0,640,480,0, 3558,640,480:waittimer 64371 blt 0,0,640,480,0, 3560,640,480:waittimer 64407 blt 0,0,640,480,0, 3562,640,480:waittimer 64444 blt 0,0,640,480,0, 3564,640,480:waittimer 64480 blt 0,0,640,480,0, 3566,640,480:waittimer 64516 blt 0,0,640,480,0, 3568,640,480:waittimer 64552 blt 0,0,640,480,0, 3570,640,480:waittimer 64588 blt 0,0,640,480,0, 3572,640,480:waittimer 64625 blt 0,0,640,480,0, 3574,640,480:waittimer 64661 blt 0,0,640,480,0, 3576,640,480:waittimer 64697 blt 0,0,640,480,0, 3578,640,480:waittimer 64733 blt 0,0,640,480,0, 3580,640,480:waittimer 64769 blt 0,0,640,480,0, 3582,640,480:waittimer 64805 blt 0,0,640,480,0, 3584,640,480:waittimer 64842 blt 0,0,640,480,0, 3586,640,480:waittimer 64878 blt 0,0,640,480,0, 3588,640,480:waittimer 64914 blt 0,0,640,480,0, 3590,640,480:waittimer 64950 blt 0,0,640,480,0, 3592,640,480:waittimer 64986 blt 0,0,640,480,0, 3594,640,480:waittimer 65023 blt 0,0,640,480,0, 3596,640,480:waittimer 65059 blt 0,0,640,480,0, 3598,640,480:waittimer 65095 blt 0,0,640,480,0, 3600,640,480:waittimer 65131 blt 0,0,640,480,0, 3602,640,480:waittimer 65167 blt 0,0,640,480,0, 3604,640,480:waittimer 65203 blt 0,0,640,480,0, 3606,640,480:waittimer 65240 blt 0,0,640,480,0, 3608,640,480:waittimer 65276 blt 0,0,640,480,0, 3610,640,480:waittimer 65312 blt 0,0,640,480,0, 3612,640,480:waittimer 65348 blt 0,0,640,480,0, 3614,640,480:waittimer 65384 blt 0,0,640,480,0, 3616,640,480:waittimer 65421 blt 0,0,640,480,0, 3618,640,480:waittimer 65457 blt 0,0,640,480,0, 3620,640,480:waittimer 65493 blt 0,0,640,480,0, 3622,640,480:waittimer 65529 blt 0,0,640,480,0, 3624,640,480:waittimer 65565 blt 0,0,640,480,0, 3626,640,480:waittimer 65601 blt 0,0,640,480,0, 3628,640,480:waittimer 65638 blt 0,0,640,480,0, 3630,640,480:waittimer 65674 blt 0,0,640,480,0, 3632,640,480:waittimer 65710 blt 0,0,640,480,0, 3634,640,480:waittimer 65746 blt 0,0,640,480,0, 3636,640,480:waittimer 65782 blt 0,0,640,480,0, 3638,640,480:waittimer 65819 blt 0,0,640,480,0, 3640,640,480:waittimer 65855 blt 0,0,640,480,0, 3642,640,480:waittimer 65891 blt 0,0,640,480,0, 3644,640,480:waittimer 65927 blt 0,0,640,480,0, 3646,640,480:waittimer 65963 blt 0,0,640,480,0, 3648,640,480:waittimer 66000 blt 0,0,640,480,0, 3650,640,480:waittimer 66036 blt 0,0,640,480,0, 3652,640,480:waittimer 66072 blt 0,0,640,480,0, 3654,640,480:waittimer 66108 blt 0,0,640,480,0, 3656,640,480:waittimer 66144 blt 0,0,640,480,0, 3658,640,480:waittimer 66180 blt 0,0,640,480,0, 3660,640,480:waittimer 66217 blt 0,0,640,480,0, 3662,640,480:waittimer 66253 blt 0,0,640,480,0, 3664,640,480:waittimer 66289 blt 0,0,640,480,0, 3666,640,480:waittimer 66325 blt 0,0,640,480,0, 3668,640,480:waittimer 66361 blt 0,0,640,480,0, 3670,640,480:waittimer 66398 blt 0,0,640,480,0, 3672,640,480:waittimer 66434 blt 0,0,640,480,0, 3674,640,480:waittimer 66470 blt 0,0,640,480,0, 3676,640,480:waittimer 66506 blt 0,0,640,480,0, 3678,640,480:waittimer 66542 blt 0,0,640,480,0, 3680,640,480:waittimer 66578 blt 0,0,640,480,0, 3682,640,480:waittimer 66615 blt 0,0,640,480,0, 3684,640,480:waittimer 66651 blt 0,0,640,480,0, 3686,640,480:waittimer 66687 blt 0,0,640,480,0, 3688,640,480:waittimer 66723 blt 0,0,640,480,0, 3690,640,480:waittimer 66759 blt 0,0,640,480,0, 3692,640,480:waittimer 66796 blt 0,0,640,480,0, 3694,640,480:waittimer 66832 blt 0,0,640,480,0, 3696,640,480:waittimer 66868 blt 0,0,640,480,0, 3698,640,480:waittimer 66904 blt 0,0,640,480,0, 3700,640,480:waittimer 66940 blt 0,0,640,480,0, 3702,640,480:waittimer 66976 blt 0,0,640,480,0, 3704,640,480:waittimer 67013 blt 0,0,640,480,0, 3706,640,480:waittimer 67049 blt 0,0,640,480,0, 3708,640,480:waittimer 67085 blt 0,0,640,480,0, 3710,640,480:waittimer 67121 blt 0,0,640,480,0, 3712,640,480:waittimer 67157 blt 0,0,640,480,0, 3714,640,480:waittimer 67194 blt 0,0,640,480,0, 3716,640,480:waittimer 67230 blt 0,0,640,480,0, 3718,640,480:waittimer 67266 blt 0,0,640,480,0, 3720,640,480:waittimer 67302 blt 0,0,640,480,0, 3722,640,480:waittimer 67338 blt 0,0,640,480,0, 3724,640,480:waittimer 67375 blt 0,0,640,480,0, 3726,640,480:waittimer 67411 blt 0,0,640,480,0, 3728,640,480:waittimer 67447 blt 0,0,640,480,0, 3730,640,480:waittimer 67483 blt 0,0,640,480,0, 3732,640,480:waittimer 67519 blt 0,0,640,480,0, 3734,640,480:waittimer 67555 blt 0,0,640,480,0, 3736,640,480:waittimer 67592 blt 0,0,640,480,0, 3738,640,480:waittimer 67628 blt 0,0,640,480,0, 3740,640,480:waittimer 67664 blt 0,0,640,480,0, 3742,640,480:waittimer 67700 blt 0,0,640,480,0, 3744,640,480:waittimer 67736 blt 0,0,640,480,0, 3746,640,480:waittimer 67773 blt 0,0,640,480,0, 3748,640,480:waittimer 67809 blt 0,0,640,480,0, 3750,640,480:waittimer 67845 blt 0,0,640,480,0, 3752,640,480:waittimer 67881 blt 0,0,640,480,0, 3754,640,480:waittimer 67917 blt 0,0,640,480,0, 3756,640,480:waittimer 67953 blt 0,0,640,480,0, 3758,640,480:waittimer 67990 blt 0,0,640,480,0, 3760,640,480:waittimer 68026 blt 0,0,640,480,0, 3762,640,480:waittimer 68062 blt 0,0,640,480,0, 3764,640,480:waittimer 68098 blt 0,0,640,480,0, 3766,640,480:waittimer 68134 blt 0,0,640,480,0, 3768,640,480:waittimer 68171 blt 0,0,640,480,0, 3770,640,480:waittimer 68207 blt 0,0,640,480,0, 3772,640,480:waittimer 68243 blt 0,0,640,480,0, 3774,640,480:waittimer 68279 blt 0,0,640,480,0, 3776,640,480:waittimer 68315 blt 0,0,640,480,0, 3778,640,480:waittimer 68351 blt 0,0,640,480,0, 3780,640,480:waittimer 68388 blt 0,0,640,480,0, 3782,640,480:waittimer 68424 blt 0,0,640,480,0, 3784,640,480:waittimer 68460 blt 0,0,640,480,0, 3786,640,480:waittimer 68496 blt 0,0,640,480,0, 3788,640,480:waittimer 68532 blt 0,0,640,480,0, 3790,640,480:waittimer 68569 blt 0,0,640,480,0, 3792,640,480:waittimer 68605 blt 0,0,640,480,0, 3794,640,480:waittimer 68641 blt 0,0,640,480,0, 3796,640,480:waittimer 68677 blt 0,0,640,480,0, 3798,640,480:waittimer 68713 blt 0,0,640,480,0, 3800,640,480:waittimer 68750 blt 0,0,640,480,0, 3802,640,480:waittimer 68786 blt 0,0,640,480,0, 3804,640,480:waittimer 68822 blt 0,0,640,480,0, 3806,640,480:waittimer 68858 blt 0,0,640,480,0, 3808,640,480:waittimer 68894 blt 0,0,640,480,0, 3810,640,480:waittimer 68930 blt 0,0,640,480,0, 3812,640,480:waittimer 68967 blt 0,0,640,480,0, 3814,640,480:waittimer 69003 blt 0,0,640,480,0, 3816,640,480:waittimer 69039 blt 0,0,640,480,0, 3818,640,480:waittimer 69075 blt 0,0,640,480,0, 3820,640,480:waittimer 69111 blt 0,0,640,480,0, 3822,640,480:waittimer 69148 blt 0,0,640,480,0, 3824,640,480:waittimer 69184 blt 0,0,640,480,0, 3826,640,480:waittimer 69220 blt 0,0,640,480,0, 3828,640,480:waittimer 69256 blt 0,0,640,480,0, 3830,640,480:waittimer 69292 blt 0,0,640,480,0, 3832,640,480:waittimer 69328 blt 0,0,640,480,0, 3834,640,480:waittimer 69365 blt 0,0,640,480,0, 3836,640,480:waittimer 69401 blt 0,0,640,480,0, 3838,640,480:waittimer 69437 blt 0,0,640,480,0, 3840,640,480:waittimer 69473 blt 0,0,640,480,0, 3842,640,480:waittimer 69509 blt 0,0,640,480,0, 3844,640,480:waittimer 69546 blt 0,0,640,480,0, 3846,640,480:waittimer 69582 blt 0,0,640,480,0, 3848,640,480:waittimer 69618 blt 0,0,640,480,0, 3850,640,480:waittimer 69654 blt 0,0,640,480,0, 3852,640,480:waittimer 69690 blt 0,0,640,480,0, 3854,640,480:waittimer 69726 blt 0,0,640,480,0, 3856,640,480:waittimer 69763 blt 0,0,640,480,0, 3858,640,480:waittimer 69799 blt 0,0,640,480,0, 3860,640,480:waittimer 69835 blt 0,0,640,480,0, 3862,640,480:waittimer 69871 blt 0,0,640,480,0, 3864,640,480:waittimer 69907 blt 0,0,640,480,0, 3866,640,480:waittimer 69944 blt 0,0,640,480,0, 3868,640,480:waittimer 69980 blt 0,0,640,480,0, 3870,640,480:waittimer 70016 blt 0,0,640,480,0, 3872,640,480:waittimer 70052 blt 0,0,640,480,0, 3874,640,480:waittimer 70088 blt 0,0,640,480,0, 3876,640,480:waittimer 70125 blt 0,0,640,480,0, 3878,640,480:waittimer 70161 blt 0,0,640,480,0, 3880,640,480:waittimer 70197 blt 0,0,640,480,0, 3882,640,480:waittimer 70233 blt 0,0,640,480,0, 3884,640,480:waittimer 70269 blt 0,0,640,480,0, 3886,640,480:waittimer 70305 blt 0,0,640,480,0, 3888,640,480:waittimer 70342 blt 0,0,640,480,0, 3890,640,480:waittimer 70378 blt 0,0,640,480,0, 3892,640,480:waittimer 70414 blt 0,0,640,480,0, 3894,640,480:waittimer 70450 blt 0,0,640,480,0, 3896,640,480:waittimer 70486 blt 0,0,640,480,0, 3898,640,480:waittimer 70523 blt 0,0,640,480,0, 3900,640,480:waittimer 70559 blt 0,0,640,480,0, 3902,640,480:waittimer 70595 blt 0,0,640,480,0, 3904,640,480:waittimer 70631 blt 0,0,640,480,0, 3906,640,480:waittimer 70667 blt 0,0,640,480,0, 3908,640,480:waittimer 70703 blt 0,0,640,480,0, 3910,640,480:waittimer 70740 blt 0,0,640,480,0, 3912,640,480:waittimer 70776 blt 0,0,640,480,0, 3914,640,480:waittimer 70812 blt 0,0,640,480,0, 3916,640,480:waittimer 70848 blt 0,0,640,480,0, 3918,640,480:waittimer 70884 blt 0,0,640,480,0, 3920,640,480:waittimer 70921 blt 0,0,640,480,0, 3922,640,480:waittimer 70957 blt 0,0,640,480,0, 3924,640,480:waittimer 70993 blt 0,0,640,480,0, 3926,640,480:waittimer 71029 blt 0,0,640,480,0, 3928,640,480:waittimer 71065 blt 0,0,640,480,0, 3930,640,480:waittimer 71101 blt 0,0,640,480,0, 3932,640,480:waittimer 71138 blt 0,0,640,480,0, 3934,640,480:waittimer 71174 blt 0,0,640,480,0, 3936,640,480:waittimer 71210 blt 0,0,640,480,0, 3938,640,480:waittimer 71246 blt 0,0,640,480,0, 3940,640,480:waittimer 71282 blt 0,0,640,480,0, 3942,640,480:waittimer 71319 blt 0,0,640,480,0, 3944,640,480:waittimer 71355 blt 0,0,640,480,0, 3946,640,480:waittimer 71391 blt 0,0,640,480,0, 3948,640,480:waittimer 71427 blt 0,0,640,480,0, 3950,640,480:waittimer 71463 blt 0,0,640,480,0, 3952,640,480:waittimer 71500 blt 0,0,640,480,0, 3954,640,480:waittimer 71536 blt 0,0,640,480,0, 3956,640,480:waittimer 71572 blt 0,0,640,480,0, 3958,640,480:waittimer 71608 blt 0,0,640,480,0, 3960,640,480:waittimer 71644 blt 0,0,640,480,0, 3962,640,480:waittimer 71680 blt 0,0,640,480,0, 3964,640,480:waittimer 71717 blt 0,0,640,480,0, 3966,640,480:waittimer 71753 blt 0,0,640,480,0, 3968,640,480:waittimer 71789 blt 0,0,640,480,0, 3970,640,480:waittimer 71825 blt 0,0,640,480,0, 3972,640,480:waittimer 71861 blt 0,0,640,480,0, 3974,640,480:waittimer 71898 blt 0,0,640,480,0, 3976,640,480:waittimer 71934 blt 0,0,640,480,0, 3978,640,480:waittimer 71970 blt 0,0,640,480,0, 3980,640,480:waittimer 72006 blt 0,0,640,480,0, 3982,640,480:waittimer 72042 blt 0,0,640,480,0, 3984,640,480:waittimer 72078 blt 0,0,640,480,0, 3986,640,480:waittimer 72115 blt 0,0,640,480,0, 3988,640,480:waittimer 72151 blt 0,0,640,480,0, 3990,640,480:waittimer 72187 blt 0,0,640,480,0, 3992,640,480:waittimer 72223 blt 0,0,640,480,0, 3994,640,480:waittimer 72259 blt 0,0,640,480,0, 3996,640,480:waittimer 72296 blt 0,0,640,480,0, 3998,640,480:waittimer 72332 blt 0,0,640,480,0, 4000,640,480:waittimer 72368 blt 0,0,640,480,0, 4002,640,480:waittimer 72404 blt 0,0,640,480,0, 4004,640,480:waittimer 72440 blt 0,0,640,480,0, 4006,640,480:waittimer 72476 blt 0,0,640,480,0, 4008,640,480:waittimer 72513 blt 0,0,640,480,0, 4010,640,480:waittimer 72549 blt 0,0,640,480,0, 4012,640,480:waittimer 72585 blt 0,0,640,480,0, 4014,640,480:waittimer 72621 blt 0,0,640,480,0, 4016,640,480:waittimer 72657 blt 0,0,640,480,0, 4018,640,480:waittimer 72694 blt 0,0,640,480,0, 4020,640,480:waittimer 72730 blt 0,0,640,480,0, 4022,640,480:waittimer 72766 blt 0,0,640,480,0, 4024,640,480:waittimer 72802 blt 0,0,640,480,0, 4026,640,480:waittimer 72838 blt 0,0,640,480,0, 4028,640,480:waittimer 72875 blt 0,0,640,480,0, 4030,640,480:waittimer 72911 blt 0,0,640,480,0, 4032,640,480:waittimer 72947 blt 0,0,640,480,0, 4034,640,480:waittimer 72983 blt 0,0,640,480,0, 4036,640,480:waittimer 73019 blt 0,0,640,480,0, 4038,640,480:waittimer 73055 blt 0,0,640,480,0, 4040,640,480:waittimer 73092 blt 0,0,640,480,0, 4042,640,480:waittimer 73128 blt 0,0,640,480,0, 4044,640,480:waittimer 73164 blt 0,0,640,480,0, 4046,640,480:waittimer 73200 blt 0,0,640,480,0, 4048,640,480:waittimer 73236 blt 0,0,640,480,0, 4050,640,480:waittimer 73273 blt 0,0,640,480,0, 4052,640,480:waittimer 73309 blt 0,0,640,480,0, 4054,640,480:waittimer 73345 blt 0,0,640,480,0, 4056,640,480:waittimer 73381 blt 0,0,640,480,0, 4058,640,480:waittimer 73417 blt 0,0,640,480,0, 4060,640,480:waittimer 73453 blt 0,0,640,480,0, 4062,640,480:waittimer 73490 blt 0,0,640,480,0, 4064,640,480:waittimer 73526 blt 0,0,640,480,0, 4066,640,480:waittimer 73562 blt 0,0,640,480,0, 4068,640,480:waittimer 73598 blt 0,0,640,480,0, 4070,640,480:waittimer 73634 blt 0,0,640,480,0, 4072,640,480:waittimer 73671 blt 0,0,640,480,0, 4074,640,480:waittimer 73707 blt 0,0,640,480,0, 4076,640,480:waittimer 73743 blt 0,0,640,480,0, 4078,640,480:waittimer 73779 blt 0,0,640,480,0, 4080,640,480:waittimer 73815 blt 0,0,640,480,0, 4082,640,480:waittimer 73851 blt 0,0,640,480,0, 4084,640,480:waittimer 73888 blt 0,0,640,480,0, 4086,640,480:waittimer 73924 blt 0,0,640,480,0, 4088,640,480:waittimer 73960 blt 0,0,640,480,0, 4090,640,480:waittimer 73996 blt 0,0,640,480,0, 4092,640,480:waittimer 74032 blt 0,0,640,480,0, 4094,640,480:waittimer 74069 blt 0,0,640,480,0, 4096,640,480:waittimer 74105 blt 0,0,640,480,0, 4098,640,480:waittimer 74141 blt 0,0,640,480,0, 4100,640,480:waittimer 74177 blt 0,0,640,480,0, 4102,640,480:waittimer 74213 blt 0,0,640,480,0, 4104,640,480:waittimer 74250 blt 0,0,640,480,0, 4106,640,480:waittimer 74286 blt 0,0,640,480,0, 4108,640,480:waittimer 74322 blt 0,0,640,480,0, 4110,640,480:waittimer 74358 blt 0,0,640,480,0, 4112,640,480:waittimer 74394 blt 0,0,640,480,0, 4114,640,480:waittimer 74430 blt 0,0,640,480,0, 4116,640,480:waittimer 74467 blt 0,0,640,480,0, 4118,640,480:waittimer 74503 blt 0,0,640,480,0, 4120,640,480:waittimer 74539 blt 0,0,640,480,0, 4122,640,480:waittimer 74575 blt 0,0,640,480,0, 4124,640,480:waittimer 74611 blt 0,0,640,480,0, 4126,640,480:waittimer 74648 blt 0,0,640,480,0, 4128,640,480:waittimer 74684 blt 0,0,640,480,0, 4130,640,480:waittimer 74720 blt 0,0,640,480,0, 4132,640,480:waittimer 74756 blt 0,0,640,480,0, 4134,640,480:waittimer 74792 blt 0,0,640,480,0, 4136,640,480:waittimer 74828 blt 0,0,640,480,0, 4138,640,480:waittimer 74865 blt 0,0,640,480,0, 4140,640,480:waittimer 74901 blt 0,0,640,480,0, 4142,640,480:waittimer 74937 blt 0,0,640,480,0, 4144,640,480:waittimer 74973 blt 0,0,640,480,0, 4146,640,480:waittimer 75009 blt 0,0,640,480,0, 4148,640,480:waittimer 75046 blt 0,0,640,480,0, 4150,640,480:waittimer 75082 blt 0,0,640,480,0, 4152,640,480:waittimer 75118 blt 0,0,640,480,0, 4154,640,480:waittimer 75154 blt 0,0,640,480,0, 4156,640,480:waittimer 75190 blt 0,0,640,480,0, 4158,640,480:waittimer 75226 blt 0,0,640,480,0, 4160,640,480:waittimer 75263 blt 0,0,640,480,0, 4162,640,480:waittimer 75299 blt 0,0,640,480,0, 4164,640,480:waittimer 75335 blt 0,0,640,480,0, 4166,640,480:waittimer 75371 blt 0,0,640,480,0, 4168,640,480:waittimer 75407 blt 0,0,640,480,0, 4170,640,480:waittimer 75444 blt 0,0,640,480,0, 4172,640,480:waittimer 75480 blt 0,0,640,480,0, 4174,640,480:waittimer 75516 blt 0,0,640,480,0, 4176,640,480:waittimer 75552 blt 0,0,640,480,0, 4178,640,480:waittimer 75588 blt 0,0,640,480,0, 4180,640,480:waittimer 75625 blt 0,0,640,480,0, 4182,640,480:waittimer 75661 blt 0,0,640,480,0, 4184,640,480:waittimer 75697 blt 0,0,640,480,0, 4186,640,480:waittimer 75733 blt 0,0,640,480,0, 4188,640,480:waittimer 75769 blt 0,0,640,480,0, 4190,640,480:waittimer 75805 blt 0,0,640,480,0, 4192,640,480:waittimer 75842 blt 0,0,640,480,0, 4194,640,480:waittimer 75878 blt 0,0,640,480,0, 4196,640,480:waittimer 75914 blt 0,0,640,480,0, 4198,640,480:waittimer 75950 blt 0,0,640,480,0, 4200,640,480:waittimer 75986 blt 0,0,640,480,0, 4202,640,480:waittimer 76023 blt 0,0,640,480,0, 4204,640,480:waittimer 76059 blt 0,0,640,480,0, 4206,640,480:waittimer 76095 blt 0,0,640,480,0, 4208,640,480:waittimer 76131 blt 0,0,640,480,0, 4210,640,480:waittimer 76167 blt 0,0,640,480,0, 4212,640,480:waittimer 76203 blt 0,0,640,480,0, 4214,640,480:waittimer 76240 blt 0,0,640,480,0, 4216,640,480:waittimer 76276 blt 0,0,640,480,0, 4218,640,480:waittimer 76312 blt 0,0,640,480,0, 4220,640,480:waittimer 76348 blt 0,0,640,480,0, 4222,640,480:waittimer 76384 blt 0,0,640,480,0, 4224,640,480:waittimer 76421 blt 0,0,640,480,0, 4226,640,480:waittimer 76457 blt 0,0,640,480,0, 4228,640,480:waittimer 76493 blt 0,0,640,480,0, 4230,640,480:waittimer 76529 blt 0,0,640,480,0, 4232,640,480:waittimer 76565 blt 0,0,640,480,0, 4234,640,480:waittimer 76601 blt 0,0,640,480,0, 4236,640,480:waittimer 76638 blt 0,0,640,480,0, 4238,640,480:waittimer 76674 blt 0,0,640,480,0, 4240,640,480:waittimer 76710 blt 0,0,640,480,0, 4242,640,480:waittimer 76746 blt 0,0,640,480,0, 4244,640,480:waittimer 76782 blt 0,0,640,480,0, 4246,640,480:waittimer 76819 blt 0,0,640,480,0, 4248,640,480:waittimer 76855 blt 0,0,640,480,0, 4250,640,480:waittimer 76891 blt 0,0,640,480,0, 4252,640,480:waittimer 76927 blt 0,0,640,480,0, 4254,640,480:waittimer 76963 blt 0,0,640,480,0, 4256,640,480:waittimer 77000 blt 0,0,640,480,0, 4258,640,480:waittimer 77036 blt 0,0,640,480,0, 4260,640,480:waittimer 77072 blt 0,0,640,480,0, 4262,640,480:waittimer 77108 blt 0,0,640,480,0, 4264,640,480:waittimer 77144 blt 0,0,640,480,0, 4266,640,480:waittimer 77180 blt 0,0,640,480,0, 4268,640,480:waittimer 77217 blt 0,0,640,480,0, 4270,640,480:waittimer 77253 blt 0,0,640,480,0, 4272,640,480:waittimer 77289 blt 0,0,640,480,0, 4274,640,480:waittimer 77325 blt 0,0,640,480,0, 4276,640,480:waittimer 77361 blt 0,0,640,480,0, 4278,640,480:waittimer 77398 blt 0,0,640,480,0, 4280,640,480:waittimer 77434 blt 0,0,640,480,0, 4282,640,480:waittimer 77470 blt 0,0,640,480,0, 4284,640,480:waittimer 77506 blt 0,0,640,480,0, 4286,640,480:waittimer 77542 blt 0,0,640,480,0, 4288,640,480:waittimer 77578 blt 0,0,640,480,0, 4290,640,480:waittimer 77615 blt 0,0,640,480,0, 4292,640,480:waittimer 77651 blt 0,0,640,480,0, 4294,640,480:waittimer 77687 blt 0,0,640,480,0, 4296,640,480:waittimer 77723 blt 0,0,640,480,0, 4298,640,480:waittimer 77759 blt 0,0,640,480,0, 4300,640,480:waittimer 77796 blt 0,0,640,480,0, 4302,640,480:waittimer 77832 blt 0,0,640,480,0, 4304,640,480:waittimer 77868 blt 0,0,640,480,0, 4306,640,480:waittimer 77904 blt 0,0,640,480,0, 4308,640,480:waittimer 77940 blt 0,0,640,480,0, 4310,640,480:waittimer 77976 blt 0,0,640,480,0, 4312,640,480:waittimer 78013 blt 0,0,640,480,0, 4314,640,480:waittimer 78049 blt 0,0,640,480,0, 4316,640,480:waittimer 78085 blt 0,0,640,480,0, 4318,640,480:waittimer 78121 blt 0,0,640,480,0, 4320,640,480:waittimer 78157 blt 0,0,640,480,0, 4322,640,480:waittimer 78194 blt 0,0,640,480,0, 4324,640,480:waittimer 78230 blt 0,0,640,480,0, 4326,640,480:waittimer 78266 blt 0,0,640,480,0, 4328,640,480:waittimer 78302 blt 0,0,640,480,0, 4330,640,480:waittimer 78338 blt 0,0,640,480,0, 4332,640,480:waittimer 78375 blt 0,0,640,480,0, 4334,640,480:waittimer 78411 blt 0,0,640,480,0, 4336,640,480:waittimer 78447 blt 0,0,640,480,0, 4338,640,480:waittimer 78483 blt 0,0,640,480,0, 4340,640,480:waittimer 78519 blt 0,0,640,480,0, 4342,640,480:waittimer 78555 blt 0,0,640,480,0, 4344,640,480:waittimer 78592 blt 0,0,640,480,0, 4346,640,480:waittimer 78628 blt 0,0,640,480,0, 4348,640,480:waittimer 78664 blt 0,0,640,480,0, 4350,640,480:waittimer 78700 blt 0,0,640,480,0, 4352,640,480:waittimer 78736 blt 0,0,640,480,0, 4354,640,480:waittimer 78773 blt 0,0,640,480,0, 4356,640,480:waittimer 78809 blt 0,0,640,480,0, 4358,640,480:waittimer 78845 blt 0,0,640,480,0, 4360,640,480:waittimer 78881 blt 0,0,640,480,0, 4362,640,480:waittimer 78917 blt 0,0,640,480,0, 4364,640,480:waittimer 78953 blt 0,0,640,480,0, 4366,640,480:waittimer 78990 blt 0,0,640,480,0, 4368,640,480:waittimer 79026 blt 0,0,640,480,0, 4370,640,480:waittimer 79062 blt 0,0,640,480,0, 4372,640,480:waittimer 79098 blt 0,0,640,480,0, 4374,640,480:waittimer 79134 blt 0,0,640,480,0, 4376,640,480:waittimer 79171 blt 0,0,640,480,0, 4378,640,480:waittimer 79207 blt 0,0,640,480,0, 4380,640,480:waittimer 79243 blt 0,0,640,480,0, 4382,640,480:waittimer 79279 blt 0,0,640,480,0, 4384,640,480:waittimer 79315 blt 0,0,640,480,0, 4386,640,480:waittimer 79351 blt 0,0,640,480,0, 4388,640,480:waittimer 79388 blt 0,0,640,480,0, 4390,640,480:waittimer 79424 blt 0,0,640,480,0, 4392,640,480:waittimer 79460 blt 0,0,640,480,0, 4394,640,480:waittimer 79496 blt 0,0,640,480,0, 4396,640,480:waittimer 79532 blt 0,0,640,480,0, 4398,640,480:waittimer 79569 blt 0,0,640,480,0, 4400,640,480:waittimer 79605 blt 0,0,640,480,0, 4402,640,480:waittimer 79641 blt 0,0,640,480,0, 4404,640,480:waittimer 79677 blt 0,0,640,480,0, 4406,640,480:waittimer 79713 blt 0,0,640,480,0, 4408,640,480:waittimer 79750 blt 0,0,640,480,0, 4410,640,480:waittimer 79786 blt 0,0,640,480,0, 4412,640,480:waittimer 79822 blt 0,0,640,480,0, 4414,640,480:waittimer 79858 blt 0,0,640,480,0, 4416,640,480:waittimer 79894 blt 0,0,640,480,0, 4418,640,480:waittimer 79930 blt 0,0,640,480,0, 4420,640,480:waittimer 79967 blt 0,0,640,480,0, 4422,640,480:waittimer 80003 blt 0,0,640,480,0, 4424,640,480:waittimer 80039 blt 0,0,640,480,0, 4426,640,480:waittimer 80075 blt 0,0,640,480,0, 4428,640,480:waittimer 80111 blt 0,0,640,480,0, 4430,640,480:waittimer 80148 blt 0,0,640,480,0, 4432,640,480:waittimer 80184 blt 0,0,640,480,0, 4434,640,480:waittimer 80220 blt 0,0,640,480,0, 4436,640,480:waittimer 80256 blt 0,0,640,480,0, 4438,640,480:waittimer 80292 blt 0,0,640,480,0, 4440,640,480:waittimer 80328 blt 0,0,640,480,0, 4442,640,480:waittimer 80365 blt 0,0,640,480,0, 4444,640,480:waittimer 80401 blt 0,0,640,480,0, 4446,640,480:waittimer 80437 blt 0,0,640,480,0, 4448,640,480:waittimer 80473 blt 0,0,640,480,0, 4450,640,480:waittimer 80509 blt 0,0,640,480,0, 4452,640,480:waittimer 80546 blt 0,0,640,480,0, 4454,640,480:waittimer 80582 blt 0,0,640,480,0, 4456,640,480:waittimer 80618 blt 0,0,640,480,0, 4458,640,480:waittimer 80654 blt 0,0,640,480,0, 4460,640,480:waittimer 80690 blt 0,0,640,480,0, 4462,640,480:waittimer 80726 blt 0,0,640,480,0, 4464,640,480:waittimer 80763 blt 0,0,640,480,0, 4466,640,480:waittimer 80799 blt 0,0,640,480,0, 4468,640,480:waittimer 80835 blt 0,0,640,480,0, 4470,640,480:waittimer 80871 blt 0,0,640,480,0, 4472,640,480:waittimer 80907 blt 0,0,640,480,0, 4474,640,480:waittimer 80944 blt 0,0,640,480,0, 4476,640,480:waittimer 80980 blt 0,0,640,480,0, 4478,640,480:waittimer 81016 blt 0,0,640,480,0, 4480,640,480:waittimer 81052 blt 0,0,640,480,0, 4482,640,480:waittimer 81088 blt 0,0,640,480,0, 4484,640,480:waittimer 81125 blt 0,0,640,480,0, 4486,640,480:waittimer 81161 blt 0,0,640,480,0, 4488,640,480:waittimer 81197 blt 0,0,640,480,0, 4490,640,480:waittimer 81233 blt 0,0,640,480,0, 4492,640,480:waittimer 81269 blt 0,0,640,480,0, 4494,640,480:waittimer 81305 blt 0,0,640,480,0, 4496,640,480:waittimer 81342 blt 0,0,640,480,0, 4498,640,480:waittimer 81378 blt 0,0,640,480,0, 4500,640,480:waittimer 81414 blt 0,0,640,480,0, 4502,640,480:waittimer 81450 blt 0,0,640,480,0, 4504,640,480:waittimer 81486 blt 0,0,640,480,0, 4506,640,480:waittimer 81523 blt 0,0,640,480,0, 4508,640,480:waittimer 81559 blt 0,0,640,480,0, 4510,640,480:waittimer 81595 blt 0,0,640,480,0, 4512,640,480:waittimer 81631 blt 0,0,640,480,0, 4514,640,480:waittimer 81667 blt 0,0,640,480,0, 4516,640,480:waittimer 81703 blt 0,0,640,480,0, 4518,640,480:waittimer 81740 blt 0,0,640,480,0, 4520,640,480:waittimer 81776 blt 0,0,640,480,0, 4522,640,480:waittimer 81812 blt 0,0,640,480,0, 4524,640,480:waittimer 81848 blt 0,0,640,480,0, 4526,640,480:waittimer 81884 blt 0,0,640,480,0, 4528,640,480:waittimer 81921 blt 0,0,640,480,0, 4530,640,480:waittimer 81957 blt 0,0,640,480,0, 4532,640,480:waittimer 81993 blt 0,0,640,480,0, 4534,640,480:waittimer 82029 blt 0,0,640,480,0, 4536,640,480:waittimer 82065 blt 0,0,640,480,0, 4538,640,480:waittimer 82101 blt 0,0,640,480,0, 4540,640,480:waittimer 82138 blt 0,0,640,480,0, 4542,640,480:waittimer 82174 blt 0,0,640,480,0, 4544,640,480:waittimer 82210 blt 0,0,640,480,0, 4546,640,480:waittimer 82246 blt 0,0,640,480,0, 4548,640,480:waittimer 82282 blt 0,0,640,480,0, 4550,640,480:waittimer 82319 blt 0,0,640,480,0, 4552,640,480:waittimer 82355 blt 0,0,640,480,0, 4554,640,480:waittimer 82391 blt 0,0,640,480,0, 4556,640,480:waittimer 82427 blt 0,0,640,480,0, 4558,640,480:waittimer 82463 blt 0,0,640,480,0, 4560,640,480:waittimer 82500 blt 0,0,640,480,0, 4562,640,480:waittimer 82536 blt 0,0,640,480,0, 4564,640,480:waittimer 82572 blt 0,0,640,480,0, 4566,640,480:waittimer 82608 blt 0,0,640,480,0, 4568,640,480:waittimer 82644 blt 0,0,640,480,0, 4570,640,480:waittimer 82680 blt 0,0,640,480,0, 4572,640,480:waittimer 82717 blt 0,0,640,480,0, 4574,640,480:waittimer 82753 blt 0,0,640,480,0, 4576,640,480:waittimer 82789 blt 0,0,640,480,0, 4578,640,480:waittimer 82825 blt 0,0,640,480,0, 4580,640,480:waittimer 82861 blt 0,0,640,480,0, 4582,640,480:waittimer 82898 blt 0,0,640,480,0, 4584,640,480:waittimer 82934 blt 0,0,640,480,0, 4586,640,480:waittimer 82970 blt 0,0,640,480,0, 4588,640,480:waittimer 83006 blt 0,0,640,480,0, 4590,640,480:waittimer 83042 blt 0,0,640,480,0, 4592,640,480:waittimer 83078 blt 0,0,640,480,0, 4594,640,480:waittimer 83115 blt 0,0,640,480,0, 4596,640,480:waittimer 83151 blt 0,0,640,480,0, 4598,640,480:waittimer 83187 blt 0,0,640,480,0, 4600,640,480:waittimer 83223 blt 0,0,640,480,0, 4602,640,480:waittimer 83259 blt 0,0,640,480,0, 4604,640,480:waittimer 83296 blt 0,0,640,480,0, 4606,640,480:waittimer 83332 blt 0,0,640,480,0, 4608,640,480:waittimer 83368 blt 0,0,640,480,0, 4610,640,480:waittimer 83404 blt 0,0,640,480,0, 4612,640,480:waittimer 83440 blt 0,0,640,480,0, 4614,640,480:waittimer 83476 blt 0,0,640,480,0, 4616,640,480:waittimer 83513 blt 0,0,640,480,0, 4618,640,480:waittimer 83549 blt 0,0,640,480,0, 4620,640,480:waittimer 83585 blt 0,0,640,480,0, 4622,640,480:waittimer 83621 blt 0,0,640,480,0, 4624,640,480:waittimer 83657 blt 0,0,640,480,0, 4626,640,480:waittimer 83694 blt 0,0,640,480,0, 4628,640,480:waittimer 83730 blt 0,0,640,480,0, 4630,640,480:waittimer 83766 blt 0,0,640,480,0, 4632,640,480:waittimer 83802 blt 0,0,640,480,0, 4634,640,480:waittimer 83838 blt 0,0,640,480,0, 4636,640,480:waittimer 83875 blt 0,0,640,480,0, 4638,640,480:waittimer 83911 blt 0,0,640,480,0, 4640,640,480:waittimer 83947 blt 0,0,640,480,0, 4642,640,480:waittimer 83983 blt 0,0,640,480,0, 4644,640,480:waittimer 84019 blt 0,0,640,480,0, 4646,640,480:waittimer 84055 blt 0,0,640,480,0, 4648,640,480:waittimer 84092 blt 0,0,640,480,0, 4650,640,480:waittimer 84128 blt 0,0,640,480,0, 4652,640,480:waittimer 84164 blt 0,0,640,480,0, 4654,640,480:waittimer 84200 blt 0,0,640,480,0, 4656,640,480:waittimer 84236 blt 0,0,640,480,0, 4658,640,480:waittimer 84273 blt 0,0,640,480,0, 4660,640,480:waittimer 84309 blt 0,0,640,480,0, 4662,640,480:waittimer 84345 blt 0,0,640,480,0, 4664,640,480:waittimer 84381 blt 0,0,640,480,0, 4666,640,480:waittimer 84417 blt 0,0,640,480,0, 4668,640,480:waittimer 84453 blt 0,0,640,480,0, 4670,640,480:waittimer 84490 blt 0,0,640,480,0, 4672,640,480:waittimer 84526 blt 0,0,640,480,0, 4674,640,480:waittimer 84562 blt 0,0,640,480,0, 4676,640,480:waittimer 84598 blt 0,0,640,480,0, 4678,640,480:waittimer 84634 blt 0,0,640,480,0, 4680,640,480:waittimer 84671 blt 0,0,640,480,0, 4682,640,480:waittimer 84707 blt 0,0,640,480,0, 4684,640,480:waittimer 84743 blt 0,0,640,480,0, 4686,640,480:waittimer 84779 blt 0,0,640,480,0, 4688,640,480:waittimer 84815 blt 0,0,640,480,0, 4690,640,480:waittimer 84851 blt 0,0,640,480,0, 4692,640,480:waittimer 84888 blt 0,0,640,480,0, 4694,640,480:waittimer 84924 blt 0,0,640,480,0, 4696,640,480:waittimer 84960 blt 0,0,640,480,0, 4698,640,480:waittimer 84996 blt 0,0,640,480,0, 4700,640,480:waittimer 85032 blt 0,0,640,480,0, 4702,640,480:waittimer 85069 blt 0,0,640,480,0, 4704,640,480:waittimer 85105 blt 0,0,640,480,0, 4706,640,480:waittimer 85141 blt 0,0,640,480,0, 4708,640,480:waittimer 85177 blt 0,0,640,480,0, 4710,640,480:waittimer 85213 blt 0,0,640,480,0, 4712,640,480:waittimer 85250 blt 0,0,640,480,0, 4714,640,480:waittimer 85286 blt 0,0,640,480,0, 4716,640,480:waittimer 85322 blt 0,0,640,480,0, 4718,640,480:waittimer 85358 blt 0,0,640,480,0, 4720,640,480:waittimer 85394 blt 0,0,640,480,0, 4722,640,480:waittimer 85430 blt 0,0,640,480,0, 4724,640,480:waittimer 85467 blt 0,0,640,480,0, 4726,640,480:waittimer 85503 blt 0,0,640,480,0, 4728,640,480:waittimer 85539 blt 0,0,640,480,0, 4730,640,480:waittimer 85575 blt 0,0,640,480,0, 4732,640,480:waittimer 85611 blt 0,0,640,480,0, 4734,640,480:waittimer 85648 blt 0,0,640,480,0, 4736,640,480:waittimer 85684 blt 0,0,640,480,0, 4738,640,480:waittimer 85720 blt 0,0,640,480,0, 4740,640,480:waittimer 85756 blt 0,0,640,480,0, 4742,640,480:waittimer 85792 blt 0,0,640,480,0, 4744,640,480:waittimer 85828 blt 0,0,640,480,0, 4746,640,480:waittimer 85865 blt 0,0,640,480,0, 4748,640,480:waittimer 85901 blt 0,0,640,480,0, 4750,640,480:waittimer 85937 blt 0,0,640,480,0, 4752,640,480:waittimer 85973 blt 0,0,640,480,0, 4754,640,480:waittimer 86009 blt 0,0,640,480,0, 4756,640,480:waittimer 86046 blt 0,0,640,480,0, 4758,640,480:waittimer 86082 blt 0,0,640,480,0, 4760,640,480:waittimer 86118 blt 0,0,640,480,0, 4762,640,480:waittimer 86154 blt 0,0,640,480,0, 4764,640,480:waittimer 86190 blt 0,0,640,480,0, 4766,640,480:waittimer 86226 blt 0,0,640,480,0, 4768,640,480:waittimer 86263 blt 0,0,640,480,0, 4770,640,480:waittimer 86299 blt 0,0,640,480,0, 4772,640,480:waittimer 86335 blt 0,0,640,480,0, 4774,640,480:waittimer 86371 blt 0,0,640,480,0, 4776,640,480:waittimer 86407 blt 0,0,640,480,0, 4778,640,480:waittimer 86444 blt 0,0,640,480,0, 4780,640,480:waittimer 86480 blt 0,0,640,480,0, 4782,640,480:waittimer 86516 blt 0,0,640,480,0, 4784,640,480:waittimer 86552 blt 0,0,640,480,0, 4786,640,480:waittimer 86588 blt 0,0,640,480,0, 4788,640,480:waittimer 86625 blt 0,0,640,480,0, 4790,640,480:waittimer 86661 blt 0,0,640,480,0, 4792,640,480:waittimer 86697 blt 0,0,640,480,0, 4794,640,480:waittimer 86733 blt 0,0,640,480,0, 4796,640,480:waittimer 86769 blt 0,0,640,480,0, 4798,640,480:waittimer 86805 blt 0,0,640,480,0, 4800,640,480:waittimer 86842 blt 0,0,640,480,0, 4802,640,480:waittimer 86878 blt 0,0,640,480,0, 4804,640,480:waittimer 86914 blt 0,0,640,480,0, 4806,640,480:waittimer 86950 blt 0,0,640,480,0, 4808,640,480:waittimer 86986 blt 0,0,640,480,0, 4810,640,480:waittimer 87023 blt 0,0,640,480,0, 4812,640,480:waittimer 87059 blt 0,0,640,480,0, 4814,640,480:waittimer 87095 blt 0,0,640,480,0, 4816,640,480:waittimer 87131 blt 0,0,640,480,0, 4818,640,480:waittimer 87167 blt 0,0,640,480,0, 4820,640,480:waittimer 87203 blt 0,0,640,480,0, 4822,640,480:waittimer 87240 blt 0,0,640,480,0, 4824,640,480:waittimer 87276 blt 0,0,640,480,0, 4826,640,480:waittimer 87312 blt 0,0,640,480,0, 4828,640,480:waittimer 87348 blt 0,0,640,480,0, 4830,640,480:waittimer 87384 blt 0,0,640,480,0, 4832,640,480:waittimer 87421 blt 0,0,640,480,0, 4834,640,480:waittimer 87457 blt 0,0,640,480,0, 4836,640,480:waittimer 87493 blt 0,0,640,480,0, 4838,640,480:waittimer 87529 blt 0,0,640,480,0, 4840,640,480:waittimer 87565 blt 0,0,640,480,0, 4842,640,480:waittimer 87601 blt 0,0,640,480,0, 4844,640,480:waittimer 87638 blt 0,0,640,480,0, 4846,640,480:waittimer 87674 blt 0,0,640,480,0, 4848,640,480:waittimer 87710 blt 0,0,640,480,0, 4850,640,480:waittimer 87746 blt 0,0,640,480,0, 4852,640,480:waittimer 87782 blt 0,0,640,480,0, 4854,640,480:waittimer 87819 blt 0,0,640,480,0, 4856,640,480:waittimer 87855 blt 0,0,640,480,0, 4858,640,480:waittimer 87891 blt 0,0,640,480,0, 4860,640,480:waittimer 87927 blt 0,0,640,480,0, 4862,640,480:waittimer 87963 blt 0,0,640,480,0, 4864,640,480:waittimer 88000 blt 0,0,640,480,0, 4866,640,480:waittimer 88036 blt 0,0,640,480,0, 4868,640,480:waittimer 88072 blt 0,0,640,480,0, 4870,640,480:waittimer 88108 blt 0,0,640,480,0, 4872,640,480:waittimer 88144 blt 0,0,640,480,0, 4874,640,480:waittimer 88180 blt 0,0,640,480,0, 4876,640,480:waittimer 88217 blt 0,0,640,480,0, 4878,640,480:waittimer 88253 blt 0,0,640,480,0, 4880,640,480:waittimer 88289 blt 0,0,640,480,0, 4882,640,480:waittimer 88325 blt 0,0,640,480,0, 4884,640,480:waittimer 88361 blt 0,0,640,480,0, 4886,640,480:waittimer 88398 blt 0,0,640,480,0, 4888,640,480:waittimer 88434 blt 0,0,640,480,0, 4890,640,480:waittimer 88470 blt 0,0,640,480,0, 4892,640,480:waittimer 88506 blt 0,0,640,480,0, 4894,640,480:waittimer 88542 blt 0,0,640,480,0, 4896,640,480:waittimer 88578 blt 0,0,640,480,0, 4898,640,480:waittimer 88615 blt 0,0,640,480,0, 4900,640,480:waittimer 88651 blt 0,0,640,480,0, 4902,640,480:waittimer 88687 blt 0,0,640,480,0, 4904,640,480:waittimer 88723 blt 0,0,640,480,0, 4906,640,480:waittimer 88759 blt 0,0,640,480,0, 4908,640,480:waittimer 88796 blt 0,0,640,480,0, 4910,640,480:waittimer 88832 blt 0,0,640,480,0, 4912,640,480:waittimer 88868 blt 0,0,640,480,0, 4914,640,480:waittimer 88904 blt 0,0,640,480,0, 4916,640,480:waittimer 88940 blt 0,0,640,480,0, 4918,640,480:waittimer 88976 blt 0,0,640,480,0, 4920,640,480:waittimer 89013 blt 0,0,640,480,0, 4922,640,480:waittimer 89049 blt 0,0,640,480,0, 4924,640,480:waittimer 89085 blt 0,0,640,480,0, 4926,640,480:waittimer 89121 blt 0,0,640,480,0, 4928,640,480:waittimer 89157 blt 0,0,640,480,0, 4930,640,480:waittimer 89194 blt 0,0,640,480,0, 4932,640,480:waittimer 89230 blt 0,0,640,480,0, 4934,640,480:waittimer 89266 blt 0,0,640,480,0, 4936,640,480:waittimer 89302 blt 0,0,640,480,0, 4938,640,480:waittimer 89338 blt 0,0,640,480,0, 4940,640,480:waittimer 89375 blt 0,0,640,480,0, 4942,640,480:waittimer 89411 blt 0,0,640,480,0, 4944,640,480:waittimer 89447 blt 0,0,640,480,0, 4946,640,480:waittimer 89483 blt 0,0,640,480,0, 4948,640,480:waittimer 89519 blt 0,0,640,480,0, 4950,640,480:waittimer 89555 blt 0,0,640,480,0, 4952,640,480:waittimer 89592 blt 0,0,640,480,0, 4954,640,480:waittimer 89628 blt 0,0,640,480,0, 4956,640,480:waittimer 89664 blt 0,0,640,480,0, 4958,640,480:waittimer 89700 blt 0,0,640,480,0, 4960,640,480:waittimer 89736 blt 0,0,640,480,0, 4962,640,480:waittimer 89773 blt 0,0,640,480,0, 4964,640,480:waittimer 89809 blt 0,0,640,480,0, 4966,640,480:waittimer 89845 blt 0,0,640,480,0, 4968,640,480:waittimer 89881 blt 0,0,640,480,0, 4970,640,480:waittimer 89917 blt 0,0,640,480,0, 4972,640,480:waittimer 89953 blt 0,0,640,480,0, 4974,640,480:waittimer 89990 blt 0,0,640,480,0, 4976,640,480:waittimer 90026 blt 0,0,640,480,0, 4978,640,480:waittimer 90062 blt 0,0,640,480,0, 4980,640,480:waittimer 90098 blt 0,0,640,480,0, 4982,640,480:waittimer 90134 blt 0,0,640,480,0, 4984,640,480:waittimer 90171 blt 0,0,640,480,0, 4986,640,480:waittimer 90207 blt 0,0,640,480,0, 4988,640,480:waittimer 90243 blt 0,0,640,480,0, 4990,640,480:waittimer 90279 blt 0,0,640,480,0, 4992,640,480:waittimer 90315 blt 0,0,640,480,0, 4994,640,480:waittimer 90351 blt 0,0,640,480,0, 4996,640,480:waittimer 90388 blt 0,0,640,480,0, 4998,640,480:waittimer 90424 blt 0,0,640,480,0, 5000,640,480:waittimer 90460 blt 0,0,640,480,0, 5002,640,480:waittimer 90496 blt 0,0,640,480,0, 5004,640,480:waittimer 90532 blt 0,0,640,480,0, 5006,640,480:waittimer 90569 blt 0,0,640,480,0, 5008,640,480:waittimer 90605 blt 0,0,640,480,0, 5010,640,480:waittimer 90641 blt 0,0,640,480,0, 5012,640,480:waittimer 90677 blt 0,0,640,480,0, 5014,640,480:waittimer 90713 blt 0,0,640,480,0, 5016,640,480:waittimer 90750 blt 0,0,640,480,0, 5018,640,480:waittimer 90786 blt 0,0,640,480,0, 5020,640,480:waittimer 90822 blt 0,0,640,480,0, 5022,640,480:waittimer 90858 blt 0,0,640,480,0, 5024,640,480:waittimer 90894 blt 0,0,640,480,0, 5026,640,480:waittimer 90930 blt 0,0,640,480,0, 5028,640,480:waittimer 90967 blt 0,0,640,480,0, 5030,640,480:waittimer 91003 blt 0,0,640,480,0, 5032,640,480:waittimer 91039 blt 0,0,640,480,0, 5034,640,480:waittimer 91075 blt 0,0,640,480,0, 5036,640,480:waittimer 91111 blt 0,0,640,480,0, 5038,640,480:waittimer 91148 blt 0,0,640,480,0, 5040,640,480:waittimer 91184 blt 0,0,640,480,0, 5042,640,480:waittimer 91220 blt 0,0,640,480,0, 5044,640,480:waittimer 91256 blt 0,0,640,480,0, 5046,640,480:waittimer 91292 blt 0,0,640,480,0, 5048,640,480:waittimer 91328 blt 0,0,640,480,0, 5050,640,480:waittimer 91365 blt 0,0,640,480,0, 5052,640,480:waittimer 91401 blt 0,0,640,480,0, 5054,640,480:waittimer 91437 blt 0,0,640,480,0, 5056,640,480:waittimer 91473 blt 0,0,640,480,0, 5058,640,480:waittimer 91509 blt 0,0,640,480,0, 5060,640,480:waittimer 91546 blt 0,0,640,480,0, 5062,640,480:waittimer 91582 blt 0,0,640,480,0, 5064,640,480:waittimer 91618 blt 0,0,640,480,0, 5066,640,480:waittimer 91654 blt 0,0,640,480,0, 5068,640,480:waittimer 91690 blt 0,0,640,480,0, 5070,640,480:waittimer 91726 blt 0,0,640,480,0, 5072,640,480:waittimer 91763 blt 0,0,640,480,0, 5074,640,480:waittimer 91799 blt 0,0,640,480,0, 5076,640,480:waittimer 91835 blt 0,0,640,480,0, 5078,640,480:waittimer 91871 blt 0,0,640,480,0, 5080,640,480:waittimer 91907 blt 0,0,640,480,0, 5082,640,480:waittimer 91944 blt 0,0,640,480,0, 5084,640,480:waittimer 91980 blt 0,0,640,480,0, 5086,640,480:waittimer 92016 blt 0,0,640,480,0, 5088,640,480:waittimer 92052 blt 0,0,640,480,0, 5090,640,480:waittimer 92088 blt 0,0,640,480,0, 5092,640,480:waittimer 92125 blt 0,0,640,480,0, 5094,640,480:waittimer 92161 blt 0,0,640,480,0, 5096,640,480:waittimer 92197 blt 0,0,640,480,0, 5098,640,480:waittimer 92233 blt 0,0,640,480,0, 5100,640,480:waittimer 92269 blt 0,0,640,480,0, 5102,640,480:waittimer 92305 blt 0,0,640,480,0, 5104,640,480:waittimer 92342 blt 0,0,640,480,0, 5106,640,480:waittimer 92378 blt 0,0,640,480,0, 5108,640,480:waittimer 92414 blt 0,0,640,480,0, 5110,640,480:waittimer 92450 blt 0,0,640,480,0, 5112,640,480:waittimer 92486 blt 0,0,640,480,0, 5114,640,480:waittimer 92523 blt 0,0,640,480,0, 5116,640,480:waittimer 92559 blt 0,0,640,480,0, 5118,640,480:waittimer 92595 blt 0,0,640,480,0, 5120,640,480:waittimer 92631 blt 0,0,640,480,0, 5122,640,480:waittimer 92667 blt 0,0,640,480,0, 5124,640,480:waittimer 92703 blt 0,0,640,480,0, 5126,640,480:waittimer 92740 blt 0,0,640,480,0, 5128,640,480:waittimer 92776 blt 0,0,640,480,0, 5130,640,480:waittimer 92812 blt 0,0,640,480,0, 5132,640,480:waittimer 92848 blt 0,0,640,480,0, 5134,640,480:waittimer 92884 blt 0,0,640,480,0, 5136,640,480:waittimer 92921 blt 0,0,640,480,0, 5138,640,480:waittimer 92957 blt 0,0,640,480,0, 5140,640,480:waittimer 92993 blt 0,0,640,480,0, 5142,640,480:waittimer 93029 blt 0,0,640,480,0, 5144,640,480:waittimer 93065 blt 0,0,640,480,0, 5146,640,480:waittimer 93101 blt 0,0,640,480,0, 5148,640,480:waittimer 93138 blt 0,0,640,480,0, 5150,640,480:waittimer 93174 blt 0,0,640,480,0, 5152,640,480:waittimer 93210 blt 0,0,640,480,0, 5154,640,480:waittimer 93246 blt 0,0,640,480,0, 5156,640,480:waittimer 93282 blt 0,0,640,480,0, 5158,640,480:waittimer 93319 blt 0,0,640,480,0, 5160,640,480:waittimer 93355 blt 0,0,640,480,0, 5162,640,480:waittimer 93391 blt 0,0,640,480,0, 5164,640,480:waittimer 93427 blt 0,0,640,480,0, 5166,640,480:waittimer 93463 blt 0,0,640,480,0, 5168,640,480:waittimer 93500 blt 0,0,640,480,0, 5170,640,480:waittimer 93536 blt 0,0,640,480,0, 5172,640,480:waittimer 93572 blt 0,0,640,480,0, 5174,640,480:waittimer 93608 blt 0,0,640,480,0, 5176,640,480:waittimer 93644 blt 0,0,640,480,0, 5178,640,480:waittimer 93680 blt 0,0,640,480,0, 5180,640,480:waittimer 93717 blt 0,0,640,480,0, 5182,640,480:waittimer 93753 blt 0,0,640,480,0, 5184,640,480:waittimer 93789 blt 0,0,640,480,0, 5186,640,480:waittimer 93825 blt 0,0,640,480,0, 5188,640,480:waittimer 93861 blt 0,0,640,480,0, 5190,640,480:waittimer 93898 blt 0,0,640,480,0, 5192,640,480:waittimer 93934 blt 0,0,640,480,0, 5194,640,480:waittimer 93970 blt 0,0,640,480,0, 5196,640,480:waittimer 94006 blt 0,0,640,480,0, 5198,640,480:waittimer 94042 blt 0,0,640,480,0, 5200,640,480:waittimer 94078 blt 0,0,640,480,0, 5202,640,480:waittimer 94115 blt 0,0,640,480,0, 5204,640,480:waittimer 94151 blt 0,0,640,480,0, 5206,640,480:waittimer 94187 blt 0,0,640,480,0, 5208,640,480:waittimer 94223 blt 0,0,640,480,0, 5210,640,480:waittimer 94259 blt 0,0,640,480,0, 5212,640,480:waittimer 94296 blt 0,0,640,480,0, 5214,640,480:waittimer 94332 blt 0,0,640,480,0, 5216,640,480:waittimer 94368 blt 0,0,640,480,0, 5218,640,480:waittimer 94404 blt 0,0,640,480,0, 5220,640,480:waittimer 94440 blt 0,0,640,480,0, 5222,640,480:waittimer 94476 blt 0,0,640,480,0, 5224,640,480:waittimer 94513 blt 0,0,640,480,0, 5226,640,480:waittimer 94549 blt 0,0,640,480,0, 5228,640,480:waittimer 94585 blt 0,0,640,480,0, 5230,640,480:waittimer 94621 blt 0,0,640,480,0, 5232,640,480:waittimer 94657 blt 0,0,640,480,0, 5234,640,480:waittimer 94694 blt 0,0,640,480,0, 5236,640,480:waittimer 94730 blt 0,0,640,480,0, 5238,640,480:waittimer 94766 blt 0,0,640,480,0, 5240,640,480:waittimer 94802 blt 0,0,640,480,0, 5242,640,480:waittimer 94838 blt 0,0,640,480,0, 5244,640,480:waittimer 94875 blt 0,0,640,480,0, 5246,640,480:waittimer 94911 blt 0,0,640,480,0, 5248,640,480:waittimer 94947 blt 0,0,640,480,0, 5250,640,480:waittimer 94983 blt 0,0,640,480,0, 5252,640,480:waittimer 95019 blt 0,0,640,480,0, 5254,640,480:waittimer 95055 blt 0,0,640,480,0, 5256,640,480:waittimer 95092 blt 0,0,640,480,0, 5258,640,480:waittimer 95128 blt 0,0,640,480,0, 5260,640,480:waittimer 95164 blt 0,0,640,480,0, 5262,640,480:waittimer 95200 blt 0,0,640,480,0, 5264,640,480:waittimer 95236 blt 0,0,640,480,0, 5266,640,480:waittimer 95273 blt 0,0,640,480,0, 5268,640,480:waittimer 95309 blt 0,0,640,480,0, 5270,640,480:waittimer 95345 blt 0,0,640,480,0, 5272,640,480:waittimer 95381 blt 0,0,640,480,0, 5274,640,480:waittimer 95417 blt 0,0,640,480,0, 5276,640,480:waittimer 95453 blt 0,0,640,480,0, 5278,640,480:waittimer 95490 blt 0,0,640,480,0, 5280,640,480:waittimer 95526 blt 0,0,640,480,0, 5282,640,480:waittimer 95562 blt 0,0,640,480,0, 5284,640,480:waittimer 95598 blt 0,0,640,480,0, 5286,640,480:waittimer 95634 blt 0,0,640,480,0, 5288,640,480:waittimer 95671 blt 0,0,640,480,0, 5290,640,480:waittimer 95707 blt 0,0,640,480,0, 5292,640,480:waittimer 95743 blt 0,0,640,480,0, 5294,640,480:waittimer 95779 blt 0,0,640,480,0, 5296,640,480:waittimer 95815 blt 0,0,640,480,0, 5298,640,480:waittimer 95851 blt 0,0,640,480,0, 5300,640,480:waittimer 95888 blt 0,0,640,480,0, 5302,640,480:waittimer 95924 blt 0,0,640,480,0, 5304,640,480:waittimer 95960 blt 0,0,640,480,0, 5306,640,480:waittimer 95996 blt 0,0,640,480,0, 5308,640,480:waittimer 96032 blt 0,0,640,480,0, 5310,640,480:waittimer 96069 blt 0,0,640,480,0, 5312,640,480:waittimer 96105 blt 0,0,640,480,0, 5314,640,480:waittimer 96141 blt 0,0,640,480,0, 5316,640,480:waittimer 96177 blt 0,0,640,480,0, 5318,640,480:waittimer 96213 blt 0,0,640,480,0, 5320,640,480:waittimer 96250 blt 0,0,640,480,0, 5322,640,480:waittimer 96286 blt 0,0,640,480,0, 5324,640,480:waittimer 96322 blt 0,0,640,480,0, 5326,640,480:waittimer 96358 blt 0,0,640,480,0, 5328,640,480:waittimer 96394 blt 0,0,640,480,0, 5330,640,480:waittimer 96430 blt 0,0,640,480,0, 5332,640,480:waittimer 96467 blt 0,0,640,480,0, 5334,640,480:waittimer 96503 blt 0,0,640,480,0, 5336,640,480:waittimer 96539 blt 0,0,640,480,0, 5338,640,480:waittimer 96575 blt 0,0,640,480,0, 5340,640,480:waittimer 96611 blt 0,0,640,480,0, 5342,640,480:waittimer 96648 blt 0,0,640,480,0, 5344,640,480:waittimer 96684 blt 0,0,640,480,0, 5346,640,480:waittimer 96720 blt 0,0,640,480,0, 5348,640,480:waittimer 96756 blt 0,0,640,480,0, 5350,640,480:waittimer 96792 blt 0,0,640,480,0, 5352,640,480:waittimer 96828 blt 0,0,640,480,0, 5354,640,480:waittimer 96865 blt 0,0,640,480,0, 5356,640,480:waittimer 96901 blt 0,0,640,480,0, 5358,640,480:waittimer 96937 blt 0,0,640,480,0, 5360,640,480:waittimer 96973 blt 0,0,640,480,0, 5362,640,480:waittimer 97009 blt 0,0,640,480,0, 5364,640,480:waittimer 97046 blt 0,0,640,480,0, 5366,640,480:waittimer 97082 blt 0,0,640,480,0, 5368,640,480:waittimer 97118 blt 0,0,640,480,0, 5370,640,480:waittimer 97154 blt 0,0,640,480,0, 5372,640,480:waittimer 97190 blt 0,0,640,480,0, 5374,640,480:waittimer 97226 blt 0,0,640,480,0, 5376,640,480:waittimer 97263 blt 0,0,640,480,0, 5378,640,480:waittimer 97299 blt 0,0,640,480,0, 5380,640,480:waittimer 97335 blt 0,0,640,480,0, 5382,640,480:waittimer 97371 blt 0,0,640,480,0, 5384,640,480:waittimer 97407 blt 0,0,640,480,0, 5386,640,480:waittimer 97444 blt 0,0,640,480,0, 5388,640,480:waittimer 97480 blt 0,0,640,480,0, 5390,640,480:waittimer 97516 blt 0,0,640,480,0, 5392,640,480:waittimer 97552 blt 0,0,640,480,0, 5394,640,480:waittimer 97588 blt 0,0,640,480,0, 5396,640,480:waittimer 97625 blt 0,0,640,480,0, 5398,640,480:waittimer 97661 blt 0,0,640,480,0, 5400,640,480:waittimer 97697 blt 0,0,640,480,0, 5402,640,480:waittimer 97733 blt 0,0,640,480,0, 5404,640,480:waittimer 97769 blt 0,0,640,480,0, 5406,640,480:waittimer 97805 blt 0,0,640,480,0, 5408,640,480:waittimer 97842 blt 0,0,640,480,0, 5410,640,480:waittimer 97878 blt 0,0,640,480,0, 5412,640,480:waittimer 97914 blt 0,0,640,480,0, 5414,640,480:waittimer 97950 blt 0,0,640,480,0, 5416,640,480:waittimer 97986 blt 0,0,640,480,0, 5418,640,480:waittimer 98023 blt 0,0,640,480,0, 5420,640,480:waittimer 98059 blt 0,0,640,480,0, 5422,640,480:waittimer 98095 blt 0,0,640,480,0, 5424,640,480:waittimer 98131 blt 0,0,640,480,0, 5426,640,480:waittimer 98167 blt 0,0,640,480,0, 5428,640,480:waittimer 98203 blt 0,0,640,480,0, 5430,640,480:waittimer 98240 blt 0,0,640,480,0, 5432,640,480:waittimer 98276 blt 0,0,640,480,0, 5434,640,480:waittimer 98312 blt 0,0,640,480,0, 5436,640,480:waittimer 98348 blt 0,0,640,480,0, 5438,640,480:waittimer 98384 blt 0,0,640,480,0, 5440,640,480:waittimer 98421 blt 0,0,640,480,0, 5442,640,480:waittimer 98457 blt 0,0,640,480,0, 5444,640,480:waittimer 98493 blt 0,0,640,480,0, 5446,640,480:waittimer 98529 blt 0,0,640,480,0, 5448,640,480:waittimer 98565 blt 0,0,640,480,0, 5450,640,480:waittimer 98601 blt 0,0,640,480,0, 5452,640,480:waittimer 98638 blt 0,0,640,480,0, 5454,640,480:waittimer 98674 blt 0,0,640,480,0, 5456,640,480:waittimer 98710 blt 0,0,640,480,0, 5458,640,480:waittimer 98746 blt 0,0,640,480,0, 5460,640,480:waittimer 98782 blt 0,0,640,480,0, 5462,640,480:waittimer 98819 blt 0,0,640,480,0, 5464,640,480:waittimer 98855 blt 0,0,640,480,0, 5466,640,480:waittimer 98891 blt 0,0,640,480,0, 5468,640,480:waittimer 98927 blt 0,0,640,480,0, 5470,640,480:waittimer 98963 blt 0,0,640,480,0, 5472,640,480:waittimer 99000 blt 0,0,640,480,0, 5474,640,480:waittimer 99036 blt 0,0,640,480,0, 5476,640,480:waittimer 99072 blt 0,0,640,480,0, 5478,640,480:waittimer 99108 blt 0,0,640,480,0, 5480,640,480:waittimer 99144 blt 0,0,640,480,0, 5482,640,480:waittimer 99180 blt 0,0,640,480,0, 5484,640,480:waittimer 99217 blt 0,0,640,480,0, 5486,640,480:waittimer 99253 blt 0,0,640,480,0, 5488,640,480:waittimer 99289 blt 0,0,640,480,0, 5490,640,480:waittimer 99325 blt 0,0,640,480,0, 5492,640,480:waittimer 99361 blt 0,0,640,480,0, 5494,640,480:waittimer 99398 blt 0,0,640,480,0, 5496,640,480:waittimer 99434 blt 0,0,640,480,0, 5498,640,480:waittimer 99470 blt 0,0,640,480,0, 5500,640,480:waittimer 99506 blt 0,0,640,480,0, 5502,640,480:waittimer 99542 blt 0,0,640,480,0, 5504,640,480:waittimer 99578 blt 0,0,640,480,0, 5506,640,480:waittimer 99615 blt 0,0,640,480,0, 5508,640,480:waittimer 99651 blt 0,0,640,480,0, 5510,640,480:waittimer 99687 blt 0,0,640,480,0, 5512,640,480:waittimer 99723 blt 0,0,640,480,0, 5514,640,480:waittimer 99759 blt 0,0,640,480,0, 5516,640,480:waittimer 99796 blt 0,0,640,480,0, 5518,640,480:waittimer 99832 blt 0,0,640,480,0, 5520,640,480:waittimer 99868 blt 0,0,640,480,0, 5522,640,480:waittimer 99904 blt 0,0,640,480,0, 5524,640,480:waittimer 99940 blt 0,0,640,480,0, 5526,640,480:waittimer 99976 blt 0,0,640,480,0, 5528,640,480:waittimer 100013 blt 0,0,640,480,0, 5530,640,480:waittimer 100049 blt 0,0,640,480,0, 5532,640,480:waittimer 100085 blt 0,0,640,480,0, 5534,640,480:waittimer 100121 blt 0,0,640,480,0, 5536,640,480:waittimer 100157 blt 0,0,640,480,0, 5538,640,480:waittimer 100194 blt 0,0,640,480,0, 5540,640,480:waittimer 100230 blt 0,0,640,480,0, 5542,640,480:waittimer 100266 blt 0,0,640,480,0, 5544,640,480:waittimer 100302 blt 0,0,640,480,0, 5546,640,480:waittimer 100338 blt 0,0,640,480,0, 5548,640,480:waittimer 100375 blt 0,0,640,480,0, 5550,640,480:waittimer 100411 blt 0,0,640,480,0, 5552,640,480:waittimer 100447 blt 0,0,640,480,0, 5554,640,480:waittimer 100483 blt 0,0,640,480,0, 5556,640,480:waittimer 100519 blt 0,0,640,480,0, 5558,640,480:waittimer 100555 blt 0,0,640,480,0, 5560,640,480:waittimer 100592 blt 0,0,640,480,0, 5562,640,480:waittimer 100628 blt 0,0,640,480,0, 5564,640,480:waittimer 100664 blt 0,0,640,480,0, 5566,640,480:waittimer 100700 blt 0,0,640,480,0, 5568,640,480:waittimer 100736 blt 0,0,640,480,0, 5570,640,480:waittimer 100773 blt 0,0,640,480,0, 5572,640,480:waittimer 100809 blt 0,0,640,480,0, 5574,640,480:waittimer 100845 blt 0,0,640,480,0, 5576,640,480:waittimer 100881 blt 0,0,640,480,0, 5578,640,480:waittimer 100917 blt 0,0,640,480,0, 5580,640,480:waittimer 100953 blt 0,0,640,480,0, 5582,640,480:waittimer 100990 blt 0,0,640,480,0, 5584,640,480:waittimer 101026 blt 0,0,640,480,0, 5586,640,480:waittimer 101062 blt 0,0,640,480,0, 5588,640,480:waittimer 101098 blt 0,0,640,480,0, 5590,640,480:waittimer 101134 blt 0,0,640,480,0, 5592,640,480:waittimer 101171 blt 0,0,640,480,0, 5594,640,480:waittimer 101207 blt 0,0,640,480,0, 5596,640,480:waittimer 101243 blt 0,0,640,480,0, 5598,640,480:waittimer 101279 blt 0,0,640,480,0, 5600,640,480:waittimer 101315 blt 0,0,640,480,0, 5602,640,480:waittimer 101351 blt 0,0,640,480,0, 5604,640,480:waittimer 101388 blt 0,0,640,480,0, 5606,640,480:waittimer 101424 blt 0,0,640,480,0, 5608,640,480:waittimer 101460 blt 0,0,640,480,0, 5610,640,480:waittimer 101496 blt 0,0,640,480,0, 5612,640,480:waittimer 101532 blt 0,0,640,480,0, 5614,640,480:waittimer 101569 blt 0,0,640,480,0, 5616,640,480:waittimer 101605 blt 0,0,640,480,0, 5618,640,480:waittimer 101641 blt 0,0,640,480,0, 5620,640,480:waittimer 101677 blt 0,0,640,480,0, 5622,640,480:waittimer 101713 blt 0,0,640,480,0, 5624,640,480:waittimer 101750 blt 0,0,640,480,0, 5626,640,480:waittimer 101786 blt 0,0,640,480,0, 5628,640,480:waittimer 101822 blt 0,0,640,480,0, 5630,640,480:waittimer 101858 blt 0,0,640,480,0, 5632,640,480:waittimer 101894 blt 0,0,640,480,0, 5634,640,480:waittimer 101930 blt 0,0,640,480,0, 5636,640,480:waittimer 101967 blt 0,0,640,480,0, 5638,640,480:waittimer 102003 blt 0,0,640,480,0, 5640,640,480:waittimer 102039 blt 0,0,640,480,0, 5642,640,480:waittimer 102075 blt 0,0,640,480,0, 5644,640,480:waittimer 102111 blt 0,0,640,480,0, 5646,640,480:waittimer 102148 blt 0,0,640,480,0, 5648,640,480:waittimer 102184 blt 0,0,640,480,0, 5650,640,480:waittimer 102220 blt 0,0,640,480,0, 5652,640,480:waittimer 102256 blt 0,0,640,480,0, 5654,640,480:waittimer 102292 blt 0,0,640,480,0, 5656,640,480:waittimer 102328 blt 0,0,640,480,0, 5658,640,480:waittimer 102365 blt 0,0,640,480,0, 5660,640,480:waittimer 102401 blt 0,0,640,480,0, 5662,640,480:waittimer 102437 blt 0,0,640,480,0, 5664,640,480:waittimer 102473 blt 0,0,640,480,0, 5666,640,480:waittimer 102509 blt 0,0,640,480,0, 5668,640,480:waittimer 102546 blt 0,0,640,480,0, 5670,640,480:waittimer 102582 blt 0,0,640,480,0, 5672,640,480:waittimer 102618 blt 0,0,640,480,0, 5674,640,480:waittimer 102654 blt 0,0,640,480,0, 5676,640,480:waittimer 102690 blt 0,0,640,480,0, 5678,640,480:waittimer 102726 blt 0,0,640,480,0, 5680,640,480:waittimer 102763 blt 0,0,640,480,0, 5682,640,480:waittimer 102799 blt 0,0,640,480,0, 5684,640,480:waittimer 102835 blt 0,0,640,480,0, 5686,640,480:waittimer 102871 blt 0,0,640,480,0, 5688,640,480:waittimer 102907 blt 0,0,640,480,0, 5690,640,480:waittimer 102944 blt 0,0,640,480,0, 5692,640,480:waittimer 102980 blt 0,0,640,480,0, 5694,640,480:waittimer 103016 blt 0,0,640,480,0, 5696,640,480:waittimer 103052 blt 0,0,640,480,0, 5698,640,480:waittimer 103088 blt 0,0,640,480,0, 5700,640,480:waittimer 103125 blt 0,0,640,480,0, 5702,640,480:waittimer 103161 blt 0,0,640,480,0, 5704,640,480:waittimer 103197 blt 0,0,640,480,0, 5706,640,480:waittimer 103233 blt 0,0,640,480,0, 5708,640,480:waittimer 103269 blt 0,0,640,480,0, 5710,640,480:waittimer 103305 blt 0,0,640,480,0, 5712,640,480:waittimer 103342 blt 0,0,640,480,0, 5714,640,480:waittimer 103378 blt 0,0,640,480,0, 5716,640,480:waittimer 103414 blt 0,0,640,480,0, 5718,640,480:waittimer 103450 blt 0,0,640,480,0, 5720,640,480:waittimer 103486 blt 0,0,640,480,0, 5722,640,480:waittimer 103523 blt 0,0,640,480,0, 5724,640,480:waittimer 103559 blt 0,0,640,480,0, 5726,640,480:waittimer 103595 blt 0,0,640,480,0, 5728,640,480:waittimer 103631 blt 0,0,640,480,0, 5730,640,480:waittimer 103667 blt 0,0,640,480,0, 5732,640,480:waittimer 103703 blt 0,0,640,480,0, 5734,640,480:waittimer 103740 blt 0,0,640,480,0, 5736,640,480:waittimer 103776 blt 0,0,640,480,0, 5738,640,480:waittimer 103812 blt 0,0,640,480,0, 5740,640,480:waittimer 103848 blt 0,0,640,480,0, 5742,640,480:waittimer 103884 blt 0,0,640,480,0, 5744,640,480:waittimer 103921 blt 0,0,640,480,0, 5746,640,480:waittimer 103957 blt 0,0,640,480,0, 5748,640,480:waittimer 103993 blt 0,0,640,480,0, 5750,640,480:waittimer 104029 blt 0,0,640,480,0, 5752,640,480:waittimer 104065 blt 0,0,640,480,0, 5754,640,480:waittimer 104101 blt 0,0,640,480,0, 5756,640,480:waittimer 104138 blt 0,0,640,480,0, 5758,640,480:waittimer 104174 blt 0,0,640,480,0, 5760,640,480:waittimer 104210 blt 0,0,640,480,0, 5762,640,480:waittimer 104246 blt 0,0,640,480,0, 5764,640,480:waittimer 104282 blt 0,0,640,480,0, 5766,640,480:waittimer 104319 blt 0,0,640,480,0, 5768,640,480:waittimer 104355 blt 0,0,640,480,0, 5770,640,480:waittimer 104391 blt 0,0,640,480,0, 5772,640,480:waittimer 104427 blt 0,0,640,480,0, 5774,640,480:waittimer 104463 blt 0,0,640,480,0, 5776,640,480:waittimer 104500 blt 0,0,640,480,0, 5778,640,480:waittimer 104536 blt 0,0,640,480,0, 5780,640,480:waittimer 104572 blt 0,0,640,480,0, 5782,640,480:waittimer 104608 blt 0,0,640,480,0, 5784,640,480:waittimer 104644 blt 0,0,640,480,0, 5786,640,480:waittimer 104680 blt 0,0,640,480,0, 5788,640,480:waittimer 104717 blt 0,0,640,480,0, 5790,640,480:waittimer 104753 blt 0,0,640,480,0, 5792,640,480:waittimer 104789 blt 0,0,640,480,0, 5794,640,480:waittimer 104825 blt 0,0,640,480,0, 5796,640,480:waittimer 104861 blt 0,0,640,480,0, 5798,640,480:waittimer 104898 blt 0,0,640,480,0, 5800,640,480:waittimer 104934 blt 0,0,640,480,0, 5802,640,480:waittimer 104970 blt 0,0,640,480,0, 5804,640,480:waittimer 105006 blt 0,0,640,480,0, 5806,640,480:waittimer 105042 blt 0,0,640,480,0, 5808,640,480:waittimer 105078 blt 0,0,640,480,0, 5810,640,480:waittimer 105115 blt 0,0,640,480,0, 5812,640,480:waittimer 105151 blt 0,0,640,480,0, 5814,640,480:waittimer 105187 blt 0,0,640,480,0, 5816,640,480:waittimer 105223 blt 0,0,640,480,0, 5818,640,480:waittimer 105259 blt 0,0,640,480,0, 5820,640,480:waittimer 105296 blt 0,0,640,480,0, 5822,640,480:waittimer 105332 blt 0,0,640,480,0, 5824,640,480:waittimer 105368 blt 0,0,640,480,0, 5826,640,480:waittimer 105404 blt 0,0,640,480,0, 5828,640,480:waittimer 105440 blt 0,0,640,480,0, 5830,640,480:waittimer 105476 blt 0,0,640,480,0, 5832,640,480:waittimer 105513 blt 0,0,640,480,0, 5834,640,480:waittimer 105549 blt 0,0,640,480,0, 5836,640,480:waittimer 105585 blt 0,0,640,480,0, 5838,640,480:waittimer 105621 blt 0,0,640,480,0, 5840,640,480:waittimer 105657 blt 0,0,640,480,0, 5842,640,480:waittimer 105694 blt 0,0,640,480,0, 5844,640,480:waittimer 105730 blt 0,0,640,480,0, 5846,640,480:waittimer 105766 blt 0,0,640,480,0, 5848,640,480:waittimer 105802 blt 0,0,640,480,0, 5850,640,480:waittimer 105838 blt 0,0,640,480,0, 5852,640,480:waittimer 105875 blt 0,0,640,480,0, 5854,640,480:waittimer 105911 blt 0,0,640,480,0, 5856,640,480:waittimer 105947 blt 0,0,640,480,0, 5858,640,480:waittimer 105983 blt 0,0,640,480,0, 5860,640,480:waittimer 106019 blt 0,0,640,480,0, 5862,640,480:waittimer 106055 blt 0,0,640,480,0, 5864,640,480:waittimer 106092 blt 0,0,640,480,0, 5866,640,480:waittimer 106128 blt 0,0,640,480,0, 5868,640,480:waittimer 106164 blt 0,0,640,480,0, 5870,640,480:waittimer 106200 blt 0,0,640,480,0, 5872,640,480:waittimer 106236 blt 0,0,640,480,0, 5874,640,480:waittimer 106273 blt 0,0,640,480,0, 5876,640,480:waittimer 106309 blt 0,0,640,480,0, 5878,640,480:waittimer 106345 blt 0,0,640,480,0, 5880,640,480:waittimer 106381 blt 0,0,640,480,0, 5882,640,480:waittimer 106417 blt 0,0,640,480,0, 5884,640,480:waittimer 106453 blt 0,0,640,480,0, 5886,640,480:waittimer 106490 blt 0,0,640,480,0, 5888,640,480:waittimer 106526 blt 0,0,640,480,0, 5890,640,480:waittimer 106562 blt 0,0,640,480,0, 5892,640,480:waittimer 106598 blt 0,0,640,480,0, 5894,640,480:waittimer 106634 blt 0,0,640,480,0, 5896,640,480:waittimer 106671 blt 0,0,640,480,0, 5898,640,480:waittimer 106707 blt 0,0,640,480,0, 5900,640,480:waittimer 106743 blt 0,0,640,480,0, 5902,640,480:waittimer 106779 blt 0,0,640,480,0, 5904,640,480:waittimer 106815 blt 0,0,640,480,0, 5906,640,480:waittimer 106851 blt 0,0,640,480,0, 5908,640,480:waittimer 106888 blt 0,0,640,480,0, 5910,640,480:waittimer 106924 blt 0,0,640,480,0, 5912,640,480:waittimer 106960 blt 0,0,640,480,0, 5914,640,480:waittimer 106996 blt 0,0,640,480,0, 5916,640,480:waittimer 107032 blt 0,0,640,480,0, 5918,640,480:waittimer 107069 blt 0,0,640,480,0, 5920,640,480:waittimer 107105 blt 0,0,640,480,0, 5922,640,480:waittimer 107141 blt 0,0,640,480,0, 5924,640,480:waittimer 107177 blt 0,0,640,480,0, 5926,640,480:waittimer 107213 blt 0,0,640,480,0, 5928,640,480:waittimer 107250 blt 0,0,640,480,0, 5930,640,480:waittimer 107286 blt 0,0,640,480,0, 5932,640,480:waittimer 107322 blt 0,0,640,480,0, 5934,640,480:waittimer 107358 blt 0,0,640,480,0, 5936,640,480:waittimer 107394 blt 0,0,640,480,0, 5938,640,480:waittimer 107430 blt 0,0,640,480,0, 5940,640,480:waittimer 107467 blt 0,0,640,480,0, 5942,640,480:waittimer 107503 blt 0,0,640,480,0, 5944,640,480:waittimer 107539 blt 0,0,640,480,0, 5946,640,480:waittimer 107575 blt 0,0,640,480,0, 5948,640,480:waittimer 107611 blt 0,0,640,480,0, 5950,640,480:waittimer 107648 blt 0,0,640,480,0, 5952,640,480:waittimer 107684 blt 0,0,640,480,0, 5954,640,480:waittimer 107720 blt 0,0,640,480,0, 5956,640,480:waittimer 107756 blt 0,0,640,480,0, 5958,640,480:waittimer 107792 blt 0,0,640,480,0, 5960,640,480:waittimer 107828 blt 0,0,640,480,0, 5962,640,480:waittimer 107865 blt 0,0,640,480,0, 5964,640,480:waittimer 107901 blt 0,0,640,480,0, 5966,640,480:waittimer 107937 blt 0,0,640,480,0, 5968,640,480:waittimer 107973 blt 0,0,640,480,0, 5970,640,480:waittimer 108009 blt 0,0,640,480,0, 5972,640,480:waittimer 108046 blt 0,0,640,480,0, 5974,640,480:waittimer 108082 blt 0,0,640,480,0, 5976,640,480:waittimer 108118 blt 0,0,640,480,0, 5978,640,480:waittimer 108154 blt 0,0,640,480,0, 5980,640,480:waittimer 108190 blt 0,0,640,480,0, 5982,640,480:waittimer 108226 blt 0,0,640,480,0, 5984,640,480:waittimer 108263 blt 0,0,640,480,0, 5986,640,480:waittimer 108299 blt 0,0,640,480,0, 5988,640,480:waittimer 108335 blt 0,0,640,480,0, 5990,640,480:waittimer 108371 blt 0,0,640,480,0, 5992,640,480:waittimer 108407 blt 0,0,640,480,0, 5994,640,480:waittimer 108444 blt 0,0,640,480,0, 5996,640,480:waittimer 108480 blt 0,0,640,480,0, 5998,640,480:waittimer 108516 blt 0,0,640,480,0, 6000,640,480:waittimer 108552 blt 0,0,640,480,0, 6002,640,480:waittimer 108588 blt 0,0,640,480,0, 6004,640,480:waittimer 108625 blt 0,0,640,480,0, 6006,640,480:waittimer 108661 blt 0,0,640,480,0, 6008,640,480:waittimer 108697 blt 0,0,640,480,0, 6010,640,480:waittimer 108733 blt 0,0,640,480,0, 6012,640,480:waittimer 108769 blt 0,0,640,480,0, 6014,640,480:waittimer 108805 blt 0,0,640,480,0, 6016,640,480:waittimer 108842 blt 0,0,640,480,0, 6018,640,480:waittimer 108878 blt 0,0,640,480,0, 6020,640,480:waittimer 108914 blt 0,0,640,480,0, 6022,640,480:waittimer 108950 blt 0,0,640,480,0, 6024,640,480:waittimer 108986 blt 0,0,640,480,0, 6026,640,480:waittimer 109023 blt 0,0,640,480,0, 6028,640,480:waittimer 109059 blt 0,0,640,480,0, 6030,640,480:waittimer 109095 blt 0,0,640,480,0, 6032,640,480:waittimer 109131 blt 0,0,640,480,0, 6034,640,480:waittimer 109167 blt 0,0,640,480,0, 6036,640,480:waittimer 109203 blt 0,0,640,480,0, 6038,640,480:waittimer 109240 blt 0,0,640,480,0, 6040,640,480:waittimer 109276 blt 0,0,640,480,0, 6042,640,480:waittimer 109312 blt 0,0,640,480,0, 6044,640,480:waittimer 109348 blt 0,0,640,480,0, 6046,640,480:waittimer 109384 blt 0,0,640,480,0, 6048,640,480:waittimer 109421 blt 0,0,640,480,0, 6050,640,480:waittimer 109457 blt 0,0,640,480,0, 6052,640,480:waittimer 109493 blt 0,0,640,480,0, 6054,640,480:waittimer 109529 blt 0,0,640,480,0, 6056,640,480:waittimer 109565 blt 0,0,640,480,0, 6058,640,480:waittimer 109601 blt 0,0,640,480,0, 6060,640,480:waittimer 109638 blt 0,0,640,480,0, 6062,640,480:waittimer 109674 blt 0,0,640,480,0, 6064,640,480:waittimer 109710 blt 0,0,640,480,0, 6066,640,480:waittimer 109746 blt 0,0,640,480,0, 6068,640,480:waittimer 109782 blt 0,0,640,480,0, 6070,640,480:waittimer 109819 blt 0,0,640,480,0, 6072,640,480:waittimer 109855 blt 0,0,640,480,0, 6074,640,480:waittimer 109891 blt 0,0,640,480,0, 6076,640,480:waittimer 109927 blt 0,0,640,480,0, 6078,640,480:waittimer 109963 blt 0,0,640,480,0, 6080,640,480:waittimer 110000 blt 0,0,640,480,0, 6082,640,480:waittimer 110036 blt 0,0,640,480,0, 6084,640,480:waittimer 110072 blt 0,0,640,480,0, 6086,640,480:waittimer 110108 blt 0,0,640,480,0, 6088,640,480:waittimer 110144 blt 0,0,640,480,0, 6090,640,480:waittimer 110180 blt 0,0,640,480,0, 6092,640,480:waittimer 110217 blt 0,0,640,480,0, 6094,640,480:waittimer 110253 blt 0,0,640,480,0, 6096,640,480:waittimer 110289 blt 0,0,640,480,0, 6098,640,480:waittimer 110325 blt 0,0,640,480,0, 6100,640,480:waittimer 110361 blt 0,0,640,480,0, 6102,640,480:waittimer 110398 blt 0,0,640,480,0, 6104,640,480:waittimer 110434 blt 0,0,640,480,0, 6106,640,480:waittimer 110470 blt 0,0,640,480,0, 6108,640,480:waittimer 110506 blt 0,0,640,480,0, 6110,640,480:waittimer 110542 blt 0,0,640,480,0, 6112,640,480:waittimer 110578 blt 0,0,640,480,0, 6114,640,480:waittimer 110615 blt 0,0,640,480,0, 6116,640,480:waittimer 110651 blt 0,0,640,480,0, 6118,640,480:waittimer 110687 blt 0,0,640,480,0, 6120,640,480:waittimer 110723 blt 0,0,640,480,0, 6122,640,480:waittimer 110759 blt 0,0,640,480,0, 6124,640,480:waittimer 110796 blt 0,0,640,480,0, 6126,640,480:waittimer 110832 blt 0,0,640,480,0, 6128,640,480:waittimer 110868 blt 0,0,640,480,0, 6130,640,480:waittimer 110904 blt 0,0,640,480,0, 6132,640,480:waittimer 110940 blt 0,0,640,480,0, 6134,640,480:waittimer 110976 blt 0,0,640,480,0, 6136,640,480:waittimer 111013 blt 0,0,640,480,0, 6138,640,480:waittimer 111049 blt 0,0,640,480,0, 6140,640,480:waittimer 111085 blt 0,0,640,480,0, 6142,640,480:waittimer 111121 blt 0,0,640,480,0, 6144,640,480:waittimer 111157 blt 0,0,640,480,0, 6146,640,480:waittimer 111194 blt 0,0,640,480,0, 6148,640,480:waittimer 111230 blt 0,0,640,480,0, 6150,640,480:waittimer 111266 blt 0,0,640,480,0, 6152,640,480:waittimer 111302 blt 0,0,640,480,0, 6154,640,480:waittimer 111338 blt 0,0,640,480,0, 6156,640,480:waittimer 111375 blt 0,0,640,480,0, 6158,640,480:waittimer 111411 blt 0,0,640,480,0, 6160,640,480:waittimer 111447 blt 0,0,640,480,0, 6162,640,480:waittimer 111483 blt 0,0,640,480,0, 6164,640,480:waittimer 111519 blt 0,0,640,480,0, 6166,640,480:waittimer 111555 blt 0,0,640,480,0, 6168,640,480:waittimer 111592 blt 0,0,640,480,0, 6170,640,480:waittimer 111628 blt 0,0,640,480,0, 6172,640,480:waittimer 111664 blt 0,0,640,480,0, 6174,640,480:waittimer 111700 blt 0,0,640,480,0, 6176,640,480:waittimer 111736 blt 0,0,640,480,0, 6178,640,480:waittimer 111773 blt 0,0,640,480,0, 6180,640,480:waittimer 111809 blt 0,0,640,480,0, 6182,640,480:waittimer 111845 blt 0,0,640,480,0, 6184,640,480:waittimer 111881 blt 0,0,640,480,0, 6186,640,480:waittimer 111917 blt 0,0,640,480,0, 6188,640,480:waittimer 111953 blt 0,0,640,480,0, 6190,640,480:waittimer 111990 blt 0,0,640,480,0, 6192,640,480:waittimer 112026 blt 0,0,640,480,0, 6194,640,480:waittimer 112062 blt 0,0,640,480,0, 6196,640,480:waittimer 112098 blt 0,0,640,480,0, 6198,640,480:waittimer 112134 blt 0,0,640,480,0, 6200,640,480:waittimer 112171 blt 0,0,640,480,0, 6202,640,480:waittimer 112207 blt 0,0,640,480,0, 6204,640,480:waittimer 112243 blt 0,0,640,480,0, 6206,640,480:waittimer 112279 blt 0,0,640,480,0, 6208,640,480:waittimer 112315 blt 0,0,640,480,0, 6210,640,480:waittimer 112351 blt 0,0,640,480,0, 6212,640,480:waittimer 112388 blt 0,0,640,480,0, 6214,640,480:waittimer 112424 blt 0,0,640,480,0, 6216,640,480:waittimer 112460 blt 0,0,640,480,0, 6218,640,480:waittimer 112496 blt 0,0,640,480,0, 6220,640,480:waittimer 112532 blt 0,0,640,480,0, 6222,640,480:waittimer 112569 blt 0,0,640,480,0, 6224,640,480:waittimer 112605 blt 0,0,640,480,0, 6226,640,480:waittimer 112641 blt 0,0,640,480,0, 6228,640,480:waittimer 112677 blt 0,0,640,480,0, 6230,640,480:waittimer 112713 blt 0,0,640,480,0, 6232,640,480:waittimer 112750 blt 0,0,640,480,0, 6234,640,480:waittimer 112786 blt 0,0,640,480,0, 6236,640,480:waittimer 112822 blt 0,0,640,480,0, 6238,640,480:waittimer 112858 blt 0,0,640,480,0, 6240,640,480:waittimer 112894 blt 0,0,640,480,0, 6242,640,480:waittimer 112930 blt 0,0,640,480,0, 6244,640,480:waittimer 112967 blt 0,0,640,480,0, 6246,640,480:waittimer 113003 blt 0,0,640,480,0, 6248,640,480:waittimer 113039 blt 0,0,640,480,0, 6250,640,480:waittimer 113075 blt 0,0,640,480,0, 6252,640,480:waittimer 113111 blt 0,0,640,480,0, 6254,640,480:waittimer 113148 blt 0,0,640,480,0, 6256,640,480:waittimer 113184 blt 0,0,640,480,0, 6258,640,480:waittimer 113220 blt 0,0,640,480,0, 6260,640,480:waittimer 113256 blt 0,0,640,480,0, 6262,640,480:waittimer 113292 blt 0,0,640,480,0, 6264,640,480:waittimer 113328 blt 0,0,640,480,0, 6266,640,480:waittimer 113365 blt 0,0,640,480,0, 6268,640,480:waittimer 113401 blt 0,0,640,480,0, 6270,640,480:waittimer 113437 blt 0,0,640,480,0, 6272,640,480:waittimer 113473 blt 0,0,640,480,0, 6274,640,480:waittimer 113509 blt 0,0,640,480,0, 6276,640,480:waittimer 113546 blt 0,0,640,480,0, 6278,640,480:waittimer 113582 blt 0,0,640,480,0, 6280,640,480:waittimer 113618 blt 0,0,640,480,0, 6282,640,480:waittimer 113654 blt 0,0,640,480,0, 6284,640,480:waittimer 113690 blt 0,0,640,480,0, 6286,640,480:waittimer 113726 blt 0,0,640,480,0, 6288,640,480:waittimer 113763 blt 0,0,640,480,0, 6290,640,480:waittimer 113799 blt 0,0,640,480,0, 6292,640,480:waittimer 113835 blt 0,0,640,480,0, 6294,640,480:waittimer 113871 blt 0,0,640,480,0, 6296,640,480:waittimer 113907 blt 0,0,640,480,0, 6298,640,480:waittimer 113944 blt 0,0,640,480,0, 6300,640,480:waittimer 113980 blt 0,0,640,480,0, 6302,640,480:waittimer 114016 blt 0,0,640,480,0, 6304,640,480:waittimer 114052 blt 0,0,640,480,0, 6306,640,480:waittimer 114088 blt 0,0,640,480,0, 6308,640,480:waittimer 114125 blt 0,0,640,480,0, 6310,640,480:waittimer 114161 blt 0,0,640,480,0, 6312,640,480:waittimer 114197 blt 0,0,640,480,0, 6314,640,480:waittimer 114233 blt 0,0,640,480,0, 6316,640,480:waittimer 114269 blt 0,0,640,480,0, 6318,640,480:waittimer 114305 blt 0,0,640,480,0, 6320,640,480:waittimer 114342 blt 0,0,640,480,0, 6322,640,480:waittimer 114378 blt 0,0,640,480,0, 6324,640,480:waittimer 114414 blt 0,0,640,480,0, 6326,640,480:waittimer 114450 blt 0,0,640,480,0, 6328,640,480:waittimer 114486 blt 0,0,640,480,0, 6330,640,480:waittimer 114523 blt 0,0,640,480,0, 6332,640,480:waittimer 114559 blt 0,0,640,480,0, 6334,640,480:waittimer 114595 blt 0,0,640,480,0, 6336,640,480:waittimer 114631 blt 0,0,640,480,0, 6338,640,480:waittimer 114667 blt 0,0,640,480,0, 6340,640,480:waittimer 114703 blt 0,0,640,480,0, 6342,640,480:waittimer 114740 blt 0,0,640,480,0, 6344,640,480:waittimer 114776 blt 0,0,640,480,0, 6346,640,480:waittimer 114812 blt 0,0,640,480,0, 6348,640,480:waittimer 114848 blt 0,0,640,480,0, 6350,640,480:waittimer 114884 blt 0,0,640,480,0, 6352,640,480:waittimer 114921 blt 0,0,640,480,0, 6354,640,480:waittimer 114957 blt 0,0,640,480,0, 6356,640,480:waittimer 114993 blt 0,0,640,480,0, 6358,640,480:waittimer 115029 blt 0,0,640,480,0, 6360,640,480:waittimer 115065 blt 0,0,640,480,0, 6362,640,480:waittimer 115101 blt 0,0,640,480,0, 6364,640,480:waittimer 115138 blt 0,0,640,480,0, 6366,640,480:waittimer 115174 blt 0,0,640,480,0, 6368,640,480:waittimer 115210 blt 0,0,640,480,0, 6370,640,480:waittimer 115246 blt 0,0,640,480,0, 6372,640,480:waittimer 115282 blt 0,0,640,480,0, 6374,640,480:waittimer 115319 blt 0,0,640,480,0, 6376,640,480:waittimer 115355 blt 0,0,640,480,0, 6378,640,480:waittimer 115391 blt 0,0,640,480,0, 6380,640,480:waittimer 115427 blt 0,0,640,480,0, 6382,640,480:waittimer 115463 blt 0,0,640,480,0, 6384,640,480:waittimer 115500 blt 0,0,640,480,0, 6386,640,480:waittimer 115536 blt 0,0,640,480,0, 6388,640,480:waittimer 115572 blt 0,0,640,480,0, 6390,640,480:waittimer 115608 blt 0,0,640,480,0, 6392,640,480:waittimer 115644 blt 0,0,640,480,0, 6394,640,480:waittimer 115680 blt 0,0,640,480,0, 6396,640,480:waittimer 115717 blt 0,0,640,480,0, 6398,640,480:waittimer 115753 blt 0,0,640,480,0, 6400,640,480:waittimer 115789 blt 0,0,640,480,0, 6402,640,480:waittimer 115825 blt 0,0,640,480,0, 6404,640,480:waittimer 115861 blt 0,0,640,480,0, 6406,640,480:waittimer 115898 blt 0,0,640,480,0, 6408,640,480:waittimer 115934 blt 0,0,640,480,0, 6410,640,480:waittimer 115970 blt 0,0,640,480,0, 6412,640,480:waittimer 116006 blt 0,0,640,480,0, 6414,640,480:waittimer 116042 blt 0,0,640,480,0, 6416,640,480:waittimer 116078 blt 0,0,640,480,0, 6418,640,480:waittimer 116115 blt 0,0,640,480,0, 6420,640,480:waittimer 116151 blt 0,0,640,480,0, 6422,640,480:waittimer 116187 blt 0,0,640,480,0, 6424,640,480:waittimer 116223 blt 0,0,640,480,0, 6426,640,480:waittimer 116259 blt 0,0,640,480,0, 6428,640,480:waittimer 116296 blt 0,0,640,480,0, 6430,640,480:waittimer 116332 blt 0,0,640,480,0, 6432,640,480:waittimer 116368 blt 0,0,640,480,0, 6434,640,480:waittimer 116404 blt 0,0,640,480,0, 6436,640,480:waittimer 116440 blt 0,0,640,480,0, 6438,640,480:waittimer 116476 blt 0,0,640,480,0, 6440,640,480:waittimer 116513 blt 0,0,640,480,0, 6442,640,480:waittimer 116549 blt 0,0,640,480,0, 6444,640,480:waittimer 116585 blt 0,0,640,480,0, 6446,640,480:waittimer 116621 blt 0,0,640,480,0, 6448,640,480:waittimer 116657 blt 0,0,640,480,0, 6450,640,480:waittimer 116694 blt 0,0,640,480,0, 6452,640,480:waittimer 116730 blt 0,0,640,480,0, 6454,640,480:waittimer 116766 blt 0,0,640,480,0, 6456,640,480:waittimer 116802 blt 0,0,640,480,0, 6458,640,480:waittimer 116838 blt 0,0,640,480,0, 6460,640,480:waittimer 116875 blt 0,0,640,480,0, 6462,640,480:waittimer 116911 blt 0,0,640,480,0, 6464,640,480:waittimer 116947 blt 0,0,640,480,0, 6466,640,480:waittimer 116983 blt 0,0,640,480,0, 6468,640,480:waittimer 117019 blt 0,0,640,480,0, 6470,640,480:waittimer 117055 blt 0,0,640,480,0, 6472,640,480:waittimer 117092 blt 0,0,640,480,0, 6474,640,480:waittimer 117128 blt 0,0,640,480,0, 6476,640,480:waittimer 117164 blt 0,0,640,480,0, 6478,640,480:waittimer 117200 blt 0,0,640,480,0, 6480,640,480:waittimer 117236 blt 0,0,640,480,0, 6482,640,480:waittimer 117273 blt 0,0,640,480,0, 6484,640,480:waittimer 117309 blt 0,0,640,480,0, 6486,640,480:waittimer 117345 blt 0,0,640,480,0, 6488,640,480:waittimer 117381 blt 0,0,640,480,0, 6490,640,480:waittimer 117417 blt 0,0,640,480,0, 6492,640,480:waittimer 117453 blt 0,0,640,480,0, 6494,640,480:waittimer 117490 blt 0,0,640,480,0, 6496,640,480:waittimer 117526 blt 0,0,640,480,0, 6498,640,480:waittimer 117562 blt 0,0,640,480,0, 6500,640,480:waittimer 117598 blt 0,0,640,480,0, 6502,640,480:waittimer 117634 blt 0,0,640,480,0, 6504,640,480:waittimer 117671 blt 0,0,640,480,0, 6506,640,480:waittimer 117707 blt 0,0,640,480,0, 6508,640,480:waittimer 117743 blt 0,0,640,480,0, 6510,640,480:waittimer 117779 blt 0,0,640,480,0, 6512,640,480:waittimer 117815 blt 0,0,640,480,0, 6514,640,480:waittimer 117851 blt 0,0,640,480,0, 6516,640,480:waittimer 117888 blt 0,0,640,480,0, 6518,640,480:waittimer 117924 blt 0,0,640,480,0, 6520,640,480:waittimer 117960 blt 0,0,640,480,0, 6522,640,480:waittimer 117996 blt 0,0,640,480,0, 6524,640,480:waittimer 118032 blt 0,0,640,480,0, 6526,640,480:waittimer 118069 blt 0,0,640,480,0, 6528,640,480:waittimer 118105 blt 0,0,640,480,0, 6530,640,480:waittimer 118141 blt 0,0,640,480,0, 6532,640,480:waittimer 118177 blt 0,0,640,480,0, 6534,640,480:waittimer 118213 blt 0,0,640,480,0, 6536,640,480:waittimer 118250 blt 0,0,640,480,0, 6538,640,480:waittimer 118286 blt 0,0,640,480,0, 6540,640,480:waittimer 118322 blt 0,0,640,480,0, 6542,640,480:waittimer 118358 blt 0,0,640,480,0, 6544,640,480:waittimer 118394 blt 0,0,640,480,0, 6546,640,480:waittimer 118430 blt 0,0,640,480,0, 6548,640,480:waittimer 118467 blt 0,0,640,480,0, 6550,640,480:waittimer 118503 blt 0,0,640,480,0, 6552,640,480:waittimer 118539 blt 0,0,640,480,0, 6554,640,480:waittimer 118575 blt 0,0,640,480,0, 6556,640,480:waittimer 118611 blt 0,0,640,480,0, 6558,640,480:waittimer 118648 blt 0,0,640,480,0, 6560,640,480:waittimer 118684 blt 0,0,640,480,0, 6562,640,480:waittimer 118720 blt 0,0,640,480,0, 6564,640,480:waittimer 118756 blt 0,0,640,480,0, 6566,640,480:waittimer 118792 blt 0,0,640,480,0, 6568,640,480:waittimer 118828 blt 0,0,640,480,0, 6570,640,480:waittimer 118865 blt 0,0,640,480,0, 6572,640,480:waittimer 118901 blt 0,0,640,480,0, 6574,640,480:waittimer 118937 blt 0,0,640,480,0, 6576,640,480:waittimer 118973 blt 0,0,640,480,0, 6578,640,480:waittimer 119009 blt 0,0,640,480,0, 6580,640,480:waittimer 119046 blt 0,0,640,480,0, 6582,640,480:waittimer 119082 blt 0,0,640,480,0, 6584,640,480:waittimer 119118 blt 0,0,640,480,0, 6586,640,480:waittimer 119154 blt 0,0,640,480,0, 6588,640,480:waittimer 119190 blt 0,0,640,480,0, 6590,640,480:waittimer 119226 blt 0,0,640,480,0, 6592,640,480:waittimer 119263 blt 0,0,640,480,0, 6594,640,480:waittimer 119299 blt 0,0,640,480,0, 6596,640,480:waittimer 119335 blt 0,0,640,480,0, 6598,640,480:waittimer 119371 blt 0,0,640,480,0, 6600,640,480:waittimer 119407 blt 0,0,640,480,0, 6602,640,480:waittimer 119444 blt 0,0,640,480,0, 6604,640,480:waittimer 119480 blt 0,0,640,480,0, 6606,640,480:waittimer 119516 blt 0,0,640,480,0, 6608,640,480:waittimer 119552 blt 0,0,640,480,0, 6610,640,480:waittimer 119588 blt 0,0,640,480,0, 6612,640,480:waittimer 119625 blt 0,0,640,480,0, 6614,640,480:waittimer 119661 blt 0,0,640,480,0, 6616,640,480:waittimer 119697 blt 0,0,640,480,0, 6618,640,480:waittimer 119733 blt 0,0,640,480,0, 6620,640,480:waittimer 119769 blt 0,0,640,480,0, 6622,640,480:waittimer 119805 blt 0,0,640,480,0, 6624,640,480:waittimer 119842 blt 0,0,640,480,0, 6626,640,480:waittimer 119878 blt 0,0,640,480,0, 6628,640,480:waittimer 119914 blt 0,0,640,480,0, 6630,640,480:waittimer 119950 blt 0,0,640,480,0, 6632,640,480:waittimer 119986 blt 0,0,640,480,0, 6634,640,480:waittimer 120023 blt 0,0,640,480,0, 6636,640,480:waittimer 120059 blt 0,0,640,480,0, 6638,640,480:waittimer 120095 blt 0,0,640,480,0, 6640,640,480:waittimer 120131 blt 0,0,640,480,0, 6642,640,480:waittimer 120167 blt 0,0,640,480,0, 6644,640,480:waittimer 120203 blt 0,0,640,480,0, 6646,640,480:waittimer 120240 blt 0,0,640,480,0, 6648,640,480:waittimer 120276 blt 0,0,640,480,0, 6650,640,480:waittimer 120312 blt 0,0,640,480,0, 6652,640,480:waittimer 120348 blt 0,0,640,480,0, 6654,640,480:waittimer 120384 blt 0,0,640,480,0, 6656,640,480:waittimer 120421 blt 0,0,640,480,0, 6658,640,480:waittimer 120457 blt 0,0,640,480,0, 6660,640,480:waittimer 120493 blt 0,0,640,480,0, 6662,640,480:waittimer 120529 blt 0,0,640,480,0, 6664,640,480:waittimer 120565 blt 0,0,640,480,0, 6666,640,480:waittimer 120601 blt 0,0,640,480,0, 6668,640,480:waittimer 120638 blt 0,0,640,480,0, 6670,640,480:waittimer 120674 blt 0,0,640,480,0, 6672,640,480:waittimer 120710 blt 0,0,640,480,0, 6674,640,480:waittimer 120746 blt 0,0,640,480,0, 6676,640,480:waittimer 120782 blt 0,0,640,480,0, 6678,640,480:waittimer 120819 blt 0,0,640,480,0, 6680,640,480:waittimer 120855 blt 0,0,640,480,0, 6682,640,480:waittimer 120891 blt 0,0,640,480,0, 6684,640,480:waittimer 120927 blt 0,0,640,480,0, 6686,640,480:waittimer 120963 blt 0,0,640,480,0, 6688,640,480:waittimer 121000 blt 0,0,640,480,0, 6690,640,480:waittimer 121036 blt 0,0,640,480,0, 6692,640,480:waittimer 121072 blt 0,0,640,480,0, 6694,640,480:waittimer 121108 blt 0,0,640,480,0, 6696,640,480:waittimer 121144 blt 0,0,640,480,0, 6698,640,480:waittimer 121180 blt 0,0,640,480,0, 6700,640,480:waittimer 121217 blt 0,0,640,480,0, 6702,640,480:waittimer 121253 blt 0,0,640,480,0, 6704,640,480:waittimer 121289 blt 0,0,640,480,0, 6706,640,480:waittimer 121325 blt 0,0,640,480,0, 6708,640,480:waittimer 121361 blt 0,0,640,480,0, 6710,640,480:waittimer 121398 blt 0,0,640,480,0, 6712,640,480:waittimer 121434 blt 0,0,640,480,0, 6714,640,480:waittimer 121470 blt 0,0,640,480,0, 6716,640,480:waittimer 121506 blt 0,0,640,480,0, 6718,640,480:waittimer 121542 blt 0,0,640,480,0, 6720,640,480:waittimer 121578 blt 0,0,640,480,0, 6722,640,480:waittimer 121615 blt 0,0,640,480,0, 6724,640,480:waittimer 121651 blt 0,0,640,480,0, 6726,640,480:waittimer 121687 blt 0,0,640,480,0, 6728,640,480:waittimer 121723 blt 0,0,640,480,0, 6730,640,480:waittimer 121759 blt 0,0,640,480,0, 6732,640,480:waittimer 121796 blt 0,0,640,480,0, 6734,640,480:waittimer 121832 blt 0,0,640,480,0, 6736,640,480:waittimer 121868 blt 0,0,640,480,0, 6738,640,480:waittimer 121904 blt 0,0,640,480,0, 6740,640,480:waittimer 121940 blt 0,0,640,480,0, 6742,640,480:waittimer 121976 blt 0,0,640,480,0, 6744,640,480:waittimer 122013 blt 0,0,640,480,0, 6746,640,480:waittimer 122049 blt 0,0,640,480,0, 6748,640,480:waittimer 122085 blt 0,0,640,480,0, 6750,640,480:waittimer 122121 blt 0,0,640,480,0, 6752,640,480:waittimer 122157 blt 0,0,640,480,0, 6754,640,480:waittimer 122194 blt 0,0,640,480,0, 6756,640,480:waittimer 122230 blt 0,0,640,480,0, 6758,640,480:waittimer 122266 blt 0,0,640,480,0, 6760,640,480:waittimer 122302 blt 0,0,640,480,0, 6762,640,480:waittimer 122338 blt 0,0,640,480,0, 6764,640,480:waittimer 122375 blt 0,0,640,480,0, 6766,640,480:waittimer 122411 blt 0,0,640,480,0, 6768,640,480:waittimer 122447 blt 0,0,640,480,0, 6770,640,480:waittimer 122483 blt 0,0,640,480,0, 6772,640,480:waittimer 122519 blt 0,0,640,480,0, 6774,640,480:waittimer 122555 blt 0,0,640,480,0, 6776,640,480:waittimer 122592 blt 0,0,640,480,0, 6778,640,480:waittimer 122628 blt 0,0,640,480,0, 6780,640,480:waittimer 122664 blt 0,0,640,480,0, 6782,640,480:waittimer 122700 blt 0,0,640,480,0, 6784,640,480:waittimer 122736 blt 0,0,640,480,0, 6786,640,480:waittimer 122773 blt 0,0,640,480,0, 6788,640,480:waittimer 122809 blt 0,0,640,480,0, 6790,640,480:waittimer 122845 blt 0,0,640,480,0, 6792,640,480:waittimer 122881 blt 0,0,640,480,0, 6794,640,480:waittimer 122917 blt 0,0,640,480,0, 6796,640,480:waittimer 122953 blt 0,0,640,480,0, 6798,640,480:waittimer 122990 blt 0,0,640,480,0, 6800,640,480:waittimer 123026 blt 0,0,640,480,0, 6802,640,480:waittimer 123062 blt 0,0,640,480,0, 6804,640,480:waittimer 123098 blt 0,0,640,480,0, 6806,640,480:waittimer 123134 blt 0,0,640,480,0, 6808,640,480:waittimer 123171 blt 0,0,640,480,0, 6810,640,480:waittimer 123207 blt 0,0,640,480,0, 6812,640,480:waittimer 123243 blt 0,0,640,480,0, 6814,640,480:waittimer 123279 blt 0,0,640,480,0, 6816,640,480:waittimer 123315 blt 0,0,640,480,0, 6818,640,480:waittimer 123351 blt 0,0,640,480,0, 6820,640,480:waittimer 123388 blt 0,0,640,480,0, 6822,640,480:waittimer 123424 blt 0,0,640,480,0, 6824,640,480:waittimer 123460 blt 0,0,640,480,0, 6826,640,480:waittimer 123496 blt 0,0,640,480,0, 6828,640,480:waittimer 123532 blt 0,0,640,480,0, 6830,640,480:waittimer 123569 blt 0,0,640,480,0, 6832,640,480:waittimer 123605 blt 0,0,640,480,0, 6834,640,480:waittimer 123641 blt 0,0,640,480,0, 6836,640,480:waittimer 123677 blt 0,0,640,480,0, 6838,640,480:waittimer 123713 blt 0,0,640,480,0, 6840,640,480:waittimer 123750 blt 0,0,640,480,0, 6842,640,480:waittimer 123786 blt 0,0,640,480,0, 6844,640,480:waittimer 123822 blt 0,0,640,480,0, 6846,640,480:waittimer 123858 blt 0,0,640,480,0, 6848,640,480:waittimer 123894 blt 0,0,640,480,0, 6850,640,480:waittimer 123930 blt 0,0,640,480,0, 6852,640,480:waittimer 123967 blt 0,0,640,480,0, 6854,640,480:waittimer 124003 blt 0,0,640,480,0, 6856,640,480:waittimer 124039 blt 0,0,640,480,0, 6858,640,480:waittimer 124075 blt 0,0,640,480,0, 6860,640,480:waittimer 124111 blt 0,0,640,480,0, 6862,640,480:waittimer 124148 blt 0,0,640,480,0, 6864,640,480:waittimer 124184 blt 0,0,640,480,0, 6866,640,480:waittimer 124220 blt 0,0,640,480,0, 6868,640,480:waittimer 124256 blt 0,0,640,480,0, 6870,640,480:waittimer 124292 blt 0,0,640,480,0, 6872,640,480:waittimer 124328 blt 0,0,640,480,0, 6874,640,480:waittimer 124365 blt 0,0,640,480,0, 6876,640,480:waittimer 124401 blt 0,0,640,480,0, 6878,640,480:waittimer 124437 blt 0,0,640,480,0, 6880,640,480:waittimer 124473 blt 0,0,640,480,0, 6882,640,480:waittimer 124509 blt 0,0,640,480,0, 6884,640,480:waittimer 124546 blt 0,0,640,480,0, 6886,640,480:waittimer 124582 blt 0,0,640,480,0, 6888,640,480:waittimer 124618 blt 0,0,640,480,0, 6890,640,480:waittimer 124654 blt 0,0,640,480,0, 6892,640,480:waittimer 124690 blt 0,0,640,480,0, 6894,640,480:waittimer 124726 blt 0,0,640,480,0, 6896,640,480:waittimer 124763 blt 0,0,640,480,0, 6898,640,480:waittimer 124799 blt 0,0,640,480,0, 6900,640,480:waittimer 124835 blt 0,0,640,480,0, 6902,640,480:waittimer 124871 blt 0,0,640,480,0, 6904,640,480:waittimer 124907 blt 0,0,640,480,0, 6906,640,480:waittimer 124944 blt 0,0,640,480,0, 6908,640,480:waittimer 124980 blt 0,0,640,480,0, 6910,640,480:waittimer 125016 blt 0,0,640,480,0, 6912,640,480:waittimer 125052 blt 0,0,640,480,0, 6914,640,480:waittimer 125088 blt 0,0,640,480,0, 6916,640,480:waittimer 125125 blt 0,0,640,480,0, 6918,640,480:waittimer 125161 blt 0,0,640,480,0, 6920,640,480:waittimer 125197 blt 0,0,640,480,0, 6922,640,480:waittimer 125233 blt 0,0,640,480,0, 6924,640,480:waittimer 125269 blt 0,0,640,480,0, 6926,640,480:waittimer 125305 blt 0,0,640,480,0, 6928,640,480:waittimer 125342 blt 0,0,640,480,0, 6930,640,480:waittimer 125378 blt 0,0,640,480,0, 6932,640,480:waittimer 125414 blt 0,0,640,480,0, 6934,640,480:waittimer 125450 blt 0,0,640,480,0, 6936,640,480:waittimer 125486 blt 0,0,640,480,0, 6938,640,480:waittimer 125523 blt 0,0,640,480,0, 6940,640,480:waittimer 125559 blt 0,0,640,480,0, 6942,640,480:waittimer 125595 blt 0,0,640,480,0, 6944,640,480:waittimer 125631 blt 0,0,640,480,0, 6946,640,480:waittimer 125667 blt 0,0,640,480,0, 6948,640,480:waittimer 125703 blt 0,0,640,480,0, 6950,640,480:waittimer 125740 blt 0,0,640,480,0, 6952,640,480:waittimer 125776 blt 0,0,640,480,0, 6954,640,480:waittimer 125812 blt 0,0,640,480,0, 6956,640,480:waittimer 125848 blt 0,0,640,480,0, 6958,640,480:waittimer 125884 blt 0,0,640,480,0, 6960,640,480:waittimer 125921 blt 0,0,640,480,0, 6962,640,480:waittimer 125957 blt 0,0,640,480,0, 6964,640,480:waittimer 125993 blt 0,0,640,480,0, 6966,640,480:waittimer 126029 blt 0,0,640,480,0, 6968,640,480:waittimer 126065 blt 0,0,640,480,0, 6970,640,480:waittimer 126101 blt 0,0,640,480,0, 6972,640,480:waittimer 126138 blt 0,0,640,480,0, 6974,640,480:waittimer 126174 blt 0,0,640,480,0, 6976,640,480:waittimer 126210 blt 0,0,640,480,0, 6978,640,480:waittimer 126246 blt 0,0,640,480,0, 6980,640,480:waittimer 126282 blt 0,0,640,480,0, 6982,640,480:waittimer 126319 blt 0,0,640,480,0, 6984,640,480:waittimer 126355 blt 0,0,640,480,0, 6986,640,480:waittimer 126391 blt 0,0,640,480,0, 6988,640,480:waittimer 126427 blt 0,0,640,480,0, 6990,640,480:waittimer 126463 blt 0,0,640,480,0, 6992,640,480:waittimer 126500 blt 0,0,640,480,0, 6994,640,480:waittimer 126536 blt 0,0,640,480,0, 6996,640,480:waittimer 126572 blt 0,0,640,480,0, 6998,640,480:waittimer 126608 blt 0,0,640,480,0, 7000,640,480:waittimer 126644 blt 0,0,640,480,0, 7002,640,480:waittimer 126680 blt 0,0,640,480,0, 7004,640,480:waittimer 126717 blt 0,0,640,480,0, 7006,640,480:waittimer 126753 blt 0,0,640,480,0, 7008,640,480:waittimer 126789 blt 0,0,640,480,0, 7010,640,480:waittimer 126825 blt 0,0,640,480,0, 7012,640,480:waittimer 126861 blt 0,0,640,480,0, 7014,640,480:waittimer 126898 blt 0,0,640,480,0, 7016,640,480:waittimer 126934 blt 0,0,640,480,0, 7018,640,480:waittimer 126970 blt 0,0,640,480,0, 7020,640,480:waittimer 127006 blt 0,0,640,480,0, 7022,640,480:waittimer 127042 blt 0,0,640,480,0, 7024,640,480:waittimer 127078 blt 0,0,640,480,0, 7026,640,480:waittimer 127115 blt 0,0,640,480,0, 7028,640,480:waittimer 127151 blt 0,0,640,480,0, 7030,640,480:waittimer 127187 blt 0,0,640,480,0, 7032,640,480:waittimer 127223 blt 0,0,640,480,0, 7034,640,480:waittimer 127259 blt 0,0,640,480,0, 7036,640,480:waittimer 127296 blt 0,0,640,480,0, 7038,640,480:waittimer 127332 blt 0,0,640,480,0, 7040,640,480:waittimer 127368 blt 0,0,640,480,0, 7042,640,480:waittimer 127404 blt 0,0,640,480,0, 7044,640,480:waittimer 127440 blt 0,0,640,480,0, 7046,640,480:waittimer 127476 blt 0,0,640,480,0, 7048,640,480:waittimer 127513 blt 0,0,640,480,0, 7050,640,480:waittimer 127549 blt 0,0,640,480,0, 7052,640,480:waittimer 127585 blt 0,0,640,480,0, 7054,640,480:waittimer 127621 blt 0,0,640,480,0, 7056,640,480:waittimer 127657 blt 0,0,640,480,0, 7058,640,480:waittimer 127694 blt 0,0,640,480,0, 7060,640,480:waittimer 127730 blt 0,0,640,480,0, 7062,640,480:waittimer 127766 blt 0,0,640,480,0, 7064,640,480:waittimer 127802 blt 0,0,640,480,0, 7066,640,480:waittimer 127838 blt 0,0,640,480,0, 7068,640,480:waittimer 127875 blt 0,0,640,480,0, 7070,640,480:waittimer 127911 blt 0,0,640,480,0, 7072,640,480:waittimer 127947 blt 0,0,640,480,0, 7074,640,480:waittimer 127983 blt 0,0,640,480,0, 7076,640,480:waittimer 128019 blt 0,0,640,480,0, 7078,640,480:waittimer 128055 blt 0,0,640,480,0, 7080,640,480:waittimer 128092 blt 0,0,640,480,0, 7082,640,480:waittimer 128128 blt 0,0,640,480,0, 7084,640,480:waittimer 128164 blt 0,0,640,480,0, 7086,640,480:waittimer 128200 blt 0,0,640,480,0, 7088,640,480:waittimer 128236 blt 0,0,640,480,0, 7090,640,480:waittimer 128273 blt 0,0,640,480,0, 7092,640,480:waittimer 128309 blt 0,0,640,480,0, 7094,640,480:waittimer 128345 blt 0,0,640,480,0, 7096,640,480:waittimer 128381 blt 0,0,640,480,0, 7098,640,480:waittimer 128417 blt 0,0,640,480,0, 7100,640,480:waittimer 128453 blt 0,0,640,480,0, 7102,640,480:waittimer 128490 blt 0,0,640,480,0, 7104,640,480:waittimer 128526 blt 0,0,640,480,0, 7106,640,480:waittimer 128562 blt 0,0,640,480,0, 7108,640,480:waittimer 128598 blt 0,0,640,480,0, 7110,640,480:waittimer 128634 blt 0,0,640,480,0, 7112,640,480:waittimer 128671 blt 0,0,640,480,0, 7114,640,480:waittimer 128707 blt 0,0,640,480,0, 7116,640,480:waittimer 128743 blt 0,0,640,480,0, 7118,640,480:waittimer 128779 blt 0,0,640,480,0, 7120,640,480:waittimer 128815 blt 0,0,640,480,0, 7122,640,480:waittimer 128851 blt 0,0,640,480,0, 7124,640,480:waittimer 128888 blt 0,0,640,480,0, 7126,640,480:waittimer 128924 blt 0,0,640,480,0, 7128,640,480:waittimer 128960 blt 0,0,640,480,0, 7130,640,480:waittimer 128996 blt 0,0,640,480,0, 7132,640,480:waittimer 129032 blt 0,0,640,480,0, 7134,640,480:waittimer 129069 blt 0,0,640,480,0, 7136,640,480:waittimer 129105 blt 0,0,640,480,0, 7138,640,480:waittimer 129141 blt 0,0,640,480,0, 7140,640,480:waittimer 129177 blt 0,0,640,480,0, 7142,640,480:waittimer 129213 blt 0,0,640,480,0, 7144,640,480:waittimer 129250 blt 0,0,640,480,0, 7146,640,480:waittimer 129286 blt 0,0,640,480,0, 7148,640,480:waittimer 129322 blt 0,0,640,480,0, 7150,640,480:waittimer 129358 blt 0,0,640,480,0, 7152,640,480:waittimer 129394 blt 0,0,640,480,0, 7154,640,480:waittimer 129430 blt 0,0,640,480,0, 7156,640,480:waittimer 129467 blt 0,0,640,480,0, 7158,640,480:waittimer 129503 blt 0,0,640,480,0, 7160,640,480:waittimer 129539 blt 0,0,640,480,0, 7162,640,480:waittimer 129575 blt 0,0,640,480,0, 7164,640,480:waittimer 129611 blt 0,0,640,480,0, 7166,640,480:waittimer 129648 blt 0,0,640,480,0, 7168,640,480:waittimer 129684 blt 0,0,640,480,0, 7170,640,480:waittimer 129720 blt 0,0,640,480,0, 7172,640,480:waittimer 129756 blt 0,0,640,480,0, 7174,640,480:waittimer 129792 blt 0,0,640,480,0, 7176,640,480:waittimer 129828 blt 0,0,640,480,0, 7178,640,480:waittimer 129865 blt 0,0,640,480,0, 7180,640,480:waittimer 129901 blt 0,0,640,480,0, 7182,640,480:waittimer 129937 blt 0,0,640,480,0, 7184,640,480:waittimer 129973 blt 0,0,640,480,0, 7186,640,480:waittimer 130009 blt 0,0,640,480,0, 7188,640,480:waittimer 130046 blt 0,0,640,480,0, 7190,640,480:waittimer 130082 blt 0,0,640,480,0, 7192,640,480:waittimer 130118 blt 0,0,640,480,0, 7194,640,480:waittimer 130154 blt 0,0,640,480,0, 7196,640,480:waittimer 130190 blt 0,0,640,480,0, 7198,640,480:waittimer 130226 blt 0,0,640,480,0, 7200,640,480:waittimer 130263 blt 0,0,640,480,0, 7202,640,480:waittimer 130299 blt 0,0,640,480,0, 7204,640,480:waittimer 130335 blt 0,0,640,480,0, 7206,640,480:waittimer 130371 blt 0,0,640,480,0, 7208,640,480:waittimer 130407 blt 0,0,640,480,0, 7210,640,480:waittimer 130444 blt 0,0,640,480,0, 7212,640,480:waittimer 130480 blt 0,0,640,480,0, 7214,640,480:waittimer 130516 blt 0,0,640,480,0, 7216,640,480:waittimer 130552 blt 0,0,640,480,0, 7218,640,480:waittimer 130588 blt 0,0,640,480,0, 7220,640,480:waittimer 130625 blt 0,0,640,480,0, 7222,640,480:waittimer 130661 blt 0,0,640,480,0, 7224,640,480:waittimer 130697 blt 0,0,640,480,0, 7226,640,480:waittimer 130733 blt 0,0,640,480,0, 7228,640,480:waittimer 130769 blt 0,0,640,480,0, 7230,640,480:waittimer 130805 blt 0,0,640,480,0, 7232,640,480:waittimer 130842 blt 0,0,640,480,0, 7234,640,480:waittimer 130878 blt 0,0,640,480,0, 7236,640,480:waittimer 130914 blt 0,0,640,480,0, 7238,640,480:waittimer 130950 blt 0,0,640,480,0, 7240,640,480:waittimer 130986 blt 0,0,640,480,0, 7242,640,480:waittimer 131023 blt 0,0,640,480,0, 7244,640,480:waittimer 131059 blt 0,0,640,480,0, 7246,640,480:waittimer 131095 blt 0,0,640,480,0, 7248,640,480:waittimer 131131 blt 0,0,640,480,0, 7250,640,480:waittimer 131167 blt 0,0,640,480,0, 7252,640,480:waittimer 131203 blt 0,0,640,480,0, 7254,640,480:waittimer 131240 blt 0,0,640,480,0, 7256,640,480:waittimer 131276 blt 0,0,640,480,0, 7258,640,480:waittimer 131312 blt 0,0,640,480,0, 7260,640,480:waittimer 131348 blt 0,0,640,480,0, 7262,640,480:waittimer 131384 blt 0,0,640,480,0, 7264,640,480:waittimer 131421 blt 0,0,640,480,0, 7266,640,480:waittimer 131457 blt 0,0,640,480,0, 7268,640,480:waittimer 131493 blt 0,0,640,480,0, 7270,640,480:waittimer 131529 blt 0,0,640,480,0, 7272,640,480:waittimer 131565 blt 0,0,640,480,0, 7274,640,480:waittimer 131601 blt 0,0,640,480,0, 7276,640,480:waittimer 131638 blt 0,0,640,480,0, 7278,640,480:waittimer 131674 blt 0,0,640,480,0, 7280,640,480:waittimer 131710 blt 0,0,640,480,0, 7282,640,480:waittimer 131746 blt 0,0,640,480,0, 7284,640,480:waittimer 131782 blt 0,0,640,480,0, 7286,640,480:waittimer 131819 blt 0,0,640,480,0, 7288,640,480:waittimer 131855 blt 0,0,640,480,0, 7290,640,480:waittimer 131891 blt 0,0,640,480,0, 7292,640,480:waittimer 131927 blt 0,0,640,480,0, 7294,640,480:waittimer 131963 blt 0,0,640,480,0, 7296,640,480:waittimer 132000 blt 0,0,640,480,0, 7298,640,480:waittimer 132036 blt 0,0,640,480,0, 7300,640,480:waittimer 132072 blt 0,0,640,480,0, 7302,640,480:waittimer 132108 blt 0,0,640,480,0, 7304,640,480:waittimer 132144 blt 0,0,640,480,0, 7306,640,480:waittimer 132180 blt 0,0,640,480,0, 7308,640,480:waittimer 132217 blt 0,0,640,480,0, 7310,640,480:waittimer 132253 blt 0,0,640,480,0, 7312,640,480:waittimer 132289 blt 0,0,640,480,0, 7314,640,480:waittimer 132325 blt 0,0,640,480,0, 7316,640,480:waittimer 132361 blt 0,0,640,480,0, 7318,640,480:waittimer 132398 blt 0,0,640,480,0, 7320,640,480:waittimer 132434 blt 0,0,640,480,0, 7322,640,480:waittimer 132470 blt 0,0,640,480,0, 7324,640,480:waittimer 132506 blt 0,0,640,480,0, 7326,640,480:waittimer 132542 blt 0,0,640,480,0, 7328,640,480:waittimer 132578 blt 0,0,640,480,0, 7330,640,480:waittimer 132615 blt 0,0,640,480,0, 7332,640,480:waittimer 132651 blt 0,0,640,480,0, 7334,640,480:waittimer 132687 blt 0,0,640,480,0, 7336,640,480:waittimer 132723 blt 0,0,640,480,0, 7338,640,480:waittimer 132759 blt 0,0,640,480,0, 7340,640,480:waittimer 132796 blt 0,0,640,480,0, 7342,640,480:waittimer 132832 blt 0,0,640,480,0, 7344,640,480:waittimer 132868 blt 0,0,640,480,0, 7346,640,480:waittimer 132904 blt 0,0,640,480,0, 7348,640,480:waittimer 132940 blt 0,0,640,480,0, 7350,640,480:waittimer 132976 blt 0,0,640,480,0, 7352,640,480:waittimer 133013 blt 0,0,640,480,0, 7354,640,480:waittimer 133049 blt 0,0,640,480,0, 7356,640,480:waittimer 133085 blt 0,0,640,480,0, 7358,640,480:waittimer 133121 blt 0,0,640,480,0, 7360,640,480:waittimer 133157 blt 0,0,640,480,0, 7362,640,480:waittimer 133194 blt 0,0,640,480,0, 7364,640,480:waittimer 133230 blt 0,0,640,480,0, 7366,640,480:waittimer 133266 blt 0,0,640,480,0, 7368,640,480:waittimer 133302 blt 0,0,640,480,0, 7370,640,480:waittimer 133338 blt 0,0,640,480,0, 7372,640,480:waittimer 133375 blt 0,0,640,480,0, 7374,640,480:waittimer 133411 blt 0,0,640,480,0, 7376,640,480:waittimer 133447 blt 0,0,640,480,0, 7378,640,480:waittimer 133483 blt 0,0,640,480,0, 7380,640,480:waittimer 133519 blt 0,0,640,480,0, 7382,640,480:waittimer 133555 blt 0,0,640,480,0, 7384,640,480:waittimer 133592 blt 0,0,640,480,0, 7386,640,480:waittimer 133628 blt 0,0,640,480,0, 7388,640,480:waittimer 133664 blt 0,0,640,480,0, 7390,640,480:waittimer 133700 blt 0,0,640,480,0, 7392,640,480:waittimer 133736 blt 0,0,640,480,0, 7394,640,480:waittimer 133773 blt 0,0,640,480,0, 7396,640,480:waittimer 133809 blt 0,0,640,480,0, 7398,640,480:waittimer 133845 blt 0,0,640,480,0, 7400,640,480:waittimer 133881 blt 0,0,640,480,0, 7402,640,480:waittimer 133917 blt 0,0,640,480,0, 7404,640,480:waittimer 133953 blt 0,0,640,480,0, 7406,640,480:waittimer 133990 blt 0,0,640,480,0, 7408,640,480:waittimer 134026 blt 0,0,640,480,0, 7410,640,480:waittimer 134062 blt 0,0,640,480,0, 7412,640,480:waittimer 134098 blt 0,0,640,480,0, 7414,640,480:waittimer 134134 blt 0,0,640,480,0, 7416,640,480:waittimer 134171 blt 0,0,640,480,0, 7418,640,480:waittimer 134207 blt 0,0,640,480,0, 7420,640,480:waittimer 134243 blt 0,0,640,480,0, 7422,640,480:waittimer 134279 blt 0,0,640,480,0, 7424,640,480:waittimer 134315 blt 0,0,640,480,0, 7426,640,480:waittimer 134351 blt 0,0,640,480,0, 7428,640,480:waittimer 134388 blt 0,0,640,480,0, 7430,640,480:waittimer 134424 blt 0,0,640,480,0, 7432,640,480:waittimer 134460 blt 0,0,640,480,0, 7434,640,480:waittimer 134496 blt 0,0,640,480,0, 7436,640,480:waittimer 134532 blt 0,0,640,480,0, 7438,640,480:waittimer 134569 blt 0,0,640,480,0, 7440,640,480:waittimer 134605 blt 0,0,640,480,0, 7442,640,480:waittimer 134641 blt 0,0,640,480,0, 7444,640,480:waittimer 134677 blt 0,0,640,480,0, 7446,640,480:waittimer 134713 blt 0,0,640,480,0, 7448,640,480:waittimer 134750 blt 0,0,640,480,0, 7450,640,480:waittimer 134786 blt 0,0,640,480,0, 7452,640,480:waittimer 134822 blt 0,0,640,480,0, 7454,640,480:waittimer 134858 blt 0,0,640,480,0, 7456,640,480:waittimer 134894 blt 0,0,640,480,0, 7458,640,480:waittimer 134930 blt 0,0,640,480,0, 7460,640,480:waittimer 134967 blt 0,0,640,480,0, 7462,640,480:waittimer 135003 blt 0,0,640,480,0, 7464,640,480:waittimer 135039 blt 0,0,640,480,0, 7466,640,480:waittimer 135075 blt 0,0,640,480,0, 7468,640,480:waittimer 135111 blt 0,0,640,480,0, 7470,640,480:waittimer 135148 blt 0,0,640,480,0, 7472,640,480:waittimer 135184 blt 0,0,640,480,0, 7474,640,480:waittimer 135220 blt 0,0,640,480,0, 7476,640,480:waittimer 135256 blt 0,0,640,480,0, 7478,640,480:waittimer 135292 blt 0,0,640,480,0, 7480,640,480:waittimer 135328 blt 0,0,640,480,0, 7482,640,480:waittimer 135365 blt 0,0,640,480,0, 7484,640,480:waittimer 135401 blt 0,0,640,480,0, 7486,640,480:waittimer 135437 blt 0,0,640,480,0, 7488,640,480:waittimer 135473 blt 0,0,640,480,0, 7490,640,480:waittimer 135509 blt 0,0,640,480,0, 7492,640,480:waittimer 135546 blt 0,0,640,480,0, 7494,640,480:waittimer 135582 blt 0,0,640,480,0, 7496,640,480:waittimer 135618 blt 0,0,640,480,0, 7498,640,480:waittimer 135654 blt 0,0,640,480,0, 7500,640,480:waittimer 135690 blt 0,0,640,480,0, 7502,640,480:waittimer 135726 blt 0,0,640,480,0, 7504,640,480:waittimer 135763 blt 0,0,640,480,0, 7506,640,480:waittimer 135799 blt 0,0,640,480,0, 7508,640,480:waittimer 135835 blt 0,0,640,480,0, 7510,640,480:waittimer 135871 blt 0,0,640,480,0, 7512,640,480:waittimer 135907 blt 0,0,640,480,0, 7514,640,480:waittimer 135944 blt 0,0,640,480,0, 7516,640,480:waittimer 135980 blt 0,0,640,480,0, 7518,640,480:waittimer 136016 blt 0,0,640,480,0, 7520,640,480:waittimer 136052 blt 0,0,640,480,0, 7522,640,480:waittimer 136088 blt 0,0,640,480,0, 7524,640,480:waittimer 136125 blt 0,0,640,480,0, 7526,640,480:waittimer 136161 blt 0,0,640,480,0, 7528,640,480:waittimer 136197 blt 0,0,640,480,0, 7530,640,480:waittimer 136233 blt 0,0,640,480,0, 7532,640,480:waittimer 136269 blt 0,0,640,480,0, 7534,640,480:waittimer 136305 blt 0,0,640,480,0, 7536,640,480:waittimer 136342 blt 0,0,640,480,0, 7538,640,480:waittimer 136378 blt 0,0,640,480,0, 7540,640,480:waittimer 136414 blt 0,0,640,480,0, 7542,640,480:waittimer 136450 blt 0,0,640,480,0, 7544,640,480:waittimer 136486 blt 0,0,640,480,0, 7546,640,480:waittimer 136523 blt 0,0,640,480,0, 7548,640,480:waittimer 136559 blt 0,0,640,480,0, 7550,640,480:waittimer 136595 blt 0,0,640,480,0, 7552,640,480:waittimer 136631 blt 0,0,640,480,0, 7554,640,480:waittimer 136667 blt 0,0,640,480,0, 7556,640,480:waittimer 136703 blt 0,0,640,480,0, 7558,640,480:waittimer 136740 blt 0,0,640,480,0, 7560,640,480:waittimer 136776 blt 0,0,640,480,0, 7562,640,480:waittimer 136812 blt 0,0,640,480,0, 7564,640,480:waittimer 136848 blt 0,0,640,480,0, 7566,640,480:waittimer 136884 blt 0,0,640,480,0, 7568,640,480:waittimer 136921 blt 0,0,640,480,0, 7570,640,480:waittimer 136957 blt 0,0,640,480,0, 7572,640,480:waittimer 136993 blt 0,0,640,480,0, 7574,640,480:waittimer 137029 blt 0,0,640,480,0, 7576,640,480:waittimer 137065 blt 0,0,640,480,0, 7578,640,480:waittimer 137101 blt 0,0,640,480,0, 7580,640,480:waittimer 137138 blt 0,0,640,480,0, 7582,640,480:waittimer 137174 blt 0,0,640,480,0, 7584,640,480:waittimer 137210 blt 0,0,640,480,0, 7586,640,480:waittimer 137246 blt 0,0,640,480,0, 7588,640,480:waittimer 137282 blt 0,0,640,480,0, 7590,640,480:waittimer 137319 blt 0,0,640,480,0, 7592,640,480:waittimer 137355 blt 0,0,640,480,0, 7594,640,480:waittimer 137391 blt 0,0,640,480,0, 7596,640,480:waittimer 137427 blt 0,0,640,480,0, 7598,640,480:waittimer 137463 blt 0,0,640,480,0, 7600,640,480:waittimer 137500 blt 0,0,640,480,0, 7602,640,480:waittimer 137536 blt 0,0,640,480,0, 7604,640,480:waittimer 137572 blt 0,0,640,480,0, 7606,640,480:waittimer 137608 blt 0,0,640,480,0, 7608,640,480:waittimer 137644 blt 0,0,640,480,0, 7610,640,480:waittimer 137680 blt 0,0,640,480,0, 7612,640,480:waittimer 137717 blt 0,0,640,480,0, 7614,640,480:waittimer 137753 blt 0,0,640,480,0, 7616,640,480:waittimer 137789 blt 0,0,640,480,0, 7618,640,480:waittimer 137825 blt 0,0,640,480,0, 7620,640,480:waittimer 137861 blt 0,0,640,480,0, 7622,640,480:waittimer 137898 blt 0,0,640,480,0, 7624,640,480:waittimer 137934 blt 0,0,640,480,0, 7626,640,480:waittimer 137970 blt 0,0,640,480,0, 7628,640,480:waittimer 138006 blt 0,0,640,480,0, 7630,640,480:waittimer 138042 blt 0,0,640,480,0, 7632,640,480:waittimer 138078 blt 0,0,640,480,0, 7634,640,480:waittimer 138115 blt 0,0,640,480,0, 7636,640,480:waittimer 138151 blt 0,0,640,480,0, 7638,640,480:waittimer 138187 blt 0,0,640,480,0, 7640,640,480:waittimer 138223 blt 0,0,640,480,0, 7642,640,480:waittimer 138259 blt 0,0,640,480,0, 7644,640,480:waittimer 138296 blt 0,0,640,480,0, 7646,640,480:waittimer 138332 blt 0,0,640,480,0, 7648,640,480:waittimer 138368 blt 0,0,640,480,0, 7650,640,480:waittimer 138404 blt 0,0,640,480,0, 7652,640,480:waittimer 138440 blt 0,0,640,480,0, 7654,640,480:waittimer 138476 blt 0,0,640,480,0, 7656,640,480:waittimer 138513 blt 0,0,640,480,0, 7658,640,480:waittimer 138549 blt 0,0,640,480,0, 7660,640,480:waittimer 138585 blt 0,0,640,480,0, 7662,640,480:waittimer 138621 blt 0,0,640,480,0, 7664,640,480:waittimer 138657 blt 0,0,640,480,0, 7666,640,480:waittimer 138694 blt 0,0,640,480,0, 7668,640,480:waittimer 138730 blt 0,0,640,480,0, 7670,640,480:waittimer 138766 blt 0,0,640,480,0, 7672,640,480:waittimer 138802 blt 0,0,640,480,0, 7674,640,480:waittimer 138838 blt 0,0,640,480,0, 7676,640,480:waittimer 138875 blt 0,0,640,480,0, 7678,640,480:waittimer 138911 blt 0,0,640,480,0, 7680,640,480:waittimer 138947 blt 0,0,640,480,0, 7682,640,480:waittimer 138983 blt 0,0,640,480,0, 7684,640,480:waittimer 139019 blt 0,0,640,480,0, 7686,640,480:waittimer 139055 blt 0,0,640,480,0, 7688,640,480:waittimer 139092 blt 0,0,640,480,0, 7690,640,480:waittimer 139128 blt 0,0,640,480,0, 7692,640,480:waittimer 139164 blt 0,0,640,480,0, 7694,640,480:waittimer 139200 blt 0,0,640,480,0, 7696,640,480:waittimer 139236 blt 0,0,640,480,0, 7698,640,480:waittimer 139273 blt 0,0,640,480,0, 7700,640,480:waittimer 139309 blt 0,0,640,480,0, 7702,640,480:waittimer 139345 blt 0,0,640,480,0, 7704,640,480:waittimer 139381 blt 0,0,640,480,0, 7706,640,480:waittimer 139417 blt 0,0,640,480,0, 7708,640,480:waittimer 139453 blt 0,0,640,480,0, 7710,640,480:waittimer 139490 blt 0,0,640,480,0, 7712,640,480:waittimer 139526 blt 0,0,640,480,0, 7714,640,480:waittimer 139562 blt 0,0,640,480,0, 7716,640,480:waittimer 139598 blt 0,0,640,480,0, 7718,640,480:waittimer 139634 blt 0,0,640,480,0, 7720,640,480:waittimer 139671 blt 0,0,640,480,0, 7722,640,480:waittimer 139707 blt 0,0,640,480,0, 7724,640,480:waittimer 139743 blt 0,0,640,480,0, 7726,640,480:waittimer 139779 blt 0,0,640,480,0, 7728,640,480:waittimer 139815 blt 0,0,640,480,0, 7730,640,480:waittimer 139851 blt 0,0,640,480,0, 7732,640,480:waittimer 139888 blt 0,0,640,480,0, 7734,640,480:waittimer 139924 blt 0,0,640,480,0, 7736,640,480:waittimer 139960 blt 0,0,640,480,0, 7738,640,480:waittimer 139996 blt 0,0,640,480,0, 7740,640,480:waittimer 140032 blt 0,0,640,480,0, 7742,640,480:waittimer 140069 blt 0,0,640,480,0, 7744,640,480:waittimer 140105 blt 0,0,640,480,0, 7746,640,480:waittimer 140141 blt 0,0,640,480,0, 7748,640,480:waittimer 140177 blt 0,0,640,480,0, 7750,640,480:waittimer 140213 blt 0,0,640,480,0, 7752,640,480:waittimer 140250 blt 0,0,640,480,0, 7754,640,480:waittimer 140286 blt 0,0,640,480,0, 7756,640,480:waittimer 140322 blt 0,0,640,480,0, 7758,640,480:waittimer 140358 blt 0,0,640,480,0, 7760,640,480:waittimer 140394 blt 0,0,640,480,0, 7762,640,480:waittimer 140430 blt 0,0,640,480,0, 7764,640,480:waittimer 140467 blt 0,0,640,480,0, 7766,640,480:waittimer 140503 blt 0,0,640,480,0, 7768,640,480:waittimer 140539 blt 0,0,640,480,0, 7770,640,480:waittimer 140575 blt 0,0,640,480,0, 7772,640,480:waittimer 140611 blt 0,0,640,480,0, 7774,640,480:waittimer 140648 blt 0,0,640,480,0, 7776,640,480:waittimer 140684 blt 0,0,640,480,0, 7778,640,480:waittimer 140720 blt 0,0,640,480,0, 7780,640,480:waittimer 140756 blt 0,0,640,480,0, 7782,640,480:waittimer 140792 blt 0,0,640,480,0, 7784,640,480:waittimer 140828 blt 0,0,640,480,0, 7786,640,480:waittimer 140865 blt 0,0,640,480,0, 7788,640,480:waittimer 140901 blt 0,0,640,480,0, 7790,640,480:waittimer 140937 blt 0,0,640,480,0, 7792,640,480:waittimer 140973 blt 0,0,640,480,0, 7794,640,480:waittimer 141009 blt 0,0,640,480,0, 7796,640,480:waittimer 141046 blt 0,0,640,480,0, 7798,640,480:waittimer 141082 blt 0,0,640,480,0, 7800,640,480:waittimer 141118 blt 0,0,640,480,0, 7802,640,480:waittimer 141154 blt 0,0,640,480,0, 7804,640,480:waittimer 141190 blt 0,0,640,480,0, 7806,640,480:waittimer 141226 blt 0,0,640,480,0, 7808,640,480:waittimer 141263 blt 0,0,640,480,0, 7810,640,480:waittimer 141299 blt 0,0,640,480,0, 7812,640,480:waittimer 141335 blt 0,0,640,480,0, 7814,640,480:waittimer 141371 blt 0,0,640,480,0, 7816,640,480:waittimer 141407 blt 0,0,640,480,0, 7818,640,480:waittimer 141444 blt 0,0,640,480,0, 7820,640,480:waittimer 141480 blt 0,0,640,480,0, 7822,640,480:waittimer 141516 blt 0,0,640,480,0, 7824,640,480:waittimer 141552 blt 0,0,640,480,0, 7826,640,480:waittimer 141588 blt 0,0,640,480,0, 7828,640,480:waittimer 141625 blt 0,0,640,480,0, 7830,640,480:waittimer 141661 blt 0,0,640,480,0, 7832,640,480:waittimer 141697 blt 0,0,640,480,0, 7834,640,480:waittimer 141733 blt 0,0,640,480,0, 7836,640,480:waittimer 141769 blt 0,0,640,480,0, 7838,640,480:waittimer 141805 blt 0,0,640,480,0, 7840,640,480:waittimer 141842 blt 0,0,640,480,0, 7842,640,480:waittimer 141878 blt 0,0,640,480,0, 7844,640,480:waittimer 141914 blt 0,0,640,480,0, 7846,640,480:waittimer 141950 blt 0,0,640,480,0, 7848,640,480:waittimer 141986 blt 0,0,640,480,0, 7850,640,480:waittimer 142023 blt 0,0,640,480,0, 7852,640,480:waittimer 142059 blt 0,0,640,480,0, 7854,640,480:waittimer 142095 blt 0,0,640,480,0, 7856,640,480:waittimer 142131 blt 0,0,640,480,0, 7858,640,480:waittimer 142167 blt 0,0,640,480,0, 7860,640,480:waittimer 142203 blt 0,0,640,480,0, 7862,640,480:waittimer 142240 blt 0,0,640,480,0, 7864,640,480:waittimer 142276 blt 0,0,640,480,0, 7866,640,480:waittimer 142312 blt 0,0,640,480,0, 7868,640,480:waittimer 142348 blt 0,0,640,480,0, 7870,640,480:waittimer 142384 blt 0,0,640,480,0, 7872,640,480:waittimer 142421 blt 0,0,640,480,0, 7874,640,480:waittimer 142457 blt 0,0,640,480,0, 7876,640,480:waittimer 142493 blt 0,0,640,480,0, 7878,640,480:waittimer 142529 blt 0,0,640,480,0, 7880,640,480:waittimer 142565 blt 0,0,640,480,0, 7882,640,480:waittimer 142601 blt 0,0,640,480,0, 7884,640,480:waittimer 142638 blt 0,0,640,480,0, 7886,640,480:waittimer 142674 blt 0,0,640,480,0, 7888,640,480:waittimer 142710 blt 0,0,640,480,0, 7890,640,480:waittimer 142746 blt 0,0,640,480,0, 7892,640,480:waittimer 142782 blt 0,0,640,480,0, 7894,640,480:waittimer 142819 blt 0,0,640,480,0, 7896,640,480:waittimer 142855 blt 0,0,640,480,0, 7898,640,480:waittimer 142891 blt 0,0,640,480,0, 7900,640,480:waittimer 142927 blt 0,0,640,480,0, 7902,640,480:waittimer 142963 blt 0,0,640,480,0, 7904,640,480:waittimer 143000 blt 0,0,640,480,0, 7906,640,480:waittimer 143036 blt 0,0,640,480,0, 7908,640,480:waittimer 143072 blt 0,0,640,480,0, 7910,640,480:waittimer 143108 blt 0,0,640,480,0, 7912,640,480:waittimer 143144 blt 0,0,640,480,0, 7914,640,480:waittimer 143180 blt 0,0,640,480,0, 7916,640,480:waittimer 143217 blt 0,0,640,480,0, 7918,640,480:waittimer 143253 blt 0,0,640,480,0, 7920,640,480:waittimer 143289 blt 0,0,640,480,0, 7922,640,480:waittimer 143325 blt 0,0,640,480,0, 7924,640,480:waittimer 143361 blt 0,0,640,480,0, 7926,640,480:waittimer 143398 blt 0,0,640,480,0, 7928,640,480:waittimer 143434 blt 0,0,640,480,0, 7930,640,480:waittimer 143470 blt 0,0,640,480,0, 7932,640,480:waittimer 143506 blt 0,0,640,480,0, 7934,640,480:waittimer 143542 blt 0,0,640,480,0, 7936,640,480:waittimer 143578 blt 0,0,640,480,0, 7938,640,480:waittimer 143615 blt 0,0,640,480,0, 7940,640,480:waittimer 143651 blt 0,0,640,480,0, 7942,640,480:waittimer 143687 blt 0,0,640,480,0, 7944,640,480:waittimer 143723 blt 0,0,640,480,0, 7946,640,480:waittimer 143759 blt 0,0,640,480,0, 7948,640,480:waittimer 143796 blt 0,0,640,480,0, 7950,640,480:waittimer 143832 blt 0,0,640,480,0, 7952,640,480:waittimer 143868 blt 0,0,640,480,0, 7954,640,480:waittimer 143904 blt 0,0,640,480,0, 7956,640,480:waittimer 143940 blt 0,0,640,480,0, 7958,640,480:waittimer 143976 blt 0,0,640,480,0, 7960,640,480:waittimer 144013 blt 0,0,640,480,0, 7962,640,480:waittimer 144049 blt 0,0,640,480,0, 7964,640,480:waittimer 144085 blt 0,0,640,480,0, 7966,640,480:waittimer 144121 blt 0,0,640,480,0, 7968,640,480:waittimer 144157 blt 0,0,640,480,0, 7970,640,480:waittimer 144194 blt 0,0,640,480,0, 7972,640,480:waittimer 144230 blt 0,0,640,480,0, 7974,640,480:waittimer 144266 blt 0,0,640,480,0, 7976,640,480:waittimer 144302 blt 0,0,640,480,0, 7978,640,480:waittimer 144338 blt 0,0,640,480,0, 7980,640,480:waittimer 144375 blt 0,0,640,480,0, 7982,640,480:waittimer 144411 blt 0,0,640,480,0, 7984,640,480:waittimer 144447 blt 0,0,640,480,0, 7986,640,480:waittimer 144483 blt 0,0,640,480,0, 7988,640,480:waittimer 144519 blt 0,0,640,480,0, 7990,640,480:waittimer 144555 blt 0,0,640,480,0, 7992,640,480:waittimer 144592 blt 0,0,640,480,0, 7994,640,480:waittimer 144628 blt 0,0,640,480,0, 7996,640,480:waittimer 144664 blt 0,0,640,480,0, 7998,640,480:waittimer 144700 blt 0,0,640,480,0, 8000,640,480:waittimer 144736 blt 0,0,640,480,0, 8002,640,480:waittimer 144773 blt 0,0,640,480,0, 8004,640,480:waittimer 144809 blt 0,0,640,480,0, 8006,640,480:waittimer 144845 blt 0,0,640,480,0, 8008,640,480:waittimer 144881 blt 0,0,640,480,0, 8010,640,480:waittimer 144917 blt 0,0,640,480,0, 8012,640,480:waittimer 144953 blt 0,0,640,480,0, 8014,640,480:waittimer 144990 blt 0,0,640,480,0, 8016,640,480:waittimer 145026 blt 0,0,640,480,0, 8018,640,480:waittimer 145062 blt 0,0,640,480,0, 8020,640,480:waittimer 145098 blt 0,0,640,480,0, 8022,640,480:waittimer 145134 blt 0,0,640,480,0, 8024,640,480:waittimer 145171 blt 0,0,640,480,0, 8026,640,480:waittimer 145207 blt 0,0,640,480,0, 8028,640,480:waittimer 145243 blt 0,0,640,480,0, 8030,640,480:waittimer 145279 blt 0,0,640,480,0, 8032,640,480:waittimer 145315 blt 0,0,640,480,0, 8034,640,480:waittimer 145351 blt 0,0,640,480,0, 8036,640,480:waittimer 145388 blt 0,0,640,480,0, 8038,640,480:waittimer 145424 blt 0,0,640,480,0, 8040,640,480:waittimer 145460 blt 0,0,640,480,0, 8042,640,480:waittimer 145496 blt 0,0,640,480,0, 8044,640,480:waittimer 145532 blt 0,0,640,480,0, 8046,640,480:waittimer 145569 blt 0,0,640,480,0, 8048,640,480:waittimer 145605 blt 0,0,640,480,0, 8050,640,480:waittimer 145641 blt 0,0,640,480,0, 8052,640,480:waittimer 145677 blt 0,0,640,480,0, 8054,640,480:waittimer 145713 blt 0,0,640,480,0, 8056,640,480:waittimer 145750 blt 0,0,640,480,0, 8058,640,480:waittimer 145786 blt 0,0,640,480,0, 8060,640,480:waittimer 145822 blt 0,0,640,480,0, 8062,640,480:waittimer 145858 blt 0,0,640,480,0, 8064,640,480:waittimer 145894 blt 0,0,640,480,0, 8066,640,480:waittimer 145930 blt 0,0,640,480,0, 8068,640,480:waittimer 145967 blt 0,0,640,480,0, 8070,640,480:waittimer 146003 blt 0,0,640,480,0, 8072,640,480:waittimer 146039 blt 0,0,640,480,0, 8074,640,480:waittimer 146075 blt 0,0,640,480,0, 8076,640,480:waittimer 146111 blt 0,0,640,480,0, 8078,640,480:waittimer 146148 blt 0,0,640,480,0, 8080,640,480:waittimer 146184 blt 0,0,640,480,0, 8082,640,480:waittimer 146220 blt 0,0,640,480,0, 8084,640,480:waittimer 146256 blt 0,0,640,480,0, 8086,640,480:waittimer 146292 blt 0,0,640,480,0, 8088,640,480:waittimer 146328 blt 0,0,640,480,0, 8090,640,480:waittimer 146365 blt 0,0,640,480,0, 8092,640,480:waittimer 146401 blt 0,0,640,480,0, 8094,640,480:waittimer 146437 blt 0,0,640,480,0, 8096,640,480:waittimer 146473 blt 0,0,640,480,0, 8098,640,480:waittimer 146509 blt 0,0,640,480,0, 8100,640,480:waittimer 146546 blt 0,0,640,480,0, 8102,640,480:waittimer 146582 blt 0,0,640,480,0, 8104,640,480:waittimer 146618 blt 0,0,640,480,0, 8106,640,480:waittimer 146654 blt 0,0,640,480,0, 8108,640,480:waittimer 146690 blt 0,0,640,480,0, 8110,640,480:waittimer 146726 blt 0,0,640,480,0, 8112,640,480:waittimer 146763 blt 0,0,640,480,0, 8114,640,480:waittimer 146799 blt 0,0,640,480,0, 8116,640,480:waittimer 146835 blt 0,0,640,480,0, 8118,640,480:waittimer 146871 blt 0,0,640,480,0, 8120,640,480:waittimer 146907 blt 0,0,640,480,0, 8122,640,480:waittimer 146944 blt 0,0,640,480,0, 8124,640,480:waittimer 146980 blt 0,0,640,480,0, 8126,640,480:waittimer 147016 blt 0,0,640,480,0, 8128,640,480:waittimer 147052 blt 0,0,640,480,0, 8130,640,480:waittimer 147088 blt 0,0,640,480,0, 8132,640,480:waittimer 147125 blt 0,0,640,480,0, 8134,640,480:waittimer 147161 blt 0,0,640,480,0, 8136,640,480:waittimer 147197 blt 0,0,640,480,0, 8138,640,480:waittimer 147233 blt 0,0,640,480,0, 8140,640,480:waittimer 147269 blt 0,0,640,480,0, 8142,640,480:waittimer 147305 blt 0,0,640,480,0, 8144,640,480:waittimer 147342 blt 0,0,640,480,0, 8146,640,480:waittimer 147378 blt 0,0,640,480,0, 8148,640,480:waittimer 147414 blt 0,0,640,480,0, 8150,640,480:waittimer 147450 blt 0,0,640,480,0, 8152,640,480:waittimer 147486 blt 0,0,640,480,0, 8154,640,480:waittimer 147523 blt 0,0,640,480,0, 8156,640,480:waittimer 147559 blt 0,0,640,480,0, 8158,640,480:waittimer 147595 blt 0,0,640,480,0, 8160,640,480:waittimer 147631 blt 0,0,640,480,0, 8162,640,480:waittimer 147667 blt 0,0,640,480,0, 8164,640,480:waittimer 147703 blt 0,0,640,480,0, 8166,640,480:waittimer 147740 blt 0,0,640,480,0, 8168,640,480:waittimer 147776 blt 0,0,640,480,0, 8170,640,480:waittimer 147812 blt 0,0,640,480,0, 8172,640,480:waittimer 147848 blt 0,0,640,480,0, 8174,640,480:waittimer 147884 blt 0,0,640,480,0, 8176,640,480:waittimer 147921 blt 0,0,640,480,0, 8178,640,480:waittimer 147957 blt 0,0,640,480,0, 8180,640,480:waittimer 147993 blt 0,0,640,480,0, 8182,640,480:waittimer 148029 blt 0,0,640,480,0, 8184,640,480:waittimer 148065 blt 0,0,640,480,0, 8186,640,480:waittimer 148101 blt 0,0,640,480,0, 8188,640,480:waittimer 148138 blt 0,0,640,480,0, 8190,640,480:waittimer 148174 blt 0,0,640,480,0, 8192,640,480:waittimer 148210 blt 0,0,640,480,0, 8194,640,480:waittimer 148246 blt 0,0,640,480,0, 8196,640,480:waittimer 148282 blt 0,0,640,480,0, 8198,640,480:waittimer 148319 blt 0,0,640,480,0, 8200,640,480:waittimer 148355 blt 0,0,640,480,0, 8202,640,480:waittimer 148391 blt 0,0,640,480,0, 8204,640,480:waittimer 148427 blt 0,0,640,480,0, 8206,640,480:waittimer 148463 blt 0,0,640,480,0, 8208,640,480:waittimer 148500 blt 0,0,640,480,0, 8210,640,480:waittimer 148536 blt 0,0,640,480,0, 8212,640,480:waittimer 148572 blt 0,0,640,480,0, 8214,640,480:waittimer 148608 blt 0,0,640,480,0, 8216,640,480:waittimer 148644 blt 0,0,640,480,0, 8218,640,480:waittimer 148680 blt 0,0,640,480,0, 8220,640,480:waittimer 148717 blt 0,0,640,480,0, 8222,640,480:waittimer 148753 blt 0,0,640,480,0, 8224,640,480:waittimer 148789 blt 0,0,640,480,0, 8226,640,480:waittimer 148825 blt 0,0,640,480,0, 8228,640,480:waittimer 148861 blt 0,0,640,480,0, 8230,640,480:waittimer 148898 blt 0,0,640,480,0, 8232,640,480:waittimer 148934 blt 0,0,640,480,0, 8234,640,480:waittimer 148970 blt 0,0,640,480,0, 8236,640,480:waittimer 149006 blt 0,0,640,480,0, 8238,640,480:waittimer 149042 blt 0,0,640,480,0, 8240,640,480:waittimer 149078 blt 0,0,640,480,0, 8242,640,480:waittimer 149115 blt 0,0,640,480,0, 8244,640,480:waittimer 149151 blt 0,0,640,480,0, 8246,640,480:waittimer 149187 blt 0,0,640,480,0, 8248,640,480:waittimer 149223 blt 0,0,640,480,0, 8250,640,480:waittimer 149259 blt 0,0,640,480,0, 8252,640,480:waittimer 149296 blt 0,0,640,480,0, 8254,640,480:waittimer 149332 blt 0,0,640,480,0, 8256,640,480:waittimer 149368 blt 0,0,640,480,0, 8258,640,480:waittimer 149404 blt 0,0,640,480,0, 8260,640,480:waittimer 149440 blt 0,0,640,480,0, 8262,640,480:waittimer 149476 blt 0,0,640,480,0, 8264,640,480:waittimer 149513 blt 0,0,640,480,0, 8266,640,480:waittimer 149549 blt 0,0,640,480,0, 8268,640,480:waittimer 149585 blt 0,0,640,480,0, 8270,640,480:waittimer 149621 blt 0,0,640,480,0, 8272,640,480:waittimer 149657 blt 0,0,640,480,0, 8274,640,480:waittimer 149694 blt 0,0,640,480,0, 8276,640,480:waittimer 149730 blt 0,0,640,480,0, 8278,640,480:waittimer 149766 blt 0,0,640,480,0, 8280,640,480:waittimer 149802 blt 0,0,640,480,0, 8282,640,480:waittimer 149838 blt 0,0,640,480,0, 8284,640,480:waittimer 149875 blt 0,0,640,480,0, 8286,640,480:waittimer 149911 blt 0,0,640,480,0, 8288,640,480:waittimer 149947 blt 0,0,640,480,0, 8290,640,480:waittimer 149983 blt 0,0,640,480,0, 8292,640,480:waittimer 150019 blt 0,0,640,480,0, 8294,640,480:waittimer 150055 blt 0,0,640,480,0, 8296,640,480:waittimer 150092 blt 0,0,640,480,0, 8298,640,480:waittimer 150128 blt 0,0,640,480,0, 8300,640,480:waittimer 150164 blt 0,0,640,480,0, 8302,640,480:waittimer 150200 blt 0,0,640,480,0, 8304,640,480:waittimer 150236 blt 0,0,640,480,0, 8306,640,480:waittimer 150273 blt 0,0,640,480,0, 8308,640,480:waittimer 150309 blt 0,0,640,480,0, 8310,640,480:waittimer 150345 blt 0,0,640,480,0, 8312,640,480:waittimer 150381 blt 0,0,640,480,0, 8314,640,480:waittimer 150417 blt 0,0,640,480,0, 8316,640,480:waittimer 150453 blt 0,0,640,480,0, 8318,640,480:waittimer 150490 blt 0,0,640,480,0, 8320,640,480:waittimer 150526 blt 0,0,640,480,0, 8322,640,480:waittimer 150562 blt 0,0,640,480,0, 8324,640,480:waittimer 150598 blt 0,0,640,480,0, 8326,640,480:waittimer 150634 blt 0,0,640,480,0, 8328,640,480:waittimer 150671 blt 0,0,640,480,0, 8330,640,480:waittimer 150707 blt 0,0,640,480,0, 8332,640,480:waittimer 150743 blt 0,0,640,480,0, 8334,640,480:waittimer 150779 blt 0,0,640,480,0, 8336,640,480:waittimer 150815 blt 0,0,640,480,0, 8338,640,480:waittimer 150851 blt 0,0,640,480,0, 8340,640,480:waittimer 150888 blt 0,0,640,480,0, 8342,640,480:waittimer 150924 blt 0,0,640,480,0, 8344,640,480:waittimer 150960 blt 0,0,640,480,0, 8346,640,480:waittimer 150996 blt 0,0,640,480,0, 8348,640,480:waittimer 151032 blt 0,0,640,480,0, 8350,640,480:waittimer 151069 blt 0,0,640,480,0, 8352,640,480:waittimer 151105 blt 0,0,640,480,0, 8354,640,480:waittimer 151141 blt 0,0,640,480,0, 8356,640,480:waittimer 151177 blt 0,0,640,480,0, 8358,640,480:waittimer 151213 blt 0,0,640,480,0, 8360,640,480:waittimer 151250 blt 0,0,640,480,0, 8362,640,480:waittimer 151286 blt 0,0,640,480,0, 8364,640,480:waittimer 151322 blt 0,0,640,480,0, 8366,640,480:waittimer 151358 blt 0,0,640,480,0, 8368,640,480:waittimer 151394 blt 0,0,640,480,0, 8370,640,480:waittimer 151430 blt 0,0,640,480,0, 8372,640,480:waittimer 151467 blt 0,0,640,480,0, 8374,640,480:waittimer 151503 blt 0,0,640,480,0, 8376,640,480:waittimer 151539 blt 0,0,640,480,0, 8378,640,480:waittimer 151575 blt 0,0,640,480,0, 8380,640,480:waittimer 151611 blt 0,0,640,480,0, 8382,640,480:waittimer 151648 blt 0,0,640,480,0, 8384,640,480:waittimer 151684 blt 0,0,640,480,0, 8386,640,480:waittimer 151720 blt 0,0,640,480,0, 8388,640,480:waittimer 151756 blt 0,0,640,480,0, 8390,640,480:waittimer 151792 blt 0,0,640,480,0, 8392,640,480:waittimer 151828 blt 0,0,640,480,0, 8394,640,480:waittimer 151865 blt 0,0,640,480,0, 8396,640,480:waittimer 151901 blt 0,0,640,480,0, 8398,640,480:waittimer 151937 blt 0,0,640,480,0, 8400,640,480:waittimer 151973 blt 0,0,640,480,0, 8402,640,480:waittimer 152009 blt 0,0,640,480,0, 8404,640,480:waittimer 152046 blt 0,0,640,480,0, 8406,640,480:waittimer 152082 blt 0,0,640,480,0, 8408,640,480:waittimer 152118 blt 0,0,640,480,0, 8410,640,480:waittimer 152154 blt 0,0,640,480,0, 8412,640,480:waittimer 152190 blt 0,0,640,480,0, 8414,640,480:waittimer 152226 blt 0,0,640,480,0, 8416,640,480:waittimer 152263 blt 0,0,640,480,0, 8418,640,480:waittimer 152299 blt 0,0,640,480,0, 8420,640,480:waittimer 152335 blt 0,0,640,480,0, 8422,640,480:waittimer 152371 blt 0,0,640,480,0, 8424,640,480:waittimer 152407 blt 0,0,640,480,0, 8426,640,480:waittimer 152444 blt 0,0,640,480,0, 8428,640,480:waittimer 152480 blt 0,0,640,480,0, 8430,640,480:waittimer 152516 blt 0,0,640,480,0, 8432,640,480:waittimer 152552 blt 0,0,640,480,0, 8434,640,480:waittimer 152588 blt 0,0,640,480,0, 8436,640,480:waittimer 152625 blt 0,0,640,480,0, 8438,640,480:waittimer 152661 blt 0,0,640,480,0, 8440,640,480:waittimer 152697 blt 0,0,640,480,0, 8442,640,480:waittimer 152733 blt 0,0,640,480,0, 8444,640,480:waittimer 152769 blt 0,0,640,480,0, 8446,640,480:waittimer 152805 blt 0,0,640,480,0, 8448,640,480:waittimer 152842 blt 0,0,640,480,0, 8450,640,480:waittimer 152878 blt 0,0,640,480,0, 8452,640,480:waittimer 152914 blt 0,0,640,480,0, 8454,640,480:waittimer 152950 blt 0,0,640,480,0, 8456,640,480:waittimer 152986 blt 0,0,640,480,0, 8458,640,480:waittimer 153023 blt 0,0,640,480,0, 8460,640,480:waittimer 153059 blt 0,0,640,480,0, 8462,640,480:waittimer 153095 blt 0,0,640,480,0, 8464,640,480:waittimer 153131 blt 0,0,640,480,0, 8466,640,480:waittimer 153167 blt 0,0,640,480,0, 8468,640,480:waittimer 153203 blt 0,0,640,480,0, 8470,640,480:waittimer 153240 blt 0,0,640,480,0, 8472,640,480:waittimer 153276 blt 0,0,640,480,0, 8474,640,480:waittimer 153312 blt 0,0,640,480,0, 8476,640,480:waittimer 153348 blt 0,0,640,480,0, 8478,640,480:waittimer 153384 blt 0,0,640,480,0, 8480,640,480:waittimer 153421 blt 0,0,640,480,0, 8482,640,480:waittimer 153457 blt 0,0,640,480,0, 8484,640,480:waittimer 153493 blt 0,0,640,480,0, 8486,640,480:waittimer 153529 blt 0,0,640,480,0, 8488,640,480:waittimer 153565 blt 0,0,640,480,0, 8490,640,480:waittimer 153601 blt 0,0,640,480,0, 8492,640,480:waittimer 153638 blt 0,0,640,480,0, 8494,640,480:waittimer 153674 blt 0,0,640,480,0, 8496,640,480:waittimer 153710 blt 0,0,640,480,0, 8498,640,480:waittimer 153746 blt 0,0,640,480,0, 8500,640,480:waittimer 153782 blt 0,0,640,480,0, 8502,640,480:waittimer 153819 blt 0,0,640,480,0, 8504,640,480:waittimer 153855 blt 0,0,640,480,0, 8506,640,480:waittimer 153891 blt 0,0,640,480,0, 8508,640,480:waittimer 153927 blt 0,0,640,480,0, 8510,640,480:waittimer 153963 blt 0,0,640,480,0, 8512,640,480:waittimer 154000 blt 0,0,640,480,0, 8514,640,480:waittimer 154036 blt 0,0,640,480,0, 8516,640,480:waittimer 154072 blt 0,0,640,480,0, 8518,640,480:waittimer 154108 blt 0,0,640,480,0, 8520,640,480:waittimer 154144 blt 0,0,640,480,0, 8522,640,480:waittimer 154180 blt 0,0,640,480,0, 8524,640,480:waittimer 154217 blt 0,0,640,480,0, 8526,640,480:waittimer 154253 blt 0,0,640,480,0, 8528,640,480:waittimer 154289 blt 0,0,640,480,0, 8530,640,480:waittimer 154325 blt 0,0,640,480,0, 8532,640,480:waittimer 154361 blt 0,0,640,480,0, 8534,640,480:waittimer 154398 blt 0,0,640,480,0, 8536,640,480:waittimer 154434 blt 0,0,640,480,0, 8538,640,480:waittimer 154470 blt 0,0,640,480,0, 8540,640,480:waittimer 154506 blt 0,0,640,480,0, 8542,640,480:waittimer 154542 blt 0,0,640,480,0, 8544,640,480:waittimer 154578 blt 0,0,640,480,0, 8546,640,480:waittimer 154615 blt 0,0,640,480,0, 8548,640,480:waittimer 154651 blt 0,0,640,480,0, 8550,640,480:waittimer 154687 blt 0,0,640,480,0, 8552,640,480:waittimer 154723 blt 0,0,640,480,0, 8554,640,480:waittimer 154759 blt 0,0,640,480,0, 8556,640,480:waittimer 154796 blt 0,0,640,480,0, 8558,640,480:waittimer 154832 blt 0,0,640,480,0, 8560,640,480:waittimer 154868 blt 0,0,640,480,0, 8562,640,480:waittimer 154904 blt 0,0,640,480,0, 8564,640,480:waittimer 154940 blt 0,0,640,480,0, 8566,640,480:waittimer 154976 blt 0,0,640,480,0, 8568,640,480:waittimer 155013 blt 0,0,640,480,0, 8570,640,480:waittimer 155049 blt 0,0,640,480,0, 8572,640,480:waittimer 155085 blt 0,0,640,480,0, 8574,640,480:waittimer 155121 blt 0,0,640,480,0, 8576,640,480:waittimer 155157 blt 0,0,640,480,0, 8578,640,480:waittimer 155194 blt 0,0,640,480,0, 8580,640,480:waittimer 155230 blt 0,0,640,480,0, 8582,640,480:waittimer 155266 blt 0,0,640,480,0, 8584,640,480:waittimer 155302 blt 0,0,640,480,0, 8586,640,480:waittimer 155338 blt 0,0,640,480,0, 8588,640,480:waittimer 155375 blt 0,0,640,480,0, 8590,640,480:waittimer 155411 blt 0,0,640,480,0, 8592,640,480:waittimer 155447 blt 0,0,640,480,0, 8594,640,480:waittimer 155483 blt 0,0,640,480,0, 8596,640,480:waittimer 155519 blt 0,0,640,480,0, 8598,640,480:waittimer 155555 blt 0,0,640,480,0, 8600,640,480:waittimer 155592 blt 0,0,640,480,0, 8602,640,480:waittimer 155628 blt 0,0,640,480,0, 8604,640,480:waittimer 155664 blt 0,0,640,480,0, 8606,640,480:waittimer 155700 blt 0,0,640,480,0, 8608,640,480:waittimer 155736 blt 0,0,640,480,0, 8610,640,480:waittimer 155773 blt 0,0,640,480,0, 8612,640,480:waittimer 155809 blt 0,0,640,480,0, 8614,640,480:waittimer 155845 blt 0,0,640,480,0, 8616,640,480:waittimer 155881 blt 0,0,640,480,0, 8618,640,480:waittimer 155917 blt 0,0,640,480,0, 8620,640,480:waittimer 155953 blt 0,0,640,480,0, 8622,640,480:waittimer 155990 blt 0,0,640,480,0, 8624,640,480:waittimer 156026 blt 0,0,640,480,0, 8626,640,480:waittimer 156062 blt 0,0,640,480,0, 8628,640,480:waittimer 156098 blt 0,0,640,480,0, 8630,640,480:waittimer 156134 blt 0,0,640,480,0, 8632,640,480:waittimer 156171 blt 0,0,640,480,0, 8634,640,480:waittimer 156207 blt 0,0,640,480,0, 8636,640,480:waittimer 156243 blt 0,0,640,480,0, 8638,640,480:waittimer 156279 blt 0,0,640,480,0, 8640,640,480:waittimer 156315 blt 0,0,640,480,0, 8642,640,480:waittimer 156351 blt 0,0,640,480,0, 8644,640,480:waittimer 156388 blt 0,0,640,480,0, 8646,640,480:waittimer 156424 blt 0,0,640,480,0, 8648,640,480:waittimer 156460 blt 0,0,640,480,0, 8650,640,480:waittimer 156496 blt 0,0,640,480,0, 8652,640,480:waittimer 156532 blt 0,0,640,480,0, 8654,640,480:waittimer 156569 blt 0,0,640,480,0, 8656,640,480:waittimer 156605 blt 0,0,640,480,0, 8658,640,480:waittimer 156641 blt 0,0,640,480,0, 8660,640,480:waittimer 156677 blt 0,0,640,480,0, 8662,640,480:waittimer 156713 blt 0,0,640,480,0, 8664,640,480:waittimer 156750 blt 0,0,640,480,0, 8666,640,480:waittimer 156786 blt 0,0,640,480,0, 8668,640,480:waittimer 156822 blt 0,0,640,480,0, 8670,640,480:waittimer 156858 blt 0,0,640,480,0, 8672,640,480:waittimer 156894 blt 0,0,640,480,0, 8674,640,480:waittimer 156930 blt 0,0,640,480,0, 8676,640,480:waittimer 156967 blt 0,0,640,480,0, 8678,640,480:waittimer 157003 blt 0,0,640,480,0, 8680,640,480:waittimer 157039 blt 0,0,640,480,0, 8682,640,480:waittimer 157075 blt 0,0,640,480,0, 8684,640,480:waittimer 157111 blt 0,0,640,480,0, 8686,640,480:waittimer 157148 blt 0,0,640,480,0, 8688,640,480:waittimer 157184 blt 0,0,640,480,0, 8690,640,480:waittimer 157220 blt 0,0,640,480,0, 8692,640,480:waittimer 157256 blt 0,0,640,480,0, 8694,640,480:waittimer 157292 blt 0,0,640,480,0, 8696,640,480:waittimer 157328 blt 0,0,640,480,0, 8698,640,480:waittimer 157365 blt 0,0,640,480,0, 8700,640,480:waittimer 157401 blt 0,0,640,480,0, 8702,640,480:waittimer 157437 blt 0,0,640,480,0, 8704,640,480:waittimer 157473 blt 0,0,640,480,0, 8706,640,480:waittimer 157509 blt 0,0,640,480,0, 8708,640,480:waittimer 157546 blt 0,0,640,480,0, 8710,640,480:waittimer 157582 blt 0,0,640,480,0, 8712,640,480:waittimer 157618 blt 0,0,640,480,0, 8714,640,480:waittimer 157654 blt 0,0,640,480,0, 8716,640,480:waittimer 157690 blt 0,0,640,480,0, 8718,640,480:waittimer 157726 blt 0,0,640,480,0, 8720,640,480:waittimer 157763 blt 0,0,640,480,0, 8722,640,480:waittimer 157799 blt 0,0,640,480,0, 8724,640,480:waittimer 157835 blt 0,0,640,480,0, 8726,640,480:waittimer 157871 blt 0,0,640,480,0, 8728,640,480:waittimer 157907 blt 0,0,640,480,0, 8730,640,480:waittimer 157944 blt 0,0,640,480,0, 8732,640,480:waittimer 157980 blt 0,0,640,480,0, 8734,640,480:waittimer 158016 blt 0,0,640,480,0, 8736,640,480:waittimer 158052 blt 0,0,640,480,0, 8738,640,480:waittimer 158088 blt 0,0,640,480,0, 8740,640,480:waittimer 158125 blt 0,0,640,480,0, 8742,640,480:waittimer 158161 blt 0,0,640,480,0, 8744,640,480:waittimer 158197 blt 0,0,640,480,0, 8746,640,480:waittimer 158233 blt 0,0,640,480,0, 8748,640,480:waittimer 158269 blt 0,0,640,480,0, 8750,640,480:waittimer 158305 blt 0,0,640,480,0, 8752,640,480:waittimer 158342 blt 0,0,640,480,0, 8754,640,480:waittimer 158378 blt 0,0,640,480,0, 8756,640,480:waittimer 158414 blt 0,0,640,480,0, 8758,640,480:waittimer 158450 blt 0,0,640,480,0, 8760,640,480:waittimer 158486 blt 0,0,640,480,0, 8762,640,480:waittimer 158523 blt 0,0,640,480,0, 8764,640,480:waittimer 158559 blt 0,0,640,480,0, 8766,640,480:waittimer 158595 blt 0,0,640,480,0, 8768,640,480:waittimer 158631 blt 0,0,640,480,0, 8770,640,480:waittimer 158667 blt 0,0,640,480,0, 8772,640,480:waittimer 158703 blt 0,0,640,480,0, 8774,640,480:waittimer 158740 blt 0,0,640,480,0, 8776,640,480:waittimer 158776 blt 0,0,640,480,0, 8778,640,480:waittimer 158812 blt 0,0,640,480,0, 8780,640,480:waittimer 158848 blt 0,0,640,480,0, 8782,640,480:waittimer 158884 blt 0,0,640,480,0, 8784,640,480:waittimer 158921 blt 0,0,640,480,0, 8786,640,480:waittimer 158957 blt 0,0,640,480,0, 8788,640,480:waittimer 158993 blt 0,0,640,480,0, 8790,640,480:waittimer 159029 blt 0,0,640,480,0, 8792,640,480:waittimer 159065 blt 0,0,640,480,0, 8794,640,480:waittimer 159101 blt 0,0,640,480,0, 8796,640,480:waittimer 159138 blt 0,0,640,480,0, 8798,640,480:waittimer 159174 blt 0,0,640,480,0, 8800,640,480:waittimer 159210 blt 0,0,640,480,0, 8802,640,480:waittimer 159246 blt 0,0,640,480,0, 8804,640,480:waittimer 159282 blt 0,0,640,480,0, 8806,640,480:waittimer 159319 blt 0,0,640,480,0, 8808,640,480:waittimer 159355 blt 0,0,640,480,0, 8810,640,480:waittimer 159391 blt 0,0,640,480,0, 8812,640,480:waittimer 159427 blt 0,0,640,480,0, 8814,640,480:waittimer 159463 blt 0,0,640,480,0, 8816,640,480:waittimer 159500 blt 0,0,640,480,0, 8818,640,480:waittimer 159536 blt 0,0,640,480,0, 8820,640,480:waittimer 159572 blt 0,0,640,480,0, 8822,640,480:waittimer 159608 blt 0,0,640,480,0, 8824,640,480:waittimer 159644 blt 0,0,640,480,0, 8826,640,480:waittimer 159680 blt 0,0,640,480,0, 8828,640,480:waittimer 159717 blt 0,0,640,480,0, 8830,640,480:waittimer 159753 blt 0,0,640,480,0, 8832,640,480:waittimer 159789 blt 0,0,640,480,0, 8834,640,480:waittimer 159825 blt 0,0,640,480,0, 8836,640,480:waittimer 159861 blt 0,0,640,480,0, 8838,640,480:waittimer 159898 blt 0,0,640,480,0, 8840,640,480:waittimer 159934 blt 0,0,640,480,0, 8842,640,480:waittimer 159970 blt 0,0,640,480,0, 8844,640,480:waittimer 160006 blt 0,0,640,480,0, 8846,640,480:waittimer 160042 blt 0,0,640,480,0, 8848,640,480:waittimer 160078 blt 0,0,640,480,0, 8850,640,480:waittimer 160115 blt 0,0,640,480,0, 8852,640,480:waittimer 160151 blt 0,0,640,480,0, 8854,640,480:waittimer 160187 blt 0,0,640,480,0, 8856,640,480:waittimer 160223 blt 0,0,640,480,0, 8858,640,480:waittimer 160259 blt 0,0,640,480,0, 8860,640,480:waittimer 160296 blt 0,0,640,480,0, 8862,640,480:waittimer 160332 blt 0,0,640,480,0, 8864,640,480:waittimer 160368 blt 0,0,640,480,0, 8866,640,480:waittimer 160404 blt 0,0,640,480,0, 8868,640,480:waittimer 160440 blt 0,0,640,480,0, 8870,640,480:waittimer 160476 blt 0,0,640,480,0, 8872,640,480:waittimer 160513 blt 0,0,640,480,0, 8874,640,480:waittimer 160549 blt 0,0,640,480,0, 8876,640,480:waittimer 160585 blt 0,0,640,480,0, 8878,640,480:waittimer 160621 blt 0,0,640,480,0, 8880,640,480:waittimer 160657 blt 0,0,640,480,0, 8882,640,480:waittimer 160694 blt 0,0,640,480,0, 8884,640,480:waittimer 160730 blt 0,0,640,480,0, 8886,640,480:waittimer 160766 blt 0,0,640,480,0, 8888,640,480:waittimer 160802 blt 0,0,640,480,0, 8890,640,480:waittimer 160838 blt 0,0,640,480,0, 8892,640,480:waittimer 160875 blt 0,0,640,480,0, 8894,640,480:waittimer 160911 blt 0,0,640,480,0, 8896,640,480:waittimer 160947 blt 0,0,640,480,0, 8898,640,480:waittimer 160983 blt 0,0,640,480,0, 8900,640,480:waittimer 161019 blt 0,0,640,480,0, 8902,640,480:waittimer 161055 blt 0,0,640,480,0, 8904,640,480:waittimer 161092 blt 0,0,640,480,0, 8906,640,480:waittimer 161128 blt 0,0,640,480,0, 8908,640,480:waittimer 161164 blt 0,0,640,480,0, 8910,640,480:waittimer 161200 blt 0,0,640,480,0, 8912,640,480:waittimer 161236 blt 0,0,640,480,0, 8914,640,480:waittimer 161273 blt 0,0,640,480,0, 8916,640,480:waittimer 161309 blt 0,0,640,480,0, 8918,640,480:waittimer 161345 blt 0,0,640,480,0, 8920,640,480:waittimer 161381 blt 0,0,640,480,0, 8922,640,480:waittimer 161417 blt 0,0,640,480,0, 8924,640,480:waittimer 161453 blt 0,0,640,480,0, 8926,640,480:waittimer 161490 blt 0,0,640,480,0, 8928,640,480:waittimer 161526 blt 0,0,640,480,0, 8930,640,480:waittimer 161562 blt 0,0,640,480,0, 8932,640,480:waittimer 161598 blt 0,0,640,480,0, 8934,640,480:waittimer 161634 blt 0,0,640,480,0, 8936,640,480:waittimer 161671 blt 0,0,640,480,0, 8938,640,480:waittimer 161707 blt 0,0,640,480,0, 8940,640,480:waittimer 161743 blt 0,0,640,480,0, 8942,640,480:waittimer 161779 blt 0,0,640,480,0, 8944,640,480:waittimer 161815 blt 0,0,640,480,0, 8946,640,480:waittimer 161851 blt 0,0,640,480,0, 8948,640,480:waittimer 161888 blt 0,0,640,480,0, 8950,640,480:waittimer 161924 blt 0,0,640,480,0, 8952,640,480:waittimer 161960 blt 0,0,640,480,0, 8954,640,480:waittimer 161996 blt 0,0,640,480,0, 8956,640,480:waittimer 162032 blt 0,0,640,480,0, 8958,640,480:waittimer 162069 blt 0,0,640,480,0, 8960,640,480:waittimer 162105 blt 0,0,640,480,0, 8962,640,480:waittimer 162141 blt 0,0,640,480,0, 8964,640,480:waittimer 162177 blt 0,0,640,480,0, 8966,640,480:waittimer 162213 blt 0,0,640,480,0, 8968,640,480:waittimer 162250 blt 0,0,640,480,0, 8970,640,480:waittimer 162286 blt 0,0,640,480,0, 8972,640,480:waittimer 162322 blt 0,0,640,480,0, 8974,640,480:waittimer 162358 blt 0,0,640,480,0, 8976,640,480:waittimer 162394 blt 0,0,640,480,0, 8978,640,480:waittimer 162430 blt 0,0,640,480,0, 8980,640,480:waittimer 162467 blt 0,0,640,480,0, 8982,640,480:waittimer 162503 blt 0,0,640,480,0, 8984,640,480:waittimer 162539 blt 0,0,640,480,0, 8986,640,480:waittimer 162575 blt 0,0,640,480,0, 8988,640,480:waittimer 162611 blt 0,0,640,480,0, 8990,640,480:waittimer 162648 blt 0,0,640,480,0, 8992,640,480:waittimer 162684 blt 0,0,640,480,0, 8994,640,480:waittimer 162720 blt 0,0,640,480,0, 8996,640,480:waittimer 162756 blt 0,0,640,480,0, 8998,640,480:waittimer 162792 blt 0,0,640,480,0, 9000,640,480:waittimer 162828 blt 0,0,640,480,0, 9002,640,480:waittimer 162865 blt 0,0,640,480,0, 9004,640,480:waittimer 162901 blt 0,0,640,480,0, 9006,640,480:waittimer 162937 blt 0,0,640,480,0, 9008,640,480:waittimer 162973 blt 0,0,640,480,0, 9010,640,480:waittimer 163009 blt 0,0,640,480,0, 9012,640,480:waittimer 163046 blt 0,0,640,480,0, 9014,640,480:waittimer 163082 blt 0,0,640,480,0, 9016,640,480:waittimer 163118 blt 0,0,640,480,0, 9018,640,480:waittimer 163154 blt 0,0,640,480,0, 9020,640,480:waittimer 163190 blt 0,0,640,480,0, 9022,640,480:waittimer 163226 blt 0,0,640,480,0, 9024,640,480:waittimer 163263 blt 0,0,640,480,0, 9026,640,480:waittimer 163299 blt 0,0,640,480,0, 9028,640,480:waittimer 163335 blt 0,0,640,480,0, 9030,640,480:waittimer 163371 blt 0,0,640,480,0, 9032,640,480:waittimer 163407 blt 0,0,640,480,0, 9034,640,480:waittimer 163444 blt 0,0,640,480,0, 9036,640,480:waittimer 163480 blt 0,0,640,480,0, 9038,640,480:waittimer 163516 blt 0,0,640,480,0, 9040,640,480:waittimer 163552 blt 0,0,640,480,0, 9042,640,480:waittimer 163588 blt 0,0,640,480,0, 9044,640,480:waittimer 163625 blt 0,0,640,480,0, 9046,640,480:waittimer 163661 blt 0,0,640,480,0, 9048,640,480:waittimer 163697 blt 0,0,640,480,0, 9050,640,480:waittimer 163733 blt 0,0,640,480,0, 9052,640,480:waittimer 163769 blt 0,0,640,480,0, 9054,640,480:waittimer 163805 blt 0,0,640,480,0, 9056,640,480:waittimer 163842 blt 0,0,640,480,0, 9058,640,480:waittimer 163878 blt 0,0,640,480,0, 9060,640,480:waittimer 163914 blt 0,0,640,480,0, 9062,640,480:waittimer 163950 blt 0,0,640,480,0, 9064,640,480:waittimer 163986 blt 0,0,640,480,0, 9066,640,480:waittimer 164023 blt 0,0,640,480,0, 9068,640,480:waittimer 164059 blt 0,0,640,480,0, 9070,640,480:waittimer 164095 blt 0,0,640,480,0, 9072,640,480:waittimer 164131 blt 0,0,640,480,0, 9074,640,480:waittimer 164167 blt 0,0,640,480,0, 9076,640,480:waittimer 164203 blt 0,0,640,480,0, 9078,640,480:waittimer 164240 blt 0,0,640,480,0, 9080,640,480:waittimer 164276 blt 0,0,640,480,0, 9082,640,480:waittimer 164312 blt 0,0,640,480,0, 9084,640,480:waittimer 164348 blt 0,0,640,480,0, 9086,640,480:waittimer 164384 blt 0,0,640,480,0, 9088,640,480:waittimer 164421 blt 0,0,640,480,0, 9090,640,480:waittimer 164457 blt 0,0,640,480,0, 9092,640,480:waittimer 164493 blt 0,0,640,480,0, 9094,640,480:waittimer 164529 blt 0,0,640,480,0, 9096,640,480:waittimer 164565 blt 0,0,640,480,0, 9098,640,480:waittimer 164601 blt 0,0,640,480,0, 9100,640,480:waittimer 164638 blt 0,0,640,480,0, 9102,640,480:waittimer 164674 blt 0,0,640,480,0, 9104,640,480:waittimer 164710 blt 0,0,640,480,0, 9106,640,480:waittimer 164746 blt 0,0,640,480,0, 9108,640,480:waittimer 164782 blt 0,0,640,480,0, 9110,640,480:waittimer 164819 blt 0,0,640,480,0, 9112,640,480:waittimer 164855 blt 0,0,640,480,0, 9114,640,480:waittimer 164891 blt 0,0,640,480,0, 9116,640,480:waittimer 164927 blt 0,0,640,480,0, 9118,640,480:waittimer 164963 blt 0,0,640,480,0, 9120,640,480:waittimer 165000 saveon return *ura_teatime_ep7c_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 19 blt 0,0,640,480,0, 4,640,480:waittimer 39 blt 0,0,640,480,0, 6,640,480:waittimer 58 blt 0,0,640,480,0, 8,640,480:waittimer 78 blt 0,0,640,480,0, 10,640,480:waittimer 97 blt 0,0,640,480,0, 12,640,480:waittimer 117 blt 0,0,640,480,0, 14,640,480:waittimer 136 blt 0,0,640,480,0, 16,640,480:waittimer 156 blt 0,0,640,480,0, 18,640,480:waittimer 176 blt 0,0,640,480,0, 20,640,480:waittimer 195 blt 0,0,640,480,0, 22,640,480:waittimer 215 blt 0,0,640,480,0, 24,640,480:waittimer 234 blt 0,0,640,480,0, 26,640,480:waittimer 254 blt 0,0,640,480,0, 28,640,480:waittimer 273 blt 0,0,640,480,0, 30,640,480:waittimer 293 blt 0,0,640,480,0, 32,640,480:waittimer 312 blt 0,0,640,480,0, 34,640,480:waittimer 332 blt 0,0,640,480,0, 36,640,480:waittimer 352 blt 0,0,640,480,0, 38,640,480:waittimer 371 blt 0,0,640,480,0, 40,640,480:waittimer 391 blt 0,0,640,480,0, 42,640,480:waittimer 410 blt 0,0,640,480,0, 44,640,480:waittimer 430 blt 0,0,640,480,0, 46,640,480:waittimer 449 blt 0,0,640,480,0, 48,640,480:waittimer 469 blt 0,0,640,480,0, 50,640,480:waittimer 488 blt 0,0,640,480,0, 52,640,480:waittimer 508 blt 0,0,640,480,0, 54,640,480:waittimer 528 blt 0,0,640,480,0, 56,640,480:waittimer 547 blt 0,0,640,480,0, 58,640,480:waittimer 567 blt 0,0,640,480,0, 60,640,480:waittimer 586 blt 0,0,640,480,0, 62,640,480:waittimer 606 blt 0,0,640,480,0, 64,640,480:waittimer 625 blt 0,0,640,480,0, 66,640,480:waittimer 645 blt 0,0,640,480,0, 68,640,480:waittimer 665 blt 0,0,640,480,0, 70,640,480:waittimer 684 blt 0,0,640,480,0, 72,640,480:waittimer 704 blt 0,0,640,480,0, 74,640,480:waittimer 723 blt 0,0,640,480,0, 76,640,480:waittimer 743 blt 0,0,640,480,0, 78,640,480:waittimer 762 blt 0,0,640,480,0, 80,640,480:waittimer 782 blt 0,0,640,480,0, 82,640,480:waittimer 801 blt 0,0,640,480,0, 84,640,480:waittimer 821 blt 0,0,640,480,0, 86,640,480:waittimer 841 blt 0,0,640,480,0, 88,640,480:waittimer 860 blt 0,0,640,480,0, 90,640,480:waittimer 880 blt 0,0,640,480,0, 92,640,480:waittimer 899 blt 0,0,640,480,0, 94,640,480:waittimer 919 blt 0,0,640,480,0, 96,640,480:waittimer 938 blt 0,0,640,480,0, 98,640,480:waittimer 958 blt 0,0,640,480,0, 100,640,480:waittimer 977 blt 0,0,640,480,0, 102,640,480:waittimer 997 blt 0,0,640,480,0, 104,640,480:waittimer 1017 blt 0,0,640,480,0, 106,640,480:waittimer 1036 blt 0,0,640,480,0, 108,640,480:waittimer 1056 blt 0,0,640,480,0, 110,640,480:waittimer 1075 blt 0,0,640,480,0, 112,640,480:waittimer 1095 blt 0,0,640,480,0, 114,640,480:waittimer 1114 blt 0,0,640,480,0, 116,640,480:waittimer 1134 blt 0,0,640,480,0, 118,640,480:waittimer 1153 blt 0,0,640,480,0, 120,640,480:waittimer 1173 blt 0,0,640,480,0, 122,640,480:waittimer 1193 blt 0,0,640,480,0, 124,640,480:waittimer 1212 blt 0,0,640,480,0, 126,640,480:waittimer 1232 blt 0,0,640,480,0, 128,640,480:waittimer 1251 blt 0,0,640,480,0, 130,640,480:waittimer 1271 blt 0,0,640,480,0, 132,640,480:waittimer 1290 blt 0,0,640,480,0, 134,640,480:waittimer 1310 blt 0,0,640,480,0, 136,640,480:waittimer 1330 blt 0,0,640,480,0, 138,640,480:waittimer 1349 blt 0,0,640,480,0, 140,640,480:waittimer 1369 blt 0,0,640,480,0, 142,640,480:waittimer 1388 blt 0,0,640,480,0, 144,640,480:waittimer 1408 blt 0,0,640,480,0, 146,640,480:waittimer 1427 blt 0,0,640,480,0, 148,640,480:waittimer 1447 blt 0,0,640,480,0, 150,640,480:waittimer 1466 blt 0,0,640,480,0, 152,640,480:waittimer 1486 blt 0,0,640,480,0, 154,640,480:waittimer 1506 blt 0,0,640,480,0, 156,640,480:waittimer 1525 blt 0,0,640,480,0, 158,640,480:waittimer 1545 blt 0,0,640,480,0, 160,640,480:waittimer 1564 blt 0,0,640,480,0, 162,640,480:waittimer 1584 blt 0,0,640,480,0, 164,640,480:waittimer 1603 blt 0,0,640,480,0, 166,640,480:waittimer 1623 blt 0,0,640,480,0, 168,640,480:waittimer 1642 blt 0,0,640,480,0, 170,640,480:waittimer 1662 blt 0,0,640,480,0, 172,640,480:waittimer 1682 blt 0,0,640,480,0, 174,640,480:waittimer 1701 blt 0,0,640,480,0, 176,640,480:waittimer 1721 blt 0,0,640,480,0, 178,640,480:waittimer 1740 blt 0,0,640,480,0, 180,640,480:waittimer 1760 blt 0,0,640,480,0, 182,640,480:waittimer 1779 blt 0,0,640,480,0, 184,640,480:waittimer 1799 blt 0,0,640,480,0, 186,640,480:waittimer 1819 blt 0,0,640,480,0, 188,640,480:waittimer 1838 blt 0,0,640,480,0, 190,640,480:waittimer 1858 blt 0,0,640,480,0, 192,640,480:waittimer 1877 blt 0,0,640,480,0, 194,640,480:waittimer 1897 blt 0,0,640,480,0, 196,640,480:waittimer 1916 blt 0,0,640,480,0, 198,640,480:waittimer 1936 blt 0,0,640,480,0, 200,640,480:waittimer 1955 blt 0,0,640,480,0, 202,640,480:waittimer 1975 blt 0,0,640,480,0, 204,640,480:waittimer 1995 blt 0,0,640,480,0, 206,640,480:waittimer 2014 blt 0,0,640,480,0, 208,640,480:waittimer 2034 blt 0,0,640,480,0, 210,640,480:waittimer 2053 blt 0,0,640,480,0, 212,640,480:waittimer 2073 blt 0,0,640,480,0, 214,640,480:waittimer 2092 blt 0,0,640,480,0, 216,640,480:waittimer 2112 blt 0,0,640,480,0, 218,640,480:waittimer 2131 blt 0,0,640,480,0, 220,640,480:waittimer 2151 blt 0,0,640,480,0, 222,640,480:waittimer 2171 blt 0,0,640,480,0, 224,640,480:waittimer 2190 blt 0,0,640,480,0, 226,640,480:waittimer 2210 blt 0,0,640,480,0, 228,640,480:waittimer 2229 blt 0,0,640,480,0, 230,640,480:waittimer 2249 blt 0,0,640,480,0, 232,640,480:waittimer 2268 blt 0,0,640,480,0, 234,640,480:waittimer 2288 blt 0,0,640,480,0, 236,640,480:waittimer 2307 blt 0,0,640,480,0, 238,640,480:waittimer 2327 blt 0,0,640,480,0, 240,640,480:waittimer 2347 blt 0,0,640,480,0, 242,640,480:waittimer 2366 blt 0,0,640,480,0, 244,640,480:waittimer 2386 blt 0,0,640,480,0, 246,640,480:waittimer 2405 blt 0,0,640,480,0, 248,640,480:waittimer 2425 blt 0,0,640,480,0, 250,640,480:waittimer 2444 blt 0,0,640,480,0, 252,640,480:waittimer 2464 blt 0,0,640,480,0, 254,640,480:waittimer 2484 blt 0,0,640,480,0, 256,640,480:waittimer 2503 blt 0,0,640,480,0, 258,640,480:waittimer 2523 blt 0,0,640,480,0, 260,640,480:waittimer 2542 blt 0,0,640,480,0, 262,640,480:waittimer 2562 blt 0,0,640,480,0, 264,640,480:waittimer 2581 blt 0,0,640,480,0, 266,640,480:waittimer 2601 blt 0,0,640,480,0, 268,640,480:waittimer 2620 blt 0,0,640,480,0, 270,640,480:waittimer 2640 blt 0,0,640,480,0, 272,640,480:waittimer 2660 blt 0,0,640,480,0, 274,640,480:waittimer 2679 blt 0,0,640,480,0, 276,640,480:waittimer 2699 blt 0,0,640,480,0, 278,640,480:waittimer 2718 blt 0,0,640,480,0, 280,640,480:waittimer 2738 blt 0,0,640,480,0, 282,640,480:waittimer 2757 blt 0,0,640,480,0, 284,640,480:waittimer 2777 blt 0,0,640,480,0, 286,640,480:waittimer 2796 blt 0,0,640,480,0, 288,640,480:waittimer 2816 blt 0,0,640,480,0, 290,640,480:waittimer 2836 blt 0,0,640,480,0, 292,640,480:waittimer 2855 blt 0,0,640,480,0, 294,640,480:waittimer 2875 blt 0,0,640,480,0, 296,640,480:waittimer 2894 blt 0,0,640,480,0, 298,640,480:waittimer 2914 blt 0,0,640,480,0, 300,640,480:waittimer 2933 blt 0,0,640,480,0, 302,640,480:waittimer 2953 blt 0,0,640,480,0, 304,640,480:waittimer 2973 blt 0,0,640,480,0, 306,640,480:waittimer 2992 blt 0,0,640,480,0, 308,640,480:waittimer 3012 blt 0,0,640,480,0, 310,640,480:waittimer 3031 blt 0,0,640,480,0, 312,640,480:waittimer 3051 blt 0,0,640,480,0, 314,640,480:waittimer 3070 blt 0,0,640,480,0, 316,640,480:waittimer 3090 blt 0,0,640,480,0, 318,640,480:waittimer 3109 blt 0,0,640,480,0, 320,640,480:waittimer 3129 blt 0,0,640,480,0, 322,640,480:waittimer 3149 blt 0,0,640,480,0, 324,640,480:waittimer 3168 blt 0,0,640,480,0, 326,640,480:waittimer 3188 blt 0,0,640,480,0, 328,640,480:waittimer 3207 blt 0,0,640,480,0, 330,640,480:waittimer 3227 blt 0,0,640,480,0, 332,640,480:waittimer 3246 blt 0,0,640,480,0, 334,640,480:waittimer 3266 blt 0,0,640,480,0, 336,640,480:waittimer 3285 blt 0,0,640,480,0, 338,640,480:waittimer 3305 blt 0,0,640,480,0, 340,640,480:waittimer 3325 blt 0,0,640,480,0, 342,640,480:waittimer 3344 blt 0,0,640,480,0, 344,640,480:waittimer 3364 blt 0,0,640,480,0, 346,640,480:waittimer 3383 blt 0,0,640,480,0, 348,640,480:waittimer 3403 blt 0,0,640,480,0, 350,640,480:waittimer 3422 blt 0,0,640,480,0, 352,640,480:waittimer 3442 blt 0,0,640,480,0, 354,640,480:waittimer 3461 blt 0,0,640,480,0, 356,640,480:waittimer 3481 blt 0,0,640,480,0, 358,640,480:waittimer 3501 blt 0,0,640,480,0, 360,640,480:waittimer 3520 blt 0,0,640,480,0, 362,640,480:waittimer 3540 blt 0,0,640,480,0, 364,640,480:waittimer 3559 blt 0,0,640,480,0, 366,640,480:waittimer 3579 blt 0,0,640,480,0, 368,640,480:waittimer 3598 blt 0,0,640,480,0, 370,640,480:waittimer 3618 blt 0,0,640,480,0, 372,640,480:waittimer 3638 blt 0,0,640,480,0, 374,640,480:waittimer 3657 blt 0,0,640,480,0, 376,640,480:waittimer 3677 blt 0,0,640,480,0, 378,640,480:waittimer 3696 blt 0,0,640,480,0, 380,640,480:waittimer 3716 blt 0,0,640,480,0, 382,640,480:waittimer 3735 blt 0,0,640,480,0, 384,640,480:waittimer 3755 blt 0,0,640,480,0, 386,640,480:waittimer 3774 blt 0,0,640,480,0, 388,640,480:waittimer 3794 blt 0,0,640,480,0, 390,640,480:waittimer 3814 blt 0,0,640,480,0, 392,640,480:waittimer 3833 blt 0,0,640,480,0, 394,640,480:waittimer 3853 blt 0,0,640,480,0, 396,640,480:waittimer 3872 blt 0,0,640,480,0, 398,640,480:waittimer 3892 blt 0,0,640,480,0, 400,640,480:waittimer 3911 blt 0,0,640,480,0, 402,640,480:waittimer 3931 blt 0,0,640,480,0, 404,640,480:waittimer 3950 blt 0,0,640,480,0, 406,640,480:waittimer 3970 blt 0,0,640,480,0, 408,640,480:waittimer 3990 blt 0,0,640,480,0, 410,640,480:waittimer 4009 blt 0,0,640,480,0, 412,640,480:waittimer 4029 blt 0,0,640,480,0, 414,640,480:waittimer 4048 blt 0,0,640,480,0, 416,640,480:waittimer 4068 blt 0,0,640,480,0, 418,640,480:waittimer 4087 blt 0,0,640,480,0, 420,640,480:waittimer 4107 blt 0,0,640,480,0, 422,640,480:waittimer 4126 blt 0,0,640,480,0, 424,640,480:waittimer 4146 blt 0,0,640,480,0, 426,640,480:waittimer 4166 blt 0,0,640,480,0, 428,640,480:waittimer 4185 blt 0,0,640,480,0, 430,640,480:waittimer 4205 blt 0,0,640,480,0, 432,640,480:waittimer 4224 blt 0,0,640,480,0, 434,640,480:waittimer 4244 blt 0,0,640,480,0, 436,640,480:waittimer 4263 blt 0,0,640,480,0, 438,640,480:waittimer 4283 blt 0,0,640,480,0, 440,640,480:waittimer 4303 blt 0,0,640,480,0, 442,640,480:waittimer 4322 blt 0,0,640,480,0, 444,640,480:waittimer 4342 blt 0,0,640,480,0, 446,640,480:waittimer 4361 blt 0,0,640,480,0, 448,640,480:waittimer 4381 blt 0,0,640,480,0, 450,640,480:waittimer 4400 blt 0,0,640,480,0, 452,640,480:waittimer 4420 blt 0,0,640,480,0, 454,640,480:waittimer 4439 blt 0,0,640,480,0, 456,640,480:waittimer 4459 blt 0,0,640,480,0, 458,640,480:waittimer 4479 blt 0,0,640,480,0, 460,640,480:waittimer 4498 blt 0,0,640,480,0, 462,640,480:waittimer 4518 blt 0,0,640,480,0, 464,640,480:waittimer 4537 blt 0,0,640,480,0, 466,640,480:waittimer 4557 blt 0,0,640,480,0, 468,640,480:waittimer 4576 blt 0,0,640,480,0, 470,640,480:waittimer 4596 blt 0,0,640,480,0, 472,640,480:waittimer 4615 blt 0,0,640,480,0, 474,640,480:waittimer 4635 blt 0,0,640,480,0, 476,640,480:waittimer 4655 blt 0,0,640,480,0, 478,640,480:waittimer 4674 blt 0,0,640,480,0, 480,640,480:waittimer 4694 blt 0,0,640,480,0, 482,640,480:waittimer 4713 blt 0,0,640,480,0, 484,640,480:waittimer 4733 blt 0,0,640,480,0, 486,640,480:waittimer 4752 blt 0,0,640,480,0, 488,640,480:waittimer 4772 blt 0,0,640,480,0, 490,640,480:waittimer 4792 blt 0,0,640,480,0, 492,640,480:waittimer 4811 blt 0,0,640,480,0, 494,640,480:waittimer 4831 blt 0,0,640,480,0, 496,640,480:waittimer 4850 blt 0,0,640,480,0, 498,640,480:waittimer 4870 blt 0,0,640,480,0, 500,640,480:waittimer 4889 blt 0,0,640,480,0, 502,640,480:waittimer 4909 blt 0,0,640,480,0, 504,640,480:waittimer 4928 blt 0,0,640,480,0, 506,640,480:waittimer 4948 blt 0,0,640,480,0, 508,640,480:waittimer 4968 blt 0,0,640,480,0, 510,640,480:waittimer 4987 blt 0,0,640,480,0, 512,640,480:waittimer 5007 blt 0,0,640,480,0, 514,640,480:waittimer 5026 blt 0,0,640,480,0, 516,640,480:waittimer 5046 blt 0,0,640,480,0, 518,640,480:waittimer 5065 blt 0,0,640,480,0, 520,640,480:waittimer 5085 blt 0,0,640,480,0, 522,640,480:waittimer 5104 blt 0,0,640,480,0, 524,640,480:waittimer 5124 blt 0,0,640,480,0, 526,640,480:waittimer 5144 blt 0,0,640,480,0, 528,640,480:waittimer 5163 blt 0,0,640,480,0, 530,640,480:waittimer 5183 blt 0,0,640,480,0, 532,640,480:waittimer 5202 blt 0,0,640,480,0, 534,640,480:waittimer 5222 blt 0,0,640,480,0, 536,640,480:waittimer 5241 blt 0,0,640,480,0, 538,640,480:waittimer 5261 blt 0,0,640,480,0, 540,640,480:waittimer 5280 blt 0,0,640,480,0, 542,640,480:waittimer 5300 blt 0,0,640,480,0, 544,640,480:waittimer 5320 blt 0,0,640,480,0, 546,640,480:waittimer 5339 blt 0,0,640,480,0, 548,640,480:waittimer 5359 blt 0,0,640,480,0, 550,640,480:waittimer 5378 blt 0,0,640,480,0, 552,640,480:waittimer 5398 blt 0,0,640,480,0, 554,640,480:waittimer 5417 blt 0,0,640,480,0, 556,640,480:waittimer 5437 blt 0,0,640,480,0, 558,640,480:waittimer 5457 blt 0,0,640,480,0, 560,640,480:waittimer 5476 blt 0,0,640,480,0, 562,640,480:waittimer 5496 blt 0,0,640,480,0, 564,640,480:waittimer 5515 blt 0,0,640,480,0, 566,640,480:waittimer 5535 blt 0,0,640,480,0, 568,640,480:waittimer 5554 blt 0,0,640,480,0, 570,640,480:waittimer 5574 blt 0,0,640,480,0, 572,640,480:waittimer 5593 blt 0,0,640,480,0, 574,640,480:waittimer 5613 blt 0,0,640,480,0, 576,640,480:waittimer 5633 blt 0,0,640,480,0, 578,640,480:waittimer 5652 blt 0,0,640,480,0, 580,640,480:waittimer 5672 blt 0,0,640,480,0, 582,640,480:waittimer 5691 blt 0,0,640,480,0, 584,640,480:waittimer 5711 blt 0,0,640,480,0, 586,640,480:waittimer 5730 blt 0,0,640,480,0, 588,640,480:waittimer 5750 blt 0,0,640,480,0, 590,640,480:waittimer 5769 blt 0,0,640,480,0, 592,640,480:waittimer 5789 blt 0,0,640,480,0, 594,640,480:waittimer 5809 blt 0,0,640,480,0, 596,640,480:waittimer 5828 blt 0,0,640,480,0, 598,640,480:waittimer 5848 blt 0,0,640,480,0, 600,640,480:waittimer 5867 blt 0,0,640,480,0, 602,640,480:waittimer 5887 blt 0,0,640,480,0, 604,640,480:waittimer 5906 blt 0,0,640,480,0, 606,640,480:waittimer 5926 blt 0,0,640,480,0, 608,640,480:waittimer 5946 blt 0,0,640,480,0, 610,640,480:waittimer 5965 blt 0,0,640,480,0, 612,640,480:waittimer 5985 blt 0,0,640,480,0, 614,640,480:waittimer 6004 blt 0,0,640,480,0, 616,640,480:waittimer 6024 blt 0,0,640,480,0, 618,640,480:waittimer 6043 blt 0,0,640,480,0, 620,640,480:waittimer 6063 blt 0,0,640,480,0, 622,640,480:waittimer 6082 blt 0,0,640,480,0, 624,640,480:waittimer 6102 blt 0,0,640,480,0, 626,640,480:waittimer 6122 blt 0,0,640,480,0, 628,640,480:waittimer 6141 blt 0,0,640,480,0, 630,640,480:waittimer 6161 blt 0,0,640,480,0, 632,640,480:waittimer 6180 blt 0,0,640,480,0, 634,640,480:waittimer 6200 blt 0,0,640,480,0, 636,640,480:waittimer 6219 blt 0,0,640,480,0, 638,640,480:waittimer 6239 blt 0,0,640,480,0, 640,640,480:waittimer 6258 blt 0,0,640,480,0, 642,640,480:waittimer 6278 blt 0,0,640,480,0, 644,640,480:waittimer 6298 blt 0,0,640,480,0, 646,640,480:waittimer 6317 blt 0,0,640,480,0, 648,640,480:waittimer 6337 blt 0,0,640,480,0, 650,640,480:waittimer 6356 blt 0,0,640,480,0, 652,640,480:waittimer 6376 blt 0,0,640,480,0, 654,640,480:waittimer 6395 blt 0,0,640,480,0, 656,640,480:waittimer 6415 blt 0,0,640,480,0, 658,640,480:waittimer 6434 blt 0,0,640,480,0, 660,640,480:waittimer 6454 blt 0,0,640,480,0, 662,640,480:waittimer 6474 blt 0,0,640,480,0, 664,640,480:waittimer 6493 blt 0,0,640,480,0, 666,640,480:waittimer 6513 blt 0,0,640,480,0, 668,640,480:waittimer 6532 blt 0,0,640,480,0, 670,640,480:waittimer 6552 blt 0,0,640,480,0, 672,640,480:waittimer 6571 blt 0,0,640,480,0, 674,640,480:waittimer 6591 blt 0,0,640,480,0, 676,640,480:waittimer 6611 blt 0,0,640,480,0, 678,640,480:waittimer 6630 blt 0,0,640,480,0, 680,640,480:waittimer 6650 blt 0,0,640,480,0, 682,640,480:waittimer 6669 blt 0,0,640,480,0, 684,640,480:waittimer 6689 blt 0,0,640,480,0, 686,640,480:waittimer 6708 blt 0,0,640,480,0, 688,640,480:waittimer 6728 blt 0,0,640,480,0, 690,640,480:waittimer 6747 blt 0,0,640,480,0, 692,640,480:waittimer 6767 blt 0,0,640,480,0, 694,640,480:waittimer 6787 blt 0,0,640,480,0, 696,640,480:waittimer 6806 blt 0,0,640,480,0, 698,640,480:waittimer 6826 blt 0,0,640,480,0, 700,640,480:waittimer 6845 blt 0,0,640,480,0, 702,640,480:waittimer 6865 blt 0,0,640,480,0, 704,640,480:waittimer 6884 blt 0,0,640,480,0, 706,640,480:waittimer 6904 blt 0,0,640,480,0, 708,640,480:waittimer 6923 blt 0,0,640,480,0, 710,640,480:waittimer 6943 blt 0,0,640,480,0, 712,640,480:waittimer 6963 blt 0,0,640,480,0, 714,640,480:waittimer 6982 blt 0,0,640,480,0, 716,640,480:waittimer 7002 blt 0,0,640,480,0, 718,640,480:waittimer 7021 blt 0,0,640,480,0, 720,640,480:waittimer 7041 blt 0,0,640,480,0, 722,640,480:waittimer 7060 blt 0,0,640,480,0, 724,640,480:waittimer 7080 blt 0,0,640,480,0, 726,640,480:waittimer 7100 blt 0,0,640,480,0, 728,640,480:waittimer 7119 blt 0,0,640,480,0, 730,640,480:waittimer 7139 blt 0,0,640,480,0, 732,640,480:waittimer 7158 blt 0,0,640,480,0, 734,640,480:waittimer 7178 blt 0,0,640,480,0, 736,640,480:waittimer 7197 blt 0,0,640,480,0, 738,640,480:waittimer 7217 blt 0,0,640,480,0, 740,640,480:waittimer 7236 blt 0,0,640,480,0, 742,640,480:waittimer 7256 blt 0,0,640,480,0, 744,640,480:waittimer 7276 blt 0,0,640,480,0, 746,640,480:waittimer 7295 blt 0,0,640,480,0, 748,640,480:waittimer 7315 blt 0,0,640,480,0, 750,640,480:waittimer 7334 blt 0,0,640,480,0, 752,640,480:waittimer 7354 blt 0,0,640,480,0, 754,640,480:waittimer 7373 blt 0,0,640,480,0, 756,640,480:waittimer 7393 blt 0,0,640,480,0, 758,640,480:waittimer 7412 blt 0,0,640,480,0, 760,640,480:waittimer 7432 blt 0,0,640,480,0, 762,640,480:waittimer 7452 blt 0,0,640,480,0, 764,640,480:waittimer 7471 blt 0,0,640,480,0, 766,640,480:waittimer 7491 blt 0,0,640,480,0, 768,640,480:waittimer 7510 blt 0,0,640,480,0, 770,640,480:waittimer 7530 blt 0,0,640,480,0, 772,640,480:waittimer 7549 blt 0,0,640,480,0, 774,640,480:waittimer 7569 blt 0,0,640,480,0, 776,640,480:waittimer 7588 blt 0,0,640,480,0, 778,640,480:waittimer 7608 blt 0,0,640,480,0, 780,640,480:waittimer 7628 blt 0,0,640,480,0, 782,640,480:waittimer 7647 blt 0,0,640,480,0, 784,640,480:waittimer 7667 blt 0,0,640,480,0, 786,640,480:waittimer 7686 blt 0,0,640,480,0, 788,640,480:waittimer 7706 blt 0,0,640,480,0, 790,640,480:waittimer 7725 blt 0,0,640,480,0, 792,640,480:waittimer 7745 blt 0,0,640,480,0, 794,640,480:waittimer 7765 blt 0,0,640,480,0, 796,640,480:waittimer 7784 blt 0,0,640,480,0, 798,640,480:waittimer 7804 blt 0,0,640,480,0, 800,640,480:waittimer 7823 blt 0,0,640,480,0, 802,640,480:waittimer 7843 blt 0,0,640,480,0, 804,640,480:waittimer 7862 blt 0,0,640,480,0, 806,640,480:waittimer 7882 blt 0,0,640,480,0, 808,640,480:waittimer 7901 blt 0,0,640,480,0, 810,640,480:waittimer 7921 blt 0,0,640,480,0, 812,640,480:waittimer 7941 blt 0,0,640,480,0, 814,640,480:waittimer 7960 blt 0,0,640,480,0, 816,640,480:waittimer 7980 blt 0,0,640,480,0, 818,640,480:waittimer 7999 blt 0,0,640,480,0, 820,640,480:waittimer 8019 blt 0,0,640,480,0, 822,640,480:waittimer 8038 blt 0,0,640,480,0, 824,640,480:waittimer 8058 blt 0,0,640,480,0, 826,640,480:waittimer 8077 blt 0,0,640,480,0, 828,640,480:waittimer 8097 blt 0,0,640,480,0, 830,640,480:waittimer 8117 blt 0,0,640,480,0, 832,640,480:waittimer 8136 blt 0,0,640,480,0, 834,640,480:waittimer 8156 blt 0,0,640,480,0, 836,640,480:waittimer 8175 blt 0,0,640,480,0, 838,640,480:waittimer 8195 blt 0,0,640,480,0, 840,640,480:waittimer 8214 blt 0,0,640,480,0, 842,640,480:waittimer 8234 blt 0,0,640,480,0, 844,640,480:waittimer 8253 blt 0,0,640,480,0, 846,640,480:waittimer 8273 blt 0,0,640,480,0, 848,640,480:waittimer 8293 blt 0,0,640,480,0, 850,640,480:waittimer 8312 blt 0,0,640,480,0, 852,640,480:waittimer 8332 blt 0,0,640,480,0, 854,640,480:waittimer 8351 blt 0,0,640,480,0, 856,640,480:waittimer 8371 blt 0,0,640,480,0, 858,640,480:waittimer 8390 blt 0,0,640,480,0, 860,640,480:waittimer 8410 blt 0,0,640,480,0, 862,640,480:waittimer 8430 blt 0,0,640,480,0, 864,640,480:waittimer 8449 blt 0,0,640,480,0, 866,640,480:waittimer 8469 blt 0,0,640,480,0, 868,640,480:waittimer 8488 blt 0,0,640,480,0, 870,640,480:waittimer 8508 blt 0,0,640,480,0, 872,640,480:waittimer 8527 blt 0,0,640,480,0, 874,640,480:waittimer 8547 blt 0,0,640,480,0, 876,640,480:waittimer 8566 blt 0,0,640,480,0, 878,640,480:waittimer 8586 blt 0,0,640,480,0, 880,640,480:waittimer 8606 blt 0,0,640,480,0, 882,640,480:waittimer 8625 blt 0,0,640,480,0, 884,640,480:waittimer 8645 blt 0,0,640,480,0, 886,640,480:waittimer 8664 blt 0,0,640,480,0, 888,640,480:waittimer 8684 blt 0,0,640,480,0, 890,640,480:waittimer 8703 blt 0,0,640,480,0, 892,640,480:waittimer 8723 blt 0,0,640,480,0, 894,640,480:waittimer 8742 blt 0,0,640,480,0, 896,640,480:waittimer 8762 blt 0,0,640,480,0, 898,640,480:waittimer 8782 blt 0,0,640,480,0, 900,640,480:waittimer 8801 blt 0,0,640,480,0, 902,640,480:waittimer 8821 blt 0,0,640,480,0, 904,640,480:waittimer 8840 blt 0,0,640,480,0, 906,640,480:waittimer 8860 blt 0,0,640,480,0, 908,640,480:waittimer 8879 blt 0,0,640,480,0, 910,640,480:waittimer 8899 blt 0,0,640,480,0, 912,640,480:waittimer 8919 blt 0,0,640,480,0, 914,640,480:waittimer 8938 blt 0,0,640,480,0, 916,640,480:waittimer 8958 blt 0,0,640,480,0, 918,640,480:waittimer 8977 blt 0,0,640,480,0, 920,640,480:waittimer 8997 blt 0,0,640,480,0, 922,640,480:waittimer 9016 blt 0,0,640,480,0, 924,640,480:waittimer 9036 blt 0,0,640,480,0, 926,640,480:waittimer 9055 blt 0,0,640,480,0, 928,640,480:waittimer 9075 blt 0,0,640,480,0, 930,640,480:waittimer 9095 blt 0,0,640,480,0, 932,640,480:waittimer 9114 blt 0,0,640,480,0, 934,640,480:waittimer 9134 blt 0,0,640,480,0, 936,640,480:waittimer 9153 blt 0,0,640,480,0, 938,640,480:waittimer 9173 blt 0,0,640,480,0, 940,640,480:waittimer 9192 blt 0,0,640,480,0, 942,640,480:waittimer 9212 blt 0,0,640,480,0, 944,640,480:waittimer 9231 blt 0,0,640,480,0, 946,640,480:waittimer 9251 blt 0,0,640,480,0, 948,640,480:waittimer 9271 blt 0,0,640,480,0, 950,640,480:waittimer 9290 blt 0,0,640,480,0, 952,640,480:waittimer 9310 blt 0,0,640,480,0, 954,640,480:waittimer 9329 blt 0,0,640,480,0, 956,640,480:waittimer 9349 blt 0,0,640,480,0, 958,640,480:waittimer 9368 blt 0,0,640,480,0, 960,640,480:waittimer 9388 blt 0,0,640,480,0, 962,640,480:waittimer 9407 blt 0,0,640,480,0, 964,640,480:waittimer 9427 blt 0,0,640,480,0, 966,640,480:waittimer 9447 blt 0,0,640,480,0, 968,640,480:waittimer 9466 blt 0,0,640,480,0, 970,640,480:waittimer 9486 blt 0,0,640,480,0, 972,640,480:waittimer 9505 blt 0,0,640,480,0, 974,640,480:waittimer 9525 blt 0,0,640,480,0, 976,640,480:waittimer 9544 blt 0,0,640,480,0, 978,640,480:waittimer 9564 blt 0,0,640,480,0, 980,640,480:waittimer 9584 blt 0,0,640,480,0, 982,640,480:waittimer 9603 blt 0,0,640,480,0, 984,640,480:waittimer 9623 blt 0,0,640,480,0, 986,640,480:waittimer 9642 blt 0,0,640,480,0, 988,640,480:waittimer 9662 blt 0,0,640,480,0, 990,640,480:waittimer 9681 blt 0,0,640,480,0, 992,640,480:waittimer 9701 blt 0,0,640,480,0, 994,640,480:waittimer 9720 blt 0,0,640,480,0, 996,640,480:waittimer 9740 blt 0,0,640,480,0, 998,640,480:waittimer 9760 blt 0,0,640,480,0, 1000,640,480:waittimer 9779 blt 0,0,640,480,0, 1002,640,480:waittimer 9799 blt 0,0,640,480,0, 1004,640,480:waittimer 9818 blt 0,0,640,480,0, 1006,640,480:waittimer 9838 blt 0,0,640,480,0, 1008,640,480:waittimer 9857 blt 0,0,640,480,0, 1010,640,480:waittimer 9877 blt 0,0,640,480,0, 1012,640,480:waittimer 9896 blt 0,0,640,480,0, 1014,640,480:waittimer 9916 blt 0,0,640,480,0, 1016,640,480:waittimer 9936 blt 0,0,640,480,0, 1018,640,480:waittimer 9955 blt 0,0,640,480,0, 1020,640,480:waittimer 9975 blt 0,0,640,480,0, 1022,640,480:waittimer 9994 blt 0,0,640,480,0, 1024,640,480:waittimer 10014 blt 0,0,640,480,0, 1026,640,480:waittimer 10033 blt 0,0,640,480,0, 1028,640,480:waittimer 10053 blt 0,0,640,480,0, 1030,640,480:waittimer 10073 blt 0,0,640,480,0, 1032,640,480:waittimer 10092 blt 0,0,640,480,0, 1034,640,480:waittimer 10112 blt 0,0,640,480,0, 1036,640,480:waittimer 10131 blt 0,0,640,480,0, 1038,640,480:waittimer 10151 blt 0,0,640,480,0, 1040,640,480:waittimer 10170 blt 0,0,640,480,0, 1042,640,480:waittimer 10190 blt 0,0,640,480,0, 1044,640,480:waittimer 10209 blt 0,0,640,480,0, 1046,640,480:waittimer 10229 blt 0,0,640,480,0, 1048,640,480:waittimer 10249 blt 0,0,640,480,0, 1050,640,480:waittimer 10268 blt 0,0,640,480,0, 1052,640,480:waittimer 10288 blt 0,0,640,480,0, 1054,640,480:waittimer 10307 blt 0,0,640,480,0, 1056,640,480:waittimer 10327 blt 0,0,640,480,0, 1058,640,480:waittimer 10346 blt 0,0,640,480,0, 1060,640,480:waittimer 10366 blt 0,0,640,480,0, 1062,640,480:waittimer 10385 blt 0,0,640,480,0, 1064,640,480:waittimer 10405 blt 0,0,640,480,0, 1066,640,480:waittimer 10425 blt 0,0,640,480,0, 1068,640,480:waittimer 10444 blt 0,0,640,480,0, 1070,640,480:waittimer 10464 blt 0,0,640,480,0, 1072,640,480:waittimer 10483 blt 0,0,640,480,0, 1074,640,480:waittimer 10503 blt 0,0,640,480,0, 1076,640,480:waittimer 10522 blt 0,0,640,480,0, 1078,640,480:waittimer 10542 blt 0,0,640,480,0, 1080,640,480:waittimer 10561 blt 0,0,640,480,0, 1082,640,480:waittimer 10581 blt 0,0,640,480,0, 1084,640,480:waittimer 10601 blt 0,0,640,480,0, 1086,640,480:waittimer 10620 blt 0,0,640,480,0, 1088,640,480:waittimer 10640 blt 0,0,640,480,0, 1090,640,480:waittimer 10659 blt 0,0,640,480,0, 1092,640,480:waittimer 10679 blt 0,0,640,480,0, 1094,640,480:waittimer 10698 blt 0,0,640,480,0, 1096,640,480:waittimer 10718 blt 0,0,640,480,0, 1098,640,480:waittimer 10738 blt 0,0,640,480,0, 1100,640,480:waittimer 10757 blt 0,0,640,480,0, 1102,640,480:waittimer 10777 blt 0,0,640,480,0, 1104,640,480:waittimer 10796 blt 0,0,640,480,0, 1106,640,480:waittimer 10816 blt 0,0,640,480,0, 1108,640,480:waittimer 10835 blt 0,0,640,480,0, 1110,640,480:waittimer 10855 blt 0,0,640,480,0, 1112,640,480:waittimer 10874 blt 0,0,640,480,0, 1114,640,480:waittimer 10894 blt 0,0,640,480,0, 1116,640,480:waittimer 10914 blt 0,0,640,480,0, 1118,640,480:waittimer 10933 blt 0,0,640,480,0, 1120,640,480:waittimer 10953 blt 0,0,640,480,0, 1122,640,480:waittimer 10972 blt 0,0,640,480,0, 1124,640,480:waittimer 10992 blt 0,0,640,480,0, 1126,640,480:waittimer 11011 blt 0,0,640,480,0, 1128,640,480:waittimer 11031 blt 0,0,640,480,0, 1130,640,480:waittimer 11050 blt 0,0,640,480,0, 1132,640,480:waittimer 11070 blt 0,0,640,480,0, 1134,640,480:waittimer 11090 blt 0,0,640,480,0, 1136,640,480:waittimer 11109 blt 0,0,640,480,0, 1138,640,480:waittimer 11129 blt 0,0,640,480,0, 1140,640,480:waittimer 11148 blt 0,0,640,480,0, 1142,640,480:waittimer 11168 blt 0,0,640,480,0, 1144,640,480:waittimer 11187 blt 0,0,640,480,0, 1146,640,480:waittimer 11207 blt 0,0,640,480,0, 1148,640,480:waittimer 11226 blt 0,0,640,480,0, 1150,640,480:waittimer 11246 blt 0,0,640,480,0, 1152,640,480:waittimer 11266 blt 0,0,640,480,0, 1154,640,480:waittimer 11285 blt 0,0,640,480,0, 1156,640,480:waittimer 11305 blt 0,0,640,480,0, 1158,640,480:waittimer 11324 blt 0,0,640,480,0, 1160,640,480:waittimer 11344 blt 0,0,640,480,0, 1162,640,480:waittimer 11363 blt 0,0,640,480,0, 1164,640,480:waittimer 11383 blt 0,0,640,480,0, 1166,640,480:waittimer 11403 blt 0,0,640,480,0, 1168,640,480:waittimer 11422 blt 0,0,640,480,0, 1170,640,480:waittimer 11442 blt 0,0,640,480,0, 1172,640,480:waittimer 11461 blt 0,0,640,480,0, 1174,640,480:waittimer 11481 blt 0,0,640,480,0, 1176,640,480:waittimer 11500 blt 0,0,640,480,0, 1178,640,480:waittimer 11520 blt 0,0,640,480,0, 1180,640,480:waittimer 11539 blt 0,0,640,480,0, 1182,640,480:waittimer 11559 blt 0,0,640,480,0, 1184,640,480:waittimer 11579 blt 0,0,640,480,0, 1186,640,480:waittimer 11598 blt 0,0,640,480,0, 1188,640,480:waittimer 11618 blt 0,0,640,480,0, 1190,640,480:waittimer 11637 blt 0,0,640,480,0, 1192,640,480:waittimer 11657 blt 0,0,640,480,0, 1194,640,480:waittimer 11676 blt 0,0,640,480,0, 1196,640,480:waittimer 11696 blt 0,0,640,480,0, 1198,640,480:waittimer 11715 blt 0,0,640,480,0, 1200,640,480:waittimer 11735 blt 0,0,640,480,0, 1202,640,480:waittimer 11755 blt 0,0,640,480,0, 1204,640,480:waittimer 11774 blt 0,0,640,480,0, 1206,640,480:waittimer 11794 blt 0,0,640,480,0, 1208,640,480:waittimer 11813 blt 0,0,640,480,0, 1210,640,480:waittimer 11833 blt 0,0,640,480,0, 1212,640,480:waittimer 11852 blt 0,0,640,480,0, 1214,640,480:waittimer 11872 blt 0,0,640,480,0, 1216,640,480:waittimer 11892 blt 0,0,640,480,0, 1218,640,480:waittimer 11911 blt 0,0,640,480,0, 1220,640,480:waittimer 11931 blt 0,0,640,480,0, 1222,640,480:waittimer 11950 blt 0,0,640,480,0, 1224,640,480:waittimer 11970 blt 0,0,640,480,0, 1226,640,480:waittimer 11989 blt 0,0,640,480,0, 1228,640,480:waittimer 12009 blt 0,0,640,480,0, 1230,640,480:waittimer 12028 blt 0,0,640,480,0, 1232,640,480:waittimer 12048 blt 0,0,640,480,0, 1234,640,480:waittimer 12068 blt 0,0,640,480,0, 1236,640,480:waittimer 12087 blt 0,0,640,480,0, 1238,640,480:waittimer 12107 blt 0,0,640,480,0, 1240,640,480:waittimer 12126 blt 0,0,640,480,0, 1242,640,480:waittimer 12146 blt 0,0,640,480,0, 1244,640,480:waittimer 12165 blt 0,0,640,480,0, 1246,640,480:waittimer 12185 blt 0,0,640,480,0, 1248,640,480:waittimer 12204 blt 0,0,640,480,0, 1250,640,480:waittimer 12224 blt 0,0,640,480,0, 1252,640,480:waittimer 12244 blt 0,0,640,480,0, 1254,640,480:waittimer 12263 blt 0,0,640,480,0, 1256,640,480:waittimer 12283 blt 0,0,640,480,0, 1258,640,480:waittimer 12302 blt 0,0,640,480,0, 1260,640,480:waittimer 12322 blt 0,0,640,480,0, 1262,640,480:waittimer 12341 blt 0,0,640,480,0, 1264,640,480:waittimer 12361 blt 0,0,640,480,0, 1266,640,480:waittimer 12380 blt 0,0,640,480,0, 1268,640,480:waittimer 12400 blt 0,0,640,480,0, 1270,640,480:waittimer 12420 blt 0,0,640,480,0, 1272,640,480:waittimer 12439 blt 0,0,640,480,0, 1274,640,480:waittimer 12459 blt 0,0,640,480,0, 1276,640,480:waittimer 12478 blt 0,0,640,480,0, 1278,640,480:waittimer 12498 blt 0,0,640,480,0, 1280,640,480:waittimer 12517 blt 0,0,640,480,0, 1282,640,480:waittimer 12537 blt 0,0,640,480,0, 1284,640,480:waittimer 12557 blt 0,0,640,480,0, 1286,640,480:waittimer 12576 blt 0,0,640,480,0, 1288,640,480:waittimer 12596 blt 0,0,640,480,0, 1290,640,480:waittimer 12615 blt 0,0,640,480,0, 1292,640,480:waittimer 12635 blt 0,0,640,480,0, 1294,640,480:waittimer 12654 blt 0,0,640,480,0, 1296,640,480:waittimer 12674 blt 0,0,640,480,0, 1298,640,480:waittimer 12693 blt 0,0,640,480,0, 1300,640,480:waittimer 12713 blt 0,0,640,480,0, 1302,640,480:waittimer 12733 blt 0,0,640,480,0, 1304,640,480:waittimer 12752 blt 0,0,640,480,0, 1306,640,480:waittimer 12772 blt 0,0,640,480,0, 1308,640,480:waittimer 12791 blt 0,0,640,480,0, 1310,640,480:waittimer 12811 blt 0,0,640,480,0, 1312,640,480:waittimer 12830 blt 0,0,640,480,0, 1314,640,480:waittimer 12850 blt 0,0,640,480,0, 1316,640,480:waittimer 12869 blt 0,0,640,480,0, 1318,640,480:waittimer 12889 blt 0,0,640,480,0, 1320,640,480:waittimer 12909 blt 0,0,640,480,0, 1322,640,480:waittimer 12928 blt 0,0,640,480,0, 1324,640,480:waittimer 12948 blt 0,0,640,480,0, 1326,640,480:waittimer 12967 blt 0,0,640,480,0, 1328,640,480:waittimer 12987 blt 0,0,640,480,0, 1330,640,480:waittimer 13006 blt 0,0,640,480,0, 1332,640,480:waittimer 13026 blt 0,0,640,480,0, 1334,640,480:waittimer 13046 blt 0,0,640,480,0, 1336,640,480:waittimer 13065 blt 0,0,640,480,0, 1338,640,480:waittimer 13085 blt 0,0,640,480,0, 1340,640,480:waittimer 13104 blt 0,0,640,480,0, 1342,640,480:waittimer 13124 blt 0,0,640,480,0, 1344,640,480:waittimer 13143 blt 0,0,640,480,0, 1346,640,480:waittimer 13163 blt 0,0,640,480,0, 1348,640,480:waittimer 13182 blt 0,0,640,480,0, 1350,640,480:waittimer 13202 blt 0,0,640,480,0, 1352,640,480:waittimer 13222 blt 0,0,640,480,0, 1354,640,480:waittimer 13241 blt 0,0,640,480,0, 1356,640,480:waittimer 13261 blt 0,0,640,480,0, 1358,640,480:waittimer 13280 blt 0,0,640,480,0, 1360,640,480:waittimer 13300 blt 0,0,640,480,0, 1362,640,480:waittimer 13319 blt 0,0,640,480,0, 1364,640,480:waittimer 13339 blt 0,0,640,480,0, 1366,640,480:waittimer 13358 blt 0,0,640,480,0, 1368,640,480:waittimer 13378 blt 0,0,640,480,0, 1370,640,480:waittimer 13398 blt 0,0,640,480,0, 1372,640,480:waittimer 13417 blt 0,0,640,480,0, 1374,640,480:waittimer 13437 blt 0,0,640,480,0, 1376,640,480:waittimer 13456 blt 0,0,640,480,0, 1378,640,480:waittimer 13476 blt 0,0,640,480,0, 1380,640,480:waittimer 13495 blt 0,0,640,480,0, 1382,640,480:waittimer 13515 blt 0,0,640,480,0, 1384,640,480:waittimer 13534 blt 0,0,640,480,0, 1386,640,480:waittimer 13554 blt 0,0,640,480,0, 1388,640,480:waittimer 13574 blt 0,0,640,480,0, 1390,640,480:waittimer 13593 blt 0,0,640,480,0, 1392,640,480:waittimer 13613 blt 0,0,640,480,0, 1394,640,480:waittimer 13632 blt 0,0,640,480,0, 1396,640,480:waittimer 13652 blt 0,0,640,480,0, 1398,640,480:waittimer 13671 blt 0,0,640,480,0, 1400,640,480:waittimer 13691 blt 0,0,640,480,0, 1402,640,480:waittimer 13711 blt 0,0,640,480,0, 1404,640,480:waittimer 13730 blt 0,0,640,480,0, 1406,640,480:waittimer 13750 blt 0,0,640,480,0, 1408,640,480:waittimer 13769 blt 0,0,640,480,0, 1410,640,480:waittimer 13789 blt 0,0,640,480,0, 1412,640,480:waittimer 13808 blt 0,0,640,480,0, 1414,640,480:waittimer 13828 blt 0,0,640,480,0, 1416,640,480:waittimer 13847 blt 0,0,640,480,0, 1418,640,480:waittimer 13867 blt 0,0,640,480,0, 1420,640,480:waittimer 13887 blt 0,0,640,480,0, 1422,640,480:waittimer 13906 blt 0,0,640,480,0, 1424,640,480:waittimer 13926 blt 0,0,640,480,0, 1426,640,480:waittimer 13945 blt 0,0,640,480,0, 1428,640,480:waittimer 13965 blt 0,0,640,480,0, 1430,640,480:waittimer 13984 blt 0,0,640,480,0, 1432,640,480:waittimer 14004 blt 0,0,640,480,0, 1434,640,480:waittimer 14023 blt 0,0,640,480,0, 1436,640,480:waittimer 14043 blt 0,0,640,480,0, 1438,640,480:waittimer 14063 blt 0,0,640,480,0, 1440,640,480:waittimer 14082 blt 0,0,640,480,0, 1442,640,480:waittimer 14102 blt 0,0,640,480,0, 1444,640,480:waittimer 14121 blt 0,0,640,480,0, 1446,640,480:waittimer 14141 blt 0,0,640,480,0, 1448,640,480:waittimer 14160 blt 0,0,640,480,0, 1450,640,480:waittimer 14180 blt 0,0,640,480,0, 1452,640,480:waittimer 14200 blt 0,0,640,480,0, 1454,640,480:waittimer 14219 blt 0,0,640,480,0, 1456,640,480:waittimer 14239 blt 0,0,640,480,0, 1458,640,480:waittimer 14258 blt 0,0,640,480,0, 1460,640,480:waittimer 14278 blt 0,0,640,480,0, 1462,640,480:waittimer 14297 blt 0,0,640,480,0, 1464,640,480:waittimer 14317 blt 0,0,640,480,0, 1466,640,480:waittimer 14336 blt 0,0,640,480,0, 1468,640,480:waittimer 14356 blt 0,0,640,480,0, 1470,640,480:waittimer 14376 blt 0,0,640,480,0, 1472,640,480:waittimer 14395 blt 0,0,640,480,0, 1474,640,480:waittimer 14415 blt 0,0,640,480,0, 1476,640,480:waittimer 14434 blt 0,0,640,480,0, 1478,640,480:waittimer 14454 blt 0,0,640,480,0, 1480,640,480:waittimer 14473 blt 0,0,640,480,0, 1482,640,480:waittimer 14493 blt 0,0,640,480,0, 1484,640,480:waittimer 14512 blt 0,0,640,480,0, 1486,640,480:waittimer 14532 blt 0,0,640,480,0, 1488,640,480:waittimer 14552 blt 0,0,640,480,0, 1490,640,480:waittimer 14571 blt 0,0,640,480,0, 1492,640,480:waittimer 14591 blt 0,0,640,480,0, 1494,640,480:waittimer 14610 blt 0,0,640,480,0, 1496,640,480:waittimer 14630 blt 0,0,640,480,0, 1498,640,480:waittimer 14649 blt 0,0,640,480,0, 1500,640,480:waittimer 14669 blt 0,0,640,480,0, 1502,640,480:waittimer 14688 blt 0,0,640,480,0, 1504,640,480:waittimer 14708 blt 0,0,640,480,0, 1506,640,480:waittimer 14728 blt 0,0,640,480,0, 1508,640,480:waittimer 14747 blt 0,0,640,480,0, 1510,640,480:waittimer 14767 blt 0,0,640,480,0, 1512,640,480:waittimer 14786 blt 0,0,640,480,0, 1514,640,480:waittimer 14806 blt 0,0,640,480,0, 1516,640,480:waittimer 14825 blt 0,0,640,480,0, 1518,640,480:waittimer 14845 blt 0,0,640,480,0, 1520,640,480:waittimer 14865 blt 0,0,640,480,0, 1522,640,480:waittimer 14884 blt 0,0,640,480,0, 1524,640,480:waittimer 14904 blt 0,0,640,480,0, 1526,640,480:waittimer 14923 blt 0,0,640,480,0, 1528,640,480:waittimer 14943 blt 0,0,640,480,0, 1530,640,480:waittimer 14962 blt 0,0,640,480,0, 1532,640,480:waittimer 14982 blt 0,0,640,480,0, 1534,640,480:waittimer 15001 blt 0,0,640,480,0, 1536,640,480:waittimer 15021 blt 0,0,640,480,0, 1538,640,480:waittimer 15041 blt 0,0,640,480,0, 1540,640,480:waittimer 15060 blt 0,0,640,480,0, 1542,640,480:waittimer 15080 blt 0,0,640,480,0, 1544,640,480:waittimer 15099 blt 0,0,640,480,0, 1546,640,480:waittimer 15119 blt 0,0,640,480,0, 1548,640,480:waittimer 15138 blt 0,0,640,480,0, 1550,640,480:waittimer 15158 blt 0,0,640,480,0, 1552,640,480:waittimer 15177 blt 0,0,640,480,0, 1554,640,480:waittimer 15197 blt 0,0,640,480,0, 1556,640,480:waittimer 15217 blt 0,0,640,480,0, 1558,640,480:waittimer 15236 blt 0,0,640,480,0, 1560,640,480:waittimer 15256 blt 0,0,640,480,0, 1562,640,480:waittimer 15275 blt 0,0,640,480,0, 1564,640,480:waittimer 15295 blt 0,0,640,480,0, 1566,640,480:waittimer 15314 blt 0,0,640,480,0, 1568,640,480:waittimer 15334 blt 0,0,640,480,0, 1570,640,480:waittimer 15353 blt 0,0,640,480,0, 1572,640,480:waittimer 15373 blt 0,0,640,480,0, 1574,640,480:waittimer 15393 blt 0,0,640,480,0, 1576,640,480:waittimer 15412 blt 0,0,640,480,0, 1578,640,480:waittimer 15432 blt 0,0,640,480,0, 1580,640,480:waittimer 15451 blt 0,0,640,480,0, 1582,640,480:waittimer 15471 blt 0,0,640,480,0, 1584,640,480:waittimer 15490 blt 0,0,640,480,0, 1586,640,480:waittimer 15510 blt 0,0,640,480,0, 1588,640,480:waittimer 15530 blt 0,0,640,480,0, 1590,640,480:waittimer 15549 blt 0,0,640,480,0, 1592,640,480:waittimer 15569 blt 0,0,640,480,0, 1594,640,480:waittimer 15588 blt 0,0,640,480,0, 1596,640,480:waittimer 15608 blt 0,0,640,480,0, 1598,640,480:waittimer 15627 blt 0,0,640,480,0, 1600,640,480:waittimer 15647 blt 0,0,640,480,0, 1602,640,480:waittimer 15666 blt 0,0,640,480,0, 1604,640,480:waittimer 15686 blt 0,0,640,480,0, 1606,640,480:waittimer 15706 blt 0,0,640,480,0, 1608,640,480:waittimer 15725 blt 0,0,640,480,0, 1610,640,480:waittimer 15745 blt 0,0,640,480,0, 1612,640,480:waittimer 15764 blt 0,0,640,480,0, 1614,640,480:waittimer 15784 blt 0,0,640,480,0, 1616,640,480:waittimer 15803 blt 0,0,640,480,0, 1618,640,480:waittimer 15823 blt 0,0,640,480,0, 1620,640,480:waittimer 15842 blt 0,0,640,480,0, 1622,640,480:waittimer 15862 blt 0,0,640,480,0, 1624,640,480:waittimer 15882 blt 0,0,640,480,0, 1626,640,480:waittimer 15901 blt 0,0,640,480,0, 1628,640,480:waittimer 15921 blt 0,0,640,480,0, 1630,640,480:waittimer 15940 blt 0,0,640,480,0, 1632,640,480:waittimer 15960 blt 0,0,640,480,0, 1634,640,480:waittimer 15979 blt 0,0,640,480,0, 1636,640,480:waittimer 15999 blt 0,0,640,480,0, 1638,640,480:waittimer 16019 blt 0,0,640,480,0, 1640,640,480:waittimer 16038 blt 0,0,640,480,0, 1642,640,480:waittimer 16058 blt 0,0,640,480,0, 1644,640,480:waittimer 16077 blt 0,0,640,480,0, 1646,640,480:waittimer 16097 blt 0,0,640,480,0, 1648,640,480:waittimer 16116 blt 0,0,640,480,0, 1650,640,480:waittimer 16136 blt 0,0,640,480,0, 1652,640,480:waittimer 16155 blt 0,0,640,480,0, 1654,640,480:waittimer 16175 blt 0,0,640,480,0, 1656,640,480:waittimer 16195 blt 0,0,640,480,0, 1658,640,480:waittimer 16214 blt 0,0,640,480,0, 1660,640,480:waittimer 16234 blt 0,0,640,480,0, 1662,640,480:waittimer 16253 blt 0,0,640,480,0, 1664,640,480:waittimer 16273 blt 0,0,640,480,0, 1666,640,480:waittimer 16292 blt 0,0,640,480,0, 1668,640,480:waittimer 16312 blt 0,0,640,480,0, 1670,640,480:waittimer 16331 blt 0,0,640,480,0, 1672,640,480:waittimer 16351 blt 0,0,640,480,0, 1674,640,480:waittimer 16371 blt 0,0,640,480,0, 1676,640,480:waittimer 16390 blt 0,0,640,480,0, 1678,640,480:waittimer 16410 blt 0,0,640,480,0, 1680,640,480:waittimer 16429 blt 0,0,640,480,0, 1682,640,480:waittimer 16449 blt 0,0,640,480,0, 1684,640,480:waittimer 16468 blt 0,0,640,480,0, 1686,640,480:waittimer 16488 blt 0,0,640,480,0, 1688,640,480:waittimer 16507 blt 0,0,640,480,0, 1690,640,480:waittimer 16527 blt 0,0,640,480,0, 1692,640,480:waittimer 16547 blt 0,0,640,480,0, 1694,640,480:waittimer 16566 blt 0,0,640,480,0, 1696,640,480:waittimer 16586 blt 0,0,640,480,0, 1698,640,480:waittimer 16605 blt 0,0,640,480,0, 1700,640,480:waittimer 16625 blt 0,0,640,480,0, 1702,640,480:waittimer 16644 blt 0,0,640,480,0, 1704,640,480:waittimer 16664 blt 0,0,640,480,0, 1706,640,480:waittimer 16684 blt 0,0,640,480,0, 1708,640,480:waittimer 16703 blt 0,0,640,480,0, 1710,640,480:waittimer 16723 blt 0,0,640,480,0, 1712,640,480:waittimer 16742 blt 0,0,640,480,0, 1714,640,480:waittimer 16762 blt 0,0,640,480,0, 1716,640,480:waittimer 16781 blt 0,0,640,480,0, 1718,640,480:waittimer 16801 blt 0,0,640,480,0, 1720,640,480:waittimer 16820 blt 0,0,640,480,0, 1722,640,480:waittimer 16840 blt 0,0,640,480,0, 1724,640,480:waittimer 16860 blt 0,0,640,480,0, 1726,640,480:waittimer 16879 blt 0,0,640,480,0, 1728,640,480:waittimer 16899 blt 0,0,640,480,0, 1730,640,480:waittimer 16918 blt 0,0,640,480,0, 1732,640,480:waittimer 16938 blt 0,0,640,480,0, 1734,640,480:waittimer 16957 blt 0,0,640,480,0, 1736,640,480:waittimer 16977 blt 0,0,640,480,0, 1738,640,480:waittimer 16996 blt 0,0,640,480,0, 1740,640,480:waittimer 17016 blt 0,0,640,480,0, 1742,640,480:waittimer 17036 blt 0,0,640,480,0, 1744,640,480:waittimer 17055 blt 0,0,640,480,0, 1746,640,480:waittimer 17075 blt 0,0,640,480,0, 1748,640,480:waittimer 17094 blt 0,0,640,480,0, 1750,640,480:waittimer 17114 blt 0,0,640,480,0, 1752,640,480:waittimer 17133 blt 0,0,640,480,0, 1754,640,480:waittimer 17153 blt 0,0,640,480,0, 1756,640,480:waittimer 17173 blt 0,0,640,480,0, 1758,640,480:waittimer 17192 blt 0,0,640,480,0, 1760,640,480:waittimer 17212 blt 0,0,640,480,0, 1762,640,480:waittimer 17231 blt 0,0,640,480,0, 1764,640,480:waittimer 17251 blt 0,0,640,480,0, 1766,640,480:waittimer 17270 blt 0,0,640,480,0, 1768,640,480:waittimer 17290 blt 0,0,640,480,0, 1770,640,480:waittimer 17309 blt 0,0,640,480,0, 1772,640,480:waittimer 17329 blt 0,0,640,480,0, 1774,640,480:waittimer 17349 blt 0,0,640,480,0, 1776,640,480:waittimer 17368 blt 0,0,640,480,0, 1778,640,480:waittimer 17388 blt 0,0,640,480,0, 1780,640,480:waittimer 17407 blt 0,0,640,480,0, 1782,640,480:waittimer 17427 blt 0,0,640,480,0, 1784,640,480:waittimer 17446 blt 0,0,640,480,0, 1786,640,480:waittimer 17466 blt 0,0,640,480,0, 1788,640,480:waittimer 17485 blt 0,0,640,480,0, 1790,640,480:waittimer 17505 blt 0,0,640,480,0, 1792,640,480:waittimer 17525 blt 0,0,640,480,0, 1794,640,480:waittimer 17544 blt 0,0,640,480,0, 1796,640,480:waittimer 17564 blt 0,0,640,480,0, 1798,640,480:waittimer 17583 blt 0,0,640,480,0, 1800,640,480:waittimer 17603 blt 0,0,640,480,0, 1802,640,480:waittimer 17622 blt 0,0,640,480,0, 1804,640,480:waittimer 17642 blt 0,0,640,480,0, 1806,640,480:waittimer 17661 blt 0,0,640,480,0, 1808,640,480:waittimer 17681 blt 0,0,640,480,0, 1810,640,480:waittimer 17701 blt 0,0,640,480,0, 1812,640,480:waittimer 17720 blt 0,0,640,480,0, 1814,640,480:waittimer 17740 blt 0,0,640,480,0, 1816,640,480:waittimer 17759 blt 0,0,640,480,0, 1818,640,480:waittimer 17779 blt 0,0,640,480,0, 1820,640,480:waittimer 17798 blt 0,0,640,480,0, 1822,640,480:waittimer 17818 blt 0,0,640,480,0, 1824,640,480:waittimer 17838 blt 0,0,640,480,0, 1826,640,480:waittimer 17857 blt 0,0,640,480,0, 1828,640,480:waittimer 17877 blt 0,0,640,480,0, 1830,640,480:waittimer 17896 blt 0,0,640,480,0, 1832,640,480:waittimer 17916 blt 0,0,640,480,0, 1834,640,480:waittimer 17935 blt 0,0,640,480,0, 1836,640,480:waittimer 17955 blt 0,0,640,480,0, 1838,640,480:waittimer 17974 blt 0,0,640,480,0, 1840,640,480:waittimer 17994 blt 0,0,640,480,0, 1842,640,480:waittimer 18014 blt 0,0,640,480,0, 1844,640,480:waittimer 18033 blt 0,0,640,480,0, 1846,640,480:waittimer 18053 blt 0,0,640,480,0, 1848,640,480:waittimer 18072 blt 0,0,640,480,0, 1850,640,480:waittimer 18092 blt 0,0,640,480,0, 1852,640,480:waittimer 18111 blt 0,0,640,480,0, 1854,640,480:waittimer 18131 blt 0,0,640,480,0, 1856,640,480:waittimer 18150 blt 0,0,640,480,0, 1858,640,480:waittimer 18170 blt 0,0,640,480,0, 1860,640,480:waittimer 18190 blt 0,0,640,480,0, 1862,640,480:waittimer 18209 blt 0,0,640,480,0, 1864,640,480:waittimer 18229 blt 0,0,640,480,0, 1866,640,480:waittimer 18248 blt 0,0,640,480,0, 1868,640,480:waittimer 18268 blt 0,0,640,480,0, 1870,640,480:waittimer 18287 blt 0,0,640,480,0, 1872,640,480:waittimer 18307 blt 0,0,640,480,0, 1874,640,480:waittimer 18326 blt 0,0,640,480,0, 1876,640,480:waittimer 18346 blt 0,0,640,480,0, 1878,640,480:waittimer 18366 blt 0,0,640,480,0, 1880,640,480:waittimer 18385 blt 0,0,640,480,0, 1882,640,480:waittimer 18405 blt 0,0,640,480,0, 1884,640,480:waittimer 18424 blt 0,0,640,480,0, 1886,640,480:waittimer 18444 blt 0,0,640,480,0, 1888,640,480:waittimer 18463 blt 0,0,640,480,0, 1890,640,480:waittimer 18483 blt 0,0,640,480,0, 1892,640,480:waittimer 18503 blt 0,0,640,480,0, 1894,640,480:waittimer 18522 blt 0,0,640,480,0, 1896,640,480:waittimer 18542 blt 0,0,640,480,0, 1898,640,480:waittimer 18561 blt 0,0,640,480,0, 1900,640,480:waittimer 18581 blt 0,0,640,480,0, 1902,640,480:waittimer 18600 blt 0,0,640,480,0, 1904,640,480:waittimer 18620 blt 0,0,640,480,0, 1906,640,480:waittimer 18639 blt 0,0,640,480,0, 1908,640,480:waittimer 18659 blt 0,0,640,480,0, 1910,640,480:waittimer 18679 blt 0,0,640,480,0, 1912,640,480:waittimer 18698 blt 0,0,640,480,0, 1914,640,480:waittimer 18718 blt 0,0,640,480,0, 1916,640,480:waittimer 18737 blt 0,0,640,480,0, 1918,640,480:waittimer 18757 blt 0,0,640,480,0, 1920,640,480:waittimer 18776 blt 0,0,640,480,0, 1922,640,480:waittimer 18796 blt 0,0,640,480,0, 1924,640,480:waittimer 18815 blt 0,0,640,480,0, 1926,640,480:waittimer 18835 blt 0,0,640,480,0, 1928,640,480:waittimer 18855 blt 0,0,640,480,0, 1930,640,480:waittimer 18874 blt 0,0,640,480,0, 1932,640,480:waittimer 18894 blt 0,0,640,480,0, 1934,640,480:waittimer 18913 blt 0,0,640,480,0, 1936,640,480:waittimer 18933 blt 0,0,640,480,0, 1938,640,480:waittimer 18952 blt 0,0,640,480,0, 1940,640,480:waittimer 18972 blt 0,0,640,480,0, 1942,640,480:waittimer 18992 blt 0,0,640,480,0, 1944,640,480:waittimer 19011 blt 0,0,640,480,0, 1946,640,480:waittimer 19031 blt 0,0,640,480,0, 1948,640,480:waittimer 19050 blt 0,0,640,480,0, 1950,640,480:waittimer 19070 blt 0,0,640,480,0, 1952,640,480:waittimer 19089 blt 0,0,640,480,0, 1954,640,480:waittimer 19109 blt 0,0,640,480,0, 1956,640,480:waittimer 19128 blt 0,0,640,480,0, 1958,640,480:waittimer 19148 blt 0,0,640,480,0, 1960,640,480:waittimer 19168 blt 0,0,640,480,0, 1962,640,480:waittimer 19187 blt 0,0,640,480,0, 1964,640,480:waittimer 19207 blt 0,0,640,480,0, 1966,640,480:waittimer 19226 blt 0,0,640,480,0, 1968,640,480:waittimer 19246 blt 0,0,640,480,0, 1970,640,480:waittimer 19265 blt 0,0,640,480,0, 1972,640,480:waittimer 19285 blt 0,0,640,480,0, 1974,640,480:waittimer 19304 blt 0,0,640,480,0, 1976,640,480:waittimer 19324 blt 0,0,640,480,0, 1978,640,480:waittimer 19344 blt 0,0,640,480,0, 1980,640,480:waittimer 19363 blt 0,0,640,480,0, 1982,640,480:waittimer 19383 blt 0,0,640,480,0, 1984,640,480:waittimer 19402 blt 0,0,640,480,0, 1986,640,480:waittimer 19422 blt 0,0,640,480,0, 1988,640,480:waittimer 19441 blt 0,0,640,480,0, 1990,640,480:waittimer 19461 blt 0,0,640,480,0, 1992,640,480:waittimer 19480 blt 0,0,640,480,0, 1994,640,480:waittimer 19500 blt 0,0,640,480,0, 1996,640,480:waittimer 19520 blt 0,0,640,480,0, 1998,640,480:waittimer 19539 blt 0,0,640,480,0, 2000,640,480:waittimer 19559 blt 0,0,640,480,0, 2002,640,480:waittimer 19578 blt 0,0,640,480,0, 2004,640,480:waittimer 19598 blt 0,0,640,480,0, 2006,640,480:waittimer 19617 blt 0,0,640,480,0, 2008,640,480:waittimer 19637 blt 0,0,640,480,0, 2010,640,480:waittimer 19657 blt 0,0,640,480,0, 2012,640,480:waittimer 19676 blt 0,0,640,480,0, 2014,640,480:waittimer 19696 blt 0,0,640,480,0, 2016,640,480:waittimer 19715 blt 0,0,640,480,0, 2018,640,480:waittimer 19735 blt 0,0,640,480,0, 2020,640,480:waittimer 19754 blt 0,0,640,480,0, 2022,640,480:waittimer 19774 blt 0,0,640,480,0, 2024,640,480:waittimer 19793 blt 0,0,640,480,0, 2026,640,480:waittimer 19813 blt 0,0,640,480,0, 2028,640,480:waittimer 19833 blt 0,0,640,480,0, 2030,640,480:waittimer 19852 blt 0,0,640,480,0, 2032,640,480:waittimer 19872 blt 0,0,640,480,0, 2034,640,480:waittimer 19891 blt 0,0,640,480,0, 2036,640,480:waittimer 19911 blt 0,0,640,480,0, 2038,640,480:waittimer 19930 blt 0,0,640,480,0, 2040,640,480:waittimer 19950 blt 0,0,640,480,0, 2042,640,480:waittimer 19969 blt 0,0,640,480,0, 2044,640,480:waittimer 19989 blt 0,0,640,480,0, 2046,640,480:waittimer 20009 blt 0,0,640,480,0, 2048,640,480:waittimer 20028 blt 0,0,640,480,0, 2050,640,480:waittimer 20048 blt 0,0,640,480,0, 2052,640,480:waittimer 20067 blt 0,0,640,480,0, 2054,640,480:waittimer 20087 blt 0,0,640,480,0, 2056,640,480:waittimer 20106 blt 0,0,640,480,0, 2058,640,480:waittimer 20126 blt 0,0,640,480,0, 2060,640,480:waittimer 20146 blt 0,0,640,480,0, 2062,640,480:waittimer 20165 blt 0,0,640,480,0, 2064,640,480:waittimer 20185 blt 0,0,640,480,0, 2066,640,480:waittimer 20204 blt 0,0,640,480,0, 2068,640,480:waittimer 20224 blt 0,0,640,480,0, 2070,640,480:waittimer 20243 blt 0,0,640,480,0, 2072,640,480:waittimer 20263 blt 0,0,640,480,0, 2074,640,480:waittimer 20282 blt 0,0,640,480,0, 2076,640,480:waittimer 20302 blt 0,0,640,480,0, 2078,640,480:waittimer 20322 blt 0,0,640,480,0, 2080,640,480:waittimer 20341 blt 0,0,640,480,0, 2082,640,480:waittimer 20361 blt 0,0,640,480,0, 2084,640,480:waittimer 20380 blt 0,0,640,480,0, 2086,640,480:waittimer 20400 blt 0,0,640,480,0, 2088,640,480:waittimer 20419 blt 0,0,640,480,0, 2090,640,480:waittimer 20439 blt 0,0,640,480,0, 2092,640,480:waittimer 20458 blt 0,0,640,480,0, 2094,640,480:waittimer 20478 blt 0,0,640,480,0, 2096,640,480:waittimer 20498 blt 0,0,640,480,0, 2098,640,480:waittimer 20517 blt 0,0,640,480,0, 2100,640,480:waittimer 20537 blt 0,0,640,480,0, 2102,640,480:waittimer 20556 blt 0,0,640,480,0, 2104,640,480:waittimer 20576 blt 0,0,640,480,0, 2106,640,480:waittimer 20595 blt 0,0,640,480,0, 2108,640,480:waittimer 20615 blt 0,0,640,480,0, 2110,640,480:waittimer 20634 blt 0,0,640,480,0, 2112,640,480:waittimer 20654 blt 0,0,640,480,0, 2114,640,480:waittimer 20674 blt 0,0,640,480,0, 2116,640,480:waittimer 20693 blt 0,0,640,480,0, 2118,640,480:waittimer 20713 blt 0,0,640,480,0, 2120,640,480:waittimer 20732 blt 0,0,640,480,0, 2122,640,480:waittimer 20752 blt 0,0,640,480,0, 2124,640,480:waittimer 20771 blt 0,0,640,480,0, 2126,640,480:waittimer 20791 blt 0,0,640,480,0, 2128,640,480:waittimer 20811 blt 0,0,640,480,0, 2130,640,480:waittimer 20830 blt 0,0,640,480,0, 2132,640,480:waittimer 20850 blt 0,0,640,480,0, 2134,640,480:waittimer 20869 blt 0,0,640,480,0, 2136,640,480:waittimer 20889 blt 0,0,640,480,0, 2138,640,480:waittimer 20908 blt 0,0,640,480,0, 2140,640,480:waittimer 20928 blt 0,0,640,480,0, 2142,640,480:waittimer 20947 blt 0,0,640,480,0, 2144,640,480:waittimer 20967 blt 0,0,640,480,0, 2146,640,480:waittimer 20987 blt 0,0,640,480,0, 2148,640,480:waittimer 21006 blt 0,0,640,480,0, 2150,640,480:waittimer 21026 blt 0,0,640,480,0, 2152,640,480:waittimer 21045 blt 0,0,640,480,0, 2154,640,480:waittimer 21065 blt 0,0,640,480,0, 2156,640,480:waittimer 21084 blt 0,0,640,480,0, 2158,640,480:waittimer 21104 blt 0,0,640,480,0, 2160,640,480:waittimer 21123 blt 0,0,640,480,0, 2162,640,480:waittimer 21143 blt 0,0,640,480,0, 2164,640,480:waittimer 21163 blt 0,0,640,480,0, 2166,640,480:waittimer 21182 blt 0,0,640,480,0, 2168,640,480:waittimer 21202 blt 0,0,640,480,0, 2170,640,480:waittimer 21221 blt 0,0,640,480,0, 2172,640,480:waittimer 21241 blt 0,0,640,480,0, 2174,640,480:waittimer 21260 blt 0,0,640,480,0, 2176,640,480:waittimer 21280 blt 0,0,640,480,0, 2178,640,480:waittimer 21300 blt 0,0,640,480,0, 2180,640,480:waittimer 21319 blt 0,0,640,480,0, 2182,640,480:waittimer 21339 blt 0,0,640,480,0, 2184,640,480:waittimer 21358 blt 0,0,640,480,0, 2186,640,480:waittimer 21378 blt 0,0,640,480,0, 2188,640,480:waittimer 21397 blt 0,0,640,480,0, 2190,640,480:waittimer 21417 blt 0,0,640,480,0, 2192,640,480:waittimer 21436 blt 0,0,640,480,0, 2194,640,480:waittimer 21456 blt 0,0,640,480,0, 2196,640,480:waittimer 21476 blt 0,0,640,480,0, 2198,640,480:waittimer 21495 blt 0,0,640,480,0, 2200,640,480:waittimer 21515 blt 0,0,640,480,0, 2202,640,480:waittimer 21534 blt 0,0,640,480,0, 2204,640,480:waittimer 21554 blt 0,0,640,480,0, 2206,640,480:waittimer 21573 blt 0,0,640,480,0, 2208,640,480:waittimer 21593 blt 0,0,640,480,0, 2210,640,480:waittimer 21612 blt 0,0,640,480,0, 2212,640,480:waittimer 21632 blt 0,0,640,480,0, 2214,640,480:waittimer 21652 blt 0,0,640,480,0, 2216,640,480:waittimer 21671 blt 0,0,640,480,0, 2218,640,480:waittimer 21691 blt 0,0,640,480,0, 2220,640,480:waittimer 21710 blt 0,0,640,480,0, 2222,640,480:waittimer 21730 blt 0,0,640,480,0, 2224,640,480:waittimer 21749 blt 0,0,640,480,0, 2226,640,480:waittimer 21769 blt 0,0,640,480,0, 2228,640,480:waittimer 21788 blt 0,0,640,480,0, 2230,640,480:waittimer 21808 blt 0,0,640,480,0, 2232,640,480:waittimer 21828 blt 0,0,640,480,0, 2234,640,480:waittimer 21847 blt 0,0,640,480,0, 2236,640,480:waittimer 21867 blt 0,0,640,480,0, 2238,640,480:waittimer 21886 blt 0,0,640,480,0, 2240,640,480:waittimer 21906 blt 0,0,640,480,0, 2242,640,480:waittimer 21925 blt 0,0,640,480,0, 2244,640,480:waittimer 21945 blt 0,0,640,480,0, 2246,640,480:waittimer 21965 blt 0,0,640,480,0, 2248,640,480:waittimer 21984 blt 0,0,640,480,0, 2250,640,480:waittimer 22004 blt 0,0,640,480,0, 2252,640,480:waittimer 22023 blt 0,0,640,480,0, 2254,640,480:waittimer 22043 blt 0,0,640,480,0, 2256,640,480:waittimer 22062 blt 0,0,640,480,0, 2258,640,480:waittimer 22082 blt 0,0,640,480,0, 2260,640,480:waittimer 22101 blt 0,0,640,480,0, 2262,640,480:waittimer 22121 blt 0,0,640,480,0, 2264,640,480:waittimer 22141 blt 0,0,640,480,0, 2266,640,480:waittimer 22160 blt 0,0,640,480,0, 2268,640,480:waittimer 22180 blt 0,0,640,480,0, 2270,640,480:waittimer 22199 blt 0,0,640,480,0, 2272,640,480:waittimer 22219 blt 0,0,640,480,0, 2274,640,480:waittimer 22238 blt 0,0,640,480,0, 2276,640,480:waittimer 22258 blt 0,0,640,480,0, 2278,640,480:waittimer 22277 blt 0,0,640,480,0, 2280,640,480:waittimer 22297 blt 0,0,640,480,0, 2282,640,480:waittimer 22317 blt 0,0,640,480,0, 2284,640,480:waittimer 22336 blt 0,0,640,480,0, 2286,640,480:waittimer 22356 blt 0,0,640,480,0, 2288,640,480:waittimer 22375 blt 0,0,640,480,0, 2290,640,480:waittimer 22395 blt 0,0,640,480,0, 2292,640,480:waittimer 22414 blt 0,0,640,480,0, 2294,640,480:waittimer 22434 blt 0,0,640,480,0, 2296,640,480:waittimer 22453 blt 0,0,640,480,0, 2298,640,480:waittimer 22473 blt 0,0,640,480,0, 2300,640,480:waittimer 22493 blt 0,0,640,480,0, 2302,640,480:waittimer 22512 blt 0,0,640,480,0, 2304,640,480:waittimer 22532 blt 0,0,640,480,0, 2306,640,480:waittimer 22551 blt 0,0,640,480,0, 2308,640,480:waittimer 22571 blt 0,0,640,480,0, 2310,640,480:waittimer 22590 blt 0,0,640,480,0, 2312,640,480:waittimer 22610 blt 0,0,640,480,0, 2314,640,480:waittimer 22630 blt 0,0,640,480,0, 2316,640,480:waittimer 22649 blt 0,0,640,480,0, 2318,640,480:waittimer 22669 blt 0,0,640,480,0, 2320,640,480:waittimer 22688 blt 0,0,640,480,0, 2322,640,480:waittimer 22708 blt 0,0,640,480,0, 2324,640,480:waittimer 22727 blt 0,0,640,480,0, 2326,640,480:waittimer 22747 blt 0,0,640,480,0, 2328,640,480:waittimer 22766 blt 0,0,640,480,0, 2330,640,480:waittimer 22786 blt 0,0,640,480,0, 2332,640,480:waittimer 22806 blt 0,0,640,480,0, 2334,640,480:waittimer 22825 blt 0,0,640,480,0, 2336,640,480:waittimer 22845 blt 0,0,640,480,0, 2338,640,480:waittimer 22864 blt 0,0,640,480,0, 2340,640,480:waittimer 22884 blt 0,0,640,480,0, 2342,640,480:waittimer 22903 blt 0,0,640,480,0, 2344,640,480:waittimer 22923 blt 0,0,640,480,0, 2346,640,480:waittimer 22942 blt 0,0,640,480,0, 2348,640,480:waittimer 22962 blt 0,0,640,480,0, 2350,640,480:waittimer 22982 blt 0,0,640,480,0, 2352,640,480:waittimer 23001 blt 0,0,640,480,0, 2354,640,480:waittimer 23021 blt 0,0,640,480,0, 2356,640,480:waittimer 23040 blt 0,0,640,480,0, 2358,640,480:waittimer 23060 blt 0,0,640,480,0, 2360,640,480:waittimer 23079 blt 0,0,640,480,0, 2362,640,480:waittimer 23099 blt 0,0,640,480,0, 2364,640,480:waittimer 23119 blt 0,0,640,480,0, 2366,640,480:waittimer 23138 blt 0,0,640,480,0, 2368,640,480:waittimer 23158 blt 0,0,640,480,0, 2370,640,480:waittimer 23177 blt 0,0,640,480,0, 2372,640,480:waittimer 23197 blt 0,0,640,480,0, 2374,640,480:waittimer 23216 blt 0,0,640,480,0, 2376,640,480:waittimer 23236 blt 0,0,640,480,0, 2378,640,480:waittimer 23255 blt 0,0,640,480,0, 2380,640,480:waittimer 23275 blt 0,0,640,480,0, 2382,640,480:waittimer 23295 blt 0,0,640,480,0, 2384,640,480:waittimer 23314 blt 0,0,640,480,0, 2386,640,480:waittimer 23334 blt 0,0,640,480,0, 2388,640,480:waittimer 23353 blt 0,0,640,480,0, 2390,640,480:waittimer 23373 blt 0,0,640,480,0, 2392,640,480:waittimer 23392 blt 0,0,640,480,0, 2394,640,480:waittimer 23412 blt 0,0,640,480,0, 2396,640,480:waittimer 23431 blt 0,0,640,480,0, 2398,640,480:waittimer 23451 blt 0,0,640,480,0, 2400,640,480:waittimer 23471 blt 0,0,640,480,0, 2402,640,480:waittimer 23490 blt 0,0,640,480,0, 2404,640,480:waittimer 23510 blt 0,0,640,480,0, 2406,640,480:waittimer 23529 blt 0,0,640,480,0, 2408,640,480:waittimer 23549 blt 0,0,640,480,0, 2410,640,480:waittimer 23568 blt 0,0,640,480,0, 2412,640,480:waittimer 23588 blt 0,0,640,480,0, 2414,640,480:waittimer 23607 blt 0,0,640,480,0, 2416,640,480:waittimer 23627 blt 0,0,640,480,0, 2418,640,480:waittimer 23647 blt 0,0,640,480,0, 2420,640,480:waittimer 23666 blt 0,0,640,480,0, 2422,640,480:waittimer 23686 blt 0,0,640,480,0, 2424,640,480:waittimer 23705 blt 0,0,640,480,0, 2426,640,480:waittimer 23725 blt 0,0,640,480,0, 2428,640,480:waittimer 23744 blt 0,0,640,480,0, 2430,640,480:waittimer 23764 blt 0,0,640,480,0, 2432,640,480:waittimer 23784 blt 0,0,640,480,0, 2434,640,480:waittimer 23803 blt 0,0,640,480,0, 2436,640,480:waittimer 23823 blt 0,0,640,480,0, 2438,640,480:waittimer 23842 blt 0,0,640,480,0, 2440,640,480:waittimer 23862 blt 0,0,640,480,0, 2442,640,480:waittimer 23881 blt 0,0,640,480,0, 2444,640,480:waittimer 23901 blt 0,0,640,480,0, 2446,640,480:waittimer 23920 blt 0,0,640,480,0, 2448,640,480:waittimer 23940 blt 0,0,640,480,0, 2450,640,480:waittimer 23960 blt 0,0,640,480,0, 2452,640,480:waittimer 23979 blt 0,0,640,480,0, 2454,640,480:waittimer 23999 blt 0,0,640,480,0, 2456,640,480:waittimer 24018 blt 0,0,640,480,0, 2458,640,480:waittimer 24038 blt 0,0,640,480,0, 2460,640,480:waittimer 24057 blt 0,0,640,480,0, 2462,640,480:waittimer 24077 blt 0,0,640,480,0, 2464,640,480:waittimer 24096 blt 0,0,640,480,0, 2466,640,480:waittimer 24116 blt 0,0,640,480,0, 2468,640,480:waittimer 24136 blt 0,0,640,480,0, 2470,640,480:waittimer 24155 blt 0,0,640,480,0, 2472,640,480:waittimer 24175 blt 0,0,640,480,0, 2474,640,480:waittimer 24194 blt 0,0,640,480,0, 2476,640,480:waittimer 24214 blt 0,0,640,480,0, 2478,640,480:waittimer 24233 blt 0,0,640,480,0, 2480,640,480:waittimer 24253 blt 0,0,640,480,0, 2482,640,480:waittimer 24273 blt 0,0,640,480,0, 2484,640,480:waittimer 24292 blt 0,0,640,480,0, 2486,640,480:waittimer 24312 blt 0,0,640,480,0, 2488,640,480:waittimer 24331 blt 0,0,640,480,0, 2490,640,480:waittimer 24351 blt 0,0,640,480,0, 2492,640,480:waittimer 24370 blt 0,0,640,480,0, 2494,640,480:waittimer 24390 blt 0,0,640,480,0, 2496,640,480:waittimer 24409 blt 0,0,640,480,0, 2498,640,480:waittimer 24429 blt 0,0,640,480,0, 2500,640,480:waittimer 24449 blt 0,0,640,480,0, 2502,640,480:waittimer 24468 blt 0,0,640,480,0, 2504,640,480:waittimer 24488 blt 0,0,640,480,0, 2506,640,480:waittimer 24507 blt 0,0,640,480,0, 2508,640,480:waittimer 24527 blt 0,0,640,480,0, 2510,640,480:waittimer 24546 blt 0,0,640,480,0, 2512,640,480:waittimer 24566 blt 0,0,640,480,0, 2514,640,480:waittimer 24585 blt 0,0,640,480,0, 2516,640,480:waittimer 24605 blt 0,0,640,480,0, 2518,640,480:waittimer 24625 blt 0,0,640,480,0, 2520,640,480:waittimer 24644 blt 0,0,640,480,0, 2522,640,480:waittimer 24664 blt 0,0,640,480,0, 2524,640,480:waittimer 24683 blt 0,0,640,480,0, 2526,640,480:waittimer 24703 blt 0,0,640,480,0, 2528,640,480:waittimer 24722 blt 0,0,640,480,0, 2530,640,480:waittimer 24742 blt 0,0,640,480,0, 2532,640,480:waittimer 24761 blt 0,0,640,480,0, 2534,640,480:waittimer 24781 blt 0,0,640,480,0, 2536,640,480:waittimer 24801 blt 0,0,640,480,0, 2538,640,480:waittimer 24820 blt 0,0,640,480,0, 2540,640,480:waittimer 24840 blt 0,0,640,480,0, 2542,640,480:waittimer 24859 blt 0,0,640,480,0, 2544,640,480:waittimer 24879 blt 0,0,640,480,0, 2546,640,480:waittimer 24898 blt 0,0,640,480,0, 2548,640,480:waittimer 24918 blt 0,0,640,480,0, 2550,640,480:waittimer 24938 blt 0,0,640,480,0, 2552,640,480:waittimer 24957 blt 0,0,640,480,0, 2554,640,480:waittimer 24977 blt 0,0,640,480,0, 2556,640,480:waittimer 24996 blt 0,0,640,480,0, 2558,640,480:waittimer 25016 blt 0,0,640,480,0, 2560,640,480:waittimer 25035 blt 0,0,640,480,0, 2562,640,480:waittimer 25055 blt 0,0,640,480,0, 2564,640,480:waittimer 25074 blt 0,0,640,480,0, 2566,640,480:waittimer 25094 blt 0,0,640,480,0, 2568,640,480:waittimer 25114 blt 0,0,640,480,0, 2570,640,480:waittimer 25133 blt 0,0,640,480,0, 2572,640,480:waittimer 25153 blt 0,0,640,480,0, 2574,640,480:waittimer 25172 blt 0,0,640,480,0, 2576,640,480:waittimer 25192 blt 0,0,640,480,0, 2578,640,480:waittimer 25211 blt 0,0,640,480,0, 2580,640,480:waittimer 25231 blt 0,0,640,480,0, 2582,640,480:waittimer 25250 blt 0,0,640,480,0, 2584,640,480:waittimer 25270 blt 0,0,640,480,0, 2586,640,480:waittimer 25290 blt 0,0,640,480,0, 2588,640,480:waittimer 25309 blt 0,0,640,480,0, 2590,640,480:waittimer 25329 blt 0,0,640,480,0, 2592,640,480:waittimer 25348 blt 0,0,640,480,0, 2594,640,480:waittimer 25368 blt 0,0,640,480,0, 2596,640,480:waittimer 25387 blt 0,0,640,480,0, 2598,640,480:waittimer 25407 blt 0,0,640,480,0, 2600,640,480:waittimer 25426 blt 0,0,640,480,0, 2602,640,480:waittimer 25446 blt 0,0,640,480,0, 2604,640,480:waittimer 25466 blt 0,0,640,480,0, 2606,640,480:waittimer 25485 blt 0,0,640,480,0, 2608,640,480:waittimer 25505 blt 0,0,640,480,0, 2610,640,480:waittimer 25524 blt 0,0,640,480,0, 2612,640,480:waittimer 25544 blt 0,0,640,480,0, 2614,640,480:waittimer 25563 blt 0,0,640,480,0, 2616,640,480:waittimer 25583 blt 0,0,640,480,0, 2618,640,480:waittimer 25603 blt 0,0,640,480,0, 2620,640,480:waittimer 25622 blt 0,0,640,480,0, 2622,640,480:waittimer 25642 blt 0,0,640,480,0, 2624,640,480:waittimer 25661 blt 0,0,640,480,0, 2626,640,480:waittimer 25681 blt 0,0,640,480,0, 2628,640,480:waittimer 25700 blt 0,0,640,480,0, 2630,640,480:waittimer 25720 blt 0,0,640,480,0, 2632,640,480:waittimer 25739 blt 0,0,640,480,0, 2634,640,480:waittimer 25759 blt 0,0,640,480,0, 2636,640,480:waittimer 25779 blt 0,0,640,480,0, 2638,640,480:waittimer 25798 blt 0,0,640,480,0, 2640,640,480:waittimer 25818 blt 0,0,640,480,0, 2642,640,480:waittimer 25837 blt 0,0,640,480,0, 2644,640,480:waittimer 25857 blt 0,0,640,480,0, 2646,640,480:waittimer 25876 blt 0,0,640,480,0, 2648,640,480:waittimer 25896 blt 0,0,640,480,0, 2650,640,480:waittimer 25915 blt 0,0,640,480,0, 2652,640,480:waittimer 25935 blt 0,0,640,480,0, 2654,640,480:waittimer 25955 blt 0,0,640,480,0, 2656,640,480:waittimer 25974 blt 0,0,640,480,0, 2658,640,480:waittimer 25994 blt 0,0,640,480,0, 2660,640,480:waittimer 26013 blt 0,0,640,480,0, 2662,640,480:waittimer 26033 blt 0,0,640,480,0, 2664,640,480:waittimer 26052 blt 0,0,640,480,0, 2666,640,480:waittimer 26072 blt 0,0,640,480,0, 2668,640,480:waittimer 26092 blt 0,0,640,480,0, 2670,640,480:waittimer 26111 blt 0,0,640,480,0, 2672,640,480:waittimer 26131 blt 0,0,640,480,0, 2674,640,480:waittimer 26150 blt 0,0,640,480,0, 2676,640,480:waittimer 26170 blt 0,0,640,480,0, 2678,640,480:waittimer 26189 blt 0,0,640,480,0, 2680,640,480:waittimer 26209 blt 0,0,640,480,0, 2682,640,480:waittimer 26228 blt 0,0,640,480,0, 2684,640,480:waittimer 26248 blt 0,0,640,480,0, 2686,640,480:waittimer 26268 blt 0,0,640,480,0, 2688,640,480:waittimer 26287 blt 0,0,640,480,0, 2690,640,480:waittimer 26307 blt 0,0,640,480,0, 2692,640,480:waittimer 26326 blt 0,0,640,480,0, 2694,640,480:waittimer 26346 blt 0,0,640,480,0, 2696,640,480:waittimer 26365 blt 0,0,640,480,0, 2698,640,480:waittimer 26385 blt 0,0,640,480,0, 2700,640,480:waittimer 26404 blt 0,0,640,480,0, 2702,640,480:waittimer 26424 blt 0,0,640,480,0, 2704,640,480:waittimer 26444 blt 0,0,640,480,0, 2706,640,480:waittimer 26463 blt 0,0,640,480,0, 2708,640,480:waittimer 26483 blt 0,0,640,480,0, 2710,640,480:waittimer 26502 blt 0,0,640,480,0, 2712,640,480:waittimer 26522 blt 0,0,640,480,0, 2714,640,480:waittimer 26541 blt 0,0,640,480,0, 2716,640,480:waittimer 26561 blt 0,0,640,480,0, 2718,640,480:waittimer 26580 blt 0,0,640,480,0, 2720,640,480:waittimer 26600 blt 0,0,640,480,0, 2722,640,480:waittimer 26620 blt 0,0,640,480,0, 2724,640,480:waittimer 26639 blt 0,0,640,480,0, 2726,640,480:waittimer 26659 blt 0,0,640,480,0, 2728,640,480:waittimer 26678 blt 0,0,640,480,0, 2730,640,480:waittimer 26698 blt 0,0,640,480,0, 2732,640,480:waittimer 26717 blt 0,0,640,480,0, 2734,640,480:waittimer 26737 blt 0,0,640,480,0, 2736,640,480:waittimer 26757 blt 0,0,640,480,0, 2738,640,480:waittimer 26776 blt 0,0,640,480,0, 2740,640,480:waittimer 26796 blt 0,0,640,480,0, 2742,640,480:waittimer 26815 blt 0,0,640,480,0, 2744,640,480:waittimer 26835 blt 0,0,640,480,0, 2746,640,480:waittimer 26854 blt 0,0,640,480,0, 2748,640,480:waittimer 26874 blt 0,0,640,480,0, 2750,640,480:waittimer 26893 blt 0,0,640,480,0, 2752,640,480:waittimer 26913 blt 0,0,640,480,0, 2754,640,480:waittimer 26933 blt 0,0,640,480,0, 2756,640,480:waittimer 26952 blt 0,0,640,480,0, 2758,640,480:waittimer 26972 blt 0,0,640,480,0, 2760,640,480:waittimer 26991 blt 0,0,640,480,0, 2762,640,480:waittimer 27011 blt 0,0,640,480,0, 2764,640,480:waittimer 27030 blt 0,0,640,480,0, 2766,640,480:waittimer 27050 blt 0,0,640,480,0, 2768,640,480:waittimer 27069 blt 0,0,640,480,0, 2770,640,480:waittimer 27089 blt 0,0,640,480,0, 2772,640,480:waittimer 27109 blt 0,0,640,480,0, 2774,640,480:waittimer 27128 blt 0,0,640,480,0, 2776,640,480:waittimer 27148 blt 0,0,640,480,0, 2778,640,480:waittimer 27167 blt 0,0,640,480,0, 2780,640,480:waittimer 27187 blt 0,0,640,480,0, 2782,640,480:waittimer 27206 blt 0,0,640,480,0, 2784,640,480:waittimer 27226 blt 0,0,640,480,0, 2786,640,480:waittimer 27246 blt 0,0,640,480,0, 2788,640,480:waittimer 27265 blt 0,0,640,480,0, 2790,640,480:waittimer 27285 blt 0,0,640,480,0, 2792,640,480:waittimer 27304 blt 0,0,640,480,0, 2794,640,480:waittimer 27324 blt 0,0,640,480,0, 2796,640,480:waittimer 27343 blt 0,0,640,480,0, 2798,640,480:waittimer 27363 blt 0,0,640,480,0, 2800,640,480:waittimer 27382 blt 0,0,640,480,0, 2802,640,480:waittimer 27402 blt 0,0,640,480,0, 2804,640,480:waittimer 27422 blt 0,0,640,480,0, 2806,640,480:waittimer 27441 blt 0,0,640,480,0, 2808,640,480:waittimer 27461 blt 0,0,640,480,0, 2810,640,480:waittimer 27480 blt 0,0,640,480,0, 2812,640,480:waittimer 27500 blt 0,0,640,480,0, 2814,640,480:waittimer 27519 blt 0,0,640,480,0, 2816,640,480:waittimer 27539 blt 0,0,640,480,0, 2818,640,480:waittimer 27558 blt 0,0,640,480,0, 2820,640,480:waittimer 27578 blt 0,0,640,480,0, 2822,640,480:waittimer 27598 blt 0,0,640,480,0, 2824,640,480:waittimer 27617 blt 0,0,640,480,0, 2826,640,480:waittimer 27637 blt 0,0,640,480,0, 2828,640,480:waittimer 27656 blt 0,0,640,480,0, 2830,640,480:waittimer 27676 blt 0,0,640,480,0, 2832,640,480:waittimer 27695 blt 0,0,640,480,0, 2834,640,480:waittimer 27715 blt 0,0,640,480,0, 2836,640,480:waittimer 27734 blt 0,0,640,480,0, 2838,640,480:waittimer 27754 blt 0,0,640,480,0, 2840,640,480:waittimer 27774 blt 0,0,640,480,0, 2842,640,480:waittimer 27793 blt 0,0,640,480,0, 2844,640,480:waittimer 27813 blt 0,0,640,480,0, 2846,640,480:waittimer 27832 blt 0,0,640,480,0, 2848,640,480:waittimer 27852 blt 0,0,640,480,0, 2850,640,480:waittimer 27871 blt 0,0,640,480,0, 2852,640,480:waittimer 27891 blt 0,0,640,480,0, 2854,640,480:waittimer 27911 blt 0,0,640,480,0, 2856,640,480:waittimer 27930 blt 0,0,640,480,0, 2858,640,480:waittimer 27950 blt 0,0,640,480,0, 2860,640,480:waittimer 27969 blt 0,0,640,480,0, 2862,640,480:waittimer 27989 blt 0,0,640,480,0, 2864,640,480:waittimer 28008 blt 0,0,640,480,0, 2866,640,480:waittimer 28028 blt 0,0,640,480,0, 2868,640,480:waittimer 28047 blt 0,0,640,480,0, 2870,640,480:waittimer 28067 blt 0,0,640,480,0, 2872,640,480:waittimer 28087 blt 0,0,640,480,0, 2874,640,480:waittimer 28106 blt 0,0,640,480,0, 2876,640,480:waittimer 28126 blt 0,0,640,480,0, 2878,640,480:waittimer 28145 blt 0,0,640,480,0, 2880,640,480:waittimer 28165 blt 0,0,640,480,0, 2882,640,480:waittimer 28184 blt 0,0,640,480,0, 2884,640,480:waittimer 28204 blt 0,0,640,480,0, 2886,640,480:waittimer 28223 blt 0,0,640,480,0, 2888,640,480:waittimer 28243 blt 0,0,640,480,0, 2890,640,480:waittimer 28263 blt 0,0,640,480,0, 2892,640,480:waittimer 28282 blt 0,0,640,480,0, 2894,640,480:waittimer 28302 blt 0,0,640,480,0, 2896,640,480:waittimer 28321 blt 0,0,640,480,0, 2898,640,480:waittimer 28341 blt 0,0,640,480,0, 2900,640,480:waittimer 28360 blt 0,0,640,480,0, 2902,640,480:waittimer 28380 blt 0,0,640,480,0, 2904,640,480:waittimer 28400 blt 0,0,640,480,0, 2906,640,480:waittimer 28419 blt 0,0,640,480,0, 2908,640,480:waittimer 28439 blt 0,0,640,480,0, 2910,640,480:waittimer 28458 blt 0,0,640,480,0, 2912,640,480:waittimer 28478 blt 0,0,640,480,0, 2914,640,480:waittimer 28497 blt 0,0,640,480,0, 2916,640,480:waittimer 28517 blt 0,0,640,480,0, 2918,640,480:waittimer 28536 blt 0,0,640,480,0, 2920,640,480:waittimer 28556 blt 0,0,640,480,0, 2922,640,480:waittimer 28576 blt 0,0,640,480,0, 2924,640,480:waittimer 28595 blt 0,0,640,480,0, 2926,640,480:waittimer 28615 blt 0,0,640,480,0, 2928,640,480:waittimer 28634 blt 0,0,640,480,0, 2930,640,480:waittimer 28654 blt 0,0,640,480,0, 2932,640,480:waittimer 28673 blt 0,0,640,480,0, 2934,640,480:waittimer 28693 blt 0,0,640,480,0, 2936,640,480:waittimer 28712 blt 0,0,640,480,0, 2938,640,480:waittimer 28732 blt 0,0,640,480,0, 2940,640,480:waittimer 28752 blt 0,0,640,480,0, 2942,640,480:waittimer 28771 blt 0,0,640,480,0, 2944,640,480:waittimer 28791 blt 0,0,640,480,0, 2946,640,480:waittimer 28810 blt 0,0,640,480,0, 2948,640,480:waittimer 28830 blt 0,0,640,480,0, 2950,640,480:waittimer 28849 blt 0,0,640,480,0, 2952,640,480:waittimer 28869 blt 0,0,640,480,0, 2954,640,480:waittimer 28888 blt 0,0,640,480,0, 2956,640,480:waittimer 28908 blt 0,0,640,480,0, 2958,640,480:waittimer 28928 blt 0,0,640,480,0, 2960,640,480:waittimer 28947 blt 0,0,640,480,0, 2962,640,480:waittimer 28967 blt 0,0,640,480,0, 2964,640,480:waittimer 28986 blt 0,0,640,480,0, 2966,640,480:waittimer 29006 blt 0,0,640,480,0, 2968,640,480:waittimer 29025 blt 0,0,640,480,0, 2970,640,480:waittimer 29045 blt 0,0,640,480,0, 2972,640,480:waittimer 29065 blt 0,0,640,480,0, 2974,640,480:waittimer 29084 blt 0,0,640,480,0, 2976,640,480:waittimer 29104 blt 0,0,640,480,0, 2978,640,480:waittimer 29123 blt 0,0,640,480,0, 2980,640,480:waittimer 29143 blt 0,0,640,480,0, 2982,640,480:waittimer 29162 blt 0,0,640,480,0, 2984,640,480:waittimer 29182 blt 0,0,640,480,0, 2986,640,480:waittimer 29201 blt 0,0,640,480,0, 2988,640,480:waittimer 29221 blt 0,0,640,480,0, 2990,640,480:waittimer 29241 blt 0,0,640,480,0, 2992,640,480:waittimer 29260 blt 0,0,640,480,0, 2994,640,480:waittimer 29280 blt 0,0,640,480,0, 2996,640,480:waittimer 29299 blt 0,0,640,480,0, 2998,640,480:waittimer 29319 blt 0,0,640,480,0, 3000,640,480:waittimer 29338 blt 0,0,640,480,0, 3002,640,480:waittimer 29358 blt 0,0,640,480,0, 3004,640,480:waittimer 29377 blt 0,0,640,480,0, 3006,640,480:waittimer 29397 blt 0,0,640,480,0, 3008,640,480:waittimer 29417 blt 0,0,640,480,0, 3010,640,480:waittimer 29436 blt 0,0,640,480,0, 3012,640,480:waittimer 29456 blt 0,0,640,480,0, 3014,640,480:waittimer 29475 blt 0,0,640,480,0, 3016,640,480:waittimer 29495 blt 0,0,640,480,0, 3018,640,480:waittimer 29514 blt 0,0,640,480,0, 3020,640,480:waittimer 29534 blt 0,0,640,480,0, 3022,640,480:waittimer 29553 blt 0,0,640,480,0, 3024,640,480:waittimer 29573 blt 0,0,640,480,0, 3026,640,480:waittimer 29593 blt 0,0,640,480,0, 3028,640,480:waittimer 29612 blt 0,0,640,480,0, 3030,640,480:waittimer 29632 blt 0,0,640,480,0, 3032,640,480:waittimer 29651 blt 0,0,640,480,0, 3034,640,480:waittimer 29671 blt 0,0,640,480,0, 3036,640,480:waittimer 29690 blt 0,0,640,480,0, 3038,640,480:waittimer 29710 blt 0,0,640,480,0, 3040,640,480:waittimer 29730 blt 0,0,640,480,0, 3042,640,480:waittimer 29749 blt 0,0,640,480,0, 3044,640,480:waittimer 29769 blt 0,0,640,480,0, 3046,640,480:waittimer 29788 blt 0,0,640,480,0, 3048,640,480:waittimer 29808 blt 0,0,640,480,0, 3050,640,480:waittimer 29827 blt 0,0,640,480,0, 3052,640,480:waittimer 29847 blt 0,0,640,480,0, 3054,640,480:waittimer 29866 blt 0,0,640,480,0, 3056,640,480:waittimer 29886 blt 0,0,640,480,0, 3058,640,480:waittimer 29906 blt 0,0,640,480,0, 3060,640,480:waittimer 29925 blt 0,0,640,480,0, 3062,640,480:waittimer 29945 blt 0,0,640,480,0, 3064,640,480:waittimer 29964 blt 0,0,640,480,0, 3066,640,480:waittimer 29984 blt 0,0,640,480,0, 3068,640,480:waittimer 30003 blt 0,0,640,480,0, 3070,640,480:waittimer 30023 blt 0,0,640,480,0, 3072,640,480:waittimer 30042 blt 0,0,640,480,0, 3074,640,480:waittimer 30062 blt 0,0,640,480,0, 3076,640,480:waittimer 30082 blt 0,0,640,480,0, 3078,640,480:waittimer 30101 blt 0,0,640,480,0, 3080,640,480:waittimer 30121 blt 0,0,640,480,0, 3082,640,480:waittimer 30140 blt 0,0,640,480,0, 3084,640,480:waittimer 30160 blt 0,0,640,480,0, 3086,640,480:waittimer 30179 blt 0,0,640,480,0, 3088,640,480:waittimer 30199 blt 0,0,640,480,0, 3090,640,480:waittimer 30219 blt 0,0,640,480,0, 3092,640,480:waittimer 30238 blt 0,0,640,480,0, 3094,640,480:waittimer 30258 blt 0,0,640,480,0, 3096,640,480:waittimer 30277 blt 0,0,640,480,0, 3098,640,480:waittimer 30297 blt 0,0,640,480,0, 3100,640,480:waittimer 30316 blt 0,0,640,480,0, 3102,640,480:waittimer 30336 blt 0,0,640,480,0, 3104,640,480:waittimer 30355 blt 0,0,640,480,0, 3106,640,480:waittimer 30375 blt 0,0,640,480,0, 3108,640,480:waittimer 30395 blt 0,0,640,480,0, 3110,640,480:waittimer 30414 blt 0,0,640,480,0, 3112,640,480:waittimer 30434 blt 0,0,640,480,0, 3114,640,480:waittimer 30453 blt 0,0,640,480,0, 3116,640,480:waittimer 30473 blt 0,0,640,480,0, 3118,640,480:waittimer 30492 blt 0,0,640,480,0, 3120,640,480:waittimer 30512 blt 0,0,640,480,0, 3122,640,480:waittimer 30531 blt 0,0,640,480,0, 3124,640,480:waittimer 30551 blt 0,0,640,480,0, 3126,640,480:waittimer 30571 blt 0,0,640,480,0, 3128,640,480:waittimer 30590 blt 0,0,640,480,0, 3130,640,480:waittimer 30610 blt 0,0,640,480,0, 3132,640,480:waittimer 30629 blt 0,0,640,480,0, 3134,640,480:waittimer 30649 blt 0,0,640,480,0, 3136,640,480:waittimer 30668 blt 0,0,640,480,0, 3138,640,480:waittimer 30688 blt 0,0,640,480,0, 3140,640,480:waittimer 30707 blt 0,0,640,480,0, 3142,640,480:waittimer 30727 blt 0,0,640,480,0, 3144,640,480:waittimer 30747 blt 0,0,640,480,0, 3146,640,480:waittimer 30766 blt 0,0,640,480,0, 3148,640,480:waittimer 30786 blt 0,0,640,480,0, 3150,640,480:waittimer 30805 blt 0,0,640,480,0, 3152,640,480:waittimer 30825 blt 0,0,640,480,0, 3154,640,480:waittimer 30844 blt 0,0,640,480,0, 3156,640,480:waittimer 30864 blt 0,0,640,480,0, 3158,640,480:waittimer 30884 blt 0,0,640,480,0, 3160,640,480:waittimer 30903 blt 0,0,640,480,0, 3162,640,480:waittimer 30923 blt 0,0,640,480,0, 3164,640,480:waittimer 30942 blt 0,0,640,480,0, 3166,640,480:waittimer 30962 blt 0,0,640,480,0, 3168,640,480:waittimer 30981 blt 0,0,640,480,0, 3170,640,480:waittimer 31001 blt 0,0,640,480,0, 3172,640,480:waittimer 31020 blt 0,0,640,480,0, 3174,640,480:waittimer 31040 blt 0,0,640,480,0, 3176,640,480:waittimer 31060 blt 0,0,640,480,0, 3178,640,480:waittimer 31079 blt 0,0,640,480,0, 3180,640,480:waittimer 31099 blt 0,0,640,480,0, 3182,640,480:waittimer 31118 blt 0,0,640,480,0, 3184,640,480:waittimer 31138 blt 0,0,640,480,0, 3186,640,480:waittimer 31157 blt 0,0,640,480,0, 3188,640,480:waittimer 31177 blt 0,0,640,480,0, 3190,640,480:waittimer 31196 blt 0,0,640,480,0, 3192,640,480:waittimer 31216 blt 0,0,640,480,0, 3194,640,480:waittimer 31236 blt 0,0,640,480,0, 3196,640,480:waittimer 31255 blt 0,0,640,480,0, 3198,640,480:waittimer 31275 blt 0,0,640,480,0, 3200,640,480:waittimer 31294 blt 0,0,640,480,0, 3202,640,480:waittimer 31314 blt 0,0,640,480,0, 3204,640,480:waittimer 31333 blt 0,0,640,480,0, 3206,640,480:waittimer 31353 blt 0,0,640,480,0, 3208,640,480:waittimer 31373 blt 0,0,640,480,0, 3210,640,480:waittimer 31392 blt 0,0,640,480,0, 3212,640,480:waittimer 31412 blt 0,0,640,480,0, 3214,640,480:waittimer 31431 blt 0,0,640,480,0, 3216,640,480:waittimer 31451 blt 0,0,640,480,0, 3218,640,480:waittimer 31470 blt 0,0,640,480,0, 3220,640,480:waittimer 31490 blt 0,0,640,480,0, 3222,640,480:waittimer 31509 blt 0,0,640,480,0, 3224,640,480:waittimer 31529 blt 0,0,640,480,0, 3226,640,480:waittimer 31549 blt 0,0,640,480,0, 3228,640,480:waittimer 31568 blt 0,0,640,480,0, 3230,640,480:waittimer 31588 blt 0,0,640,480,0, 3232,640,480:waittimer 31607 blt 0,0,640,480,0, 3234,640,480:waittimer 31627 blt 0,0,640,480,0, 3236,640,480:waittimer 31646 blt 0,0,640,480,0, 3238,640,480:waittimer 31666 blt 0,0,640,480,0, 3240,640,480:waittimer 31685 blt 0,0,640,480,0, 3242,640,480:waittimer 31705 blt 0,0,640,480,0, 3244,640,480:waittimer 31725 blt 0,0,640,480,0, 3246,640,480:waittimer 31744 blt 0,0,640,480,0, 3248,640,480:waittimer 31764 blt 0,0,640,480,0, 3250,640,480:waittimer 31783 blt 0,0,640,480,0, 3252,640,480:waittimer 31803 blt 0,0,640,480,0, 3254,640,480:waittimer 31822 blt 0,0,640,480,0, 3256,640,480:waittimer 31842 blt 0,0,640,480,0, 3258,640,480:waittimer 31861 blt 0,0,640,480,0, 3260,640,480:waittimer 31881 blt 0,0,640,480,0, 3262,640,480:waittimer 31901 blt 0,0,640,480,0, 3264,640,480:waittimer 31920 blt 0,0,640,480,0, 3266,640,480:waittimer 31940 blt 0,0,640,480,0, 3268,640,480:waittimer 31959 blt 0,0,640,480,0, 3270,640,480:waittimer 31979 blt 0,0,640,480,0, 3272,640,480:waittimer 31998 blt 0,0,640,480,0, 3274,640,480:waittimer 32018 blt 0,0,640,480,0, 3276,640,480:waittimer 32038 blt 0,0,640,480,0, 3278,640,480:waittimer 32057 blt 0,0,640,480,0, 3280,640,480:waittimer 32077 blt 0,0,640,480,0, 3282,640,480:waittimer 32096 blt 0,0,640,480,0, 3284,640,480:waittimer 32116 blt 0,0,640,480,0, 3286,640,480:waittimer 32135 blt 0,0,640,480,0, 3288,640,480:waittimer 32155 blt 0,0,640,480,0, 3290,640,480:waittimer 32174 blt 0,0,640,480,0, 3292,640,480:waittimer 32194 blt 0,0,640,480,0, 3294,640,480:waittimer 32214 blt 0,0,640,480,0, 3296,640,480:waittimer 32233 blt 0,0,640,480,0, 3298,640,480:waittimer 32253 blt 0,0,640,480,0, 3300,640,480:waittimer 32272 blt 0,0,640,480,0, 3302,640,480:waittimer 32292 blt 0,0,640,480,0, 3304,640,480:waittimer 32311 blt 0,0,640,480,0, 3306,640,480:waittimer 32331 blt 0,0,640,480,0, 3308,640,480:waittimer 32350 blt 0,0,640,480,0, 3310,640,480:waittimer 32370 blt 0,0,640,480,0, 3312,640,480:waittimer 32390 blt 0,0,640,480,0, 3314,640,480:waittimer 32409 blt 0,0,640,480,0, 3316,640,480:waittimer 32429 blt 0,0,640,480,0, 3318,640,480:waittimer 32448 blt 0,0,640,480,0, 3320,640,480:waittimer 32468 blt 0,0,640,480,0, 3322,640,480:waittimer 32487 blt 0,0,640,480,0, 3324,640,480:waittimer 32507 blt 0,0,640,480,0, 3326,640,480:waittimer 32526 blt 0,0,640,480,0, 3328,640,480:waittimer 32546 blt 0,0,640,480,0, 3330,640,480:waittimer 32566 blt 0,0,640,480,0, 3332,640,480:waittimer 32585 blt 0,0,640,480,0, 3334,640,480:waittimer 32605 blt 0,0,640,480,0, 3336,640,480:waittimer 32624 blt 0,0,640,480,0, 3338,640,480:waittimer 32644 blt 0,0,640,480,0, 3340,640,480:waittimer 32663 blt 0,0,640,480,0, 3342,640,480:waittimer 32683 blt 0,0,640,480,0, 3344,640,480:waittimer 32703 blt 0,0,640,480,0, 3346,640,480:waittimer 32722 blt 0,0,640,480,0, 3348,640,480:waittimer 32742 blt 0,0,640,480,0, 3350,640,480:waittimer 32761 blt 0,0,640,480,0, 3352,640,480:waittimer 32781 blt 0,0,640,480,0, 3354,640,480:waittimer 32800 blt 0,0,640,480,0, 3356,640,480:waittimer 32820 blt 0,0,640,480,0, 3358,640,480:waittimer 32839 blt 0,0,640,480,0, 3360,640,480:waittimer 32859 blt 0,0,640,480,0, 3362,640,480:waittimer 32879 blt 0,0,640,480,0, 3364,640,480:waittimer 32898 blt 0,0,640,480,0, 3366,640,480:waittimer 32918 blt 0,0,640,480,0, 3368,640,480:waittimer 32937 blt 0,0,640,480,0, 3370,640,480:waittimer 32957 blt 0,0,640,480,0, 3372,640,480:waittimer 32976 blt 0,0,640,480,0, 3374,640,480:waittimer 32996 blt 0,0,640,480,0, 3376,640,480:waittimer 33015 blt 0,0,640,480,0, 3378,640,480:waittimer 33035 blt 0,0,640,480,0, 3380,640,480:waittimer 33055 blt 0,0,640,480,0, 3382,640,480:waittimer 33074 blt 0,0,640,480,0, 3384,640,480:waittimer 33094 blt 0,0,640,480,0, 3386,640,480:waittimer 33113 blt 0,0,640,480,0, 3388,640,480:waittimer 33133 blt 0,0,640,480,0, 3390,640,480:waittimer 33152 blt 0,0,640,480,0, 3392,640,480:waittimer 33172 blt 0,0,640,480,0, 3394,640,480:waittimer 33192 blt 0,0,640,480,0, 3396,640,480:waittimer 33211 blt 0,0,640,480,0, 3398,640,480:waittimer 33231 blt 0,0,640,480,0, 3400,640,480:waittimer 33250 blt 0,0,640,480,0, 3402,640,480:waittimer 33270 blt 0,0,640,480,0, 3404,640,480:waittimer 33289 blt 0,0,640,480,0, 3406,640,480:waittimer 33309 blt 0,0,640,480,0, 3408,640,480:waittimer 33328 blt 0,0,640,480,0, 3410,640,480:waittimer 33348 blt 0,0,640,480,0, 3412,640,480:waittimer 33368 blt 0,0,640,480,0, 3414,640,480:waittimer 33387 blt 0,0,640,480,0, 3416,640,480:waittimer 33407 blt 0,0,640,480,0, 3418,640,480:waittimer 33426 blt 0,0,640,480,0, 3420,640,480:waittimer 33446 blt 0,0,640,480,0, 3422,640,480:waittimer 33465 blt 0,0,640,480,0, 3424,640,480:waittimer 33485 blt 0,0,640,480,0, 3426,640,480:waittimer 33504 blt 0,0,640,480,0, 3428,640,480:waittimer 33524 blt 0,0,640,480,0, 3430,640,480:waittimer 33544 blt 0,0,640,480,0, 3432,640,480:waittimer 33563 blt 0,0,640,480,0, 3434,640,480:waittimer 33583 blt 0,0,640,480,0, 3436,640,480:waittimer 33602 blt 0,0,640,480,0, 3438,640,480:waittimer 33622 blt 0,0,640,480,0, 3440,640,480:waittimer 33641 blt 0,0,640,480,0, 3442,640,480:waittimer 33661 blt 0,0,640,480,0, 3444,640,480:waittimer 33680 blt 0,0,640,480,0, 3446,640,480:waittimer 33700 blt 0,0,640,480,0, 3448,640,480:waittimer 33720 blt 0,0,640,480,0, 3450,640,480:waittimer 33739 blt 0,0,640,480,0, 3452,640,480:waittimer 33759 blt 0,0,640,480,0, 3454,640,480:waittimer 33778 blt 0,0,640,480,0, 3456,640,480:waittimer 33798 blt 0,0,640,480,0, 3458,640,480:waittimer 33817 blt 0,0,640,480,0, 3460,640,480:waittimer 33837 blt 0,0,640,480,0, 3462,640,480:waittimer 33857 blt 0,0,640,480,0, 3464,640,480:waittimer 33876 blt 0,0,640,480,0, 3466,640,480:waittimer 33896 blt 0,0,640,480,0, 3468,640,480:waittimer 33915 blt 0,0,640,480,0, 3470,640,480:waittimer 33935 blt 0,0,640,480,0, 3472,640,480:waittimer 33954 blt 0,0,640,480,0, 3474,640,480:waittimer 33974 blt 0,0,640,480,0, 3476,640,480:waittimer 33993 blt 0,0,640,480,0, 3478,640,480:waittimer 34013 blt 0,0,640,480,0, 3480,640,480:waittimer 34033 blt 0,0,640,480,0, 3482,640,480:waittimer 34052 blt 0,0,640,480,0, 3484,640,480:waittimer 34072 blt 0,0,640,480,0, 3486,640,480:waittimer 34091 blt 0,0,640,480,0, 3488,640,480:waittimer 34111 blt 0,0,640,480,0, 3490,640,480:waittimer 34130 blt 0,0,640,480,0, 3492,640,480:waittimer 34150 blt 0,0,640,480,0, 3494,640,480:waittimer 34169 blt 0,0,640,480,0, 3496,640,480:waittimer 34189 blt 0,0,640,480,0, 3498,640,480:waittimer 34209 blt 0,0,640,480,0, 3500,640,480:waittimer 34228 blt 0,0,640,480,0, 3502,640,480:waittimer 34248 blt 0,0,640,480,0, 3504,640,480:waittimer 34267 blt 0,0,640,480,0, 3506,640,480:waittimer 34287 blt 0,0,640,480,0, 3508,640,480:waittimer 34306 blt 0,0,640,480,0, 3510,640,480:waittimer 34326 blt 0,0,640,480,0, 3512,640,480:waittimer 34346 blt 0,0,640,480,0, 3514,640,480:waittimer 34365 blt 0,0,640,480,0, 3516,640,480:waittimer 34385 blt 0,0,640,480,0, 3518,640,480:waittimer 34404 blt 0,0,640,480,0, 3520,640,480:waittimer 34424 blt 0,0,640,480,0, 3522,640,480:waittimer 34443 blt 0,0,640,480,0, 3524,640,480:waittimer 34463 blt 0,0,640,480,0, 3526,640,480:waittimer 34482 blt 0,0,640,480,0, 3528,640,480:waittimer 34502 blt 0,0,640,480,0, 3530,640,480:waittimer 34522 blt 0,0,640,480,0, 3532,640,480:waittimer 34541 blt 0,0,640,480,0, 3534,640,480:waittimer 34561 blt 0,0,640,480,0, 3536,640,480:waittimer 34580 blt 0,0,640,480,0, 3538,640,480:waittimer 34600 blt 0,0,640,480,0, 3540,640,480:waittimer 34619 blt 0,0,640,480,0, 3542,640,480:waittimer 34639 blt 0,0,640,480,0, 3544,640,480:waittimer 34658 blt 0,0,640,480,0, 3546,640,480:waittimer 34678 blt 0,0,640,480,0, 3548,640,480:waittimer 34698 blt 0,0,640,480,0, 3550,640,480:waittimer 34717 blt 0,0,640,480,0, 3552,640,480:waittimer 34737 blt 0,0,640,480,0, 3554,640,480:waittimer 34756 blt 0,0,640,480,0, 3556,640,480:waittimer 34776 blt 0,0,640,480,0, 3558,640,480:waittimer 34795 blt 0,0,640,480,0, 3560,640,480:waittimer 34815 blt 0,0,640,480,0, 3562,640,480:waittimer 34834 blt 0,0,640,480,0, 3564,640,480:waittimer 34854 blt 0,0,640,480,0, 3566,640,480:waittimer 34874 blt 0,0,640,480,0, 3568,640,480:waittimer 34893 blt 0,0,640,480,0, 3570,640,480:waittimer 34913 blt 0,0,640,480,0, 3572,640,480:waittimer 34932 blt 0,0,640,480,0, 3574,640,480:waittimer 34952 blt 0,0,640,480,0, 3576,640,480:waittimer 34971 blt 0,0,640,480,0, 3578,640,480:waittimer 34991 blt 0,0,640,480,0, 3580,640,480:waittimer 35011 blt 0,0,640,480,0, 3582,640,480:waittimer 35030 blt 0,0,640,480,0, 3584,640,480:waittimer 35050 blt 0,0,640,480,0, 3586,640,480:waittimer 35069 blt 0,0,640,480,0, 3588,640,480:waittimer 35089 blt 0,0,640,480,0, 3590,640,480:waittimer 35108 blt 0,0,640,480,0, 3592,640,480:waittimer 35128 blt 0,0,640,480,0, 3594,640,480:waittimer 35147 blt 0,0,640,480,0, 3596,640,480:waittimer 35167 blt 0,0,640,480,0, 3598,640,480:waittimer 35187 blt 0,0,640,480,0, 3600,640,480:waittimer 35206 blt 0,0,640,480,0, 3602,640,480:waittimer 35226 blt 0,0,640,480,0, 3604,640,480:waittimer 35245 blt 0,0,640,480,0, 3606,640,480:waittimer 35265 blt 0,0,640,480,0, 3608,640,480:waittimer 35284 blt 0,0,640,480,0, 3610,640,480:waittimer 35304 blt 0,0,640,480,0, 3612,640,480:waittimer 35323 blt 0,0,640,480,0, 3614,640,480:waittimer 35343 blt 0,0,640,480,0, 3616,640,480:waittimer 35363 blt 0,0,640,480,0, 3618,640,480:waittimer 35382 blt 0,0,640,480,0, 3620,640,480:waittimer 35402 blt 0,0,640,480,0, 3622,640,480:waittimer 35421 blt 0,0,640,480,0, 3624,640,480:waittimer 35441 blt 0,0,640,480,0, 3626,640,480:waittimer 35460 blt 0,0,640,480,0, 3628,640,480:waittimer 35480 blt 0,0,640,480,0, 3630,640,480:waittimer 35500 blt 0,0,640,480,0, 3632,640,480:waittimer 35519 blt 0,0,640,480,0, 3634,640,480:waittimer 35539 blt 0,0,640,480,0, 3636,640,480:waittimer 35558 blt 0,0,640,480,0, 3638,640,480:waittimer 35578 blt 0,0,640,480,0, 3640,640,480:waittimer 35597 blt 0,0,640,480,0, 3642,640,480:waittimer 35617 blt 0,0,640,480,0, 3644,640,480:waittimer 35636 blt 0,0,640,480,0, 3646,640,480:waittimer 35656 blt 0,0,640,480,0, 3648,640,480:waittimer 35676 blt 0,0,640,480,0, 3650,640,480:waittimer 35695 blt 0,0,640,480,0, 3652,640,480:waittimer 35715 blt 0,0,640,480,0, 3654,640,480:waittimer 35734 blt 0,0,640,480,0, 3656,640,480:waittimer 35754 blt 0,0,640,480,0, 3658,640,480:waittimer 35773 blt 0,0,640,480,0, 3660,640,480:waittimer 35793 blt 0,0,640,480,0, 3662,640,480:waittimer 35812 blt 0,0,640,480,0, 3664,640,480:waittimer 35832 blt 0,0,640,480,0, 3666,640,480:waittimer 35852 blt 0,0,640,480,0, 3668,640,480:waittimer 35871 blt 0,0,640,480,0, 3670,640,480:waittimer 35891 blt 0,0,640,480,0, 3672,640,480:waittimer 35910 blt 0,0,640,480,0, 3674,640,480:waittimer 35930 blt 0,0,640,480,0, 3676,640,480:waittimer 35949 blt 0,0,640,480,0, 3678,640,480:waittimer 35969 blt 0,0,640,480,0, 3680,640,480:waittimer 35988 blt 0,0,640,480,0, 3682,640,480:waittimer 36008 blt 0,0,640,480,0, 3684,640,480:waittimer 36028 blt 0,0,640,480,0, 3686,640,480:waittimer 36047 blt 0,0,640,480,0, 3688,640,480:waittimer 36067 blt 0,0,640,480,0, 3690,640,480:waittimer 36086 blt 0,0,640,480,0, 3692,640,480:waittimer 36106 blt 0,0,640,480,0, 3694,640,480:waittimer 36125 blt 0,0,640,480,0, 3696,640,480:waittimer 36145 blt 0,0,640,480,0, 3698,640,480:waittimer 36165 blt 0,0,640,480,0, 3700,640,480:waittimer 36184 blt 0,0,640,480,0, 3702,640,480:waittimer 36204 blt 0,0,640,480,0, 3704,640,480:waittimer 36223 blt 0,0,640,480,0, 3706,640,480:waittimer 36243 blt 0,0,640,480,0, 3708,640,480:waittimer 36262 blt 0,0,640,480,0, 3710,640,480:waittimer 36282 blt 0,0,640,480,0, 3712,640,480:waittimer 36301 blt 0,0,640,480,0, 3714,640,480:waittimer 36321 blt 0,0,640,480,0, 3716,640,480:waittimer 36341 blt 0,0,640,480,0, 3718,640,480:waittimer 36360 blt 0,0,640,480,0, 3720,640,480:waittimer 36380 blt 0,0,640,480,0, 3722,640,480:waittimer 36399 blt 0,0,640,480,0, 3724,640,480:waittimer 36419 blt 0,0,640,480,0, 3726,640,480:waittimer 36438 blt 0,0,640,480,0, 3728,640,480:waittimer 36458 blt 0,0,640,480,0, 3730,640,480:waittimer 36477 blt 0,0,640,480,0, 3732,640,480:waittimer 36497 blt 0,0,640,480,0, 3734,640,480:waittimer 36517 blt 0,0,640,480,0, 3736,640,480:waittimer 36536 blt 0,0,640,480,0, 3738,640,480:waittimer 36556 blt 0,0,640,480,0, 3740,640,480:waittimer 36575 blt 0,0,640,480,0, 3742,640,480:waittimer 36595 blt 0,0,640,480,0, 3744,640,480:waittimer 36614 blt 0,0,640,480,0, 3746,640,480:waittimer 36634 blt 0,0,640,480,0, 3748,640,480:waittimer 36653 blt 0,0,640,480,0, 3750,640,480:waittimer 36673 blt 0,0,640,480,0, 3752,640,480:waittimer 36693 blt 0,0,640,480,0, 3754,640,480:waittimer 36712 blt 0,0,640,480,0, 3756,640,480:waittimer 36732 blt 0,0,640,480,0, 3758,640,480:waittimer 36751 blt 0,0,640,480,0, 3760,640,480:waittimer 36771 blt 0,0,640,480,0, 3762,640,480:waittimer 36790 blt 0,0,640,480,0, 3764,640,480:waittimer 36810 blt 0,0,640,480,0, 3766,640,480:waittimer 36830 blt 0,0,640,480,0, 3768,640,480:waittimer 36849 blt 0,0,640,480,0, 3770,640,480:waittimer 36869 blt 0,0,640,480,0, 3772,640,480:waittimer 36888 blt 0,0,640,480,0, 3774,640,480:waittimer 36908 blt 0,0,640,480,0, 3776,640,480:waittimer 36927 blt 0,0,640,480,0, 3778,640,480:waittimer 36947 blt 0,0,640,480,0, 3780,640,480:waittimer 36966 blt 0,0,640,480,0, 3782,640,480:waittimer 36986 blt 0,0,640,480,0, 3784,640,480:waittimer 37006 blt 0,0,640,480,0, 3786,640,480:waittimer 37025 blt 0,0,640,480,0, 3788,640,480:waittimer 37045 blt 0,0,640,480,0, 3790,640,480:waittimer 37064 blt 0,0,640,480,0, 3792,640,480:waittimer 37084 blt 0,0,640,480,0, 3794,640,480:waittimer 37103 blt 0,0,640,480,0, 3796,640,480:waittimer 37123 blt 0,0,640,480,0, 3798,640,480:waittimer 37142 blt 0,0,640,480,0, 3800,640,480:waittimer 37162 blt 0,0,640,480,0, 3802,640,480:waittimer 37182 blt 0,0,640,480,0, 3804,640,480:waittimer 37201 blt 0,0,640,480,0, 3806,640,480:waittimer 37221 blt 0,0,640,480,0, 3808,640,480:waittimer 37240 blt 0,0,640,480,0, 3810,640,480:waittimer 37260 blt 0,0,640,480,0, 3812,640,480:waittimer 37279 blt 0,0,640,480,0, 3814,640,480:waittimer 37299 blt 0,0,640,480,0, 3816,640,480:waittimer 37319 blt 0,0,640,480,0, 3818,640,480:waittimer 37338 blt 0,0,640,480,0, 3820,640,480:waittimer 37358 blt 0,0,640,480,0, 3822,640,480:waittimer 37377 blt 0,0,640,480,0, 3824,640,480:waittimer 37397 blt 0,0,640,480,0, 3826,640,480:waittimer 37416 blt 0,0,640,480,0, 3828,640,480:waittimer 37436 blt 0,0,640,480,0, 3830,640,480:waittimer 37455 blt 0,0,640,480,0, 3832,640,480:waittimer 37475 blt 0,0,640,480,0, 3834,640,480:waittimer 37495 blt 0,0,640,480,0, 3836,640,480:waittimer 37514 blt 0,0,640,480,0, 3838,640,480:waittimer 37534 blt 0,0,640,480,0, 3840,640,480:waittimer 37553 blt 0,0,640,480,0, 3842,640,480:waittimer 37573 blt 0,0,640,480,0, 3844,640,480:waittimer 37592 blt 0,0,640,480,0, 3846,640,480:waittimer 37612 blt 0,0,640,480,0, 3848,640,480:waittimer 37631 blt 0,0,640,480,0, 3850,640,480:waittimer 37651 blt 0,0,640,480,0, 3852,640,480:waittimer 37671 blt 0,0,640,480,0, 3854,640,480:waittimer 37690 blt 0,0,640,480,0, 3856,640,480:waittimer 37710 blt 0,0,640,480,0, 3858,640,480:waittimer 37729 blt 0,0,640,480,0, 3860,640,480:waittimer 37749 blt 0,0,640,480,0, 3862,640,480:waittimer 37768 blt 0,0,640,480,0, 3864,640,480:waittimer 37788 blt 0,0,640,480,0, 3866,640,480:waittimer 37807 blt 0,0,640,480,0, 3868,640,480:waittimer 37827 blt 0,0,640,480,0, 3870,640,480:waittimer 37847 blt 0,0,640,480,0, 3872,640,480:waittimer 37866 blt 0,0,640,480,0, 3874,640,480:waittimer 37886 blt 0,0,640,480,0, 3876,640,480:waittimer 37905 blt 0,0,640,480,0, 3878,640,480:waittimer 37925 blt 0,0,640,480,0, 3880,640,480:waittimer 37944 blt 0,0,640,480,0, 3882,640,480:waittimer 37964 blt 0,0,640,480,0, 3884,640,480:waittimer 37984 blt 0,0,640,480,0, 3886,640,480:waittimer 38003 blt 0,0,640,480,0, 3888,640,480:waittimer 38023 blt 0,0,640,480,0, 3890,640,480:waittimer 38042 blt 0,0,640,480,0, 3892,640,480:waittimer 38062 blt 0,0,640,480,0, 3894,640,480:waittimer 38081 blt 0,0,640,480,0, 3896,640,480:waittimer 38101 blt 0,0,640,480,0, 3898,640,480:waittimer 38120 blt 0,0,640,480,0, 3900,640,480:waittimer 38140 blt 0,0,640,480,0, 3902,640,480:waittimer 38160 blt 0,0,640,480,0, 3904,640,480:waittimer 38179 blt 0,0,640,480,0, 3906,640,480:waittimer 38199 blt 0,0,640,480,0, 3908,640,480:waittimer 38218 blt 0,0,640,480,0, 3910,640,480:waittimer 38238 blt 0,0,640,480,0, 3912,640,480:waittimer 38257 blt 0,0,640,480,0, 3914,640,480:waittimer 38277 blt 0,0,640,480,0, 3916,640,480:waittimer 38296 blt 0,0,640,480,0, 3918,640,480:waittimer 38316 blt 0,0,640,480,0, 3920,640,480:waittimer 38336 blt 0,0,640,480,0, 3922,640,480:waittimer 38355 blt 0,0,640,480,0, 3924,640,480:waittimer 38375 blt 0,0,640,480,0, 3926,640,480:waittimer 38394 blt 0,0,640,480,0, 3928,640,480:waittimer 38414 blt 0,0,640,480,0, 3930,640,480:waittimer 38433 blt 0,0,640,480,0, 3932,640,480:waittimer 38453 blt 0,0,640,480,0, 3934,640,480:waittimer 38473 blt 0,0,640,480,0, 3936,640,480:waittimer 38492 blt 0,0,640,480,0, 3938,640,480:waittimer 38512 blt 0,0,640,480,0, 3940,640,480:waittimer 38531 blt 0,0,640,480,0, 3942,640,480:waittimer 38551 blt 0,0,640,480,0, 3944,640,480:waittimer 38570 blt 0,0,640,480,0, 3946,640,480:waittimer 38590 blt 0,0,640,480,0, 3948,640,480:waittimer 38609 blt 0,0,640,480,0, 3950,640,480:waittimer 38629 blt 0,0,640,480,0, 3952,640,480:waittimer 38649 blt 0,0,640,480,0, 3954,640,480:waittimer 38668 blt 0,0,640,480,0, 3956,640,480:waittimer 38688 blt 0,0,640,480,0, 3958,640,480:waittimer 38707 blt 0,0,640,480,0, 3960,640,480:waittimer 38727 blt 0,0,640,480,0, 3962,640,480:waittimer 38746 blt 0,0,640,480,0, 3964,640,480:waittimer 38766 blt 0,0,640,480,0, 3966,640,480:waittimer 38785 blt 0,0,640,480,0, 3968,640,480:waittimer 38805 blt 0,0,640,480,0, 3970,640,480:waittimer 38825 blt 0,0,640,480,0, 3972,640,480:waittimer 38844 blt 0,0,640,480,0, 3974,640,480:waittimer 38864 blt 0,0,640,480,0, 3976,640,480:waittimer 38883 blt 0,0,640,480,0, 3978,640,480:waittimer 38903 blt 0,0,640,480,0, 3980,640,480:waittimer 38922 blt 0,0,640,480,0, 3982,640,480:waittimer 38942 blt 0,0,640,480,0, 3984,640,480:waittimer 38961 blt 0,0,640,480,0, 3986,640,480:waittimer 38981 blt 0,0,640,480,0, 3988,640,480:waittimer 39001 blt 0,0,640,480,0, 3990,640,480:waittimer 39020 blt 0,0,640,480,0, 3992,640,480:waittimer 39040 blt 0,0,640,480,0, 3994,640,480:waittimer 39059 blt 0,0,640,480,0, 3996,640,480:waittimer 39079 blt 0,0,640,480,0, 3998,640,480:waittimer 39098 blt 0,0,640,480,0, 4000,640,480:waittimer 39118 blt 0,0,640,480,0, 4002,640,480:waittimer 39138 blt 0,0,640,480,0, 4004,640,480:waittimer 39157 blt 0,0,640,480,0, 4006,640,480:waittimer 39177 blt 0,0,640,480,0, 4008,640,480:waittimer 39196 blt 0,0,640,480,0, 4010,640,480:waittimer 39216 blt 0,0,640,480,0, 4012,640,480:waittimer 39235 blt 0,0,640,480,0, 4014,640,480:waittimer 39255 blt 0,0,640,480,0, 4016,640,480:waittimer 39274 blt 0,0,640,480,0, 4018,640,480:waittimer 39294 blt 0,0,640,480,0, 4020,640,480:waittimer 39314 blt 0,0,640,480,0, 4022,640,480:waittimer 39333 blt 0,0,640,480,0, 4024,640,480:waittimer 39353 blt 0,0,640,480,0, 4026,640,480:waittimer 39372 blt 0,0,640,480,0, 4028,640,480:waittimer 39392 blt 0,0,640,480,0, 4030,640,480:waittimer 39411 blt 0,0,640,480,0, 4032,640,480:waittimer 39431 blt 0,0,640,480,0, 4034,640,480:waittimer 39450 blt 0,0,640,480,0, 4036,640,480:waittimer 39470 blt 0,0,640,480,0, 4038,640,480:waittimer 39490 blt 0,0,640,480,0, 4040,640,480:waittimer 39509 blt 0,0,640,480,0, 4042,640,480:waittimer 39529 blt 0,0,640,480,0, 4044,640,480:waittimer 39548 blt 0,0,640,480,0, 4046,640,480:waittimer 39568 blt 0,0,640,480,0, 4048,640,480:waittimer 39587 blt 0,0,640,480,0, 4050,640,480:waittimer 39607 blt 0,0,640,480,0, 4052,640,480:waittimer 39626 blt 0,0,640,480,0, 4054,640,480:waittimer 39646 blt 0,0,640,480,0, 4056,640,480:waittimer 39666 blt 0,0,640,480,0, 4058,640,480:waittimer 39685 blt 0,0,640,480,0, 4060,640,480:waittimer 39705 blt 0,0,640,480,0, 4062,640,480:waittimer 39724 blt 0,0,640,480,0, 4064,640,480:waittimer 39744 blt 0,0,640,480,0, 4066,640,480:waittimer 39763 blt 0,0,640,480,0, 4068,640,480:waittimer 39783 blt 0,0,640,480,0, 4070,640,480:waittimer 39803 blt 0,0,640,480,0, 4072,640,480:waittimer 39822 blt 0,0,640,480,0, 4074,640,480:waittimer 39842 blt 0,0,640,480,0, 4076,640,480:waittimer 39861 blt 0,0,640,480,0, 4078,640,480:waittimer 39881 blt 0,0,640,480,0, 4080,640,480:waittimer 39900 blt 0,0,640,480,0, 4082,640,480:waittimer 39920 blt 0,0,640,480,0, 4084,640,480:waittimer 39939 blt 0,0,640,480,0, 4086,640,480:waittimer 39959 blt 0,0,640,480,0, 4088,640,480:waittimer 39979 blt 0,0,640,480,0, 4090,640,480:waittimer 39998 blt 0,0,640,480,0, 4092,640,480:waittimer 40018 blt 0,0,640,480,0, 4094,640,480:waittimer 40037 blt 0,0,640,480,0, 4096,640,480:waittimer 40057 blt 0,0,640,480,0, 4098,640,480:waittimer 40076 blt 0,0,640,480,0, 4100,640,480:waittimer 40096 blt 0,0,640,480,0, 4102,640,480:waittimer 40115 blt 0,0,640,480,0, 4104,640,480:waittimer 40135 blt 0,0,640,480,0, 4106,640,480:waittimer 40155 blt 0,0,640,480,0, 4108,640,480:waittimer 40174 blt 0,0,640,480,0, 4110,640,480:waittimer 40194 blt 0,0,640,480,0, 4112,640,480:waittimer 40213 blt 0,0,640,480,0, 4114,640,480:waittimer 40233 blt 0,0,640,480,0, 4116,640,480:waittimer 40252 blt 0,0,640,480,0, 4118,640,480:waittimer 40272 blt 0,0,640,480,0, 4120,640,480:waittimer 40292 blt 0,0,640,480,0, 4122,640,480:waittimer 40311 blt 0,0,640,480,0, 4124,640,480:waittimer 40331 blt 0,0,640,480,0, 4126,640,480:waittimer 40350 blt 0,0,640,480,0, 4128,640,480:waittimer 40370 blt 0,0,640,480,0, 4130,640,480:waittimer 40389 blt 0,0,640,480,0, 4132,640,480:waittimer 40409 blt 0,0,640,480,0, 4134,640,480:waittimer 40428 blt 0,0,640,480,0, 4136,640,480:waittimer 40448 blt 0,0,640,480,0, 4138,640,480:waittimer 40468 blt 0,0,640,480,0, 4140,640,480:waittimer 40487 blt 0,0,640,480,0, 4142,640,480:waittimer 40507 blt 0,0,640,480,0, 4144,640,480:waittimer 40526 blt 0,0,640,480,0, 4146,640,480:waittimer 40546 blt 0,0,640,480,0, 4148,640,480:waittimer 40565 blt 0,0,640,480,0, 4150,640,480:waittimer 40585 blt 0,0,640,480,0, 4152,640,480:waittimer 40604 blt 0,0,640,480,0, 4154,640,480:waittimer 40624 blt 0,0,640,480,0, 4156,640,480:waittimer 40644 blt 0,0,640,480,0, 4158,640,480:waittimer 40663 blt 0,0,640,480,0, 4160,640,480:waittimer 40683 blt 0,0,640,480,0, 4162,640,480:waittimer 40702 blt 0,0,640,480,0, 4164,640,480:waittimer 40722 blt 0,0,640,480,0, 4166,640,480:waittimer 40741 blt 0,0,640,480,0, 4168,640,480:waittimer 40761 blt 0,0,640,480,0, 4170,640,480:waittimer 40780 blt 0,0,640,480,0, 4172,640,480:waittimer 40800 blt 0,0,640,480,0, 4174,640,480:waittimer 40820 blt 0,0,640,480,0, 4176,640,480:waittimer 40839 blt 0,0,640,480,0, 4178,640,480:waittimer 40859 blt 0,0,640,480,0, 4180,640,480:waittimer 40878 blt 0,0,640,480,0, 4182,640,480:waittimer 40898 blt 0,0,640,480,0, 4184,640,480:waittimer 40917 blt 0,0,640,480,0, 4186,640,480:waittimer 40937 blt 0,0,640,480,0, 4188,640,480:waittimer 40957 blt 0,0,640,480,0, 4190,640,480:waittimer 40976 blt 0,0,640,480,0, 4192,640,480:waittimer 40996 blt 0,0,640,480,0, 4194,640,480:waittimer 41015 blt 0,0,640,480,0, 4196,640,480:waittimer 41035 blt 0,0,640,480,0, 4198,640,480:waittimer 41054 blt 0,0,640,480,0, 4200,640,480:waittimer 41074 blt 0,0,640,480,0, 4202,640,480:waittimer 41093 blt 0,0,640,480,0, 4204,640,480:waittimer 41113 blt 0,0,640,480,0, 4206,640,480:waittimer 41133 blt 0,0,640,480,0, 4208,640,480:waittimer 41152 blt 0,0,640,480,0, 4210,640,480:waittimer 41172 blt 0,0,640,480,0, 4212,640,480:waittimer 41191 blt 0,0,640,480,0, 4214,640,480:waittimer 41211 blt 0,0,640,480,0, 4216,640,480:waittimer 41230 blt 0,0,640,480,0, 4218,640,480:waittimer 41250 blt 0,0,640,480,0, 4220,640,480:waittimer 41269 blt 0,0,640,480,0, 4222,640,480:waittimer 41289 blt 0,0,640,480,0, 4224,640,480:waittimer 41309 blt 0,0,640,480,0, 4226,640,480:waittimer 41328 blt 0,0,640,480,0, 4228,640,480:waittimer 41348 blt 0,0,640,480,0, 4230,640,480:waittimer 41367 blt 0,0,640,480,0, 4232,640,480:waittimer 41387 blt 0,0,640,480,0, 4234,640,480:waittimer 41406 blt 0,0,640,480,0, 4236,640,480:waittimer 41426 blt 0,0,640,480,0, 4238,640,480:waittimer 41446 blt 0,0,640,480,0, 4240,640,480:waittimer 41465 blt 0,0,640,480,0, 4242,640,480:waittimer 41485 blt 0,0,640,480,0, 4244,640,480:waittimer 41504 blt 0,0,640,480,0, 4246,640,480:waittimer 41524 blt 0,0,640,480,0, 4248,640,480:waittimer 41543 blt 0,0,640,480,0, 4250,640,480:waittimer 41563 blt 0,0,640,480,0, 4252,640,480:waittimer 41582 blt 0,0,640,480,0, 4254,640,480:waittimer 41602 blt 0,0,640,480,0, 4256,640,480:waittimer 41622 blt 0,0,640,480,0, 4258,640,480:waittimer 41641 blt 0,0,640,480,0, 4260,640,480:waittimer 41661 blt 0,0,640,480,0, 4262,640,480:waittimer 41680 blt 0,0,640,480,0, 4264,640,480:waittimer 41700 blt 0,0,640,480,0, 4266,640,480:waittimer 41719 blt 0,0,640,480,0, 4268,640,480:waittimer 41739 blt 0,0,640,480,0, 4270,640,480:waittimer 41758 blt 0,0,640,480,0, 4272,640,480:waittimer 41778 blt 0,0,640,480,0, 4274,640,480:waittimer 41798 blt 0,0,640,480,0, 4276,640,480:waittimer 41817 blt 0,0,640,480,0, 4278,640,480:waittimer 41837 blt 0,0,640,480,0, 4280,640,480:waittimer 41856 blt 0,0,640,480,0, 4282,640,480:waittimer 41876 blt 0,0,640,480,0, 4284,640,480:waittimer 41895 blt 0,0,640,480,0, 4286,640,480:waittimer 41915 blt 0,0,640,480,0, 4288,640,480:waittimer 41934 blt 0,0,640,480,0, 4290,640,480:waittimer 41954 blt 0,0,640,480,0, 4292,640,480:waittimer 41974 blt 0,0,640,480,0, 4294,640,480:waittimer 41993 blt 0,0,640,480,0, 4296,640,480:waittimer 42013 blt 0,0,640,480,0, 4298,640,480:waittimer 42032 blt 0,0,640,480,0, 4300,640,480:waittimer 42052 blt 0,0,640,480,0, 4302,640,480:waittimer 42071 blt 0,0,640,480,0, 4304,640,480:waittimer 42091 blt 0,0,640,480,0, 4306,640,480:waittimer 42111 blt 0,0,640,480,0, 4308,640,480:waittimer 42130 blt 0,0,640,480,0, 4310,640,480:waittimer 42150 blt 0,0,640,480,0, 4312,640,480:waittimer 42169 blt 0,0,640,480,0, 4314,640,480:waittimer 42189 blt 0,0,640,480,0, 4316,640,480:waittimer 42208 blt 0,0,640,480,0, 4318,640,480:waittimer 42228 blt 0,0,640,480,0, 4320,640,480:waittimer 42247 blt 0,0,640,480,0, 4322,640,480:waittimer 42267 blt 0,0,640,480,0, 4324,640,480:waittimer 42287 blt 0,0,640,480,0, 4326,640,480:waittimer 42306 blt 0,0,640,480,0, 4328,640,480:waittimer 42326 blt 0,0,640,480,0, 4330,640,480:waittimer 42345 blt 0,0,640,480,0, 4332,640,480:waittimer 42365 blt 0,0,640,480,0, 4334,640,480:waittimer 42384 blt 0,0,640,480,0, 4336,640,480:waittimer 42404 blt 0,0,640,480,0, 4338,640,480:waittimer 42423 blt 0,0,640,480,0, 4340,640,480:waittimer 42443 blt 0,0,640,480,0, 4342,640,480:waittimer 42463 blt 0,0,640,480,0, 4344,640,480:waittimer 42482 blt 0,0,640,480,0, 4346,640,480:waittimer 42502 blt 0,0,640,480,0, 4348,640,480:waittimer 42521 blt 0,0,640,480,0, 4350,640,480:waittimer 42541 blt 0,0,640,480,0, 4352,640,480:waittimer 42560 blt 0,0,640,480,0, 4354,640,480:waittimer 42580 blt 0,0,640,480,0, 4356,640,480:waittimer 42600 blt 0,0,640,480,0, 4358,640,480:waittimer 42619 blt 0,0,640,480,0, 4360,640,480:waittimer 42639 blt 0,0,640,480,0, 4362,640,480:waittimer 42658 blt 0,0,640,480,0, 4364,640,480:waittimer 42678 blt 0,0,640,480,0, 4366,640,480:waittimer 42697 blt 0,0,640,480,0, 4368,640,480:waittimer 42717 blt 0,0,640,480,0, 4370,640,480:waittimer 42736 blt 0,0,640,480,0, 4372,640,480:waittimer 42756 blt 0,0,640,480,0, 4374,640,480:waittimer 42776 blt 0,0,640,480,0, 4376,640,480:waittimer 42795 blt 0,0,640,480,0, 4378,640,480:waittimer 42815 blt 0,0,640,480,0, 4380,640,480:waittimer 42834 blt 0,0,640,480,0, 4382,640,480:waittimer 42854 blt 0,0,640,480,0, 4384,640,480:waittimer 42873 blt 0,0,640,480,0, 4386,640,480:waittimer 42893 blt 0,0,640,480,0, 4388,640,480:waittimer 42912 blt 0,0,640,480,0, 4390,640,480:waittimer 42932 blt 0,0,640,480,0, 4392,640,480:waittimer 42952 blt 0,0,640,480,0, 4394,640,480:waittimer 42971 blt 0,0,640,480,0, 4396,640,480:waittimer 42991 blt 0,0,640,480,0, 4398,640,480:waittimer 43010 blt 0,0,640,480,0, 4400,640,480:waittimer 43030 blt 0,0,640,480,0, 4402,640,480:waittimer 43049 blt 0,0,640,480,0, 4404,640,480:waittimer 43069 blt 0,0,640,480,0, 4406,640,480:waittimer 43088 blt 0,0,640,480,0, 4408,640,480:waittimer 43108 blt 0,0,640,480,0, 4410,640,480:waittimer 43128 blt 0,0,640,480,0, 4412,640,480:waittimer 43147 blt 0,0,640,480,0, 4414,640,480:waittimer 43167 blt 0,0,640,480,0, 4416,640,480:waittimer 43186 blt 0,0,640,480,0, 4418,640,480:waittimer 43206 blt 0,0,640,480,0, 4420,640,480:waittimer 43225 blt 0,0,640,480,0, 4422,640,480:waittimer 43245 blt 0,0,640,480,0, 4424,640,480:waittimer 43265 blt 0,0,640,480,0, 4426,640,480:waittimer 43284 blt 0,0,640,480,0, 4428,640,480:waittimer 43304 blt 0,0,640,480,0, 4430,640,480:waittimer 43323 blt 0,0,640,480,0, 4432,640,480:waittimer 43343 blt 0,0,640,480,0, 4434,640,480:waittimer 43362 blt 0,0,640,480,0, 4436,640,480:waittimer 43382 blt 0,0,640,480,0, 4438,640,480:waittimer 43401 blt 0,0,640,480,0, 4440,640,480:waittimer 43421 blt 0,0,640,480,0, 4442,640,480:waittimer 43441 blt 0,0,640,480,0, 4444,640,480:waittimer 43460 blt 0,0,640,480,0, 4446,640,480:waittimer 43480 blt 0,0,640,480,0, 4448,640,480:waittimer 43499 blt 0,0,640,480,0, 4450,640,480:waittimer 43519 blt 0,0,640,480,0, 4452,640,480:waittimer 43538 blt 0,0,640,480,0, 4454,640,480:waittimer 43558 blt 0,0,640,480,0, 4456,640,480:waittimer 43577 blt 0,0,640,480,0, 4458,640,480:waittimer 43597 blt 0,0,640,480,0, 4460,640,480:waittimer 43617 blt 0,0,640,480,0, 4462,640,480:waittimer 43636 blt 0,0,640,480,0, 4464,640,480:waittimer 43656 blt 0,0,640,480,0, 4466,640,480:waittimer 43675 blt 0,0,640,480,0, 4468,640,480:waittimer 43695 blt 0,0,640,480,0, 4470,640,480:waittimer 43714 blt 0,0,640,480,0, 4472,640,480:waittimer 43734 blt 0,0,640,480,0, 4474,640,480:waittimer 43753 blt 0,0,640,480,0, 4476,640,480:waittimer 43773 blt 0,0,640,480,0, 4478,640,480:waittimer 43793 blt 0,0,640,480,0, 4480,640,480:waittimer 43812 blt 0,0,640,480,0, 4482,640,480:waittimer 43832 blt 0,0,640,480,0, 4484,640,480:waittimer 43851 blt 0,0,640,480,0, 4486,640,480:waittimer 43871 blt 0,0,640,480,0, 4488,640,480:waittimer 43890 blt 0,0,640,480,0, 4490,640,480:waittimer 43910 blt 0,0,640,480,0, 4492,640,480:waittimer 43930 blt 0,0,640,480,0, 4494,640,480:waittimer 43949 blt 0,0,640,480,0, 4496,640,480:waittimer 43969 blt 0,0,640,480,0, 4498,640,480:waittimer 43988 blt 0,0,640,480,0, 4500,640,480:waittimer 44008 blt 0,0,640,480,0, 4502,640,480:waittimer 44027 blt 0,0,640,480,0, 4504,640,480:waittimer 44047 blt 0,0,640,480,0, 4506,640,480:waittimer 44066 blt 0,0,640,480,0, 4508,640,480:waittimer 44086 blt 0,0,640,480,0, 4510,640,480:waittimer 44106 blt 0,0,640,480,0, 4512,640,480:waittimer 44125 blt 0,0,640,480,0, 4514,640,480:waittimer 44145 blt 0,0,640,480,0, 4516,640,480:waittimer 44164 blt 0,0,640,480,0, 4518,640,480:waittimer 44184 blt 0,0,640,480,0, 4520,640,480:waittimer 44203 blt 0,0,640,480,0, 4522,640,480:waittimer 44223 blt 0,0,640,480,0, 4524,640,480:waittimer 44242 blt 0,0,640,480,0, 4526,640,480:waittimer 44262 blt 0,0,640,480,0, 4528,640,480:waittimer 44282 blt 0,0,640,480,0, 4530,640,480:waittimer 44301 blt 0,0,640,480,0, 4532,640,480:waittimer 44321 blt 0,0,640,480,0, 4534,640,480:waittimer 44340 blt 0,0,640,480,0, 4536,640,480:waittimer 44360 blt 0,0,640,480,0, 4538,640,480:waittimer 44379 blt 0,0,640,480,0, 4540,640,480:waittimer 44399 blt 0,0,640,480,0, 4542,640,480:waittimer 44419 blt 0,0,640,480,0, 4544,640,480:waittimer 44438 blt 0,0,640,480,0, 4546,640,480:waittimer 44458 blt 0,0,640,480,0, 4548,640,480:waittimer 44477 blt 0,0,640,480,0, 4550,640,480:waittimer 44497 blt 0,0,640,480,0, 4552,640,480:waittimer 44516 blt 0,0,640,480,0, 4554,640,480:waittimer 44536 blt 0,0,640,480,0, 4556,640,480:waittimer 44555 blt 0,0,640,480,0, 4558,640,480:waittimer 44575 blt 0,0,640,480,0, 4560,640,480:waittimer 44595 blt 0,0,640,480,0, 4562,640,480:waittimer 44614 blt 0,0,640,480,0, 4564,640,480:waittimer 44634 blt 0,0,640,480,0, 4566,640,480:waittimer 44653 blt 0,0,640,480,0, 4568,640,480:waittimer 44673 blt 0,0,640,480,0, 4570,640,480:waittimer 44692 blt 0,0,640,480,0, 4572,640,480:waittimer 44712 blt 0,0,640,480,0, 4574,640,480:waittimer 44731 blt 0,0,640,480,0, 4576,640,480:waittimer 44751 blt 0,0,640,480,0, 4578,640,480:waittimer 44771 blt 0,0,640,480,0, 4580,640,480:waittimer 44790 blt 0,0,640,480,0, 4582,640,480:waittimer 44810 blt 0,0,640,480,0, 4584,640,480:waittimer 44829 blt 0,0,640,480,0, 4586,640,480:waittimer 44849 blt 0,0,640,480,0, 4588,640,480:waittimer 44868 blt 0,0,640,480,0, 4590,640,480:waittimer 44888 blt 0,0,640,480,0, 4592,640,480:waittimer 44907 blt 0,0,640,480,0, 4594,640,480:waittimer 44927 blt 0,0,640,480,0, 4596,640,480:waittimer 44947 blt 0,0,640,480,0, 4598,640,480:waittimer 44966 blt 0,0,640,480,0, 4600,640,480:waittimer 44986 blt 0,0,640,480,0, 4602,640,480:waittimer 45005 blt 0,0,640,480,0, 4604,640,480:waittimer 45025 blt 0,0,640,480,0, 4606,640,480:waittimer 45044 blt 0,0,640,480,0, 4608,640,480:waittimer 45064 blt 0,0,640,480,0, 4610,640,480:waittimer 45084 blt 0,0,640,480,0, 4612,640,480:waittimer 45103 blt 0,0,640,480,0, 4614,640,480:waittimer 45123 blt 0,0,640,480,0, 4616,640,480:waittimer 45142 blt 0,0,640,480,0, 4618,640,480:waittimer 45162 blt 0,0,640,480,0, 4620,640,480:waittimer 45181 blt 0,0,640,480,0, 4622,640,480:waittimer 45201 blt 0,0,640,480,0, 4624,640,480:waittimer 45220 blt 0,0,640,480,0, 4626,640,480:waittimer 45240 blt 0,0,640,480,0, 4628,640,480:waittimer 45260 blt 0,0,640,480,0, 4630,640,480:waittimer 45279 blt 0,0,640,480,0, 4632,640,480:waittimer 45299 blt 0,0,640,480,0, 4634,640,480:waittimer 45318 blt 0,0,640,480,0, 4636,640,480:waittimer 45338 blt 0,0,640,480,0, 4638,640,480:waittimer 45357 blt 0,0,640,480,0, 4640,640,480:waittimer 45377 blt 0,0,640,480,0, 4642,640,480:waittimer 45396 blt 0,0,640,480,0, 4644,640,480:waittimer 45416 blt 0,0,640,480,0, 4646,640,480:waittimer 45436 blt 0,0,640,480,0, 4648,640,480:waittimer 45455 blt 0,0,640,480,0, 4650,640,480:waittimer 45475 blt 0,0,640,480,0, 4652,640,480:waittimer 45494 blt 0,0,640,480,0, 4654,640,480:waittimer 45514 blt 0,0,640,480,0, 4656,640,480:waittimer 45533 blt 0,0,640,480,0, 4658,640,480:waittimer 45553 blt 0,0,640,480,0, 4660,640,480:waittimer 45573 blt 0,0,640,480,0, 4662,640,480:waittimer 45592 blt 0,0,640,480,0, 4664,640,480:waittimer 45612 blt 0,0,640,480,0, 4666,640,480:waittimer 45631 blt 0,0,640,480,0, 4668,640,480:waittimer 45651 blt 0,0,640,480,0, 4670,640,480:waittimer 45670 blt 0,0,640,480,0, 4672,640,480:waittimer 45690 blt 0,0,640,480,0, 4674,640,480:waittimer 45709 blt 0,0,640,480,0, 4676,640,480:waittimer 45729 blt 0,0,640,480,0, 4678,640,480:waittimer 45749 blt 0,0,640,480,0, 4680,640,480:waittimer 45768 blt 0,0,640,480,0, 4682,640,480:waittimer 45788 blt 0,0,640,480,0, 4684,640,480:waittimer 45807 blt 0,0,640,480,0, 4686,640,480:waittimer 45827 blt 0,0,640,480,0, 4688,640,480:waittimer 45846 blt 0,0,640,480,0, 4690,640,480:waittimer 45866 blt 0,0,640,480,0, 4692,640,480:waittimer 45885 blt 0,0,640,480,0, 4694,640,480:waittimer 45905 blt 0,0,640,480,0, 4696,640,480:waittimer 45925 blt 0,0,640,480,0, 4698,640,480:waittimer 45944 blt 0,0,640,480,0, 4700,640,480:waittimer 45964 blt 0,0,640,480,0, 4702,640,480:waittimer 45983 blt 0,0,640,480,0, 4704,640,480:waittimer 46003 blt 0,0,640,480,0, 4706,640,480:waittimer 46022 blt 0,0,640,480,0, 4708,640,480:waittimer 46042 blt 0,0,640,480,0, 4710,640,480:waittimer 46061 blt 0,0,640,480,0, 4712,640,480:waittimer 46081 blt 0,0,640,480,0, 4714,640,480:waittimer 46101 blt 0,0,640,480,0, 4716,640,480:waittimer 46120 blt 0,0,640,480,0, 4718,640,480:waittimer 46140 blt 0,0,640,480,0, 4720,640,480:waittimer 46159 blt 0,0,640,480,0, 4722,640,480:waittimer 46179 blt 0,0,640,480,0, 4724,640,480:waittimer 46198 blt 0,0,640,480,0, 4726,640,480:waittimer 46218 blt 0,0,640,480,0, 4728,640,480:waittimer 46238 blt 0,0,640,480,0, 4730,640,480:waittimer 46257 blt 0,0,640,480,0, 4732,640,480:waittimer 46277 blt 0,0,640,480,0, 4734,640,480:waittimer 46296 blt 0,0,640,480,0, 4736,640,480:waittimer 46316 blt 0,0,640,480,0, 4738,640,480:waittimer 46335 blt 0,0,640,480,0, 4740,640,480:waittimer 46355 blt 0,0,640,480,0, 4742,640,480:waittimer 46374 blt 0,0,640,480,0, 4744,640,480:waittimer 46394 blt 0,0,640,480,0, 4746,640,480:waittimer 46414 blt 0,0,640,480,0, 4748,640,480:waittimer 46433 blt 0,0,640,480,0, 4750,640,480:waittimer 46453 blt 0,0,640,480,0, 4752,640,480:waittimer 46472 blt 0,0,640,480,0, 4754,640,480:waittimer 46492 blt 0,0,640,480,0, 4756,640,480:waittimer 46511 blt 0,0,640,480,0, 4758,640,480:waittimer 46531 blt 0,0,640,480,0, 4760,640,480:waittimer 46550 blt 0,0,640,480,0, 4762,640,480:waittimer 46570 blt 0,0,640,480,0, 4764,640,480:waittimer 46590 blt 0,0,640,480,0, 4766,640,480:waittimer 46609 blt 0,0,640,480,0, 4768,640,480:waittimer 46629 blt 0,0,640,480,0, 4770,640,480:waittimer 46648 blt 0,0,640,480,0, 4772,640,480:waittimer 46668 blt 0,0,640,480,0, 4774,640,480:waittimer 46687 blt 0,0,640,480,0, 4776,640,480:waittimer 46707 blt 0,0,640,480,0, 4778,640,480:waittimer 46726 blt 0,0,640,480,0, 4780,640,480:waittimer 46746 blt 0,0,640,480,0, 4782,640,480:waittimer 46766 blt 0,0,640,480,0, 4784,640,480:waittimer 46785 blt 0,0,640,480,0, 4786,640,480:waittimer 46805 blt 0,0,640,480,0, 4788,640,480:waittimer 46824 blt 0,0,640,480,0, 4790,640,480:waittimer 46844 blt 0,0,640,480,0, 4792,640,480:waittimer 46863 blt 0,0,640,480,0, 4794,640,480:waittimer 46883 blt 0,0,640,480,0, 4796,640,480:waittimer 46903 blt 0,0,640,480,0, 4798,640,480:waittimer 46922 blt 0,0,640,480,0, 4800,640,480:waittimer 46942 blt 0,0,640,480,0, 4802,640,480:waittimer 46961 blt 0,0,640,480,0, 4804,640,480:waittimer 46981 blt 0,0,640,480,0, 4806,640,480:waittimer 47000 blt 0,0,640,480,0, 4808,640,480:waittimer 47020 blt 0,0,640,480,0, 4810,640,480:waittimer 47039 blt 0,0,640,480,0, 4812,640,480:waittimer 47059 blt 0,0,640,480,0, 4814,640,480:waittimer 47079 blt 0,0,640,480,0, 4816,640,480:waittimer 47098 blt 0,0,640,480,0, 4818,640,480:waittimer 47118 blt 0,0,640,480,0, 4820,640,480:waittimer 47137 blt 0,0,640,480,0, 4822,640,480:waittimer 47157 blt 0,0,640,480,0, 4824,640,480:waittimer 47176 blt 0,0,640,480,0, 4826,640,480:waittimer 47196 blt 0,0,640,480,0, 4828,640,480:waittimer 47215 blt 0,0,640,480,0, 4830,640,480:waittimer 47235 blt 0,0,640,480,0, 4832,640,480:waittimer 47255 blt 0,0,640,480,0, 4834,640,480:waittimer 47274 blt 0,0,640,480,0, 4836,640,480:waittimer 47294 blt 0,0,640,480,0, 4838,640,480:waittimer 47313 blt 0,0,640,480,0, 4840,640,480:waittimer 47333 blt 0,0,640,480,0, 4842,640,480:waittimer 47352 blt 0,0,640,480,0, 4844,640,480:waittimer 47372 blt 0,0,640,480,0, 4846,640,480:waittimer 47392 blt 0,0,640,480,0, 4848,640,480:waittimer 47411 blt 0,0,640,480,0, 4850,640,480:waittimer 47431 blt 0,0,640,480,0, 4852,640,480:waittimer 47450 blt 0,0,640,480,0, 4854,640,480:waittimer 47470 blt 0,0,640,480,0, 4856,640,480:waittimer 47489 blt 0,0,640,480,0, 4858,640,480:waittimer 47509 blt 0,0,640,480,0, 4860,640,480:waittimer 47528 blt 0,0,640,480,0, 4862,640,480:waittimer 47548 blt 0,0,640,480,0, 4864,640,480:waittimer 47568 blt 0,0,640,480,0, 4866,640,480:waittimer 47587 blt 0,0,640,480,0, 4868,640,480:waittimer 47607 blt 0,0,640,480,0, 4870,640,480:waittimer 47626 blt 0,0,640,480,0, 4872,640,480:waittimer 47646 blt 0,0,640,480,0, 4874,640,480:waittimer 47665 blt 0,0,640,480,0, 4876,640,480:waittimer 47685 blt 0,0,640,480,0, 4878,640,480:waittimer 47704 blt 0,0,640,480,0, 4880,640,480:waittimer 47724 blt 0,0,640,480,0, 4882,640,480:waittimer 47744 blt 0,0,640,480,0, 4884,640,480:waittimer 47763 blt 0,0,640,480,0, 4886,640,480:waittimer 47783 blt 0,0,640,480,0, 4888,640,480:waittimer 47802 blt 0,0,640,480,0, 4890,640,480:waittimer 47822 blt 0,0,640,480,0, 4892,640,480:waittimer 47841 blt 0,0,640,480,0, 4894,640,480:waittimer 47861 blt 0,0,640,480,0, 4896,640,480:waittimer 47880 blt 0,0,640,480,0, 4898,640,480:waittimer 47900 blt 0,0,640,480,0, 4900,640,480:waittimer 47920 blt 0,0,640,480,0, 4902,640,480:waittimer 47939 blt 0,0,640,480,0, 4904,640,480:waittimer 47959 blt 0,0,640,480,0, 4906,640,480:waittimer 47978 blt 0,0,640,480,0, 4908,640,480:waittimer 47998 blt 0,0,640,480,0, 4910,640,480:waittimer 48017 blt 0,0,640,480,0, 4912,640,480:waittimer 48037 blt 0,0,640,480,0, 4914,640,480:waittimer 48057 blt 0,0,640,480,0, 4916,640,480:waittimer 48076 blt 0,0,640,480,0, 4918,640,480:waittimer 48096 blt 0,0,640,480,0, 4920,640,480:waittimer 48115 blt 0,0,640,480,0, 4922,640,480:waittimer 48135 blt 0,0,640,480,0, 4924,640,480:waittimer 48154 blt 0,0,640,480,0, 4926,640,480:waittimer 48174 blt 0,0,640,480,0, 4928,640,480:waittimer 48193 blt 0,0,640,480,0, 4930,640,480:waittimer 48213 blt 0,0,640,480,0, 4932,640,480:waittimer 48233 blt 0,0,640,480,0, 4934,640,480:waittimer 48252 blt 0,0,640,480,0, 4936,640,480:waittimer 48272 blt 0,0,640,480,0, 4938,640,480:waittimer 48291 blt 0,0,640,480,0, 4940,640,480:waittimer 48311 blt 0,0,640,480,0, 4942,640,480:waittimer 48330 blt 0,0,640,480,0, 4944,640,480:waittimer 48350 blt 0,0,640,480,0, 4946,640,480:waittimer 48369 blt 0,0,640,480,0, 4948,640,480:waittimer 48389 blt 0,0,640,480,0, 4950,640,480:waittimer 48409 blt 0,0,640,480,0, 4952,640,480:waittimer 48428 blt 0,0,640,480,0, 4954,640,480:waittimer 48448 blt 0,0,640,480,0, 4956,640,480:waittimer 48467 blt 0,0,640,480,0, 4958,640,480:waittimer 48487 blt 0,0,640,480,0, 4960,640,480:waittimer 48506 blt 0,0,640,480,0, 4962,640,480:waittimer 48526 blt 0,0,640,480,0, 4964,640,480:waittimer 48546 blt 0,0,640,480,0, 4966,640,480:waittimer 48565 blt 0,0,640,480,0, 4968,640,480:waittimer 48585 blt 0,0,640,480,0, 4970,640,480:waittimer 48604 blt 0,0,640,480,0, 4972,640,480:waittimer 48624 blt 0,0,640,480,0, 4974,640,480:waittimer 48643 blt 0,0,640,480,0, 4976,640,480:waittimer 48663 blt 0,0,640,480,0, 4978,640,480:waittimer 48682 blt 0,0,640,480,0, 4980,640,480:waittimer 48702 blt 0,0,640,480,0, 4982,640,480:waittimer 48722 blt 0,0,640,480,0, 4984,640,480:waittimer 48741 blt 0,0,640,480,0, 4986,640,480:waittimer 48761 blt 0,0,640,480,0, 4988,640,480:waittimer 48780 blt 0,0,640,480,0, 4990,640,480:waittimer 48800 blt 0,0,640,480,0, 4992,640,480:waittimer 48819 blt 0,0,640,480,0, 4994,640,480:waittimer 48839 blt 0,0,640,480,0, 4996,640,480:waittimer 48858 blt 0,0,640,480,0, 4998,640,480:waittimer 48878 blt 0,0,640,480,0, 5000,640,480:waittimer 48898 blt 0,0,640,480,0, 5002,640,480:waittimer 48917 blt 0,0,640,480,0, 5004,640,480:waittimer 48937 blt 0,0,640,480,0, 5006,640,480:waittimer 48956 blt 0,0,640,480,0, 5008,640,480:waittimer 48976 blt 0,0,640,480,0, 5010,640,480:waittimer 48995 blt 0,0,640,480,0, 5012,640,480:waittimer 49015 blt 0,0,640,480,0, 5014,640,480:waittimer 49034 blt 0,0,640,480,0, 5016,640,480:waittimer 49054 blt 0,0,640,480,0, 5018,640,480:waittimer 49074 blt 0,0,640,480,0, 5020,640,480:waittimer 49093 blt 0,0,640,480,0, 5022,640,480:waittimer 49113 blt 0,0,640,480,0, 5024,640,480:waittimer 49132 blt 0,0,640,480,0, 5026,640,480:waittimer 49152 blt 0,0,640,480,0, 5028,640,480:waittimer 49171 blt 0,0,640,480,0, 5030,640,480:waittimer 49191 blt 0,0,640,480,0, 5032,640,480:waittimer 49211 blt 0,0,640,480,0, 5034,640,480:waittimer 49230 blt 0,0,640,480,0, 5036,640,480:waittimer 49250 blt 0,0,640,480,0, 5038,640,480:waittimer 49269 blt 0,0,640,480,0, 5040,640,480:waittimer 49289 blt 0,0,640,480,0, 5042,640,480:waittimer 49308 blt 0,0,640,480,0, 5044,640,480:waittimer 49328 blt 0,0,640,480,0, 5046,640,480:waittimer 49347 blt 0,0,640,480,0, 5048,640,480:waittimer 49367 blt 0,0,640,480,0, 5050,640,480:waittimer 49387 blt 0,0,640,480,0, 5052,640,480:waittimer 49406 blt 0,0,640,480,0, 5054,640,480:waittimer 49426 blt 0,0,640,480,0, 5056,640,480:waittimer 49445 blt 0,0,640,480,0, 5058,640,480:waittimer 49465 blt 0,0,640,480,0, 5060,640,480:waittimer 49484 blt 0,0,640,480,0, 5062,640,480:waittimer 49504 blt 0,0,640,480,0, 5064,640,480:waittimer 49523 blt 0,0,640,480,0, 5066,640,480:waittimer 49543 blt 0,0,640,480,0, 5068,640,480:waittimer 49563 blt 0,0,640,480,0, 5070,640,480:waittimer 49582 blt 0,0,640,480,0, 5072,640,480:waittimer 49602 blt 0,0,640,480,0, 5074,640,480:waittimer 49621 blt 0,0,640,480,0, 5076,640,480:waittimer 49641 blt 0,0,640,480,0, 5078,640,480:waittimer 49660 blt 0,0,640,480,0, 5080,640,480:waittimer 49680 blt 0,0,640,480,0, 5082,640,480:waittimer 49700 blt 0,0,640,480,0, 5084,640,480:waittimer 49719 blt 0,0,640,480,0, 5086,640,480:waittimer 49739 blt 0,0,640,480,0, 5088,640,480:waittimer 49758 blt 0,0,640,480,0, 5090,640,480:waittimer 49778 blt 0,0,640,480,0, 5092,640,480:waittimer 49797 blt 0,0,640,480,0, 5094,640,480:waittimer 49817 blt 0,0,640,480,0, 5096,640,480:waittimer 49836 blt 0,0,640,480,0, 5098,640,480:waittimer 49856 blt 0,0,640,480,0, 5100,640,480:waittimer 49876 blt 0,0,640,480,0, 5102,640,480:waittimer 49895 blt 0,0,640,480,0, 5104,640,480:waittimer 49915 blt 0,0,640,480,0, 5106,640,480:waittimer 49934 blt 0,0,640,480,0, 5108,640,480:waittimer 49954 blt 0,0,640,480,0, 5110,640,480:waittimer 49973 blt 0,0,640,480,0, 5112,640,480:waittimer 49993 blt 0,0,640,480,0, 5114,640,480:waittimer 50012 blt 0,0,640,480,0, 5116,640,480:waittimer 50032 blt 0,0,640,480,0, 5118,640,480:waittimer 50052 blt 0,0,640,480,0, 5120,640,480:waittimer 50071 blt 0,0,640,480,0, 5122,640,480:waittimer 50091 blt 0,0,640,480,0, 5124,640,480:waittimer 50110 blt 0,0,640,480,0, 5126,640,480:waittimer 50130 blt 0,0,640,480,0, 5128,640,480:waittimer 50149 blt 0,0,640,480,0, 5130,640,480:waittimer 50169 blt 0,0,640,480,0, 5132,640,480:waittimer 50188 blt 0,0,640,480,0, 5134,640,480:waittimer 50208 blt 0,0,640,480,0, 5136,640,480:waittimer 50228 blt 0,0,640,480,0, 5138,640,480:waittimer 50247 blt 0,0,640,480,0, 5140,640,480:waittimer 50267 blt 0,0,640,480,0, 5142,640,480:waittimer 50286 blt 0,0,640,480,0, 5144,640,480:waittimer 50306 blt 0,0,640,480,0, 5146,640,480:waittimer 50325 blt 0,0,640,480,0, 5148,640,480:waittimer 50345 blt 0,0,640,480,0, 5150,640,480:waittimer 50365 blt 0,0,640,480,0, 5152,640,480:waittimer 50384 blt 0,0,640,480,0, 5154,640,480:waittimer 50404 blt 0,0,640,480,0, 5156,640,480:waittimer 50423 blt 0,0,640,480,0, 5158,640,480:waittimer 50443 blt 0,0,640,480,0, 5160,640,480:waittimer 50462 blt 0,0,640,480,0, 5162,640,480:waittimer 50482 blt 0,0,640,480,0, 5164,640,480:waittimer 50501 blt 0,0,640,480,0, 5166,640,480:waittimer 50521 blt 0,0,640,480,0, 5168,640,480:waittimer 50541 blt 0,0,640,480,0, 5170,640,480:waittimer 50560 blt 0,0,640,480,0, 5172,640,480:waittimer 50580 blt 0,0,640,480,0, 5174,640,480:waittimer 50599 blt 0,0,640,480,0, 5176,640,480:waittimer 50619 blt 0,0,640,480,0, 5178,640,480:waittimer 50638 blt 0,0,640,480,0, 5180,640,480:waittimer 50658 blt 0,0,640,480,0, 5182,640,480:waittimer 50677 blt 0,0,640,480,0, 5184,640,480:waittimer 50697 blt 0,0,640,480,0, 5186,640,480:waittimer 50717 blt 0,0,640,480,0, 5188,640,480:waittimer 50736 blt 0,0,640,480,0, 5190,640,480:waittimer 50756 blt 0,0,640,480,0, 5192,640,480:waittimer 50775 blt 0,0,640,480,0, 5194,640,480:waittimer 50795 blt 0,0,640,480,0, 5196,640,480:waittimer 50814 blt 0,0,640,480,0, 5198,640,480:waittimer 50834 blt 0,0,640,480,0, 5200,640,480:waittimer 50853 blt 0,0,640,480,0, 5202,640,480:waittimer 50873 blt 0,0,640,480,0, 5204,640,480:waittimer 50893 blt 0,0,640,480,0, 5206,640,480:waittimer 50912 blt 0,0,640,480,0, 5208,640,480:waittimer 50932 blt 0,0,640,480,0, 5210,640,480:waittimer 50951 blt 0,0,640,480,0, 5212,640,480:waittimer 50971 blt 0,0,640,480,0, 5214,640,480:waittimer 50990 blt 0,0,640,480,0, 5216,640,480:waittimer 51010 blt 0,0,640,480,0, 5218,640,480:waittimer 51030 blt 0,0,640,480,0, 5220,640,480:waittimer 51049 blt 0,0,640,480,0, 5222,640,480:waittimer 51069 blt 0,0,640,480,0, 5224,640,480:waittimer 51088 blt 0,0,640,480,0, 5226,640,480:waittimer 51108 blt 0,0,640,480,0, 5228,640,480:waittimer 51127 blt 0,0,640,480,0, 5230,640,480:waittimer 51147 blt 0,0,640,480,0, 5232,640,480:waittimer 51166 blt 0,0,640,480,0, 5234,640,480:waittimer 51186 blt 0,0,640,480,0, 5236,640,480:waittimer 51206 blt 0,0,640,480,0, 5238,640,480:waittimer 51225 blt 0,0,640,480,0, 5240,640,480:waittimer 51245 blt 0,0,640,480,0, 5242,640,480:waittimer 51264 blt 0,0,640,480,0, 5244,640,480:waittimer 51284 blt 0,0,640,480,0, 5246,640,480:waittimer 51303 blt 0,0,640,480,0, 5248,640,480:waittimer 51323 blt 0,0,640,480,0, 5250,640,480:waittimer 51342 blt 0,0,640,480,0, 5252,640,480:waittimer 51362 blt 0,0,640,480,0, 5254,640,480:waittimer 51382 blt 0,0,640,480,0, 5256,640,480:waittimer 51401 blt 0,0,640,480,0, 5258,640,480:waittimer 51421 blt 0,0,640,480,0, 5260,640,480:waittimer 51440 blt 0,0,640,480,0, 5262,640,480:waittimer 51460 blt 0,0,640,480,0, 5264,640,480:waittimer 51479 blt 0,0,640,480,0, 5266,640,480:waittimer 51499 blt 0,0,640,480,0, 5268,640,480:waittimer 51519 blt 0,0,640,480,0, 5270,640,480:waittimer 51538 blt 0,0,640,480,0, 5272,640,480:waittimer 51558 blt 0,0,640,480,0, 5274,640,480:waittimer 51577 blt 0,0,640,480,0, 5276,640,480:waittimer 51597 blt 0,0,640,480,0, 5278,640,480:waittimer 51616 blt 0,0,640,480,0, 5280,640,480:waittimer 51636 blt 0,0,640,480,0, 5282,640,480:waittimer 51655 blt 0,0,640,480,0, 5284,640,480:waittimer 51675 blt 0,0,640,480,0, 5286,640,480:waittimer 51695 blt 0,0,640,480,0, 5288,640,480:waittimer 51714 blt 0,0,640,480,0, 5290,640,480:waittimer 51734 blt 0,0,640,480,0, 5292,640,480:waittimer 51753 blt 0,0,640,480,0, 5294,640,480:waittimer 51773 blt 0,0,640,480,0, 5296,640,480:waittimer 51792 blt 0,0,640,480,0, 5298,640,480:waittimer 51812 blt 0,0,640,480,0, 5300,640,480:waittimer 51831 blt 0,0,640,480,0, 5302,640,480:waittimer 51851 blt 0,0,640,480,0, 5304,640,480:waittimer 51871 blt 0,0,640,480,0, 5306,640,480:waittimer 51890 blt 0,0,640,480,0, 5308,640,480:waittimer 51910 blt 0,0,640,480,0, 5310,640,480:waittimer 51929 blt 0,0,640,480,0, 5312,640,480:waittimer 51949 blt 0,0,640,480,0, 5314,640,480:waittimer 51968 blt 0,0,640,480,0, 5316,640,480:waittimer 51988 blt 0,0,640,480,0, 5318,640,480:waittimer 52007 blt 0,0,640,480,0, 5320,640,480:waittimer 52027 blt 0,0,640,480,0, 5322,640,480:waittimer 52047 blt 0,0,640,480,0, 5324,640,480:waittimer 52066 blt 0,0,640,480,0, 5326,640,480:waittimer 52086 blt 0,0,640,480,0, 5328,640,480:waittimer 52105 blt 0,0,640,480,0, 5330,640,480:waittimer 52125 blt 0,0,640,480,0, 5332,640,480:waittimer 52144 blt 0,0,640,480,0, 5334,640,480:waittimer 52164 blt 0,0,640,480,0, 5336,640,480:waittimer 52184 blt 0,0,640,480,0, 5338,640,480:waittimer 52203 blt 0,0,640,480,0, 5340,640,480:waittimer 52223 blt 0,0,640,480,0, 5342,640,480:waittimer 52242 blt 0,0,640,480,0, 5344,640,480:waittimer 52262 blt 0,0,640,480,0, 5346,640,480:waittimer 52281 blt 0,0,640,480,0, 5348,640,480:waittimer 52301 blt 0,0,640,480,0, 5350,640,480:waittimer 52320 blt 0,0,640,480,0, 5352,640,480:waittimer 52340 blt 0,0,640,480,0, 5354,640,480:waittimer 52360 blt 0,0,640,480,0, 5356,640,480:waittimer 52379 blt 0,0,640,480,0, 5358,640,480:waittimer 52399 blt 0,0,640,480,0, 5360,640,480:waittimer 52418 blt 0,0,640,480,0, 5362,640,480:waittimer 52438 blt 0,0,640,480,0, 5364,640,480:waittimer 52457 blt 0,0,640,480,0, 5366,640,480:waittimer 52477 blt 0,0,640,480,0, 5368,640,480:waittimer 52496 blt 0,0,640,480,0, 5370,640,480:waittimer 52516 blt 0,0,640,480,0, 5372,640,480:waittimer 52536 blt 0,0,640,480,0, 5374,640,480:waittimer 52555 blt 0,0,640,480,0, 5376,640,480:waittimer 52575 blt 0,0,640,480,0, 5378,640,480:waittimer 52594 blt 0,0,640,480,0, 5380,640,480:waittimer 52614 blt 0,0,640,480,0, 5382,640,480:waittimer 52633 blt 0,0,640,480,0, 5384,640,480:waittimer 52653 blt 0,0,640,480,0, 5386,640,480:waittimer 52673 blt 0,0,640,480,0, 5388,640,480:waittimer 52692 blt 0,0,640,480,0, 5390,640,480:waittimer 52712 blt 0,0,640,480,0, 5392,640,480:waittimer 52731 blt 0,0,640,480,0, 5394,640,480:waittimer 52751 blt 0,0,640,480,0, 5396,640,480:waittimer 52770 blt 0,0,640,480,0, 5398,640,480:waittimer 52790 blt 0,0,640,480,0, 5400,640,480:waittimer 52809 blt 0,0,640,480,0, 5402,640,480:waittimer 52829 blt 0,0,640,480,0, 5404,640,480:waittimer 52849 blt 0,0,640,480,0, 5406,640,480:waittimer 52868 blt 0,0,640,480,0, 5408,640,480:waittimer 52888 blt 0,0,640,480,0, 5410,640,480:waittimer 52907 blt 0,0,640,480,0, 5412,640,480:waittimer 52927 blt 0,0,640,480,0, 5414,640,480:waittimer 52946 blt 0,0,640,480,0, 5416,640,480:waittimer 52966 blt 0,0,640,480,0, 5418,640,480:waittimer 52985 blt 0,0,640,480,0, 5420,640,480:waittimer 53005 blt 0,0,640,480,0, 5422,640,480:waittimer 53025 blt 0,0,640,480,0, 5424,640,480:waittimer 53044 blt 0,0,640,480,0, 5426,640,480:waittimer 53064 blt 0,0,640,480,0, 5428,640,480:waittimer 53083 blt 0,0,640,480,0, 5430,640,480:waittimer 53103 blt 0,0,640,480,0, 5432,640,480:waittimer 53122 blt 0,0,640,480,0, 5434,640,480:waittimer 53142 blt 0,0,640,480,0, 5436,640,480:waittimer 53161 blt 0,0,640,480,0, 5438,640,480:waittimer 53181 blt 0,0,640,480,0, 5440,640,480:waittimer 53201 blt 0,0,640,480,0, 5442,640,480:waittimer 53220 blt 0,0,640,480,0, 5444,640,480:waittimer 53240 blt 0,0,640,480,0, 5446,640,480:waittimer 53259 blt 0,0,640,480,0, 5448,640,480:waittimer 53279 blt 0,0,640,480,0, 5450,640,480:waittimer 53298 blt 0,0,640,480,0, 5452,640,480:waittimer 53318 blt 0,0,640,480,0, 5454,640,480:waittimer 53338 blt 0,0,640,480,0, 5456,640,480:waittimer 53357 blt 0,0,640,480,0, 5458,640,480:waittimer 53377 blt 0,0,640,480,0, 5460,640,480:waittimer 53396 blt 0,0,640,480,0, 5462,640,480:waittimer 53416 blt 0,0,640,480,0, 5464,640,480:waittimer 53435 blt 0,0,640,480,0, 5466,640,480:waittimer 53455 blt 0,0,640,480,0, 5468,640,480:waittimer 53474 blt 0,0,640,480,0, 5470,640,480:waittimer 53494 blt 0,0,640,480,0, 5472,640,480:waittimer 53514 blt 0,0,640,480,0, 5474,640,480:waittimer 53533 blt 0,0,640,480,0, 5476,640,480:waittimer 53553 blt 0,0,640,480,0, 5478,640,480:waittimer 53572 blt 0,0,640,480,0, 5480,640,480:waittimer 53592 blt 0,0,640,480,0, 5482,640,480:waittimer 53611 blt 0,0,640,480,0, 5484,640,480:waittimer 53631 blt 0,0,640,480,0, 5486,640,480:waittimer 53650 blt 0,0,640,480,0, 5488,640,480:waittimer 53670 blt 0,0,640,480,0, 5490,640,480:waittimer 53690 blt 0,0,640,480,0, 5492,640,480:waittimer 53709 blt 0,0,640,480,0, 5494,640,480:waittimer 53729 blt 0,0,640,480,0, 5496,640,480:waittimer 53748 blt 0,0,640,480,0, 5498,640,480:waittimer 53768 blt 0,0,640,480,0, 5500,640,480:waittimer 53787 blt 0,0,640,480,0, 5502,640,480:waittimer 53807 blt 0,0,640,480,0, 5504,640,480:waittimer 53826 blt 0,0,640,480,0, 5506,640,480:waittimer 53846 blt 0,0,640,480,0, 5508,640,480:waittimer 53866 blt 0,0,640,480,0, 5510,640,480:waittimer 53885 blt 0,0,640,480,0, 5512,640,480:waittimer 53905 blt 0,0,640,480,0, 5514,640,480:waittimer 53924 blt 0,0,640,480,0, 5516,640,480:waittimer 53944 blt 0,0,640,480,0, 5518,640,480:waittimer 53963 blt 0,0,640,480,0, 5520,640,480:waittimer 53983 blt 0,0,640,480,0, 5522,640,480:waittimer 54003 blt 0,0,640,480,0, 5524,640,480:waittimer 54022 blt 0,0,640,480,0, 5526,640,480:waittimer 54042 blt 0,0,640,480,0, 5528,640,480:waittimer 54061 blt 0,0,640,480,0, 5530,640,480:waittimer 54081 blt 0,0,640,480,0, 5532,640,480:waittimer 54100 blt 0,0,640,480,0, 5534,640,480:waittimer 54120 blt 0,0,640,480,0, 5536,640,480:waittimer 54139 blt 0,0,640,480,0, 5538,640,480:waittimer 54159 blt 0,0,640,480,0, 5540,640,480:waittimer 54179 blt 0,0,640,480,0, 5542,640,480:waittimer 54198 blt 0,0,640,480,0, 5544,640,480:waittimer 54218 blt 0,0,640,480,0, 5546,640,480:waittimer 54237 blt 0,0,640,480,0, 5548,640,480:waittimer 54257 blt 0,0,640,480,0, 5550,640,480:waittimer 54276 blt 0,0,640,480,0, 5552,640,480:waittimer 54296 blt 0,0,640,480,0, 5554,640,480:waittimer 54315 blt 0,0,640,480,0, 5556,640,480:waittimer 54335 blt 0,0,640,480,0, 5558,640,480:waittimer 54355 blt 0,0,640,480,0, 5560,640,480:waittimer 54374 blt 0,0,640,480,0, 5562,640,480:waittimer 54394 blt 0,0,640,480,0, 5564,640,480:waittimer 54413 blt 0,0,640,480,0, 5566,640,480:waittimer 54433 blt 0,0,640,480,0, 5568,640,480:waittimer 54452 blt 0,0,640,480,0, 5570,640,480:waittimer 54472 blt 0,0,640,480,0, 5572,640,480:waittimer 54492 blt 0,0,640,480,0, 5574,640,480:waittimer 54511 blt 0,0,640,480,0, 5576,640,480:waittimer 54531 blt 0,0,640,480,0, 5578,640,480:waittimer 54550 blt 0,0,640,480,0, 5580,640,480:waittimer 54570 blt 0,0,640,480,0, 5582,640,480:waittimer 54589 blt 0,0,640,480,0, 5584,640,480:waittimer 54609 blt 0,0,640,480,0, 5586,640,480:waittimer 54628 blt 0,0,640,480,0, 5588,640,480:waittimer 54648 blt 0,0,640,480,0, 5590,640,480:waittimer 54668 blt 0,0,640,480,0, 5592,640,480:waittimer 54687 blt 0,0,640,480,0, 5594,640,480:waittimer 54707 blt 0,0,640,480,0, 5596,640,480:waittimer 54726 blt 0,0,640,480,0, 5598,640,480:waittimer 54746 blt 0,0,640,480,0, 5600,640,480:waittimer 54765 blt 0,0,640,480,0, 5602,640,480:waittimer 54785 blt 0,0,640,480,0, 5604,640,480:waittimer 54804 blt 0,0,640,480,0, 5606,640,480:waittimer 54824 blt 0,0,640,480,0, 5608,640,480:waittimer 54844 blt 0,0,640,480,0, 5610,640,480:waittimer 54863 blt 0,0,640,480,0, 5612,640,480:waittimer 54883 blt 0,0,640,480,0, 5614,640,480:waittimer 54902 blt 0,0,640,480,0, 5616,640,480:waittimer 54922 blt 0,0,640,480,0, 5618,640,480:waittimer 54941 blt 0,0,640,480,0, 5620,640,480:waittimer 54961 blt 0,0,640,480,0, 5622,640,480:waittimer 54980 blt 0,0,640,480,0, 5624,640,480:waittimer 55000 blt 0,0,640,480,0, 5626,640,480:waittimer 55020 blt 0,0,640,480,0, 5628,640,480:waittimer 55039 blt 0,0,640,480,0, 5630,640,480:waittimer 55059 blt 0,0,640,480,0, 5632,640,480:waittimer 55078 blt 0,0,640,480,0, 5634,640,480:waittimer 55098 blt 0,0,640,480,0, 5636,640,480:waittimer 55117 blt 0,0,640,480,0, 5638,640,480:waittimer 55137 blt 0,0,640,480,0, 5640,640,480:waittimer 55157 blt 0,0,640,480,0, 5642,640,480:waittimer 55176 blt 0,0,640,480,0, 5644,640,480:waittimer 55196 blt 0,0,640,480,0, 5646,640,480:waittimer 55215 blt 0,0,640,480,0, 5648,640,480:waittimer 55235 blt 0,0,640,480,0, 5650,640,480:waittimer 55254 blt 0,0,640,480,0, 5652,640,480:waittimer 55274 blt 0,0,640,480,0, 5654,640,480:waittimer 55293 blt 0,0,640,480,0, 5656,640,480:waittimer 55313 blt 0,0,640,480,0, 5658,640,480:waittimer 55333 blt 0,0,640,480,0, 5660,640,480:waittimer 55352 blt 0,0,640,480,0, 5662,640,480:waittimer 55372 blt 0,0,640,480,0, 5664,640,480:waittimer 55391 blt 0,0,640,480,0, 5666,640,480:waittimer 55411 blt 0,0,640,480,0, 5668,640,480:waittimer 55430 blt 0,0,640,480,0, 5670,640,480:waittimer 55450 blt 0,0,640,480,0, 5672,640,480:waittimer 55469 blt 0,0,640,480,0, 5674,640,480:waittimer 55489 blt 0,0,640,480,0, 5676,640,480:waittimer 55509 blt 0,0,640,480,0, 5678,640,480:waittimer 55528 blt 0,0,640,480,0, 5680,640,480:waittimer 55548 blt 0,0,640,480,0, 5682,640,480:waittimer 55567 blt 0,0,640,480,0, 5684,640,480:waittimer 55587 blt 0,0,640,480,0, 5686,640,480:waittimer 55606 blt 0,0,640,480,0, 5688,640,480:waittimer 55626 blt 0,0,640,480,0, 5690,640,480:waittimer 55646 blt 0,0,640,480,0, 5692,640,480:waittimer 55665 blt 0,0,640,480,0, 5694,640,480:waittimer 55685 blt 0,0,640,480,0, 5696,640,480:waittimer 55704 blt 0,0,640,480,0, 5698,640,480:waittimer 55724 blt 0,0,640,480,0, 5700,640,480:waittimer 55743 blt 0,0,640,480,0, 5702,640,480:waittimer 55763 blt 0,0,640,480,0, 5704,640,480:waittimer 55782 blt 0,0,640,480,0, 5706,640,480:waittimer 55802 blt 0,0,640,480,0, 5708,640,480:waittimer 55822 blt 0,0,640,480,0, 5710,640,480:waittimer 55841 blt 0,0,640,480,0, 5712,640,480:waittimer 55861 blt 0,0,640,480,0, 5714,640,480:waittimer 55880 blt 0,0,640,480,0, 5716,640,480:waittimer 55900 blt 0,0,640,480,0, 5718,640,480:waittimer 55919 blt 0,0,640,480,0, 5720,640,480:waittimer 55939 blt 0,0,640,480,0, 5722,640,480:waittimer 55958 blt 0,0,640,480,0, 5724,640,480:waittimer 55978 blt 0,0,640,480,0, 5726,640,480:waittimer 55998 blt 0,0,640,480,0, 5728,640,480:waittimer 56017 blt 0,0,640,480,0, 5730,640,480:waittimer 56037 blt 0,0,640,480,0, 5732,640,480:waittimer 56056 blt 0,0,640,480,0, 5734,640,480:waittimer 56076 blt 0,0,640,480,0, 5736,640,480:waittimer 56095 blt 0,0,640,480,0, 5738,640,480:waittimer 56115 blt 0,0,640,480,0, 5740,640,480:waittimer 56134 blt 0,0,640,480,0, 5742,640,480:waittimer 56154 blt 0,0,640,480,0, 5744,640,480:waittimer 56174 blt 0,0,640,480,0, 5746,640,480:waittimer 56193 blt 0,0,640,480,0, 5748,640,480:waittimer 56213 blt 0,0,640,480,0, 5750,640,480:waittimer 56232 blt 0,0,640,480,0, 5752,640,480:waittimer 56252 blt 0,0,640,480,0, 5754,640,480:waittimer 56271 blt 0,0,640,480,0, 5756,640,480:waittimer 56291 blt 0,0,640,480,0, 5758,640,480:waittimer 56311 blt 0,0,640,480,0, 5760,640,480:waittimer 56330 blt 0,0,640,480,0, 5762,640,480:waittimer 56350 blt 0,0,640,480,0, 5764,640,480:waittimer 56369 blt 0,0,640,480,0, 5766,640,480:waittimer 56389 blt 0,0,640,480,0, 5768,640,480:waittimer 56408 blt 0,0,640,480,0, 5770,640,480:waittimer 56428 blt 0,0,640,480,0, 5772,640,480:waittimer 56447 blt 0,0,640,480,0, 5774,640,480:waittimer 56467 blt 0,0,640,480,0, 5776,640,480:waittimer 56487 blt 0,0,640,480,0, 5778,640,480:waittimer 56506 blt 0,0,640,480,0, 5780,640,480:waittimer 56526 blt 0,0,640,480,0, 5782,640,480:waittimer 56545 blt 0,0,640,480,0, 5784,640,480:waittimer 56565 blt 0,0,640,480,0, 5786,640,480:waittimer 56584 blt 0,0,640,480,0, 5788,640,480:waittimer 56604 blt 0,0,640,480,0, 5790,640,480:waittimer 56623 blt 0,0,640,480,0, 5792,640,480:waittimer 56643 blt 0,0,640,480,0, 5794,640,480:waittimer 56663 blt 0,0,640,480,0, 5796,640,480:waittimer 56682 blt 0,0,640,480,0, 5798,640,480:waittimer 56702 blt 0,0,640,480,0, 5800,640,480:waittimer 56721 blt 0,0,640,480,0, 5802,640,480:waittimer 56741 blt 0,0,640,480,0, 5804,640,480:waittimer 56760 blt 0,0,640,480,0, 5806,640,480:waittimer 56780 blt 0,0,640,480,0, 5808,640,480:waittimer 56800 blt 0,0,640,480,0, 5810,640,480:waittimer 56819 blt 0,0,640,480,0, 5812,640,480:waittimer 56839 blt 0,0,640,480,0, 5814,640,480:waittimer 56858 blt 0,0,640,480,0, 5816,640,480:waittimer 56878 blt 0,0,640,480,0, 5818,640,480:waittimer 56897 blt 0,0,640,480,0, 5820,640,480:waittimer 56917 blt 0,0,640,480,0, 5822,640,480:waittimer 56936 blt 0,0,640,480,0, 5824,640,480:waittimer 56956 blt 0,0,640,480,0, 5826,640,480:waittimer 56976 blt 0,0,640,480,0, 5828,640,480:waittimer 56995 blt 0,0,640,480,0, 5830,640,480:waittimer 57015 blt 0,0,640,480,0, 5832,640,480:waittimer 57034 blt 0,0,640,480,0, 5834,640,480:waittimer 57054 blt 0,0,640,480,0, 5836,640,480:waittimer 57073 blt 0,0,640,480,0, 5838,640,480:waittimer 57093 blt 0,0,640,480,0, 5840,640,480:waittimer 57112 blt 0,0,640,480,0, 5842,640,480:waittimer 57132 blt 0,0,640,480,0, 5844,640,480:waittimer 57152 blt 0,0,640,480,0, 5846,640,480:waittimer 57171 blt 0,0,640,480,0, 5848,640,480:waittimer 57191 blt 0,0,640,480,0, 5850,640,480:waittimer 57210 blt 0,0,640,480,0, 5852,640,480:waittimer 57230 blt 0,0,640,480,0, 5854,640,480:waittimer 57249 blt 0,0,640,480,0, 5856,640,480:waittimer 57269 blt 0,0,640,480,0, 5858,640,480:waittimer 57288 blt 0,0,640,480,0, 5860,640,480:waittimer 57308 blt 0,0,640,480,0, 5862,640,480:waittimer 57328 blt 0,0,640,480,0, 5864,640,480:waittimer 57347 blt 0,0,640,480,0, 5866,640,480:waittimer 57367 blt 0,0,640,480,0, 5868,640,480:waittimer 57386 blt 0,0,640,480,0, 5870,640,480:waittimer 57406 blt 0,0,640,480,0, 5872,640,480:waittimer 57425 blt 0,0,640,480,0, 5874,640,480:waittimer 57445 blt 0,0,640,480,0, 5876,640,480:waittimer 57465 blt 0,0,640,480,0, 5878,640,480:waittimer 57484 blt 0,0,640,480,0, 5880,640,480:waittimer 57504 blt 0,0,640,480,0, 5882,640,480:waittimer 57523 blt 0,0,640,480,0, 5884,640,480:waittimer 57543 blt 0,0,640,480,0, 5886,640,480:waittimer 57562 blt 0,0,640,480,0, 5888,640,480:waittimer 57582 blt 0,0,640,480,0, 5890,640,480:waittimer 57601 blt 0,0,640,480,0, 5892,640,480:waittimer 57621 blt 0,0,640,480,0, 5894,640,480:waittimer 57641 blt 0,0,640,480,0, 5896,640,480:waittimer 57660 blt 0,0,640,480,0, 5898,640,480:waittimer 57680 blt 0,0,640,480,0, 5900,640,480:waittimer 57699 blt 0,0,640,480,0, 5902,640,480:waittimer 57719 blt 0,0,640,480,0, 5904,640,480:waittimer 57738 blt 0,0,640,480,0, 5906,640,480:waittimer 57758 blt 0,0,640,480,0, 5908,640,480:waittimer 57777 blt 0,0,640,480,0, 5910,640,480:waittimer 57797 blt 0,0,640,480,0, 5912,640,480:waittimer 57817 blt 0,0,640,480,0, 5914,640,480:waittimer 57836 blt 0,0,640,480,0, 5916,640,480:waittimer 57856 blt 0,0,640,480,0, 5918,640,480:waittimer 57875 blt 0,0,640,480,0, 5920,640,480:waittimer 57895 blt 0,0,640,480,0, 5922,640,480:waittimer 57914 blt 0,0,640,480,0, 5924,640,480:waittimer 57934 blt 0,0,640,480,0, 5926,640,480:waittimer 57953 blt 0,0,640,480,0, 5928,640,480:waittimer 57973 blt 0,0,640,480,0, 5930,640,480:waittimer 57993 blt 0,0,640,480,0, 5932,640,480:waittimer 58012 blt 0,0,640,480,0, 5934,640,480:waittimer 58032 blt 0,0,640,480,0, 5936,640,480:waittimer 58051 blt 0,0,640,480,0, 5938,640,480:waittimer 58071 blt 0,0,640,480,0, 5940,640,480:waittimer 58090 blt 0,0,640,480,0, 5942,640,480:waittimer 58110 blt 0,0,640,480,0, 5944,640,480:waittimer 58130 blt 0,0,640,480,0, 5946,640,480:waittimer 58149 blt 0,0,640,480,0, 5948,640,480:waittimer 58169 blt 0,0,640,480,0, 5950,640,480:waittimer 58188 blt 0,0,640,480,0, 5952,640,480:waittimer 58208 blt 0,0,640,480,0, 5954,640,480:waittimer 58227 blt 0,0,640,480,0, 5956,640,480:waittimer 58247 blt 0,0,640,480,0, 5958,640,480:waittimer 58266 blt 0,0,640,480,0, 5960,640,480:waittimer 58286 blt 0,0,640,480,0, 5962,640,480:waittimer 58306 blt 0,0,640,480,0, 5964,640,480:waittimer 58325 blt 0,0,640,480,0, 5966,640,480:waittimer 58345 blt 0,0,640,480,0, 5968,640,480:waittimer 58364 blt 0,0,640,480,0, 5970,640,480:waittimer 58384 blt 0,0,640,480,0, 5972,640,480:waittimer 58403 blt 0,0,640,480,0, 5974,640,480:waittimer 58423 blt 0,0,640,480,0, 5976,640,480:waittimer 58442 blt 0,0,640,480,0, 5978,640,480:waittimer 58462 blt 0,0,640,480,0, 5980,640,480:waittimer 58482 blt 0,0,640,480,0, 5982,640,480:waittimer 58501 blt 0,0,640,480,0, 5984,640,480:waittimer 58521 blt 0,0,640,480,0, 5986,640,480:waittimer 58540 blt 0,0,640,480,0, 5988,640,480:waittimer 58560 blt 0,0,640,480,0, 5990,640,480:waittimer 58579 blt 0,0,640,480,0, 5992,640,480:waittimer 58599 blt 0,0,640,480,0, 5994,640,480:waittimer 58619 blt 0,0,640,480,0, 5996,640,480:waittimer 58638 blt 0,0,640,480,0, 5998,640,480:waittimer 58658 blt 0,0,640,480,0, 6000,640,480:waittimer 58677 blt 0,0,640,480,0, 6002,640,480:waittimer 58697 blt 0,0,640,480,0, 6004,640,480:waittimer 58716 blt 0,0,640,480,0, 6006,640,480:waittimer 58736 blt 0,0,640,480,0, 6008,640,480:waittimer 58755 blt 0,0,640,480,0, 6010,640,480:waittimer 58775 blt 0,0,640,480,0, 6012,640,480:waittimer 58795 blt 0,0,640,480,0, 6014,640,480:waittimer 58814 blt 0,0,640,480,0, 6016,640,480:waittimer 58834 blt 0,0,640,480,0, 6018,640,480:waittimer 58853 blt 0,0,640,480,0, 6020,640,480:waittimer 58873 blt 0,0,640,480,0, 6022,640,480:waittimer 58892 blt 0,0,640,480,0, 6024,640,480:waittimer 58912 blt 0,0,640,480,0, 6026,640,480:waittimer 58931 blt 0,0,640,480,0, 6028,640,480:waittimer 58951 blt 0,0,640,480,0, 6030,640,480:waittimer 58971 blt 0,0,640,480,0, 6032,640,480:waittimer 58990 blt 0,0,640,480,0, 6034,640,480:waittimer 59010 blt 0,0,640,480,0, 6036,640,480:waittimer 59029 blt 0,0,640,480,0, 6038,640,480:waittimer 59049 blt 0,0,640,480,0, 6040,640,480:waittimer 59068 blt 0,0,640,480,0, 6042,640,480:waittimer 59088 blt 0,0,640,480,0, 6044,640,480:waittimer 59107 blt 0,0,640,480,0, 6046,640,480:waittimer 59127 blt 0,0,640,480,0, 6048,640,480:waittimer 59147 blt 0,0,640,480,0, 6050,640,480:waittimer 59166 blt 0,0,640,480,0, 6052,640,480:waittimer 59186 blt 0,0,640,480,0, 6054,640,480:waittimer 59205 blt 0,0,640,480,0, 6056,640,480:waittimer 59225 blt 0,0,640,480,0, 6058,640,480:waittimer 59244 blt 0,0,640,480,0, 6060,640,480:waittimer 59264 blt 0,0,640,480,0, 6062,640,480:waittimer 59284 blt 0,0,640,480,0, 6064,640,480:waittimer 59303 blt 0,0,640,480,0, 6066,640,480:waittimer 59323 blt 0,0,640,480,0, 6068,640,480:waittimer 59342 blt 0,0,640,480,0, 6070,640,480:waittimer 59362 blt 0,0,640,480,0, 6072,640,480:waittimer 59381 blt 0,0,640,480,0, 6074,640,480:waittimer 59401 blt 0,0,640,480,0, 6076,640,480:waittimer 59420 blt 0,0,640,480,0, 6078,640,480:waittimer 59440 blt 0,0,640,480,0, 6080,640,480:waittimer 59460 blt 0,0,640,480,0, 6082,640,480:waittimer 59479 blt 0,0,640,480,0, 6084,640,480:waittimer 59499 blt 0,0,640,480,0, 6086,640,480:waittimer 59518 blt 0,0,640,480,0, 6088,640,480:waittimer 59538 blt 0,0,640,480,0, 6090,640,480:waittimer 59557 blt 0,0,640,480,0, 6092,640,480:waittimer 59577 blt 0,0,640,480,0, 6094,640,480:waittimer 59596 blt 0,0,640,480,0, 6096,640,480:waittimer 59616 blt 0,0,640,480,0, 6098,640,480:waittimer 59636 blt 0,0,640,480,0, 6100,640,480:waittimer 59655 blt 0,0,640,480,0, 6102,640,480:waittimer 59675 blt 0,0,640,480,0, 6104,640,480:waittimer 59694 blt 0,0,640,480,0, 6106,640,480:waittimer 59714 blt 0,0,640,480,0, 6108,640,480:waittimer 59733 blt 0,0,640,480,0, 6110,640,480:waittimer 59753 blt 0,0,640,480,0, 6112,640,480:waittimer 59773 blt 0,0,640,480,0, 6114,640,480:waittimer 59792 blt 0,0,640,480,0, 6116,640,480:waittimer 59812 blt 0,0,640,480,0, 6118,640,480:waittimer 59831 blt 0,0,640,480,0, 6120,640,480:waittimer 59851 blt 0,0,640,480,0, 6122,640,480:waittimer 59870 blt 0,0,640,480,0, 6124,640,480:waittimer 59890 blt 0,0,640,480,0, 6126,640,480:waittimer 59909 blt 0,0,640,480,0, 6128,640,480:waittimer 59929 blt 0,0,640,480,0, 6130,640,480:waittimer 59949 blt 0,0,640,480,0, 6132,640,480:waittimer 59968 blt 0,0,640,480,0, 6134,640,480:waittimer 59988 blt 0,0,640,480,0, 6136,640,480:waittimer 60007 blt 0,0,640,480,0, 6138,640,480:waittimer 60027 blt 0,0,640,480,0, 6140,640,480:waittimer 60046 blt 0,0,640,480,0, 6142,640,480:waittimer 60066 blt 0,0,640,480,0, 6144,640,480:waittimer 60085 blt 0,0,640,480,0, 6146,640,480:waittimer 60105 blt 0,0,640,480,0, 6148,640,480:waittimer 60125 blt 0,0,640,480,0, 6150,640,480:waittimer 60144 blt 0,0,640,480,0, 6152,640,480:waittimer 60164 blt 0,0,640,480,0, 6154,640,480:waittimer 60183 blt 0,0,640,480,0, 6156,640,480:waittimer 60203 blt 0,0,640,480,0, 6158,640,480:waittimer 60222 blt 0,0,640,480,0, 6160,640,480:waittimer 60242 blt 0,0,640,480,0, 6162,640,480:waittimer 60261 blt 0,0,640,480,0, 6164,640,480:waittimer 60281 blt 0,0,640,480,0, 6166,640,480:waittimer 60301 blt 0,0,640,480,0, 6168,640,480:waittimer 60320 blt 0,0,640,480,0, 6170,640,480:waittimer 60340 blt 0,0,640,480,0, 6172,640,480:waittimer 60359 blt 0,0,640,480,0, 6174,640,480:waittimer 60379 blt 0,0,640,480,0, 6176,640,480:waittimer 60398 blt 0,0,640,480,0, 6178,640,480:waittimer 60418 blt 0,0,640,480,0, 6180,640,480:waittimer 60438 blt 0,0,640,480,0, 6182,640,480:waittimer 60457 blt 0,0,640,480,0, 6184,640,480:waittimer 60477 blt 0,0,640,480,0, 6186,640,480:waittimer 60496 blt 0,0,640,480,0, 6188,640,480:waittimer 60516 blt 0,0,640,480,0, 6190,640,480:waittimer 60535 blt 0,0,640,480,0, 6192,640,480:waittimer 60555 blt 0,0,640,480,0, 6194,640,480:waittimer 60574 blt 0,0,640,480,0, 6196,640,480:waittimer 60594 blt 0,0,640,480,0, 6198,640,480:waittimer 60614 blt 0,0,640,480,0, 6200,640,480:waittimer 60633 blt 0,0,640,480,0, 6202,640,480:waittimer 60653 blt 0,0,640,480,0, 6204,640,480:waittimer 60672 blt 0,0,640,480,0, 6206,640,480:waittimer 60692 blt 0,0,640,480,0, 6208,640,480:waittimer 60711 blt 0,0,640,480,0, 6210,640,480:waittimer 60731 blt 0,0,640,480,0, 6212,640,480:waittimer 60750 blt 0,0,640,480,0, 6214,640,480:waittimer 60770 blt 0,0,640,480,0, 6216,640,480:waittimer 60790 blt 0,0,640,480,0, 6218,640,480:waittimer 60809 blt 0,0,640,480,0, 6220,640,480:waittimer 60829 blt 0,0,640,480,0, 6222,640,480:waittimer 60848 blt 0,0,640,480,0, 6224,640,480:waittimer 60868 blt 0,0,640,480,0, 6226,640,480:waittimer 60887 blt 0,0,640,480,0, 6228,640,480:waittimer 60907 blt 0,0,640,480,0, 6230,640,480:waittimer 60926 blt 0,0,640,480,0, 6232,640,480:waittimer 60946 blt 0,0,640,480,0, 6234,640,480:waittimer 60966 blt 0,0,640,480,0, 6236,640,480:waittimer 60985 blt 0,0,640,480,0, 6238,640,480:waittimer 61005 blt 0,0,640,480,0, 6240,640,480:waittimer 61024 blt 0,0,640,480,0, 6242,640,480:waittimer 61044 blt 0,0,640,480,0, 6244,640,480:waittimer 61063 blt 0,0,640,480,0, 6246,640,480:waittimer 61083 blt 0,0,640,480,0, 6248,640,480:waittimer 61103 blt 0,0,640,480,0, 6250,640,480:waittimer 61122 blt 0,0,640,480,0, 6252,640,480:waittimer 61142 blt 0,0,640,480,0, 6254,640,480:waittimer 61161 blt 0,0,640,480,0, 6256,640,480:waittimer 61181 blt 0,0,640,480,0, 6258,640,480:waittimer 61200 blt 0,0,640,480,0, 6260,640,480:waittimer 61220 blt 0,0,640,480,0, 6262,640,480:waittimer 61239 blt 0,0,640,480,0, 6264,640,480:waittimer 61259 blt 0,0,640,480,0, 6266,640,480:waittimer 61279 blt 0,0,640,480,0, 6268,640,480:waittimer 61298 blt 0,0,640,480,0, 6270,640,480:waittimer 61318 blt 0,0,640,480,0, 6272,640,480:waittimer 61337 blt 0,0,640,480,0, 6274,640,480:waittimer 61357 blt 0,0,640,480,0, 6276,640,480:waittimer 61376 blt 0,0,640,480,0, 6278,640,480:waittimer 61396 blt 0,0,640,480,0, 6280,640,480:waittimer 61415 blt 0,0,640,480,0, 6282,640,480:waittimer 61435 blt 0,0,640,480,0, 6284,640,480:waittimer 61455 blt 0,0,640,480,0, 6286,640,480:waittimer 61474 blt 0,0,640,480,0, 6288,640,480:waittimer 61494 blt 0,0,640,480,0, 6290,640,480:waittimer 61513 blt 0,0,640,480,0, 6292,640,480:waittimer 61533 blt 0,0,640,480,0, 6294,640,480:waittimer 61552 blt 0,0,640,480,0, 6296,640,480:waittimer 61572 blt 0,0,640,480,0, 6298,640,480:waittimer 61592 blt 0,0,640,480,0, 6300,640,480:waittimer 61611 blt 0,0,640,480,0, 6302,640,480:waittimer 61631 blt 0,0,640,480,0, 6304,640,480:waittimer 61650 blt 0,0,640,480,0, 6306,640,480:waittimer 61670 blt 0,0,640,480,0, 6308,640,480:waittimer 61689 blt 0,0,640,480,0, 6310,640,480:waittimer 61709 blt 0,0,640,480,0, 6312,640,480:waittimer 61728 blt 0,0,640,480,0, 6314,640,480:waittimer 61748 blt 0,0,640,480,0, 6316,640,480:waittimer 61768 blt 0,0,640,480,0, 6318,640,480:waittimer 61787 blt 0,0,640,480,0, 6320,640,480:waittimer 61807 blt 0,0,640,480,0, 6322,640,480:waittimer 61826 blt 0,0,640,480,0, 6324,640,480:waittimer 61846 blt 0,0,640,480,0, 6326,640,480:waittimer 61865 blt 0,0,640,480,0, 6328,640,480:waittimer 61885 blt 0,0,640,480,0, 6330,640,480:waittimer 61904 blt 0,0,640,480,0, 6332,640,480:waittimer 61924 blt 0,0,640,480,0, 6334,640,480:waittimer 61944 blt 0,0,640,480,0, 6336,640,480:waittimer 61963 blt 0,0,640,480,0, 6338,640,480:waittimer 61983 blt 0,0,640,480,0, 6340,640,480:waittimer 62002 blt 0,0,640,480,0, 6342,640,480:waittimer 62022 blt 0,0,640,480,0, 6344,640,480:waittimer 62041 blt 0,0,640,480,0, 6346,640,480:waittimer 62061 blt 0,0,640,480,0, 6348,640,480:waittimer 62080 blt 0,0,640,480,0, 6350,640,480:waittimer 62100 blt 0,0,640,480,0, 6352,640,480:waittimer 62120 blt 0,0,640,480,0, 6354,640,480:waittimer 62139 blt 0,0,640,480,0, 6356,640,480:waittimer 62159 blt 0,0,640,480,0, 6358,640,480:waittimer 62178 blt 0,0,640,480,0, 6360,640,480:waittimer 62198 blt 0,0,640,480,0, 6362,640,480:waittimer 62217 blt 0,0,640,480,0, 6364,640,480:waittimer 62237 blt 0,0,640,480,0, 6366,640,480:waittimer 62257 blt 0,0,640,480,0, 6368,640,480:waittimer 62276 blt 0,0,640,480,0, 6370,640,480:waittimer 62296 blt 0,0,640,480,0, 6372,640,480:waittimer 62315 blt 0,0,640,480,0, 6374,640,480:waittimer 62335 blt 0,0,640,480,0, 6376,640,480:waittimer 62354 blt 0,0,640,480,0, 6378,640,480:waittimer 62374 blt 0,0,640,480,0, 6380,640,480:waittimer 62393 blt 0,0,640,480,0, 6382,640,480:waittimer 62413 blt 0,0,640,480,0, 6384,640,480:waittimer 62433 blt 0,0,640,480,0, 6386,640,480:waittimer 62452 blt 0,0,640,480,0, 6388,640,480:waittimer 62472 blt 0,0,640,480,0, 6390,640,480:waittimer 62491 blt 0,0,640,480,0, 6392,640,480:waittimer 62511 blt 0,0,640,480,0, 6394,640,480:waittimer 62530 blt 0,0,640,480,0, 6396,640,480:waittimer 62550 blt 0,0,640,480,0, 6398,640,480:waittimer 62569 blt 0,0,640,480,0, 6400,640,480:waittimer 62589 blt 0,0,640,480,0, 6402,640,480:waittimer 62609 blt 0,0,640,480,0, 6404,640,480:waittimer 62628 blt 0,0,640,480,0, 6406,640,480:waittimer 62648 blt 0,0,640,480,0, 6408,640,480:waittimer 62667 blt 0,0,640,480,0, 6410,640,480:waittimer 62687 blt 0,0,640,480,0, 6412,640,480:waittimer 62706 blt 0,0,640,480,0, 6414,640,480:waittimer 62726 blt 0,0,640,480,0, 6416,640,480:waittimer 62746 blt 0,0,640,480,0, 6418,640,480:waittimer 62765 blt 0,0,640,480,0, 6420,640,480:waittimer 62785 blt 0,0,640,480,0, 6422,640,480:waittimer 62804 blt 0,0,640,480,0, 6424,640,480:waittimer 62824 blt 0,0,640,480,0, 6426,640,480:waittimer 62843 blt 0,0,640,480,0, 6428,640,480:waittimer 62863 blt 0,0,640,480,0, 6430,640,480:waittimer 62882 blt 0,0,640,480,0, 6432,640,480:waittimer 62902 blt 0,0,640,480,0, 6434,640,480:waittimer 62922 blt 0,0,640,480,0, 6436,640,480:waittimer 62941 blt 0,0,640,480,0, 6438,640,480:waittimer 62961 blt 0,0,640,480,0, 6440,640,480:waittimer 62980 blt 0,0,640,480,0, 6442,640,480:waittimer 63000 blt 0,0,640,480,0, 6444,640,480:waittimer 63019 blt 0,0,640,480,0, 6446,640,480:waittimer 63039 blt 0,0,640,480,0, 6448,640,480:waittimer 63058 blt 0,0,640,480,0, 6450,640,480:waittimer 63078 blt 0,0,640,480,0, 6452,640,480:waittimer 63098 blt 0,0,640,480,0, 6454,640,480:waittimer 63117 blt 0,0,640,480,0, 6456,640,480:waittimer 63137 blt 0,0,640,480,0, 6458,640,480:waittimer 63156 blt 0,0,640,480,0, 6460,640,480:waittimer 63176 blt 0,0,640,480,0, 6462,640,480:waittimer 63195 blt 0,0,640,480,0, 6464,640,480:waittimer 63215 blt 0,0,640,480,0, 6466,640,480:waittimer 63234 blt 0,0,640,480,0, 6468,640,480:waittimer 63254 blt 0,0,640,480,0, 6470,640,480:waittimer 63274 blt 0,0,640,480,0, 6472,640,480:waittimer 63293 blt 0,0,640,480,0, 6474,640,480:waittimer 63313 blt 0,0,640,480,0, 6476,640,480:waittimer 63332 blt 0,0,640,480,0, 6478,640,480:waittimer 63352 blt 0,0,640,480,0, 6480,640,480:waittimer 63371 blt 0,0,640,480,0, 6482,640,480:waittimer 63391 blt 0,0,640,480,0, 6484,640,480:waittimer 63411 blt 0,0,640,480,0, 6486,640,480:waittimer 63430 blt 0,0,640,480,0, 6488,640,480:waittimer 63450 blt 0,0,640,480,0, 6490,640,480:waittimer 63469 blt 0,0,640,480,0, 6492,640,480:waittimer 63489 blt 0,0,640,480,0, 6494,640,480:waittimer 63508 blt 0,0,640,480,0, 6496,640,480:waittimer 63528 blt 0,0,640,480,0, 6498,640,480:waittimer 63547 blt 0,0,640,480,0, 6500,640,480:waittimer 63567 blt 0,0,640,480,0, 6502,640,480:waittimer 63587 blt 0,0,640,480,0, 6504,640,480:waittimer 63606 blt 0,0,640,480,0, 6506,640,480:waittimer 63626 blt 0,0,640,480,0, 6508,640,480:waittimer 63645 blt 0,0,640,480,0, 6510,640,480:waittimer 63665 blt 0,0,640,480,0, 6512,640,480:waittimer 63684 blt 0,0,640,480,0, 6514,640,480:waittimer 63704 blt 0,0,640,480,0, 6516,640,480:waittimer 63723 blt 0,0,640,480,0, 6518,640,480:waittimer 63743 blt 0,0,640,480,0, 6520,640,480:waittimer 63763 blt 0,0,640,480,0, 6522,640,480:waittimer 63782 blt 0,0,640,480,0, 6524,640,480:waittimer 63802 blt 0,0,640,480,0, 6526,640,480:waittimer 63821 blt 0,0,640,480,0, 6528,640,480:waittimer 63841 blt 0,0,640,480,0, 6530,640,480:waittimer 63860 blt 0,0,640,480,0, 6532,640,480:waittimer 63880 blt 0,0,640,480,0, 6534,640,480:waittimer 63900 blt 0,0,640,480,0, 6536,640,480:waittimer 63919 blt 0,0,640,480,0, 6538,640,480:waittimer 63939 blt 0,0,640,480,0, 6540,640,480:waittimer 63958 blt 0,0,640,480,0, 6542,640,480:waittimer 63978 blt 0,0,640,480,0, 6544,640,480:waittimer 63997 blt 0,0,640,480,0, 6546,640,480:waittimer 64017 blt 0,0,640,480,0, 6548,640,480:waittimer 64036 blt 0,0,640,480,0, 6550,640,480:waittimer 64056 blt 0,0,640,480,0, 6552,640,480:waittimer 64076 blt 0,0,640,480,0, 6554,640,480:waittimer 64095 blt 0,0,640,480,0, 6556,640,480:waittimer 64115 blt 0,0,640,480,0, 6558,640,480:waittimer 64134 blt 0,0,640,480,0, 6560,640,480:waittimer 64154 blt 0,0,640,480,0, 6562,640,480:waittimer 64173 blt 0,0,640,480,0, 6564,640,480:waittimer 64193 blt 0,0,640,480,0, 6566,640,480:waittimer 64212 blt 0,0,640,480,0, 6568,640,480:waittimer 64232 blt 0,0,640,480,0, 6570,640,480:waittimer 64252 blt 0,0,640,480,0, 6572,640,480:waittimer 64271 blt 0,0,640,480,0, 6574,640,480:waittimer 64291 blt 0,0,640,480,0, 6576,640,480:waittimer 64310 blt 0,0,640,480,0, 6578,640,480:waittimer 64330 blt 0,0,640,480,0, 6580,640,480:waittimer 64349 blt 0,0,640,480,0, 6582,640,480:waittimer 64369 blt 0,0,640,480,0, 6584,640,480:waittimer 64388 blt 0,0,640,480,0, 6586,640,480:waittimer 64408 blt 0,0,640,480,0, 6588,640,480:waittimer 64428 blt 0,0,640,480,0, 6590,640,480:waittimer 64447 blt 0,0,640,480,0, 6592,640,480:waittimer 64467 blt 0,0,640,480,0, 6594,640,480:waittimer 64486 blt 0,0,640,480,0, 6596,640,480:waittimer 64506 blt 0,0,640,480,0, 6598,640,480:waittimer 64525 blt 0,0,640,480,0, 6600,640,480:waittimer 64545 blt 0,0,640,480,0, 6602,640,480:waittimer 64565 blt 0,0,640,480,0, 6604,640,480:waittimer 64584 blt 0,0,640,480,0, 6606,640,480:waittimer 64604 blt 0,0,640,480,0, 6608,640,480:waittimer 64623 blt 0,0,640,480,0, 6610,640,480:waittimer 64643 blt 0,0,640,480,0, 6612,640,480:waittimer 64662 blt 0,0,640,480,0, 6614,640,480:waittimer 64682 blt 0,0,640,480,0, 6616,640,480:waittimer 64701 blt 0,0,640,480,0, 6618,640,480:waittimer 64721 blt 0,0,640,480,0, 6620,640,480:waittimer 64741 blt 0,0,640,480,0, 6622,640,480:waittimer 64760 blt 0,0,640,480,0, 6624,640,480:waittimer 64780 blt 0,0,640,480,0, 6626,640,480:waittimer 64799 blt 0,0,640,480,0, 6628,640,480:waittimer 64819 blt 0,0,640,480,0, 6630,640,480:waittimer 64838 blt 0,0,640,480,0, 6632,640,480:waittimer 64858 blt 0,0,640,480,0, 6634,640,480:waittimer 64877 blt 0,0,640,480,0, 6636,640,480:waittimer 64897 blt 0,0,640,480,0, 6638,640,480:waittimer 64917 blt 0,0,640,480,0, 6640,640,480:waittimer 64936 blt 0,0,640,480,0, 6642,640,480:waittimer 64956 blt 0,0,640,480,0, 6644,640,480:waittimer 64975 blt 0,0,640,480,0, 6646,640,480:waittimer 64995 blt 0,0,640,480,0, 6648,640,480:waittimer 65014 blt 0,0,640,480,0, 6650,640,480:waittimer 65034 blt 0,0,640,480,0, 6652,640,480:waittimer 65053 blt 0,0,640,480,0, 6654,640,480:waittimer 65073 blt 0,0,640,480,0, 6656,640,480:waittimer 65093 blt 0,0,640,480,0, 6658,640,480:waittimer 65112 blt 0,0,640,480,0, 6660,640,480:waittimer 65132 blt 0,0,640,480,0, 6662,640,480:waittimer 65151 blt 0,0,640,480,0, 6664,640,480:waittimer 65171 blt 0,0,640,480,0, 6666,640,480:waittimer 65190 blt 0,0,640,480,0, 6668,640,480:waittimer 65210 blt 0,0,640,480,0, 6670,640,480:waittimer 65230 blt 0,0,640,480,0, 6672,640,480:waittimer 65249 blt 0,0,640,480,0, 6674,640,480:waittimer 65269 blt 0,0,640,480,0, 6676,640,480:waittimer 65288 blt 0,0,640,480,0, 6678,640,480:waittimer 65308 blt 0,0,640,480,0, 6680,640,480:waittimer 65327 blt 0,0,640,480,0, 6682,640,480:waittimer 65347 blt 0,0,640,480,0, 6684,640,480:waittimer 65366 blt 0,0,640,480,0, 6686,640,480:waittimer 65386 blt 0,0,640,480,0, 6688,640,480:waittimer 65406 blt 0,0,640,480,0, 6690,640,480:waittimer 65425 blt 0,0,640,480,0, 6692,640,480:waittimer 65445 blt 0,0,640,480,0, 6694,640,480:waittimer 65464 blt 0,0,640,480,0, 6696,640,480:waittimer 65484 blt 0,0,640,480,0, 6698,640,480:waittimer 65503 blt 0,0,640,480,0, 6700,640,480:waittimer 65523 blt 0,0,640,480,0, 6702,640,480:waittimer 65542 blt 0,0,640,480,0, 6704,640,480:waittimer 65562 blt 0,0,640,480,0, 6706,640,480:waittimer 65582 blt 0,0,640,480,0, 6708,640,480:waittimer 65601 blt 0,0,640,480,0, 6710,640,480:waittimer 65621 blt 0,0,640,480,0, 6712,640,480:waittimer 65640 blt 0,0,640,480,0, 6714,640,480:waittimer 65660 blt 0,0,640,480,0, 6716,640,480:waittimer 65679 blt 0,0,640,480,0, 6718,640,480:waittimer 65699 blt 0,0,640,480,0, 6720,640,480:waittimer 65719 blt 0,0,640,480,0, 6722,640,480:waittimer 65738 blt 0,0,640,480,0, 6724,640,480:waittimer 65758 blt 0,0,640,480,0, 6726,640,480:waittimer 65777 blt 0,0,640,480,0, 6728,640,480:waittimer 65797 blt 0,0,640,480,0, 6730,640,480:waittimer 65816 blt 0,0,640,480,0, 6732,640,480:waittimer 65836 blt 0,0,640,480,0, 6734,640,480:waittimer 65855 blt 0,0,640,480,0, 6736,640,480:waittimer 65875 blt 0,0,640,480,0, 6738,640,480:waittimer 65895 blt 0,0,640,480,0, 6740,640,480:waittimer 65914 blt 0,0,640,480,0, 6742,640,480:waittimer 65934 blt 0,0,640,480,0, 6744,640,480:waittimer 65953 blt 0,0,640,480,0, 6746,640,480:waittimer 65973 blt 0,0,640,480,0, 6748,640,480:waittimer 65992 blt 0,0,640,480,0, 6750,640,480:waittimer 66012 blt 0,0,640,480,0, 6752,640,480:waittimer 66031 blt 0,0,640,480,0, 6754,640,480:waittimer 66051 blt 0,0,640,480,0, 6756,640,480:waittimer 66071 blt 0,0,640,480,0, 6758,640,480:waittimer 66090 blt 0,0,640,480,0, 6760,640,480:waittimer 66110 blt 0,0,640,480,0, 6762,640,480:waittimer 66129 blt 0,0,640,480,0, 6764,640,480:waittimer 66149 blt 0,0,640,480,0, 6766,640,480:waittimer 66168 blt 0,0,640,480,0, 6768,640,480:waittimer 66188 blt 0,0,640,480,0, 6770,640,480:waittimer 66207 blt 0,0,640,480,0, 6772,640,480:waittimer 66227 blt 0,0,640,480,0, 6774,640,480:waittimer 66247 blt 0,0,640,480,0, 6776,640,480:waittimer 66266 blt 0,0,640,480,0, 6778,640,480:waittimer 66286 blt 0,0,640,480,0, 6780,640,480:waittimer 66305 blt 0,0,640,480,0, 6782,640,480:waittimer 66325 blt 0,0,640,480,0, 6784,640,480:waittimer 66344 blt 0,0,640,480,0, 6786,640,480:waittimer 66364 blt 0,0,640,480,0, 6788,640,480:waittimer 66384 blt 0,0,640,480,0, 6790,640,480:waittimer 66403 blt 0,0,640,480,0, 6792,640,480:waittimer 66423 blt 0,0,640,480,0, 6794,640,480:waittimer 66442 blt 0,0,640,480,0, 6796,640,480:waittimer 66462 blt 0,0,640,480,0, 6798,640,480:waittimer 66481 blt 0,0,640,480,0, 6800,640,480:waittimer 66501 blt 0,0,640,480,0, 6802,640,480:waittimer 66520 blt 0,0,640,480,0, 6804,640,480:waittimer 66540 blt 0,0,640,480,0, 6806,640,480:waittimer 66560 blt 0,0,640,480,0, 6808,640,480:waittimer 66579 blt 0,0,640,480,0, 6810,640,480:waittimer 66599 blt 0,0,640,480,0, 6812,640,480:waittimer 66618 blt 0,0,640,480,0, 6814,640,480:waittimer 66638 blt 0,0,640,480,0, 6816,640,480:waittimer 66657 blt 0,0,640,480,0, 6818,640,480:waittimer 66677 blt 0,0,640,480,0, 6820,640,480:waittimer 66696 blt 0,0,640,480,0, 6822,640,480:waittimer 66716 blt 0,0,640,480,0, 6824,640,480:waittimer 66736 blt 0,0,640,480,0, 6826,640,480:waittimer 66755 blt 0,0,640,480,0, 6828,640,480:waittimer 66775 blt 0,0,640,480,0, 6830,640,480:waittimer 66794 blt 0,0,640,480,0, 6832,640,480:waittimer 66814 blt 0,0,640,480,0, 6834,640,480:waittimer 66833 blt 0,0,640,480,0, 6836,640,480:waittimer 66853 blt 0,0,640,480,0, 6838,640,480:waittimer 66873 blt 0,0,640,480,0, 6840,640,480:waittimer 66892 blt 0,0,640,480,0, 6842,640,480:waittimer 66912 blt 0,0,640,480,0, 6844,640,480:waittimer 66931 blt 0,0,640,480,0, 6846,640,480:waittimer 66951 blt 0,0,640,480,0, 6848,640,480:waittimer 66970 blt 0,0,640,480,0, 6850,640,480:waittimer 66990 blt 0,0,640,480,0, 6852,640,480:waittimer 67009 blt 0,0,640,480,0, 6854,640,480:waittimer 67029 blt 0,0,640,480,0, 6856,640,480:waittimer 67049 blt 0,0,640,480,0, 6858,640,480:waittimer 67068 blt 0,0,640,480,0, 6860,640,480:waittimer 67088 blt 0,0,640,480,0, 6862,640,480:waittimer 67107 blt 0,0,640,480,0, 6864,640,480:waittimer 67127 blt 0,0,640,480,0, 6866,640,480:waittimer 67146 blt 0,0,640,480,0, 6868,640,480:waittimer 67166 blt 0,0,640,480,0, 6870,640,480:waittimer 67185 blt 0,0,640,480,0, 6872,640,480:waittimer 67205 blt 0,0,640,480,0, 6874,640,480:waittimer 67225 blt 0,0,640,480,0, 6876,640,480:waittimer 67244 blt 0,0,640,480,0, 6878,640,480:waittimer 67264 blt 0,0,640,480,0, 6880,640,480:waittimer 67283 blt 0,0,640,480,0, 6882,640,480:waittimer 67303 blt 0,0,640,480,0, 6884,640,480:waittimer 67322 blt 0,0,640,480,0, 6886,640,480:waittimer 67342 blt 0,0,640,480,0, 6888,640,480:waittimer 67361 blt 0,0,640,480,0, 6890,640,480:waittimer 67381 blt 0,0,640,480,0, 6892,640,480:waittimer 67401 blt 0,0,640,480,0, 6894,640,480:waittimer 67420 blt 0,0,640,480,0, 6896,640,480:waittimer 67440 blt 0,0,640,480,0, 6898,640,480:waittimer 67459 blt 0,0,640,480,0, 6900,640,480:waittimer 67479 blt 0,0,640,480,0, 6902,640,480:waittimer 67498 blt 0,0,640,480,0, 6904,640,480:waittimer 67518 blt 0,0,640,480,0, 6906,640,480:waittimer 67538 blt 0,0,640,480,0, 6908,640,480:waittimer 67557 blt 0,0,640,480,0, 6910,640,480:waittimer 67577 blt 0,0,640,480,0, 6912,640,480:waittimer 67596 blt 0,0,640,480,0, 6914,640,480:waittimer 67616 blt 0,0,640,480,0, 6916,640,480:waittimer 67635 blt 0,0,640,480,0, 6918,640,480:waittimer 67655 blt 0,0,640,480,0, 6920,640,480:waittimer 67674 blt 0,0,640,480,0, 6922,640,480:waittimer 67694 blt 0,0,640,480,0, 6924,640,480:waittimer 67714 blt 0,0,640,480,0, 6926,640,480:waittimer 67733 blt 0,0,640,480,0, 6928,640,480:waittimer 67753 blt 0,0,640,480,0, 6930,640,480:waittimer 67772 blt 0,0,640,480,0, 6932,640,480:waittimer 67792 blt 0,0,640,480,0, 6934,640,480:waittimer 67811 blt 0,0,640,480,0, 6936,640,480:waittimer 67831 blt 0,0,640,480,0, 6938,640,480:waittimer 67850 blt 0,0,640,480,0, 6940,640,480:waittimer 67870 blt 0,0,640,480,0, 6942,640,480:waittimer 67890 blt 0,0,640,480,0, 6944,640,480:waittimer 67909 blt 0,0,640,480,0, 6946,640,480:waittimer 67929 blt 0,0,640,480,0, 6948,640,480:waittimer 67948 blt 0,0,640,480,0, 6950,640,480:waittimer 67968 blt 0,0,640,480,0, 6952,640,480:waittimer 67987 blt 0,0,640,480,0, 6954,640,480:waittimer 68007 blt 0,0,640,480,0, 6956,640,480:waittimer 68026 blt 0,0,640,480,0, 6958,640,480:waittimer 68046 blt 0,0,640,480,0, 6960,640,480:waittimer 68066 blt 0,0,640,480,0, 6962,640,480:waittimer 68085 blt 0,0,640,480,0, 6964,640,480:waittimer 68105 blt 0,0,640,480,0, 6966,640,480:waittimer 68124 blt 0,0,640,480,0, 6968,640,480:waittimer 68144 blt 0,0,640,480,0, 6970,640,480:waittimer 68163 blt 0,0,640,480,0, 6972,640,480:waittimer 68183 blt 0,0,640,480,0, 6974,640,480:waittimer 68203 blt 0,0,640,480,0, 6976,640,480:waittimer 68222 blt 0,0,640,480,0, 6978,640,480:waittimer 68242 blt 0,0,640,480,0, 6980,640,480:waittimer 68261 blt 0,0,640,480,0, 6982,640,480:waittimer 68281 blt 0,0,640,480,0, 6984,640,480:waittimer 68300 blt 0,0,640,480,0, 6986,640,480:waittimer 68320 blt 0,0,640,480,0, 6988,640,480:waittimer 68339 blt 0,0,640,480,0, 6990,640,480:waittimer 68359 blt 0,0,640,480,0, 6992,640,480:waittimer 68379 blt 0,0,640,480,0, 6994,640,480:waittimer 68398 blt 0,0,640,480,0, 6996,640,480:waittimer 68418 blt 0,0,640,480,0, 6998,640,480:waittimer 68437 blt 0,0,640,480,0, 7000,640,480:waittimer 68457 blt 0,0,640,480,0, 7002,640,480:waittimer 68476 blt 0,0,640,480,0, 7004,640,480:waittimer 68496 blt 0,0,640,480,0, 7006,640,480:waittimer 68515 blt 0,0,640,480,0, 7008,640,480:waittimer 68535 blt 0,0,640,480,0, 7010,640,480:waittimer 68555 blt 0,0,640,480,0, 7012,640,480:waittimer 68574 blt 0,0,640,480,0, 7014,640,480:waittimer 68594 blt 0,0,640,480,0, 7016,640,480:waittimer 68613 blt 0,0,640,480,0, 7018,640,480:waittimer 68633 blt 0,0,640,480,0, 7020,640,480:waittimer 68652 blt 0,0,640,480,0, 7022,640,480:waittimer 68672 blt 0,0,640,480,0, 7024,640,480:waittimer 68692 blt 0,0,640,480,0, 7026,640,480:waittimer 68711 blt 0,0,640,480,0, 7028,640,480:waittimer 68731 blt 0,0,640,480,0, 7030,640,480:waittimer 68750 blt 0,0,640,480,0, 7032,640,480:waittimer 68770 blt 0,0,640,480,0, 7034,640,480:waittimer 68789 blt 0,0,640,480,0, 7036,640,480:waittimer 68809 blt 0,0,640,480,0, 7038,640,480:waittimer 68828 blt 0,0,640,480,0, 7040,640,480:waittimer 68848 blt 0,0,640,480,0, 7042,640,480:waittimer 68868 blt 0,0,640,480,0, 7044,640,480:waittimer 68887 blt 0,0,640,480,0, 7046,640,480:waittimer 68907 blt 0,0,640,480,0, 7048,640,480:waittimer 68926 blt 0,0,640,480,0, 7050,640,480:waittimer 68946 blt 0,0,640,480,0, 7052,640,480:waittimer 68965 blt 0,0,640,480,0, 7054,640,480:waittimer 68985 blt 0,0,640,480,0, 7056,640,480:waittimer 69004 blt 0,0,640,480,0, 7058,640,480:waittimer 69024 blt 0,0,640,480,0, 7060,640,480:waittimer 69044 blt 0,0,640,480,0, 7062,640,480:waittimer 69063 blt 0,0,640,480,0, 7064,640,480:waittimer 69083 blt 0,0,640,480,0, 7066,640,480:waittimer 69102 blt 0,0,640,480,0, 7068,640,480:waittimer 69122 blt 0,0,640,480,0, 7070,640,480:waittimer 69141 blt 0,0,640,480,0, 7072,640,480:waittimer 69161 blt 0,0,640,480,0, 7074,640,480:waittimer 69180 blt 0,0,640,480,0, 7076,640,480:waittimer 69200 blt 0,0,640,480,0, 7078,640,480:waittimer 69220 blt 0,0,640,480,0, 7080,640,480:waittimer 69239 blt 0,0,640,480,0, 7082,640,480:waittimer 69259 blt 0,0,640,480,0, 7084,640,480:waittimer 69278 blt 0,0,640,480,0, 7086,640,480:waittimer 69298 blt 0,0,640,480,0, 7088,640,480:waittimer 69317 blt 0,0,640,480,0, 7090,640,480:waittimer 69337 blt 0,0,640,480,0, 7092,640,480:waittimer 69357 blt 0,0,640,480,0, 7094,640,480:waittimer 69376 blt 0,0,640,480,0, 7096,640,480:waittimer 69396 blt 0,0,640,480,0, 7098,640,480:waittimer 69415 blt 0,0,640,480,0, 7100,640,480:waittimer 69435 blt 0,0,640,480,0, 7102,640,480:waittimer 69454 blt 0,0,640,480,0, 7104,640,480:waittimer 69474 blt 0,0,640,480,0, 7106,640,480:waittimer 69493 blt 0,0,640,480,0, 7108,640,480:waittimer 69513 blt 0,0,640,480,0, 7110,640,480:waittimer 69533 blt 0,0,640,480,0, 7112,640,480:waittimer 69552 blt 0,0,640,480,0, 7114,640,480:waittimer 69572 blt 0,0,640,480,0, 7116,640,480:waittimer 69591 blt 0,0,640,480,0, 7118,640,480:waittimer 69611 blt 0,0,640,480,0, 7120,640,480:waittimer 69630 blt 0,0,640,480,0, 7122,640,480:waittimer 69650 blt 0,0,640,480,0, 7124,640,480:waittimer 69669 blt 0,0,640,480,0, 7126,640,480:waittimer 69689 blt 0,0,640,480,0, 7128,640,480:waittimer 69709 blt 0,0,640,480,0, 7130,640,480:waittimer 69728 blt 0,0,640,480,0, 7132,640,480:waittimer 69748 blt 0,0,640,480,0, 7134,640,480:waittimer 69767 blt 0,0,640,480,0, 7136,640,480:waittimer 69787 blt 0,0,640,480,0, 7138,640,480:waittimer 69806 blt 0,0,640,480,0, 7140,640,480:waittimer 69826 blt 0,0,640,480,0, 7142,640,480:waittimer 69846 blt 0,0,640,480,0, 7144,640,480:waittimer 69865 blt 0,0,640,480,0, 7146,640,480:waittimer 69885 blt 0,0,640,480,0, 7148,640,480:waittimer 69904 blt 0,0,640,480,0, 7150,640,480:waittimer 69924 blt 0,0,640,480,0, 7152,640,480:waittimer 69943 blt 0,0,640,480,0, 7154,640,480:waittimer 69963 blt 0,0,640,480,0, 7156,640,480:waittimer 69982 blt 0,0,640,480,0, 7158,640,480:waittimer 70002 blt 0,0,640,480,0, 7160,640,480:waittimer 70022 blt 0,0,640,480,0, 7162,640,480:waittimer 70041 blt 0,0,640,480,0, 7164,640,480:waittimer 70061 blt 0,0,640,480,0, 7166,640,480:waittimer 70080 blt 0,0,640,480,0, 7168,640,480:waittimer 70100 blt 0,0,640,480,0, 7170,640,480:waittimer 70119 blt 0,0,640,480,0, 7172,640,480:waittimer 70139 blt 0,0,640,480,0, 7174,640,480:waittimer 70158 blt 0,0,640,480,0, 7176,640,480:waittimer 70178 blt 0,0,640,480,0, 7178,640,480:waittimer 70198 blt 0,0,640,480,0, 7180,640,480:waittimer 70217 blt 0,0,640,480,0, 7182,640,480:waittimer 70237 blt 0,0,640,480,0, 7184,640,480:waittimer 70256 blt 0,0,640,480,0, 7186,640,480:waittimer 70276 blt 0,0,640,480,0, 7188,640,480:waittimer 70295 blt 0,0,640,480,0, 7190,640,480:waittimer 70315 blt 0,0,640,480,0, 7192,640,480:waittimer 70334 blt 0,0,640,480,0, 7194,640,480:waittimer 70354 blt 0,0,640,480,0, 7196,640,480:waittimer 70374 blt 0,0,640,480,0, 7198,640,480:waittimer 70393 blt 0,0,640,480,0, 7200,640,480:waittimer 70413 blt 0,0,640,480,0, 7202,640,480:waittimer 70432 blt 0,0,640,480,0, 7204,640,480:waittimer 70452 blt 0,0,640,480,0, 7206,640,480:waittimer 70471 blt 0,0,640,480,0, 7208,640,480:waittimer 70491 blt 0,0,640,480,0, 7210,640,480:waittimer 70511 blt 0,0,640,480,0, 7212,640,480:waittimer 70530 blt 0,0,640,480,0, 7214,640,480:waittimer 70550 blt 0,0,640,480,0, 7216,640,480:waittimer 70569 blt 0,0,640,480,0, 7218,640,480:waittimer 70589 blt 0,0,640,480,0, 7220,640,480:waittimer 70608 blt 0,0,640,480,0, 7222,640,480:waittimer 70628 blt 0,0,640,480,0, 7224,640,480:waittimer 70647 blt 0,0,640,480,0, 7226,640,480:waittimer 70667 blt 0,0,640,480,0, 7228,640,480:waittimer 70687 blt 0,0,640,480,0, 7230,640,480:waittimer 70706 blt 0,0,640,480,0, 7232,640,480:waittimer 70726 blt 0,0,640,480,0, 7234,640,480:waittimer 70745 blt 0,0,640,480,0, 7236,640,480:waittimer 70765 blt 0,0,640,480,0, 7238,640,480:waittimer 70784 blt 0,0,640,480,0, 7240,640,480:waittimer 70804 blt 0,0,640,480,0, 7242,640,480:waittimer 70823 blt 0,0,640,480,0, 7244,640,480:waittimer 70843 blt 0,0,640,480,0, 7246,640,480:waittimer 70863 blt 0,0,640,480,0, 7248,640,480:waittimer 70882 blt 0,0,640,480,0, 7250,640,480:waittimer 70902 blt 0,0,640,480,0, 7252,640,480:waittimer 70921 blt 0,0,640,480,0, 7254,640,480:waittimer 70941 blt 0,0,640,480,0, 7256,640,480:waittimer 70960 blt 0,0,640,480,0, 7258,640,480:waittimer 70980 blt 0,0,640,480,0, 7260,640,480:waittimer 71000 blt 0,0,640,480,0, 7262,640,480:waittimer 71019 blt 0,0,640,480,0, 7264,640,480:waittimer 71039 blt 0,0,640,480,0, 7266,640,480:waittimer 71058 blt 0,0,640,480,0, 7268,640,480:waittimer 71078 blt 0,0,640,480,0, 7270,640,480:waittimer 71097 blt 0,0,640,480,0, 7272,640,480:waittimer 71117 blt 0,0,640,480,0, 7274,640,480:waittimer 71136 blt 0,0,640,480,0, 7276,640,480:waittimer 71156 blt 0,0,640,480,0, 7278,640,480:waittimer 71176 blt 0,0,640,480,0, 7280,640,480:waittimer 71195 blt 0,0,640,480,0, 7282,640,480:waittimer 71215 blt 0,0,640,480,0, 7284,640,480:waittimer 71234 blt 0,0,640,480,0, 7286,640,480:waittimer 71254 blt 0,0,640,480,0, 7288,640,480:waittimer 71273 blt 0,0,640,480,0, 7290,640,480:waittimer 71293 blt 0,0,640,480,0, 7292,640,480:waittimer 71312 blt 0,0,640,480,0, 7294,640,480:waittimer 71332 blt 0,0,640,480,0, 7296,640,480:waittimer 71352 blt 0,0,640,480,0, 7298,640,480:waittimer 71371 blt 0,0,640,480,0, 7300,640,480:waittimer 71391 blt 0,0,640,480,0, 7302,640,480:waittimer 71410 blt 0,0,640,480,0, 7304,640,480:waittimer 71430 blt 0,0,640,480,0, 7306,640,480:waittimer 71449 blt 0,0,640,480,0, 7308,640,480:waittimer 71469 blt 0,0,640,480,0, 7310,640,480:waittimer 71488 blt 0,0,640,480,0, 7312,640,480:waittimer 71508 blt 0,0,640,480,0, 7314,640,480:waittimer 71528 blt 0,0,640,480,0, 7316,640,480:waittimer 71547 blt 0,0,640,480,0, 7318,640,480:waittimer 71567 blt 0,0,640,480,0, 7320,640,480:waittimer 71586 blt 0,0,640,480,0, 7322,640,480:waittimer 71606 blt 0,0,640,480,0, 7324,640,480:waittimer 71625 blt 0,0,640,480,0, 7326,640,480:waittimer 71645 blt 0,0,640,480,0, 7328,640,480:waittimer 71665 blt 0,0,640,480,0, 7330,640,480:waittimer 71684 blt 0,0,640,480,0, 7332,640,480:waittimer 71704 blt 0,0,640,480,0, 7334,640,480:waittimer 71723 blt 0,0,640,480,0, 7336,640,480:waittimer 71743 blt 0,0,640,480,0, 7338,640,480:waittimer 71762 blt 0,0,640,480,0, 7340,640,480:waittimer 71782 blt 0,0,640,480,0, 7342,640,480:waittimer 71801 blt 0,0,640,480,0, 7344,640,480:waittimer 71821 blt 0,0,640,480,0, 7346,640,480:waittimer 71841 blt 0,0,640,480,0, 7348,640,480:waittimer 71860 blt 0,0,640,480,0, 7350,640,480:waittimer 71880 blt 0,0,640,480,0, 7352,640,480:waittimer 71899 blt 0,0,640,480,0, 7354,640,480:waittimer 71919 blt 0,0,640,480,0, 7356,640,480:waittimer 71938 blt 0,0,640,480,0, 7358,640,480:waittimer 71958 blt 0,0,640,480,0, 7360,640,480:waittimer 71977 blt 0,0,640,480,0, 7362,640,480:waittimer 71997 blt 0,0,640,480,0, 7364,640,480:waittimer 72017 blt 0,0,640,480,0, 7366,640,480:waittimer 72036 blt 0,0,640,480,0, 7368,640,480:waittimer 72056 blt 0,0,640,480,0, 7370,640,480:waittimer 72075 blt 0,0,640,480,0, 7372,640,480:waittimer 72095 blt 0,0,640,480,0, 7374,640,480:waittimer 72114 blt 0,0,640,480,0, 7376,640,480:waittimer 72134 blt 0,0,640,480,0, 7378,640,480:waittimer 72153 blt 0,0,640,480,0, 7380,640,480:waittimer 72173 blt 0,0,640,480,0, 7382,640,480:waittimer 72193 blt 0,0,640,480,0, 7384,640,480:waittimer 72212 blt 0,0,640,480,0, 7386,640,480:waittimer 72232 blt 0,0,640,480,0, 7388,640,480:waittimer 72251 blt 0,0,640,480,0, 7390,640,480:waittimer 72271 blt 0,0,640,480,0, 7392,640,480:waittimer 72290 blt 0,0,640,480,0, 7394,640,480:waittimer 72310 blt 0,0,640,480,0, 7396,640,480:waittimer 72330 blt 0,0,640,480,0, 7398,640,480:waittimer 72349 blt 0,0,640,480,0, 7400,640,480:waittimer 72369 blt 0,0,640,480,0, 7402,640,480:waittimer 72388 blt 0,0,640,480,0, 7404,640,480:waittimer 72408 blt 0,0,640,480,0, 7406,640,480:waittimer 72427 blt 0,0,640,480,0, 7408,640,480:waittimer 72447 blt 0,0,640,480,0, 7410,640,480:waittimer 72466 blt 0,0,640,480,0, 7412,640,480:waittimer 72486 blt 0,0,640,480,0, 7414,640,480:waittimer 72506 blt 0,0,640,480,0, 7416,640,480:waittimer 72525 blt 0,0,640,480,0, 7418,640,480:waittimer 72545 blt 0,0,640,480,0, 7420,640,480:waittimer 72564 blt 0,0,640,480,0, 7422,640,480:waittimer 72584 blt 0,0,640,480,0, 7424,640,480:waittimer 72603 blt 0,0,640,480,0, 7426,640,480:waittimer 72623 blt 0,0,640,480,0, 7428,640,480:waittimer 72642 blt 0,0,640,480,0, 7430,640,480:waittimer 72662 blt 0,0,640,480,0, 7432,640,480:waittimer 72682 blt 0,0,640,480,0, 7434,640,480:waittimer 72701 blt 0,0,640,480,0, 7436,640,480:waittimer 72721 blt 0,0,640,480,0, 7438,640,480:waittimer 72740 blt 0,0,640,480,0, 7440,640,480:waittimer 72760 blt 0,0,640,480,0, 7442,640,480:waittimer 72779 blt 0,0,640,480,0, 7444,640,480:waittimer 72799 blt 0,0,640,480,0, 7446,640,480:waittimer 72819 blt 0,0,640,480,0, 7448,640,480:waittimer 72838 blt 0,0,640,480,0, 7450,640,480:waittimer 72858 blt 0,0,640,480,0, 7452,640,480:waittimer 72877 blt 0,0,640,480,0, 7454,640,480:waittimer 72897 blt 0,0,640,480,0, 7456,640,480:waittimer 72916 blt 0,0,640,480,0, 7458,640,480:waittimer 72936 blt 0,0,640,480,0, 7460,640,480:waittimer 72955 blt 0,0,640,480,0, 7462,640,480:waittimer 72975 blt 0,0,640,480,0, 7464,640,480:waittimer 72995 blt 0,0,640,480,0, 7466,640,480:waittimer 73014 blt 0,0,640,480,0, 7468,640,480:waittimer 73034 blt 0,0,640,480,0, 7470,640,480:waittimer 73053 blt 0,0,640,480,0, 7472,640,480:waittimer 73073 blt 0,0,640,480,0, 7474,640,480:waittimer 73092 blt 0,0,640,480,0, 7476,640,480:waittimer 73112 blt 0,0,640,480,0, 7478,640,480:waittimer 73131 blt 0,0,640,480,0, 7480,640,480:waittimer 73151 blt 0,0,640,480,0, 7482,640,480:waittimer 73171 blt 0,0,640,480,0, 7484,640,480:waittimer 73190 blt 0,0,640,480,0, 7486,640,480:waittimer 73210 blt 0,0,640,480,0, 7488,640,480:waittimer 73229 blt 0,0,640,480,0, 7490,640,480:waittimer 73249 blt 0,0,640,480,0, 7492,640,480:waittimer 73268 blt 0,0,640,480,0, 7494,640,480:waittimer 73288 blt 0,0,640,480,0, 7496,640,480:waittimer 73307 blt 0,0,640,480,0, 7498,640,480:waittimer 73327 blt 0,0,640,480,0, 7500,640,480:waittimer 73347 blt 0,0,640,480,0, 7502,640,480:waittimer 73366 blt 0,0,640,480,0, 7504,640,480:waittimer 73386 blt 0,0,640,480,0, 7506,640,480:waittimer 73405 blt 0,0,640,480,0, 7508,640,480:waittimer 73425 blt 0,0,640,480,0, 7510,640,480:waittimer 73444 blt 0,0,640,480,0, 7512,640,480:waittimer 73464 blt 0,0,640,480,0, 7514,640,480:waittimer 73484 blt 0,0,640,480,0, 7516,640,480:waittimer 73503 blt 0,0,640,480,0, 7518,640,480:waittimer 73523 blt 0,0,640,480,0, 7520,640,480:waittimer 73542 blt 0,0,640,480,0, 7522,640,480:waittimer 73562 blt 0,0,640,480,0, 7524,640,480:waittimer 73581 blt 0,0,640,480,0, 7526,640,480:waittimer 73601 blt 0,0,640,480,0, 7528,640,480:waittimer 73620 blt 0,0,640,480,0, 7530,640,480:waittimer 73640 blt 0,0,640,480,0, 7532,640,480:waittimer 73660 blt 0,0,640,480,0, 7534,640,480:waittimer 73679 blt 0,0,640,480,0, 7536,640,480:waittimer 73699 blt 0,0,640,480,0, 7538,640,480:waittimer 73718 blt 0,0,640,480,0, 7540,640,480:waittimer 73738 blt 0,0,640,480,0, 7542,640,480:waittimer 73757 blt 0,0,640,480,0, 7544,640,480:waittimer 73777 blt 0,0,640,480,0, 7546,640,480:waittimer 73796 blt 0,0,640,480,0, 7548,640,480:waittimer 73816 blt 0,0,640,480,0, 7550,640,480:waittimer 73836 blt 0,0,640,480,0, 7552,640,480:waittimer 73855 blt 0,0,640,480,0, 7554,640,480:waittimer 73875 blt 0,0,640,480,0, 7556,640,480:waittimer 73894 blt 0,0,640,480,0, 7558,640,480:waittimer 73914 blt 0,0,640,480,0, 7560,640,480:waittimer 73933 blt 0,0,640,480,0, 7562,640,480:waittimer 73953 blt 0,0,640,480,0, 7564,640,480:waittimer 73973 blt 0,0,640,480,0, 7566,640,480:waittimer 73992 blt 0,0,640,480,0, 7568,640,480:waittimer 74012 blt 0,0,640,480,0, 7570,640,480:waittimer 74031 blt 0,0,640,480,0, 7572,640,480:waittimer 74051 blt 0,0,640,480,0, 7574,640,480:waittimer 74070 blt 0,0,640,480,0, 7576,640,480:waittimer 74090 blt 0,0,640,480,0, 7578,640,480:waittimer 74109 blt 0,0,640,480,0, 7580,640,480:waittimer 74129 blt 0,0,640,480,0, 7582,640,480:waittimer 74149 blt 0,0,640,480,0, 7584,640,480:waittimer 74168 blt 0,0,640,480,0, 7586,640,480:waittimer 74188 blt 0,0,640,480,0, 7588,640,480:waittimer 74207 blt 0,0,640,480,0, 7590,640,480:waittimer 74227 blt 0,0,640,480,0, 7592,640,480:waittimer 74246 blt 0,0,640,480,0, 7594,640,480:waittimer 74266 blt 0,0,640,480,0, 7596,640,480:waittimer 74285 blt 0,0,640,480,0, 7598,640,480:waittimer 74305 blt 0,0,640,480,0, 7600,640,480:waittimer 74325 blt 0,0,640,480,0, 7602,640,480:waittimer 74344 blt 0,0,640,480,0, 7604,640,480:waittimer 74364 blt 0,0,640,480,0, 7606,640,480:waittimer 74383 blt 0,0,640,480,0, 7608,640,480:waittimer 74403 blt 0,0,640,480,0, 7610,640,480:waittimer 74422 blt 0,0,640,480,0, 7612,640,480:waittimer 74442 blt 0,0,640,480,0, 7614,640,480:waittimer 74461 blt 0,0,640,480,0, 7616,640,480:waittimer 74481 blt 0,0,640,480,0, 7618,640,480:waittimer 74501 blt 0,0,640,480,0, 7620,640,480:waittimer 74520 blt 0,0,640,480,0, 7622,640,480:waittimer 74540 blt 0,0,640,480,0, 7624,640,480:waittimer 74559 blt 0,0,640,480,0, 7626,640,480:waittimer 74579 blt 0,0,640,480,0, 7628,640,480:waittimer 74598 blt 0,0,640,480,0, 7630,640,480:waittimer 74618 blt 0,0,640,480,0, 7632,640,480:waittimer 74638 blt 0,0,640,480,0, 7634,640,480:waittimer 74657 blt 0,0,640,480,0, 7636,640,480:waittimer 74677 blt 0,0,640,480,0, 7638,640,480:waittimer 74696 blt 0,0,640,480,0, 7640,640,480:waittimer 74716 blt 0,0,640,480,0, 7642,640,480:waittimer 74735 blt 0,0,640,480,0, 7644,640,480:waittimer 74755 blt 0,0,640,480,0, 7646,640,480:waittimer 74774 blt 0,0,640,480,0, 7648,640,480:waittimer 74794 blt 0,0,640,480,0, 7650,640,480:waittimer 74814 blt 0,0,640,480,0, 7652,640,480:waittimer 74833 blt 0,0,640,480,0, 7654,640,480:waittimer 74853 blt 0,0,640,480,0, 7656,640,480:waittimer 74872 blt 0,0,640,480,0, 7658,640,480:waittimer 74892 blt 0,0,640,480,0, 7660,640,480:waittimer 74911 blt 0,0,640,480,0, 7662,640,480:waittimer 74931 blt 0,0,640,480,0, 7664,640,480:waittimer 74950 blt 0,0,640,480,0, 7666,640,480:waittimer 74970 blt 0,0,640,480,0, 7668,640,480:waittimer 74990 blt 0,0,640,480,0, 7670,640,480:waittimer 75009 blt 0,0,640,480,0, 7672,640,480:waittimer 75029 blt 0,0,640,480,0, 7674,640,480:waittimer 75048 blt 0,0,640,480,0, 7676,640,480:waittimer 75068 blt 0,0,640,480,0, 7678,640,480:waittimer 75087 blt 0,0,640,480,0, 7680,640,480:waittimer 75107 blt 0,0,640,480,0, 7682,640,480:waittimer 75126 blt 0,0,640,480,0, 7684,640,480:waittimer 75146 blt 0,0,640,480,0, 7686,640,480:waittimer 75166 blt 0,0,640,480,0, 7688,640,480:waittimer 75185 blt 0,0,640,480,0, 7690,640,480:waittimer 75205 blt 0,0,640,480,0, 7692,640,480:waittimer 75224 blt 0,0,640,480,0, 7694,640,480:waittimer 75244 blt 0,0,640,480,0, 7696,640,480:waittimer 75263 blt 0,0,640,480,0, 7698,640,480:waittimer 75283 blt 0,0,640,480,0, 7700,640,480:waittimer 75303 blt 0,0,640,480,0, 7702,640,480:waittimer 75322 blt 0,0,640,480,0, 7704,640,480:waittimer 75342 blt 0,0,640,480,0, 7706,640,480:waittimer 75361 blt 0,0,640,480,0, 7708,640,480:waittimer 75381 blt 0,0,640,480,0, 7710,640,480:waittimer 75400 blt 0,0,640,480,0, 7712,640,480:waittimer 75420 blt 0,0,640,480,0, 7714,640,480:waittimer 75439 blt 0,0,640,480,0, 7716,640,480:waittimer 75459 blt 0,0,640,480,0, 7718,640,480:waittimer 75479 blt 0,0,640,480,0, 7720,640,480:waittimer 75498 blt 0,0,640,480,0, 7722,640,480:waittimer 75518 blt 0,0,640,480,0, 7724,640,480:waittimer 75537 blt 0,0,640,480,0, 7726,640,480:waittimer 75557 blt 0,0,640,480,0, 7728,640,480:waittimer 75576 blt 0,0,640,480,0, 7730,640,480:waittimer 75596 blt 0,0,640,480,0, 7732,640,480:waittimer 75615 blt 0,0,640,480,0, 7734,640,480:waittimer 75635 blt 0,0,640,480,0, 7736,640,480:waittimer 75655 blt 0,0,640,480,0, 7738,640,480:waittimer 75674 blt 0,0,640,480,0, 7740,640,480:waittimer 75694 blt 0,0,640,480,0, 7742,640,480:waittimer 75713 blt 0,0,640,480,0, 7744,640,480:waittimer 75733 blt 0,0,640,480,0, 7746,640,480:waittimer 75752 blt 0,0,640,480,0, 7748,640,480:waittimer 75772 blt 0,0,640,480,0, 7750,640,480:waittimer 75792 blt 0,0,640,480,0, 7752,640,480:waittimer 75811 blt 0,0,640,480,0, 7754,640,480:waittimer 75831 blt 0,0,640,480,0, 7756,640,480:waittimer 75850 blt 0,0,640,480,0, 7758,640,480:waittimer 75870 blt 0,0,640,480,0, 7760,640,480:waittimer 75889 blt 0,0,640,480,0, 7762,640,480:waittimer 75909 blt 0,0,640,480,0, 7764,640,480:waittimer 75928 blt 0,0,640,480,0, 7766,640,480:waittimer 75948 blt 0,0,640,480,0, 7768,640,480:waittimer 75968 blt 0,0,640,480,0, 7770,640,480:waittimer 75987 blt 0,0,640,480,0, 7772,640,480:waittimer 76007 blt 0,0,640,480,0, 7774,640,480:waittimer 76026 blt 0,0,640,480,0, 7776,640,480:waittimer 76046 blt 0,0,640,480,0, 7778,640,480:waittimer 76065 blt 0,0,640,480,0, 7780,640,480:waittimer 76085 blt 0,0,640,480,0, 7782,640,480:waittimer 76104 blt 0,0,640,480,0, 7784,640,480:waittimer 76124 blt 0,0,640,480,0, 7786,640,480:waittimer 76144 blt 0,0,640,480,0, 7788,640,480:waittimer 76163 blt 0,0,640,480,0, 7790,640,480:waittimer 76183 blt 0,0,640,480,0, 7792,640,480:waittimer 76202 blt 0,0,640,480,0, 7794,640,480:waittimer 76222 blt 0,0,640,480,0, 7796,640,480:waittimer 76241 blt 0,0,640,480,0, 7798,640,480:waittimer 76261 blt 0,0,640,480,0, 7800,640,480:waittimer 76280 blt 0,0,640,480,0, 7802,640,480:waittimer 76300 blt 0,0,640,480,0, 7804,640,480:waittimer 76320 blt 0,0,640,480,0, 7806,640,480:waittimer 76339 blt 0,0,640,480,0, 7808,640,480:waittimer 76359 blt 0,0,640,480,0, 7810,640,480:waittimer 76378 blt 0,0,640,480,0, 7812,640,480:waittimer 76398 blt 0,0,640,480,0, 7814,640,480:waittimer 76417 blt 0,0,640,480,0, 7816,640,480:waittimer 76437 blt 0,0,640,480,0, 7818,640,480:waittimer 76457 blt 0,0,640,480,0, 7820,640,480:waittimer 76476 blt 0,0,640,480,0, 7822,640,480:waittimer 76496 blt 0,0,640,480,0, 7824,640,480:waittimer 76515 blt 0,0,640,480,0, 7826,640,480:waittimer 76535 blt 0,0,640,480,0, 7828,640,480:waittimer 76554 blt 0,0,640,480,0, 7830,640,480:waittimer 76574 blt 0,0,640,480,0, 7832,640,480:waittimer 76593 blt 0,0,640,480,0, 7834,640,480:waittimer 76613 blt 0,0,640,480,0, 7836,640,480:waittimer 76633 blt 0,0,640,480,0, 7838,640,480:waittimer 76652 blt 0,0,640,480,0, 7840,640,480:waittimer 76672 blt 0,0,640,480,0, 7842,640,480:waittimer 76691 blt 0,0,640,480,0, 7844,640,480:waittimer 76711 blt 0,0,640,480,0, 7846,640,480:waittimer 76730 blt 0,0,640,480,0, 7848,640,480:waittimer 76750 blt 0,0,640,480,0, 7850,640,480:waittimer 76769 blt 0,0,640,480,0, 7852,640,480:waittimer 76789 blt 0,0,640,480,0, 7854,640,480:waittimer 76809 blt 0,0,640,480,0, 7856,640,480:waittimer 76828 blt 0,0,640,480,0, 7858,640,480:waittimer 76848 blt 0,0,640,480,0, 7860,640,480:waittimer 76867 blt 0,0,640,480,0, 7862,640,480:waittimer 76887 blt 0,0,640,480,0, 7864,640,480:waittimer 76906 blt 0,0,640,480,0, 7866,640,480:waittimer 76926 blt 0,0,640,480,0, 7868,640,480:waittimer 76946 blt 0,0,640,480,0, 7870,640,480:waittimer 76965 blt 0,0,640,480,0, 7872,640,480:waittimer 76985 blt 0,0,640,480,0, 7874,640,480:waittimer 77004 blt 0,0,640,480,0, 7876,640,480:waittimer 77024 blt 0,0,640,480,0, 7878,640,480:waittimer 77043 blt 0,0,640,480,0, 7880,640,480:waittimer 77063 blt 0,0,640,480,0, 7882,640,480:waittimer 77082 blt 0,0,640,480,0, 7884,640,480:waittimer 77102 blt 0,0,640,480,0, 7886,640,480:waittimer 77122 blt 0,0,640,480,0, 7888,640,480:waittimer 77141 blt 0,0,640,480,0, 7890,640,480:waittimer 77161 blt 0,0,640,480,0, 7892,640,480:waittimer 77180 blt 0,0,640,480,0, 7894,640,480:waittimer 77200 blt 0,0,640,480,0, 7896,640,480:waittimer 77219 blt 0,0,640,480,0, 7898,640,480:waittimer 77239 blt 0,0,640,480,0, 7900,640,480:waittimer 77258 blt 0,0,640,480,0, 7902,640,480:waittimer 77278 blt 0,0,640,480,0, 7904,640,480:waittimer 77298 blt 0,0,640,480,0, 7906,640,480:waittimer 77317 blt 0,0,640,480,0, 7908,640,480:waittimer 77337 blt 0,0,640,480,0, 7910,640,480:waittimer 77356 blt 0,0,640,480,0, 7912,640,480:waittimer 77376 blt 0,0,640,480,0, 7914,640,480:waittimer 77395 blt 0,0,640,480,0, 7916,640,480:waittimer 77415 blt 0,0,640,480,0, 7918,640,480:waittimer 77434 blt 0,0,640,480,0, 7920,640,480:waittimer 77454 blt 0,0,640,480,0, 7922,640,480:waittimer 77474 blt 0,0,640,480,0, 7924,640,480:waittimer 77493 blt 0,0,640,480,0, 7926,640,480:waittimer 77513 blt 0,0,640,480,0, 7928,640,480:waittimer 77532 blt 0,0,640,480,0, 7930,640,480:waittimer 77552 blt 0,0,640,480,0, 7932,640,480:waittimer 77571 blt 0,0,640,480,0, 7934,640,480:waittimer 77591 blt 0,0,640,480,0, 7936,640,480:waittimer 77611 blt 0,0,640,480,0, 7938,640,480:waittimer 77630 blt 0,0,640,480,0, 7940,640,480:waittimer 77650 blt 0,0,640,480,0, 7942,640,480:waittimer 77669 blt 0,0,640,480,0, 7944,640,480:waittimer 77689 blt 0,0,640,480,0, 7946,640,480:waittimer 77708 blt 0,0,640,480,0, 7948,640,480:waittimer 77728 blt 0,0,640,480,0, 7950,640,480:waittimer 77747 blt 0,0,640,480,0, 7952,640,480:waittimer 77767 blt 0,0,640,480,0, 7954,640,480:waittimer 77787 blt 0,0,640,480,0, 7956,640,480:waittimer 77806 blt 0,0,640,480,0, 7958,640,480:waittimer 77826 blt 0,0,640,480,0, 7960,640,480:waittimer 77845 blt 0,0,640,480,0, 7962,640,480:waittimer 77865 blt 0,0,640,480,0, 7964,640,480:waittimer 77884 blt 0,0,640,480,0, 7966,640,480:waittimer 77904 blt 0,0,640,480,0, 7968,640,480:waittimer 77923 blt 0,0,640,480,0, 7970,640,480:waittimer 77943 blt 0,0,640,480,0, 7972,640,480:waittimer 77963 blt 0,0,640,480,0, 7974,640,480:waittimer 77982 blt 0,0,640,480,0, 7976,640,480:waittimer 78002 blt 0,0,640,480,0, 7978,640,480:waittimer 78021 blt 0,0,640,480,0, 7980,640,480:waittimer 78041 blt 0,0,640,480,0, 7982,640,480:waittimer 78060 blt 0,0,640,480,0, 7984,640,480:waittimer 78080 blt 0,0,640,480,0, 7986,640,480:waittimer 78100 blt 0,0,640,480,0, 7988,640,480:waittimer 78119 blt 0,0,640,480,0, 7990,640,480:waittimer 78139 blt 0,0,640,480,0, 7992,640,480:waittimer 78158 blt 0,0,640,480,0, 7994,640,480:waittimer 78178 blt 0,0,640,480,0, 7996,640,480:waittimer 78197 blt 0,0,640,480,0, 7998,640,480:waittimer 78217 blt 0,0,640,480,0, 8000,640,480:waittimer 78236 blt 0,0,640,480,0, 8002,640,480:waittimer 78256 blt 0,0,640,480,0, 8004,640,480:waittimer 78276 blt 0,0,640,480,0, 8006,640,480:waittimer 78295 blt 0,0,640,480,0, 8008,640,480:waittimer 78315 blt 0,0,640,480,0, 8010,640,480:waittimer 78334 blt 0,0,640,480,0, 8012,640,480:waittimer 78354 blt 0,0,640,480,0, 8014,640,480:waittimer 78373 blt 0,0,640,480,0, 8016,640,480:waittimer 78393 blt 0,0,640,480,0, 8018,640,480:waittimer 78412 blt 0,0,640,480,0, 8020,640,480:waittimer 78432 blt 0,0,640,480,0, 8022,640,480:waittimer 78452 blt 0,0,640,480,0, 8024,640,480:waittimer 78471 blt 0,0,640,480,0, 8026,640,480:waittimer 78491 blt 0,0,640,480,0, 8028,640,480:waittimer 78510 blt 0,0,640,480,0, 8030,640,480:waittimer 78530 blt 0,0,640,480,0, 8032,640,480:waittimer 78549 blt 0,0,640,480,0, 8034,640,480:waittimer 78569 blt 0,0,640,480,0, 8036,640,480:waittimer 78588 blt 0,0,640,480,0, 8038,640,480:waittimer 78608 blt 0,0,640,480,0, 8040,640,480:waittimer 78628 blt 0,0,640,480,0, 8042,640,480:waittimer 78647 blt 0,0,640,480,0, 8044,640,480:waittimer 78667 blt 0,0,640,480,0, 8046,640,480:waittimer 78686 blt 0,0,640,480,0, 8048,640,480:waittimer 78706 blt 0,0,640,480,0, 8050,640,480:waittimer 78725 blt 0,0,640,480,0, 8052,640,480:waittimer 78745 blt 0,0,640,480,0, 8054,640,480:waittimer 78765 blt 0,0,640,480,0, 8056,640,480:waittimer 78784 blt 0,0,640,480,0, 8058,640,480:waittimer 78804 blt 0,0,640,480,0, 8060,640,480:waittimer 78823 blt 0,0,640,480,0, 8062,640,480:waittimer 78843 blt 0,0,640,480,0, 8064,640,480:waittimer 78862 blt 0,0,640,480,0, 8066,640,480:waittimer 78882 blt 0,0,640,480,0, 8068,640,480:waittimer 78901 blt 0,0,640,480,0, 8070,640,480:waittimer 78921 blt 0,0,640,480,0, 8072,640,480:waittimer 78941 blt 0,0,640,480,0, 8074,640,480:waittimer 78960 blt 0,0,640,480,0, 8076,640,480:waittimer 78980 blt 0,0,640,480,0, 8078,640,480:waittimer 78999 blt 0,0,640,480,0, 8080,640,480:waittimer 79019 blt 0,0,640,480,0, 8082,640,480:waittimer 79038 blt 0,0,640,480,0, 8084,640,480:waittimer 79058 blt 0,0,640,480,0, 8086,640,480:waittimer 79077 blt 0,0,640,480,0, 8088,640,480:waittimer 79097 blt 0,0,640,480,0, 8090,640,480:waittimer 79117 blt 0,0,640,480,0, 8092,640,480:waittimer 79136 blt 0,0,640,480,0, 8094,640,480:waittimer 79156 blt 0,0,640,480,0, 8096,640,480:waittimer 79175 blt 0,0,640,480,0, 8098,640,480:waittimer 79195 blt 0,0,640,480,0, 8100,640,480:waittimer 79214 blt 0,0,640,480,0, 8102,640,480:waittimer 79234 blt 0,0,640,480,0, 8104,640,480:waittimer 79253 blt 0,0,640,480,0, 8106,640,480:waittimer 79273 blt 0,0,640,480,0, 8108,640,480:waittimer 79293 blt 0,0,640,480,0, 8110,640,480:waittimer 79312 blt 0,0,640,480,0, 8112,640,480:waittimer 79332 blt 0,0,640,480,0, 8114,640,480:waittimer 79351 blt 0,0,640,480,0, 8116,640,480:waittimer 79371 blt 0,0,640,480,0, 8118,640,480:waittimer 79390 blt 0,0,640,480,0, 8120,640,480:waittimer 79410 blt 0,0,640,480,0, 8122,640,480:waittimer 79430 blt 0,0,640,480,0, 8124,640,480:waittimer 79449 blt 0,0,640,480,0, 8126,640,480:waittimer 79469 blt 0,0,640,480,0, 8128,640,480:waittimer 79488 blt 0,0,640,480,0, 8130,640,480:waittimer 79508 blt 0,0,640,480,0, 8132,640,480:waittimer 79527 blt 0,0,640,480,0, 8134,640,480:waittimer 79547 blt 0,0,640,480,0, 8136,640,480:waittimer 79566 blt 0,0,640,480,0, 8138,640,480:waittimer 79586 blt 0,0,640,480,0, 8140,640,480:waittimer 79606 blt 0,0,640,480,0, 8142,640,480:waittimer 79625 blt 0,0,640,480,0, 8144,640,480:waittimer 79645 blt 0,0,640,480,0, 8146,640,480:waittimer 79664 blt 0,0,640,480,0, 8148,640,480:waittimer 79684 blt 0,0,640,480,0, 8150,640,480:waittimer 79703 blt 0,0,640,480,0, 8152,640,480:waittimer 79723 blt 0,0,640,480,0, 8154,640,480:waittimer 79742 blt 0,0,640,480,0, 8156,640,480:waittimer 79762 blt 0,0,640,480,0, 8158,640,480:waittimer 79782 blt 0,0,640,480,0, 8160,640,480:waittimer 79801 blt 0,0,640,480,0, 8162,640,480:waittimer 79821 blt 0,0,640,480,0, 8164,640,480:waittimer 79840 blt 0,0,640,480,0, 8166,640,480:waittimer 79860 blt 0,0,640,480,0, 8168,640,480:waittimer 79879 blt 0,0,640,480,0, 8170,640,480:waittimer 79899 blt 0,0,640,480,0, 8172,640,480:waittimer 79919 blt 0,0,640,480,0, 8174,640,480:waittimer 79938 blt 0,0,640,480,0, 8176,640,480:waittimer 79958 blt 0,0,640,480,0, 8178,640,480:waittimer 79977 blt 0,0,640,480,0, 8180,640,480:waittimer 79997 blt 0,0,640,480,0, 8182,640,480:waittimer 80016 blt 0,0,640,480,0, 8184,640,480:waittimer 80036 blt 0,0,640,480,0, 8186,640,480:waittimer 80055 blt 0,0,640,480,0, 8188,640,480:waittimer 80075 blt 0,0,640,480,0, 8190,640,480:waittimer 80095 blt 0,0,640,480,0, 8192,640,480:waittimer 80114 blt 0,0,640,480,0, 8194,640,480:waittimer 80134 blt 0,0,640,480,0, 8196,640,480:waittimer 80153 blt 0,0,640,480,0, 8198,640,480:waittimer 80173 blt 0,0,640,480,0, 8200,640,480:waittimer 80192 blt 0,0,640,480,0, 8202,640,480:waittimer 80212 blt 0,0,640,480,0, 8204,640,480:waittimer 80231 blt 0,0,640,480,0, 8206,640,480:waittimer 80251 blt 0,0,640,480,0, 8208,640,480:waittimer 80271 blt 0,0,640,480,0, 8210,640,480:waittimer 80290 blt 0,0,640,480,0, 8212,640,480:waittimer 80310 blt 0,0,640,480,0, 8214,640,480:waittimer 80329 blt 0,0,640,480,0, 8216,640,480:waittimer 80349 blt 0,0,640,480,0, 8218,640,480:waittimer 80368 blt 0,0,640,480,0, 8220,640,480:waittimer 80388 blt 0,0,640,480,0, 8222,640,480:waittimer 80407 blt 0,0,640,480,0, 8224,640,480:waittimer 80427 blt 0,0,640,480,0, 8226,640,480:waittimer 80447 blt 0,0,640,480,0, 8228,640,480:waittimer 80466 blt 0,0,640,480,0, 8230,640,480:waittimer 80486 blt 0,0,640,480,0, 8232,640,480:waittimer 80505 blt 0,0,640,480,0, 8234,640,480:waittimer 80525 blt 0,0,640,480,0, 8236,640,480:waittimer 80544 blt 0,0,640,480,0, 8238,640,480:waittimer 80564 blt 0,0,640,480,0, 8240,640,480:waittimer 80584 blt 0,0,640,480,0, 8242,640,480:waittimer 80603 blt 0,0,640,480,0, 8244,640,480:waittimer 80623 blt 0,0,640,480,0, 8246,640,480:waittimer 80642 blt 0,0,640,480,0, 8248,640,480:waittimer 80662 blt 0,0,640,480,0, 8250,640,480:waittimer 80681 blt 0,0,640,480,0, 8252,640,480:waittimer 80701 blt 0,0,640,480,0, 8254,640,480:waittimer 80720 blt 0,0,640,480,0, 8256,640,480:waittimer 80740 blt 0,0,640,480,0, 8258,640,480:waittimer 80760 blt 0,0,640,480,0, 8260,640,480:waittimer 80779 blt 0,0,640,480,0, 8262,640,480:waittimer 80799 blt 0,0,640,480,0, 8264,640,480:waittimer 80818 blt 0,0,640,480,0, 8266,640,480:waittimer 80838 blt 0,0,640,480,0, 8268,640,480:waittimer 80857 blt 0,0,640,480,0, 8270,640,480:waittimer 80877 blt 0,0,640,480,0, 8272,640,480:waittimer 80896 blt 0,0,640,480,0, 8274,640,480:waittimer 80916 blt 0,0,640,480,0, 8276,640,480:waittimer 80936 blt 0,0,640,480,0, 8278,640,480:waittimer 80955 blt 0,0,640,480,0, 8280,640,480:waittimer 80975 blt 0,0,640,480,0, 8282,640,480:waittimer 80994 blt 0,0,640,480,0, 8284,640,480:waittimer 81014 blt 0,0,640,480,0, 8286,640,480:waittimer 81033 blt 0,0,640,480,0, 8288,640,480:waittimer 81053 blt 0,0,640,480,0, 8290,640,480:waittimer 81073 blt 0,0,640,480,0, 8292,640,480:waittimer 81092 blt 0,0,640,480,0, 8294,640,480:waittimer 81112 blt 0,0,640,480,0, 8296,640,480:waittimer 81131 blt 0,0,640,480,0, 8298,640,480:waittimer 81151 blt 0,0,640,480,0, 8300,640,480:waittimer 81170 blt 0,0,640,480,0, 8302,640,480:waittimer 81190 blt 0,0,640,480,0, 8304,640,480:waittimer 81209 blt 0,0,640,480,0, 8306,640,480:waittimer 81229 blt 0,0,640,480,0, 8308,640,480:waittimer 81249 blt 0,0,640,480,0, 8310,640,480:waittimer 81268 blt 0,0,640,480,0, 8312,640,480:waittimer 81288 blt 0,0,640,480,0, 8314,640,480:waittimer 81307 blt 0,0,640,480,0, 8316,640,480:waittimer 81327 blt 0,0,640,480,0, 8318,640,480:waittimer 81346 blt 0,0,640,480,0, 8320,640,480:waittimer 81366 blt 0,0,640,480,0, 8322,640,480:waittimer 81385 blt 0,0,640,480,0, 8324,640,480:waittimer 81405 blt 0,0,640,480,0, 8326,640,480:waittimer 81425 blt 0,0,640,480,0, 8328,640,480:waittimer 81444 blt 0,0,640,480,0, 8330,640,480:waittimer 81464 blt 0,0,640,480,0, 8332,640,480:waittimer 81483 blt 0,0,640,480,0, 8334,640,480:waittimer 81503 blt 0,0,640,480,0, 8336,640,480:waittimer 81522 blt 0,0,640,480,0, 8338,640,480:waittimer 81542 blt 0,0,640,480,0, 8340,640,480:waittimer 81561 blt 0,0,640,480,0, 8342,640,480:waittimer 81581 blt 0,0,640,480,0, 8344,640,480:waittimer 81601 blt 0,0,640,480,0, 8346,640,480:waittimer 81620 blt 0,0,640,480,0, 8348,640,480:waittimer 81640 blt 0,0,640,480,0, 8350,640,480:waittimer 81659 blt 0,0,640,480,0, 8352,640,480:waittimer 81679 blt 0,0,640,480,0, 8354,640,480:waittimer 81698 blt 0,0,640,480,0, 8356,640,480:waittimer 81718 blt 0,0,640,480,0, 8358,640,480:waittimer 81738 blt 0,0,640,480,0, 8360,640,480:waittimer 81757 blt 0,0,640,480,0, 8362,640,480:waittimer 81777 blt 0,0,640,480,0, 8364,640,480:waittimer 81796 blt 0,0,640,480,0, 8366,640,480:waittimer 81816 blt 0,0,640,480,0, 8368,640,480:waittimer 81835 blt 0,0,640,480,0, 8370,640,480:waittimer 81855 blt 0,0,640,480,0, 8372,640,480:waittimer 81874 blt 0,0,640,480,0, 8374,640,480:waittimer 81894 blt 0,0,640,480,0, 8376,640,480:waittimer 81914 blt 0,0,640,480,0, 8378,640,480:waittimer 81933 blt 0,0,640,480,0, 8380,640,480:waittimer 81953 blt 0,0,640,480,0, 8382,640,480:waittimer 81972 blt 0,0,640,480,0, 8384,640,480:waittimer 81992 blt 0,0,640,480,0, 8386,640,480:waittimer 82011 blt 0,0,640,480,0, 8388,640,480:waittimer 82031 blt 0,0,640,480,0, 8390,640,480:waittimer 82050 blt 0,0,640,480,0, 8392,640,480:waittimer 82070 blt 0,0,640,480,0, 8394,640,480:waittimer 82090 blt 0,0,640,480,0, 8396,640,480:waittimer 82109 blt 0,0,640,480,0, 8398,640,480:waittimer 82129 blt 0,0,640,480,0, 8400,640,480:waittimer 82148 blt 0,0,640,480,0, 8402,640,480:waittimer 82168 blt 0,0,640,480,0, 8404,640,480:waittimer 82187 blt 0,0,640,480,0, 8406,640,480:waittimer 82207 blt 0,0,640,480,0, 8408,640,480:waittimer 82226 blt 0,0,640,480,0, 8410,640,480:waittimer 82246 blt 0,0,640,480,0, 8412,640,480:waittimer 82266 blt 0,0,640,480,0, 8414,640,480:waittimer 82285 blt 0,0,640,480,0, 8416,640,480:waittimer 82305 blt 0,0,640,480,0, 8418,640,480:waittimer 82324 blt 0,0,640,480,0, 8420,640,480:waittimer 82344 blt 0,0,640,480,0, 8422,640,480:waittimer 82363 blt 0,0,640,480,0, 8424,640,480:waittimer 82383 blt 0,0,640,480,0, 8426,640,480:waittimer 82403 blt 0,0,640,480,0, 8428,640,480:waittimer 82422 blt 0,0,640,480,0, 8430,640,480:waittimer 82442 blt 0,0,640,480,0, 8432,640,480:waittimer 82461 blt 0,0,640,480,0, 8434,640,480:waittimer 82481 blt 0,0,640,480,0, 8436,640,480:waittimer 82500 blt 0,0,640,480,0, 8438,640,480:waittimer 82520 blt 0,0,640,480,0, 8440,640,480:waittimer 82539 blt 0,0,640,480,0, 8442,640,480:waittimer 82559 blt 0,0,640,480,0, 8444,640,480:waittimer 82579 blt 0,0,640,480,0, 8446,640,480:waittimer 82598 blt 0,0,640,480,0, 8448,640,480:waittimer 82618 blt 0,0,640,480,0, 8450,640,480:waittimer 82637 blt 0,0,640,480,0, 8452,640,480:waittimer 82657 blt 0,0,640,480,0, 8454,640,480:waittimer 82676 blt 0,0,640,480,0, 8456,640,480:waittimer 82696 blt 0,0,640,480,0, 8458,640,480:waittimer 82715 blt 0,0,640,480,0, 8460,640,480:waittimer 82735 blt 0,0,640,480,0, 8462,640,480:waittimer 82755 blt 0,0,640,480,0, 8464,640,480:waittimer 82774 blt 0,0,640,480,0, 8466,640,480:waittimer 82794 blt 0,0,640,480,0, 8468,640,480:waittimer 82813 blt 0,0,640,480,0, 8470,640,480:waittimer 82833 blt 0,0,640,480,0, 8472,640,480:waittimer 82852 blt 0,0,640,480,0, 8474,640,480:waittimer 82872 blt 0,0,640,480,0, 8476,640,480:waittimer 82892 blt 0,0,640,480,0, 8478,640,480:waittimer 82911 blt 0,0,640,480,0, 8480,640,480:waittimer 82931 blt 0,0,640,480,0, 8482,640,480:waittimer 82950 blt 0,0,640,480,0, 8484,640,480:waittimer 82970 blt 0,0,640,480,0, 8486,640,480:waittimer 82989 blt 0,0,640,480,0, 8488,640,480:waittimer 83009 blt 0,0,640,480,0, 8490,640,480:waittimer 83028 blt 0,0,640,480,0, 8492,640,480:waittimer 83048 blt 0,0,640,480,0, 8494,640,480:waittimer 83068 blt 0,0,640,480,0, 8496,640,480:waittimer 83087 blt 0,0,640,480,0, 8498,640,480:waittimer 83107 blt 0,0,640,480,0, 8500,640,480:waittimer 83126 blt 0,0,640,480,0, 8502,640,480:waittimer 83146 blt 0,0,640,480,0, 8504,640,480:waittimer 83165 blt 0,0,640,480,0, 8506,640,480:waittimer 83185 blt 0,0,640,480,0, 8508,640,480:waittimer 83204 blt 0,0,640,480,0, 8510,640,480:waittimer 83224 blt 0,0,640,480,0, 8512,640,480:waittimer 83244 blt 0,0,640,480,0, 8514,640,480:waittimer 83263 blt 0,0,640,480,0, 8516,640,480:waittimer 83283 blt 0,0,640,480,0, 8518,640,480:waittimer 83302 blt 0,0,640,480,0, 8520,640,480:waittimer 83322 blt 0,0,640,480,0, 8522,640,480:waittimer 83341 blt 0,0,640,480,0, 8524,640,480:waittimer 83361 blt 0,0,640,480,0, 8526,640,480:waittimer 83380 blt 0,0,640,480,0, 8528,640,480:waittimer 83400 blt 0,0,640,480,0, 8530,640,480:waittimer 83420 blt 0,0,640,480,0, 8532,640,480:waittimer 83439 blt 0,0,640,480,0, 8534,640,480:waittimer 83459 blt 0,0,640,480,0, 8536,640,480:waittimer 83478 blt 0,0,640,480,0, 8538,640,480:waittimer 83498 blt 0,0,640,480,0, 8540,640,480:waittimer 83517 blt 0,0,640,480,0, 8542,640,480:waittimer 83537 blt 0,0,640,480,0, 8544,640,480:waittimer 83557 blt 0,0,640,480,0, 8546,640,480:waittimer 83576 blt 0,0,640,480,0, 8548,640,480:waittimer 83596 blt 0,0,640,480,0, 8550,640,480:waittimer 83615 blt 0,0,640,480,0, 8552,640,480:waittimer 83635 blt 0,0,640,480,0, 8554,640,480:waittimer 83654 blt 0,0,640,480,0, 8556,640,480:waittimer 83674 blt 0,0,640,480,0, 8558,640,480:waittimer 83693 blt 0,0,640,480,0, 8560,640,480:waittimer 83713 blt 0,0,640,480,0, 8562,640,480:waittimer 83733 blt 0,0,640,480,0, 8564,640,480:waittimer 83752 blt 0,0,640,480,0, 8566,640,480:waittimer 83772 blt 0,0,640,480,0, 8568,640,480:waittimer 83791 blt 0,0,640,480,0, 8570,640,480:waittimer 83811 blt 0,0,640,480,0, 8572,640,480:waittimer 83830 blt 0,0,640,480,0, 8574,640,480:waittimer 83850 blt 0,0,640,480,0, 8576,640,480:waittimer 83869 blt 0,0,640,480,0, 8578,640,480:waittimer 83889 blt 0,0,640,480,0, 8580,640,480:waittimer 83909 blt 0,0,640,480,0, 8582,640,480:waittimer 83928 blt 0,0,640,480,0, 8584,640,480:waittimer 83948 blt 0,0,640,480,0, 8586,640,480:waittimer 83967 blt 0,0,640,480,0, 8588,640,480:waittimer 83987 blt 0,0,640,480,0, 8590,640,480:waittimer 84006 blt 0,0,640,480,0, 8592,640,480:waittimer 84026 blt 0,0,640,480,0, 8594,640,480:waittimer 84046 blt 0,0,640,480,0, 8596,640,480:waittimer 84065 blt 0,0,640,480,0, 8598,640,480:waittimer 84085 blt 0,0,640,480,0, 8600,640,480:waittimer 84104 blt 0,0,640,480,0, 8602,640,480:waittimer 84124 blt 0,0,640,480,0, 8604,640,480:waittimer 84143 blt 0,0,640,480,0, 8606,640,480:waittimer 84163 blt 0,0,640,480,0, 8608,640,480:waittimer 84182 blt 0,0,640,480,0, 8610,640,480:waittimer 84202 blt 0,0,640,480,0, 8612,640,480:waittimer 84222 blt 0,0,640,480,0, 8614,640,480:waittimer 84241 blt 0,0,640,480,0, 8616,640,480:waittimer 84261 blt 0,0,640,480,0, 8618,640,480:waittimer 84280 blt 0,0,640,480,0, 8620,640,480:waittimer 84300 blt 0,0,640,480,0, 8622,640,480:waittimer 84319 blt 0,0,640,480,0, 8624,640,480:waittimer 84339 blt 0,0,640,480,0, 8626,640,480:waittimer 84358 blt 0,0,640,480,0, 8628,640,480:waittimer 84378 blt 0,0,640,480,0, 8630,640,480:waittimer 84398 blt 0,0,640,480,0, 8632,640,480:waittimer 84417 blt 0,0,640,480,0, 8634,640,480:waittimer 84437 blt 0,0,640,480,0, 8636,640,480:waittimer 84456 blt 0,0,640,480,0, 8638,640,480:waittimer 84476 blt 0,0,640,480,0, 8640,640,480:waittimer 84495 blt 0,0,640,480,0, 8642,640,480:waittimer 84515 blt 0,0,640,480,0, 8644,640,480:waittimer 84534 blt 0,0,640,480,0, 8646,640,480:waittimer 84554 blt 0,0,640,480,0, 8648,640,480:waittimer 84574 blt 0,0,640,480,0, 8650,640,480:waittimer 84593 blt 0,0,640,480,0, 8652,640,480:waittimer 84613 blt 0,0,640,480,0, 8654,640,480:waittimer 84632 blt 0,0,640,480,0, 8656,640,480:waittimer 84652 blt 0,0,640,480,0, 8658,640,480:waittimer 84671 blt 0,0,640,480,0, 8660,640,480:waittimer 84691 blt 0,0,640,480,0, 8662,640,480:waittimer 84711 blt 0,0,640,480,0, 8664,640,480:waittimer 84730 blt 0,0,640,480,0, 8666,640,480:waittimer 84750 blt 0,0,640,480,0, 8668,640,480:waittimer 84769 blt 0,0,640,480,0, 8670,640,480:waittimer 84789 blt 0,0,640,480,0, 8672,640,480:waittimer 84808 blt 0,0,640,480,0, 8674,640,480:waittimer 84828 blt 0,0,640,480,0, 8676,640,480:waittimer 84847 blt 0,0,640,480,0, 8678,640,480:waittimer 84867 blt 0,0,640,480,0, 8680,640,480:waittimer 84887 blt 0,0,640,480,0, 8682,640,480:waittimer 84906 blt 0,0,640,480,0, 8684,640,480:waittimer 84926 blt 0,0,640,480,0, 8686,640,480:waittimer 84945 blt 0,0,640,480,0, 8688,640,480:waittimer 84965 blt 0,0,640,480,0, 8690,640,480:waittimer 84984 blt 0,0,640,480,0, 8692,640,480:waittimer 85004 blt 0,0,640,480,0, 8694,640,480:waittimer 85023 blt 0,0,640,480,0, 8696,640,480:waittimer 85043 blt 0,0,640,480,0, 8698,640,480:waittimer 85063 blt 0,0,640,480,0, 8700,640,480:waittimer 85082 blt 0,0,640,480,0, 8702,640,480:waittimer 85102 blt 0,0,640,480,0, 8704,640,480:waittimer 85121 blt 0,0,640,480,0, 8706,640,480:waittimer 85141 blt 0,0,640,480,0, 8708,640,480:waittimer 85160 blt 0,0,640,480,0, 8710,640,480:waittimer 85180 blt 0,0,640,480,0, 8712,640,480:waittimer 85200 blt 0,0,640,480,0, 8714,640,480:waittimer 85219 blt 0,0,640,480,0, 8716,640,480:waittimer 85239 blt 0,0,640,480,0, 8718,640,480:waittimer 85258 blt 0,0,640,480,0, 8720,640,480:waittimer 85278 blt 0,0,640,480,0, 8722,640,480:waittimer 85297 blt 0,0,640,480,0, 8724,640,480:waittimer 85317 blt 0,0,640,480,0, 8726,640,480:waittimer 85336 blt 0,0,640,480,0, 8728,640,480:waittimer 85356 blt 0,0,640,480,0, 8730,640,480:waittimer 85376 blt 0,0,640,480,0, 8732,640,480:waittimer 85395 blt 0,0,640,480,0, 8734,640,480:waittimer 85415 blt 0,0,640,480,0, 8736,640,480:waittimer 85434 blt 0,0,640,480,0, 8738,640,480:waittimer 85454 blt 0,0,640,480,0, 8740,640,480:waittimer 85473 blt 0,0,640,480,0, 8742,640,480:waittimer 85493 blt 0,0,640,480,0, 8744,640,480:waittimer 85512 blt 0,0,640,480,0, 8746,640,480:waittimer 85532 blt 0,0,640,480,0, 8748,640,480:waittimer 85552 blt 0,0,640,480,0, 8750,640,480:waittimer 85571 blt 0,0,640,480,0, 8752,640,480:waittimer 85591 blt 0,0,640,480,0, 8754,640,480:waittimer 85610 blt 0,0,640,480,0, 8756,640,480:waittimer 85630 blt 0,0,640,480,0, 8758,640,480:waittimer 85649 blt 0,0,640,480,0, 8760,640,480:waittimer 85669 blt 0,0,640,480,0, 8762,640,480:waittimer 85688 blt 0,0,640,480,0, 8764,640,480:waittimer 85708 blt 0,0,640,480,0, 8766,640,480:waittimer 85728 blt 0,0,640,480,0, 8768,640,480:waittimer 85747 blt 0,0,640,480,0, 8770,640,480:waittimer 85767 blt 0,0,640,480,0, 8772,640,480:waittimer 85786 blt 0,0,640,480,0, 8774,640,480:waittimer 85806 blt 0,0,640,480,0, 8776,640,480:waittimer 85825 blt 0,0,640,480,0, 8778,640,480:waittimer 85845 blt 0,0,640,480,0, 8780,640,480:waittimer 85865 blt 0,0,640,480,0, 8782,640,480:waittimer 85884 blt 0,0,640,480,0, 8784,640,480:waittimer 85904 blt 0,0,640,480,0, 8786,640,480:waittimer 85923 blt 0,0,640,480,0, 8788,640,480:waittimer 85943 blt 0,0,640,480,0, 8790,640,480:waittimer 85962 blt 0,0,640,480,0, 8792,640,480:waittimer 85982 blt 0,0,640,480,0, 8794,640,480:waittimer 86001 blt 0,0,640,480,0, 8796,640,480:waittimer 86021 blt 0,0,640,480,0, 8798,640,480:waittimer 86041 blt 0,0,640,480,0, 8800,640,480:waittimer 86060 blt 0,0,640,480,0, 8802,640,480:waittimer 86080 blt 0,0,640,480,0, 8804,640,480:waittimer 86099 blt 0,0,640,480,0, 8806,640,480:waittimer 86119 blt 0,0,640,480,0, 8808,640,480:waittimer 86138 blt 0,0,640,480,0, 8810,640,480:waittimer 86158 blt 0,0,640,480,0, 8812,640,480:waittimer 86177 blt 0,0,640,480,0, 8814,640,480:waittimer 86197 blt 0,0,640,480,0, 8816,640,480:waittimer 86217 blt 0,0,640,480,0, 8818,640,480:waittimer 86236 blt 0,0,640,480,0, 8820,640,480:waittimer 86256 blt 0,0,640,480,0, 8822,640,480:waittimer 86275 blt 0,0,640,480,0, 8824,640,480:waittimer 86295 blt 0,0,640,480,0, 8826,640,480:waittimer 86314 blt 0,0,640,480,0, 8828,640,480:waittimer 86334 blt 0,0,640,480,0, 8830,640,480:waittimer 86353 blt 0,0,640,480,0, 8832,640,480:waittimer 86373 blt 0,0,640,480,0, 8834,640,480:waittimer 86393 blt 0,0,640,480,0, 8836,640,480:waittimer 86412 blt 0,0,640,480,0, 8838,640,480:waittimer 86432 blt 0,0,640,480,0, 8840,640,480:waittimer 86451 blt 0,0,640,480,0, 8842,640,480:waittimer 86471 blt 0,0,640,480,0, 8844,640,480:waittimer 86490 blt 0,0,640,480,0, 8846,640,480:waittimer 86510 blt 0,0,640,480,0, 8848,640,480:waittimer 86530 blt 0,0,640,480,0, 8850,640,480:waittimer 86549 blt 0,0,640,480,0, 8852,640,480:waittimer 86569 blt 0,0,640,480,0, 8854,640,480:waittimer 86588 blt 0,0,640,480,0, 8856,640,480:waittimer 86608 blt 0,0,640,480,0, 8858,640,480:waittimer 86627 blt 0,0,640,480,0, 8860,640,480:waittimer 86647 blt 0,0,640,480,0, 8862,640,480:waittimer 86666 blt 0,0,640,480,0, 8864,640,480:waittimer 86686 blt 0,0,640,480,0, 8866,640,480:waittimer 86706 blt 0,0,640,480,0, 8868,640,480:waittimer 86725 blt 0,0,640,480,0, 8870,640,480:waittimer 86745 blt 0,0,640,480,0, 8872,640,480:waittimer 86764 blt 0,0,640,480,0, 8874,640,480:waittimer 86784 blt 0,0,640,480,0, 8876,640,480:waittimer 86803 blt 0,0,640,480,0, 8878,640,480:waittimer 86823 blt 0,0,640,480,0, 8880,640,480:waittimer 86842 blt 0,0,640,480,0, 8882,640,480:waittimer 86862 blt 0,0,640,480,0, 8884,640,480:waittimer 86882 blt 0,0,640,480,0, 8886,640,480:waittimer 86901 blt 0,0,640,480,0, 8888,640,480:waittimer 86921 blt 0,0,640,480,0, 8890,640,480:waittimer 86940 blt 0,0,640,480,0, 8892,640,480:waittimer 86960 blt 0,0,640,480,0, 8894,640,480:waittimer 86979 blt 0,0,640,480,0, 8896,640,480:waittimer 86999 blt 0,0,640,480,0, 8898,640,480:waittimer 87019 blt 0,0,640,480,0, 8900,640,480:waittimer 87038 blt 0,0,640,480,0, 8902,640,480:waittimer 87058 blt 0,0,640,480,0, 8904,640,480:waittimer 87077 blt 0,0,640,480,0, 8906,640,480:waittimer 87097 blt 0,0,640,480,0, 8908,640,480:waittimer 87116 blt 0,0,640,480,0, 8910,640,480:waittimer 87136 blt 0,0,640,480,0, 8912,640,480:waittimer 87155 blt 0,0,640,480,0, 8914,640,480:waittimer 87175 blt 0,0,640,480,0, 8916,640,480:waittimer 87195 blt 0,0,640,480,0, 8918,640,480:waittimer 87214 blt 0,0,640,480,0, 8920,640,480:waittimer 87234 blt 0,0,640,480,0, 8922,640,480:waittimer 87253 blt 0,0,640,480,0, 8924,640,480:waittimer 87273 blt 0,0,640,480,0, 8926,640,480:waittimer 87292 blt 0,0,640,480,0, 8928,640,480:waittimer 87312 blt 0,0,640,480,0, 8930,640,480:waittimer 87331 blt 0,0,640,480,0, 8932,640,480:waittimer 87351 blt 0,0,640,480,0, 8934,640,480:waittimer 87371 blt 0,0,640,480,0, 8936,640,480:waittimer 87390 blt 0,0,640,480,0, 8938,640,480:waittimer 87410 blt 0,0,640,480,0, 8940,640,480:waittimer 87429 blt 0,0,640,480,0, 8942,640,480:waittimer 87449 blt 0,0,640,480,0, 8944,640,480:waittimer 87468 blt 0,0,640,480,0, 8946,640,480:waittimer 87488 blt 0,0,640,480,0, 8948,640,480:waittimer 87507 blt 0,0,640,480,0, 8950,640,480:waittimer 87527 blt 0,0,640,480,0, 8952,640,480:waittimer 87547 blt 0,0,640,480,0, 8954,640,480:waittimer 87566 blt 0,0,640,480,0, 8956,640,480:waittimer 87586 blt 0,0,640,480,0, 8958,640,480:waittimer 87605 blt 0,0,640,480,0, 8960,640,480:waittimer 87625 blt 0,0,640,480,0, 8962,640,480:waittimer 87644 blt 0,0,640,480,0, 8964,640,480:waittimer 87664 blt 0,0,640,480,0, 8966,640,480:waittimer 87684 blt 0,0,640,480,0, 8968,640,480:waittimer 87703 blt 0,0,640,480,0, 8970,640,480:waittimer 87723 blt 0,0,640,480,0, 8972,640,480:waittimer 87742 blt 0,0,640,480,0, 8974,640,480:waittimer 87762 blt 0,0,640,480,0, 8976,640,480:waittimer 87781 blt 0,0,640,480,0, 8978,640,480:waittimer 87801 blt 0,0,640,480,0, 8980,640,480:waittimer 87820 blt 0,0,640,480,0, 8982,640,480:waittimer 87840 blt 0,0,640,480,0, 8984,640,480:waittimer 87860 blt 0,0,640,480,0, 8986,640,480:waittimer 87879 blt 0,0,640,480,0, 8988,640,480:waittimer 87899 blt 0,0,640,480,0, 8990,640,480:waittimer 87918 blt 0,0,640,480,0, 8992,640,480:waittimer 87938 blt 0,0,640,480,0, 8994,640,480:waittimer 87957 blt 0,0,640,480,0, 8996,640,480:waittimer 87977 blt 0,0,640,480,0, 8998,640,480:waittimer 87996 blt 0,0,640,480,0, 9000,640,480:waittimer 88016 blt 0,0,640,480,0, 9002,640,480:waittimer 88036 blt 0,0,640,480,0, 9004,640,480:waittimer 88055 blt 0,0,640,480,0, 9006,640,480:waittimer 88075 blt 0,0,640,480,0, 9008,640,480:waittimer 88094 blt 0,0,640,480,0, 9010,640,480:waittimer 88114 blt 0,0,640,480,0, 9012,640,480:waittimer 88133 blt 0,0,640,480,0, 9014,640,480:waittimer 88153 blt 0,0,640,480,0, 9016,640,480:waittimer 88173 blt 0,0,640,480,0, 9018,640,480:waittimer 88192 blt 0,0,640,480,0, 9020,640,480:waittimer 88212 blt 0,0,640,480,0, 9022,640,480:waittimer 88231 blt 0,0,640,480,0, 9024,640,480:waittimer 88251 blt 0,0,640,480,0, 9026,640,480:waittimer 88270 blt 0,0,640,480,0, 9028,640,480:waittimer 88290 blt 0,0,640,480,0, 9030,640,480:waittimer 88309 blt 0,0,640,480,0, 9032,640,480:waittimer 88329 blt 0,0,640,480,0, 9034,640,480:waittimer 88349 blt 0,0,640,480,0, 9036,640,480:waittimer 88368 blt 0,0,640,480,0, 9038,640,480:waittimer 88388 blt 0,0,640,480,0, 9040,640,480:waittimer 88407 blt 0,0,640,480,0, 9042,640,480:waittimer 88427 blt 0,0,640,480,0, 9044,640,480:waittimer 88446 blt 0,0,640,480,0, 9046,640,480:waittimer 88466 blt 0,0,640,480,0, 9048,640,480:waittimer 88485 blt 0,0,640,480,0, 9050,640,480:waittimer 88505 blt 0,0,640,480,0, 9052,640,480:waittimer 88525 blt 0,0,640,480,0, 9054,640,480:waittimer 88544 blt 0,0,640,480,0, 9056,640,480:waittimer 88564 blt 0,0,640,480,0, 9058,640,480:waittimer 88583 blt 0,0,640,480,0, 9060,640,480:waittimer 88603 blt 0,0,640,480,0, 9062,640,480:waittimer 88622 blt 0,0,640,480,0, 9064,640,480:waittimer 88642 blt 0,0,640,480,0, 9066,640,480:waittimer 88661 blt 0,0,640,480,0, 9068,640,480:waittimer 88681 blt 0,0,640,480,0, 9070,640,480:waittimer 88701 blt 0,0,640,480,0, 9072,640,480:waittimer 88720 blt 0,0,640,480,0, 9074,640,480:waittimer 88740 blt 0,0,640,480,0, 9076,640,480:waittimer 88759 blt 0,0,640,480,0, 9078,640,480:waittimer 88779 blt 0,0,640,480,0, 9080,640,480:waittimer 88798 blt 0,0,640,480,0, 9082,640,480:waittimer 88818 blt 0,0,640,480,0, 9084,640,480:waittimer 88838 blt 0,0,640,480,0, 9086,640,480:waittimer 88857 blt 0,0,640,480,0, 9088,640,480:waittimer 88877 blt 0,0,640,480,0, 9090,640,480:waittimer 88896 blt 0,0,640,480,0, 9092,640,480:waittimer 88916 blt 0,0,640,480,0, 9094,640,480:waittimer 88935 blt 0,0,640,480,0, 9096,640,480:waittimer 88955 blt 0,0,640,480,0, 9098,640,480:waittimer 88974 blt 0,0,640,480,0, 9100,640,480:waittimer 88994 blt 0,0,640,480,0, 9102,640,480:waittimer 89014 blt 0,0,640,480,0, 9104,640,480:waittimer 89033 blt 0,0,640,480,0, 9106,640,480:waittimer 89053 blt 0,0,640,480,0, 9108,640,480:waittimer 89072 blt 0,0,640,480,0, 9110,640,480:waittimer 89092 blt 0,0,640,480,0, 9112,640,480:waittimer 89111 blt 0,0,640,480,0, 9114,640,480:waittimer 89131 blt 0,0,640,480,0, 9116,640,480:waittimer 89150 blt 0,0,640,480,0, 9118,640,480:waittimer 89170 blt 0,0,640,480,0, 9120,640,480:waittimer 89190 blt 0,0,640,480,0, 9122,640,480:waittimer 89209 blt 0,0,640,480,0, 9124,640,480:waittimer 89229 blt 0,0,640,480,0, 9126,640,480:waittimer 89248 blt 0,0,640,480,0, 9128,640,480:waittimer 89268 blt 0,0,640,480,0, 9130,640,480:waittimer 89287 blt 0,0,640,480,0, 9132,640,480:waittimer 89307 blt 0,0,640,480,0, 9134,640,480:waittimer 89326 blt 0,0,640,480,0, 9136,640,480:waittimer 89346 blt 0,0,640,480,0, 9138,640,480:waittimer 89366 blt 0,0,640,480,0, 9140,640,480:waittimer 89385 blt 0,0,640,480,0, 9142,640,480:waittimer 89405 blt 0,0,640,480,0, 9144,640,480:waittimer 89424 blt 0,0,640,480,0, 9146,640,480:waittimer 89444 blt 0,0,640,480,0, 9148,640,480:waittimer 89463 blt 0,0,640,480,0, 9150,640,480:waittimer 89483 blt 0,0,640,480,0, 9152,640,480:waittimer 89503 blt 0,0,640,480,0, 9154,640,480:waittimer 89522 blt 0,0,640,480,0, 9156,640,480:waittimer 89542 blt 0,0,640,480,0, 9158,640,480:waittimer 89561 blt 0,0,640,480,0, 9160,640,480:waittimer 89581 blt 0,0,640,480,0, 9162,640,480:waittimer 89600 blt 0,0,640,480,0, 9164,640,480:waittimer 89620 blt 0,0,640,480,0, 9166,640,480:waittimer 89639 blt 0,0,640,480,0, 9168,640,480:waittimer 89659 blt 0,0,640,480,0, 9170,640,480:waittimer 89679 blt 0,0,640,480,0, 9172,640,480:waittimer 89698 blt 0,0,640,480,0, 9174,640,480:waittimer 89718 blt 0,0,640,480,0, 9176,640,480:waittimer 89737 blt 0,0,640,480,0, 9178,640,480:waittimer 89757 blt 0,0,640,480,0, 9180,640,480:waittimer 89776 blt 0,0,640,480,0, 9182,640,480:waittimer 89796 blt 0,0,640,480,0, 9184,640,480:waittimer 89815 blt 0,0,640,480,0, 9186,640,480:waittimer 89835 blt 0,0,640,480,0, 9188,640,480:waittimer 89855 blt 0,0,640,480,0, 9190,640,480:waittimer 89874 blt 0,0,640,480,0, 9192,640,480:waittimer 89894 blt 0,0,640,480,0, 9194,640,480:waittimer 89913 blt 0,0,640,480,0, 9196,640,480:waittimer 89933 blt 0,0,640,480,0, 9198,640,480:waittimer 89952 blt 0,0,640,480,0, 9200,640,480:waittimer 89972 blt 0,0,640,480,0, 9202,640,480:waittimer 89992 blt 0,0,640,480,0, 9204,640,480:waittimer 90011 blt 0,0,640,480,0, 9206,640,480:waittimer 90031 blt 0,0,640,480,0, 9208,640,480:waittimer 90050 blt 0,0,640,480,0, 9210,640,480:waittimer 90070 blt 0,0,640,480,0, 9212,640,480:waittimer 90089 blt 0,0,640,480,0, 9214,640,480:waittimer 90109 blt 0,0,640,480,0, 9216,640,480:waittimer 90128 blt 0,0,640,480,0, 9218,640,480:waittimer 90148 blt 0,0,640,480,0, 9220,640,480:waittimer 90168 blt 0,0,640,480,0, 9222,640,480:waittimer 90187 blt 0,0,640,480,0, 9224,640,480:waittimer 90207 blt 0,0,640,480,0, 9226,640,480:waittimer 90226 blt 0,0,640,480,0, 9228,640,480:waittimer 90246 blt 0,0,640,480,0, 9230,640,480:waittimer 90265 blt 0,0,640,480,0, 9232,640,480:waittimer 90285 blt 0,0,640,480,0, 9234,640,480:waittimer 90304 blt 0,0,640,480,0, 9236,640,480:waittimer 90324 blt 0,0,640,480,0, 9238,640,480:waittimer 90344 blt 0,0,640,480,0, 9240,640,480:waittimer 90363 blt 0,0,640,480,0, 9242,640,480:waittimer 90383 blt 0,0,640,480,0, 9244,640,480:waittimer 90402 blt 0,0,640,480,0, 9246,640,480:waittimer 90422 blt 0,0,640,480,0, 9248,640,480:waittimer 90441 blt 0,0,640,480,0, 9250,640,480:waittimer 90461 blt 0,0,640,480,0, 9252,640,480:waittimer 90480 blt 0,0,640,480,0, 9254,640,480:waittimer 90500 blt 0,0,640,480,0, 9256,640,480:waittimer 90520 blt 0,0,640,480,0, 9258,640,480:waittimer 90539 blt 0,0,640,480,0, 9260,640,480:waittimer 90559 blt 0,0,640,480,0, 9262,640,480:waittimer 90578 blt 0,0,640,480,0, 9264,640,480:waittimer 90598 blt 0,0,640,480,0, 9266,640,480:waittimer 90617 blt 0,0,640,480,0, 9268,640,480:waittimer 90637 blt 0,0,640,480,0, 9270,640,480:waittimer 90657 blt 0,0,640,480,0, 9272,640,480:waittimer 90676 blt 0,0,640,480,0, 9274,640,480:waittimer 90696 blt 0,0,640,480,0, 9276,640,480:waittimer 90715 blt 0,0,640,480,0, 9278,640,480:waittimer 90735 blt 0,0,640,480,0, 9280,640,480:waittimer 90754 blt 0,0,640,480,0, 9282,640,480:waittimer 90774 blt 0,0,640,480,0, 9284,640,480:waittimer 90793 blt 0,0,640,480,0, 9286,640,480:waittimer 90813 blt 0,0,640,480,0, 9288,640,480:waittimer 90833 blt 0,0,640,480,0, 9290,640,480:waittimer 90852 blt 0,0,640,480,0, 9292,640,480:waittimer 90872 blt 0,0,640,480,0, 9294,640,480:waittimer 90891 blt 0,0,640,480,0, 9296,640,480:waittimer 90911 blt 0,0,640,480,0, 9298,640,480:waittimer 90930 blt 0,0,640,480,0, 9300,640,480:waittimer 90950 blt 0,0,640,480,0, 9302,640,480:waittimer 90969 blt 0,0,640,480,0, 9304,640,480:waittimer 90989 blt 0,0,640,480,0, 9306,640,480:waittimer 91009 blt 0,0,640,480,0, 9308,640,480:waittimer 91028 blt 0,0,640,480,0, 9310,640,480:waittimer 91048 blt 0,0,640,480,0, 9312,640,480:waittimer 91067 blt 0,0,640,480,0, 9314,640,480:waittimer 91087 blt 0,0,640,480,0, 9316,640,480:waittimer 91106 blt 0,0,640,480,0, 9318,640,480:waittimer 91126 blt 0,0,640,480,0, 9320,640,480:waittimer 91146 blt 0,0,640,480,0, 9322,640,480:waittimer 91165 blt 0,0,640,480,0, 9324,640,480:waittimer 91185 blt 0,0,640,480,0, 9326,640,480:waittimer 91204 blt 0,0,640,480,0, 9328,640,480:waittimer 91224 blt 0,0,640,480,0, 9330,640,480:waittimer 91243 blt 0,0,640,480,0, 9332,640,480:waittimer 91263 blt 0,0,640,480,0, 9334,640,480:waittimer 91282 blt 0,0,640,480,0, 9336,640,480:waittimer 91302 blt 0,0,640,480,0, 9338,640,480:waittimer 91322 blt 0,0,640,480,0, 9340,640,480:waittimer 91341 blt 0,0,640,480,0, 9342,640,480:waittimer 91361 blt 0,0,640,480,0, 9344,640,480:waittimer 91380 blt 0,0,640,480,0, 9346,640,480:waittimer 91400 blt 0,0,640,480,0, 9348,640,480:waittimer 91419 blt 0,0,640,480,0, 9350,640,480:waittimer 91439 blt 0,0,640,480,0, 9352,640,480:waittimer 91458 blt 0,0,640,480,0, 9354,640,480:waittimer 91478 blt 0,0,640,480,0, 9356,640,480:waittimer 91498 blt 0,0,640,480,0, 9358,640,480:waittimer 91517 blt 0,0,640,480,0, 9360,640,480:waittimer 91537 blt 0,0,640,480,0, 9362,640,480:waittimer 91556 blt 0,0,640,480,0, 9364,640,480:waittimer 91576 blt 0,0,640,480,0, 9366,640,480:waittimer 91595 blt 0,0,640,480,0, 9368,640,480:waittimer 91615 blt 0,0,640,480,0, 9370,640,480:waittimer 91634 blt 0,0,640,480,0, 9372,640,480:waittimer 91654 blt 0,0,640,480,0, 9374,640,480:waittimer 91674 blt 0,0,640,480,0, 9376,640,480:waittimer 91693 blt 0,0,640,480,0, 9378,640,480:waittimer 91713 blt 0,0,640,480,0, 9380,640,480:waittimer 91732 blt 0,0,640,480,0, 9382,640,480:waittimer 91752 blt 0,0,640,480,0, 9384,640,480:waittimer 91771 blt 0,0,640,480,0, 9386,640,480:waittimer 91791 blt 0,0,640,480,0, 9388,640,480:waittimer 91811 blt 0,0,640,480,0, 9390,640,480:waittimer 91830 blt 0,0,640,480,0, 9392,640,480:waittimer 91850 blt 0,0,640,480,0, 9394,640,480:waittimer 91869 blt 0,0,640,480,0, 9396,640,480:waittimer 91889 blt 0,0,640,480,0, 9398,640,480:waittimer 91908 blt 0,0,640,480,0, 9400,640,480:waittimer 91928 blt 0,0,640,480,0, 9402,640,480:waittimer 91947 blt 0,0,640,480,0, 9404,640,480:waittimer 91967 blt 0,0,640,480,0, 9406,640,480:waittimer 91987 blt 0,0,640,480,0, 9408,640,480:waittimer 92006 blt 0,0,640,480,0, 9410,640,480:waittimer 92026 blt 0,0,640,480,0, 9412,640,480:waittimer 92045 blt 0,0,640,480,0, 9414,640,480:waittimer 92065 blt 0,0,640,480,0, 9416,640,480:waittimer 92084 blt 0,0,640,480,0, 9418,640,480:waittimer 92104 blt 0,0,640,480,0, 9420,640,480:waittimer 92123 blt 0,0,640,480,0, 9422,640,480:waittimer 92143 blt 0,0,640,480,0, 9424,640,480:waittimer 92163 blt 0,0,640,480,0, 9426,640,480:waittimer 92182 blt 0,0,640,480,0, 9428,640,480:waittimer 92202 blt 0,0,640,480,0, 9430,640,480:waittimer 92221 blt 0,0,640,480,0, 9432,640,480:waittimer 92241 blt 0,0,640,480,0, 9434,640,480:waittimer 92260 blt 0,0,640,480,0, 9436,640,480:waittimer 92280 blt 0,0,640,480,0, 9438,640,480:waittimer 92300 blt 0,0,640,480,0, 9440,640,480:waittimer 92319 blt 0,0,640,480,0, 9442,640,480:waittimer 92339 blt 0,0,640,480,0, 9444,640,480:waittimer 92358 blt 0,0,640,480,0, 9446,640,480:waittimer 92378 blt 0,0,640,480,0, 9448,640,480:waittimer 92397 blt 0,0,640,480,0, 9450,640,480:waittimer 92417 blt 0,0,640,480,0, 9452,640,480:waittimer 92436 blt 0,0,640,480,0, 9454,640,480:waittimer 92456 blt 0,0,640,480,0, 9456,640,480:waittimer 92476 blt 0,0,640,480,0, 9458,640,480:waittimer 92495 blt 0,0,640,480,0, 9460,640,480:waittimer 92515 blt 0,0,640,480,0, 9462,640,480:waittimer 92534 blt 0,0,640,480,0, 9464,640,480:waittimer 92554 blt 0,0,640,480,0, 9466,640,480:waittimer 92573 blt 0,0,640,480,0, 9468,640,480:waittimer 92593 blt 0,0,640,480,0, 9470,640,480:waittimer 92612 blt 0,0,640,480,0, 9472,640,480:waittimer 92632 blt 0,0,640,480,0, 9474,640,480:waittimer 92652 blt 0,0,640,480,0, 9476,640,480:waittimer 92671 blt 0,0,640,480,0, 9478,640,480:waittimer 92691 blt 0,0,640,480,0, 9480,640,480:waittimer 92710 blt 0,0,640,480,0, 9482,640,480:waittimer 92730 blt 0,0,640,480,0, 9484,640,480:waittimer 92749 blt 0,0,640,480,0, 9486,640,480:waittimer 92769 blt 0,0,640,480,0, 9488,640,480:waittimer 92788 blt 0,0,640,480,0, 9490,640,480:waittimer 92808 blt 0,0,640,480,0, 9492,640,480:waittimer 92828 blt 0,0,640,480,0, 9494,640,480:waittimer 92847 blt 0,0,640,480,0, 9496,640,480:waittimer 92867 blt 0,0,640,480,0, 9498,640,480:waittimer 92886 blt 0,0,640,480,0, 9500,640,480:waittimer 92906 blt 0,0,640,480,0, 9502,640,480:waittimer 92925 blt 0,0,640,480,0, 9504,640,480:waittimer 92945 blt 0,0,640,480,0, 9506,640,480:waittimer 92965 blt 0,0,640,480,0, 9508,640,480:waittimer 92984 blt 0,0,640,480,0, 9510,640,480:waittimer 93004 blt 0,0,640,480,0, 9512,640,480:waittimer 93023 blt 0,0,640,480,0, 9514,640,480:waittimer 93043 blt 0,0,640,480,0, 9516,640,480:waittimer 93062 blt 0,0,640,480,0, 9518,640,480:waittimer 93082 blt 0,0,640,480,0, 9520,640,480:waittimer 93101 blt 0,0,640,480,0, 9522,640,480:waittimer 93121 blt 0,0,640,480,0, 9524,640,480:waittimer 93141 blt 0,0,640,480,0, 9526,640,480:waittimer 93160 blt 0,0,640,480,0, 9528,640,480:waittimer 93180 blt 0,0,640,480,0, 9530,640,480:waittimer 93199 blt 0,0,640,480,0, 9532,640,480:waittimer 93219 blt 0,0,640,480,0, 9534,640,480:waittimer 93238 blt 0,0,640,480,0, 9536,640,480:waittimer 93258 blt 0,0,640,480,0, 9538,640,480:waittimer 93277 blt 0,0,640,480,0, 9540,640,480:waittimer 93297 blt 0,0,640,480,0, 9542,640,480:waittimer 93317 blt 0,0,640,480,0, 9544,640,480:waittimer 93336 blt 0,0,640,480,0, 9546,640,480:waittimer 93356 blt 0,0,640,480,0, 9548,640,480:waittimer 93375 blt 0,0,640,480,0, 9550,640,480:waittimer 93395 blt 0,0,640,480,0, 9552,640,480:waittimer 93414 blt 0,0,640,480,0, 9554,640,480:waittimer 93434 blt 0,0,640,480,0, 9556,640,480:waittimer 93453 blt 0,0,640,480,0, 9558,640,480:waittimer 93473 blt 0,0,640,480,0, 9560,640,480:waittimer 93493 blt 0,0,640,480,0, 9562,640,480:waittimer 93512 blt 0,0,640,480,0, 9564,640,480:waittimer 93532 blt 0,0,640,480,0, 9566,640,480:waittimer 93551 blt 0,0,640,480,0, 9568,640,480:waittimer 93571 blt 0,0,640,480,0, 9570,640,480:waittimer 93590 blt 0,0,640,480,0, 9572,640,480:waittimer 93610 blt 0,0,640,480,0, 9574,640,480:waittimer 93630 blt 0,0,640,480,0, 9576,640,480:waittimer 93649 blt 0,0,640,480,0, 9578,640,480:waittimer 93669 blt 0,0,640,480,0, 9580,640,480:waittimer 93688 blt 0,0,640,480,0, 9582,640,480:waittimer 93708 blt 0,0,640,480,0, 9584,640,480:waittimer 93727 blt 0,0,640,480,0, 9586,640,480:waittimer 93747 blt 0,0,640,480,0, 9588,640,480:waittimer 93766 blt 0,0,640,480,0, 9590,640,480:waittimer 93786 blt 0,0,640,480,0, 9592,640,480:waittimer 93806 blt 0,0,640,480,0, 9594,640,480:waittimer 93825 blt 0,0,640,480,0, 9596,640,480:waittimer 93845 blt 0,0,640,480,0, 9598,640,480:waittimer 93864 blt 0,0,640,480,0, 9600,640,480:waittimer 93884 blt 0,0,640,480,0, 9602,640,480:waittimer 93903 blt 0,0,640,480,0, 9604,640,480:waittimer 93923 blt 0,0,640,480,0, 9606,640,480:waittimer 93942 blt 0,0,640,480,0, 9608,640,480:waittimer 93962 blt 0,0,640,480,0, 9610,640,480:waittimer 93982 blt 0,0,640,480,0, 9612,640,480:waittimer 94001 blt 0,0,640,480,0, 9614,640,480:waittimer 94021 blt 0,0,640,480,0, 9616,640,480:waittimer 94040 blt 0,0,640,480,0, 9618,640,480:waittimer 94060 blt 0,0,640,480,0, 9620,640,480:waittimer 94079 blt 0,0,640,480,0, 9622,640,480:waittimer 94099 blt 0,0,640,480,0, 9624,640,480:waittimer 94119 blt 0,0,640,480,0, 9626,640,480:waittimer 94138 blt 0,0,640,480,0, 9628,640,480:waittimer 94158 blt 0,0,640,480,0, 9630,640,480:waittimer 94177 blt 0,0,640,480,0, 9632,640,480:waittimer 94197 blt 0,0,640,480,0, 9634,640,480:waittimer 94216 blt 0,0,640,480,0, 9636,640,480:waittimer 94236 blt 0,0,640,480,0, 9638,640,480:waittimer 94255 blt 0,0,640,480,0, 9640,640,480:waittimer 94275 blt 0,0,640,480,0, 9642,640,480:waittimer 94295 blt 0,0,640,480,0, 9644,640,480:waittimer 94314 blt 0,0,640,480,0, 9646,640,480:waittimer 94334 blt 0,0,640,480,0, 9648,640,480:waittimer 94353 blt 0,0,640,480,0, 9650,640,480:waittimer 94373 blt 0,0,640,480,0, 9652,640,480:waittimer 94392 blt 0,0,640,480,0, 9654,640,480:waittimer 94412 blt 0,0,640,480,0, 9656,640,480:waittimer 94431 blt 0,0,640,480,0, 9658,640,480:waittimer 94451 blt 0,0,640,480,0, 9660,640,480:waittimer 94471 blt 0,0,640,480,0, 9662,640,480:waittimer 94490 blt 0,0,640,480,0, 9664,640,480:waittimer 94510 blt 0,0,640,480,0, 9666,640,480:waittimer 94529 blt 0,0,640,480,0, 9668,640,480:waittimer 94549 blt 0,0,640,480,0, 9670,640,480:waittimer 94568 blt 0,0,640,480,0, 9672,640,480:waittimer 94588 blt 0,0,640,480,0, 9674,640,480:waittimer 94607 blt 0,0,640,480,0, 9676,640,480:waittimer 94627 blt 0,0,640,480,0, 9678,640,480:waittimer 94647 blt 0,0,640,480,0, 9680,640,480:waittimer 94666 blt 0,0,640,480,0, 9682,640,480:waittimer 94686 blt 0,0,640,480,0, 9684,640,480:waittimer 94705 blt 0,0,640,480,0, 9686,640,480:waittimer 94725 blt 0,0,640,480,0, 9688,640,480:waittimer 94744 blt 0,0,640,480,0, 9690,640,480:waittimer 94764 blt 0,0,640,480,0, 9692,640,480:waittimer 94784 blt 0,0,640,480,0, 9694,640,480:waittimer 94803 blt 0,0,640,480,0, 9696,640,480:waittimer 94823 blt 0,0,640,480,0, 9698,640,480:waittimer 94842 blt 0,0,640,480,0, 9700,640,480:waittimer 94862 blt 0,0,640,480,0, 9702,640,480:waittimer 94881 blt 0,0,640,480,0, 9704,640,480:waittimer 94901 blt 0,0,640,480,0, 9706,640,480:waittimer 94920 blt 0,0,640,480,0, 9708,640,480:waittimer 94940 blt 0,0,640,480,0, 9710,640,480:waittimer 94960 blt 0,0,640,480,0, 9712,640,480:waittimer 94979 blt 0,0,640,480,0, 9714,640,480:waittimer 94999 blt 0,0,640,480,0, 9716,640,480:waittimer 95018 blt 0,0,640,480,0, 9718,640,480:waittimer 95038 blt 0,0,640,480,0, 9720,640,480:waittimer 95057 blt 0,0,640,480,0, 9722,640,480:waittimer 95077 blt 0,0,640,480,0, 9724,640,480:waittimer 95096 blt 0,0,640,480,0, 9726,640,480:waittimer 95116 blt 0,0,640,480,0, 9728,640,480:waittimer 95136 blt 0,0,640,480,0, 9730,640,480:waittimer 95155 blt 0,0,640,480,0, 9732,640,480:waittimer 95175 blt 0,0,640,480,0, 9734,640,480:waittimer 95194 blt 0,0,640,480,0, 9736,640,480:waittimer 95214 blt 0,0,640,480,0, 9738,640,480:waittimer 95233 blt 0,0,640,480,0, 9740,640,480:waittimer 95253 blt 0,0,640,480,0, 9742,640,480:waittimer 95273 blt 0,0,640,480,0, 9744,640,480:waittimer 95292 blt 0,0,640,480,0, 9746,640,480:waittimer 95312 blt 0,0,640,480,0, 9748,640,480:waittimer 95331 blt 0,0,640,480,0, 9750,640,480:waittimer 95351 blt 0,0,640,480,0, 9752,640,480:waittimer 95370 blt 0,0,640,480,0, 9754,640,480:waittimer 95390 blt 0,0,640,480,0, 9756,640,480:waittimer 95409 blt 0,0,640,480,0, 9758,640,480:waittimer 95429 blt 0,0,640,480,0, 9760,640,480:waittimer 95449 blt 0,0,640,480,0, 9762,640,480:waittimer 95468 blt 0,0,640,480,0, 9764,640,480:waittimer 95488 blt 0,0,640,480,0, 9766,640,480:waittimer 95507 blt 0,0,640,480,0, 9768,640,480:waittimer 95527 blt 0,0,640,480,0, 9770,640,480:waittimer 95546 blt 0,0,640,480,0, 9772,640,480:waittimer 95566 blt 0,0,640,480,0, 9774,640,480:waittimer 95585 blt 0,0,640,480,0, 9776,640,480:waittimer 95605 blt 0,0,640,480,0, 9778,640,480:waittimer 95625 blt 0,0,640,480,0, 9780,640,480:waittimer 95644 blt 0,0,640,480,0, 9782,640,480:waittimer 95664 blt 0,0,640,480,0, 9784,640,480:waittimer 95683 blt 0,0,640,480,0, 9786,640,480:waittimer 95703 blt 0,0,640,480,0, 9788,640,480:waittimer 95722 blt 0,0,640,480,0, 9790,640,480:waittimer 95742 blt 0,0,640,480,0, 9792,640,480:waittimer 95761 blt 0,0,640,480,0, 9794,640,480:waittimer 95781 blt 0,0,640,480,0, 9796,640,480:waittimer 95801 blt 0,0,640,480,0, 9798,640,480:waittimer 95820 blt 0,0,640,480,0, 9800,640,480:waittimer 95840 blt 0,0,640,480,0, 9802,640,480:waittimer 95859 blt 0,0,640,480,0, 9804,640,480:waittimer 95879 blt 0,0,640,480,0, 9806,640,480:waittimer 95898 blt 0,0,640,480,0, 9808,640,480:waittimer 95918 blt 0,0,640,480,0, 9810,640,480:waittimer 95938 blt 0,0,640,480,0, 9812,640,480:waittimer 95957 blt 0,0,640,480,0, 9814,640,480:waittimer 95977 blt 0,0,640,480,0, 9816,640,480:waittimer 95996 blt 0,0,640,480,0, 9818,640,480:waittimer 96016 blt 0,0,640,480,0, 9820,640,480:waittimer 96035 blt 0,0,640,480,0, 9822,640,480:waittimer 96055 blt 0,0,640,480,0, 9824,640,480:waittimer 96074 blt 0,0,640,480,0, 9826,640,480:waittimer 96094 blt 0,0,640,480,0, 9828,640,480:waittimer 96114 blt 0,0,640,480,0, 9830,640,480:waittimer 96133 blt 0,0,640,480,0, 9832,640,480:waittimer 96153 blt 0,0,640,480,0, 9834,640,480:waittimer 96172 blt 0,0,640,480,0, 9836,640,480:waittimer 96192 blt 0,0,640,480,0, 9838,640,480:waittimer 96211 blt 0,0,640,480,0, 9840,640,480:waittimer 96231 blt 0,0,640,480,0, 9842,640,480:waittimer 96250 blt 0,0,640,480,0, 9844,640,480:waittimer 96270 blt 0,0,640,480,0, 9846,640,480:waittimer 96290 blt 0,0,640,480,0, 9848,640,480:waittimer 96309 blt 0,0,640,480,0, 9850,640,480:waittimer 96329 blt 0,0,640,480,0, 9852,640,480:waittimer 96348 blt 0,0,640,480,0, 9854,640,480:waittimer 96368 blt 0,0,640,480,0, 9856,640,480:waittimer 96387 blt 0,0,640,480,0, 9858,640,480:waittimer 96407 blt 0,0,640,480,0, 9860,640,480:waittimer 96426 blt 0,0,640,480,0, 9862,640,480:waittimer 96446 blt 0,0,640,480,0, 9864,640,480:waittimer 96466 blt 0,0,640,480,0, 9866,640,480:waittimer 96485 blt 0,0,640,480,0, 9868,640,480:waittimer 96505 blt 0,0,640,480,0, 9870,640,480:waittimer 96524 blt 0,0,640,480,0, 9872,640,480:waittimer 96544 blt 0,0,640,480,0, 9874,640,480:waittimer 96563 blt 0,0,640,480,0, 9876,640,480:waittimer 96583 blt 0,0,640,480,0, 9878,640,480:waittimer 96603 blt 0,0,640,480,0, 9880,640,480:waittimer 96622 blt 0,0,640,480,0, 9882,640,480:waittimer 96642 blt 0,0,640,480,0, 9884,640,480:waittimer 96661 blt 0,0,640,480,0, 9886,640,480:waittimer 96681 blt 0,0,640,480,0, 9888,640,480:waittimer 96700 blt 0,0,640,480,0, 9890,640,480:waittimer 96720 blt 0,0,640,480,0, 9892,640,480:waittimer 96739 blt 0,0,640,480,0, 9894,640,480:waittimer 96759 blt 0,0,640,480,0, 9896,640,480:waittimer 96779 blt 0,0,640,480,0, 9898,640,480:waittimer 96798 blt 0,0,640,480,0, 9900,640,480:waittimer 96818 blt 0,0,640,480,0, 9902,640,480:waittimer 96837 blt 0,0,640,480,0, 9904,640,480:waittimer 96857 blt 0,0,640,480,0, 9906,640,480:waittimer 96876 blt 0,0,640,480,0, 9908,640,480:waittimer 96896 blt 0,0,640,480,0, 9910,640,480:waittimer 96915 blt 0,0,640,480,0, 9912,640,480:waittimer 96935 blt 0,0,640,480,0, 9914,640,480:waittimer 96955 blt 0,0,640,480,0, 9916,640,480:waittimer 96974 blt 0,0,640,480,0, 9918,640,480:waittimer 96994 blt 0,0,640,480,0, 9920,640,480:waittimer 97013 blt 0,0,640,480,0, 9922,640,480:waittimer 97033 blt 0,0,640,480,0, 9924,640,480:waittimer 97052 blt 0,0,640,480,0, 9926,640,480:waittimer 97072 blt 0,0,640,480,0, 9928,640,480:waittimer 97092 blt 0,0,640,480,0, 9930,640,480:waittimer 97111 blt 0,0,640,480,0, 9932,640,480:waittimer 97131 blt 0,0,640,480,0, 9934,640,480:waittimer 97150 blt 0,0,640,480,0, 9936,640,480:waittimer 97170 blt 0,0,640,480,0, 9938,640,480:waittimer 97189 blt 0,0,640,480,0, 9940,640,480:waittimer 97209 blt 0,0,640,480,0, 9942,640,480:waittimer 97228 blt 0,0,640,480,0, 9944,640,480:waittimer 97248 blt 0,0,640,480,0, 9946,640,480:waittimer 97268 blt 0,0,640,480,0, 9948,640,480:waittimer 97287 blt 0,0,640,480,0, 9950,640,480:waittimer 97307 blt 0,0,640,480,0, 9952,640,480:waittimer 97326 blt 0,0,640,480,0, 9954,640,480:waittimer 97346 blt 0,0,640,480,0, 9956,640,480:waittimer 97365 blt 0,0,640,480,0, 9958,640,480:waittimer 97385 blt 0,0,640,480,0, 9960,640,480:waittimer 97404 blt 0,0,640,480,0, 9962,640,480:waittimer 97424 blt 0,0,640,480,0, 9964,640,480:waittimer 97444 blt 0,0,640,480,0, 9966,640,480:waittimer 97463 blt 0,0,640,480,0, 9968,640,480:waittimer 97483 blt 0,0,640,480,0, 9970,640,480:waittimer 97502 blt 0,0,640,480,0, 9972,640,480:waittimer 97522 blt 0,0,640,480,0, 9974,640,480:waittimer 97541 blt 0,0,640,480,0, 9976,640,480:waittimer 97561 blt 0,0,640,480,0, 9978,640,480:waittimer 97580 blt 0,0,640,480,0, 9980,640,480:waittimer 97600 blt 0,0,640,480,0, 9982,640,480:waittimer 97620 blt 0,0,640,480,0, 9984,640,480:waittimer 97639 blt 0,0,640,480,0, 9986,640,480:waittimer 97659 blt 0,0,640,480,0, 9988,640,480:waittimer 97678 blt 0,0,640,480,0, 9990,640,480:waittimer 97698 blt 0,0,640,480,0, 9992,640,480:waittimer 97717 blt 0,0,640,480,0, 9994,640,480:waittimer 97737 blt 0,0,640,480,0, 9996,640,480:waittimer 97757 blt 0,0,640,480,0, 9998,640,480:waittimer 97776 blt 0,0,640,480,0, 10000,640,480:waittimer 97796 blt 0,0,640,480,0, 10002,640,480:waittimer 97815 blt 0,0,640,480,0, 10004,640,480:waittimer 97835 blt 0,0,640,480,0, 10006,640,480:waittimer 97854 blt 0,0,640,480,0, 10008,640,480:waittimer 97874 blt 0,0,640,480,0, 10010,640,480:waittimer 97893 blt 0,0,640,480,0, 10012,640,480:waittimer 97913 blt 0,0,640,480,0, 10014,640,480:waittimer 97933 blt 0,0,640,480,0, 10016,640,480:waittimer 97952 blt 0,0,640,480,0, 10018,640,480:waittimer 97972 blt 0,0,640,480,0, 10020,640,480:waittimer 97991 blt 0,0,640,480,0, 10022,640,480:waittimer 98011 blt 0,0,640,480,0, 10024,640,480:waittimer 98030 blt 0,0,640,480,0, 10026,640,480:waittimer 98050 blt 0,0,640,480,0, 10028,640,480:waittimer 98069 blt 0,0,640,480,0, 10030,640,480:waittimer 98089 blt 0,0,640,480,0, 10032,640,480:waittimer 98109 blt 0,0,640,480,0, 10034,640,480:waittimer 98128 blt 0,0,640,480,0, 10036,640,480:waittimer 98148 blt 0,0,640,480,0, 10038,640,480:waittimer 98167 blt 0,0,640,480,0, 10040,640,480:waittimer 98187 blt 0,0,640,480,0, 10042,640,480:waittimer 98206 blt 0,0,640,480,0, 10044,640,480:waittimer 98226 blt 0,0,640,480,0, 10046,640,480:waittimer 98246 blt 0,0,640,480,0, 10048,640,480:waittimer 98265 blt 0,0,640,480,0, 10050,640,480:waittimer 98285 blt 0,0,640,480,0, 10052,640,480:waittimer 98304 blt 0,0,640,480,0, 10054,640,480:waittimer 98324 blt 0,0,640,480,0, 10056,640,480:waittimer 98343 blt 0,0,640,480,0, 10058,640,480:waittimer 98363 blt 0,0,640,480,0, 10060,640,480:waittimer 98382 blt 0,0,640,480,0, 10062,640,480:waittimer 98402 blt 0,0,640,480,0, 10064,640,480:waittimer 98422 blt 0,0,640,480,0, 10066,640,480:waittimer 98441 blt 0,0,640,480,0, 10068,640,480:waittimer 98461 blt 0,0,640,480,0, 10070,640,480:waittimer 98480 blt 0,0,640,480,0, 10072,640,480:waittimer 98500 blt 0,0,640,480,0, 10074,640,480:waittimer 98519 blt 0,0,640,480,0, 10076,640,480:waittimer 98539 blt 0,0,640,480,0, 10078,640,480:waittimer 98558 blt 0,0,640,480,0, 10080,640,480:waittimer 98578 blt 0,0,640,480,0, 10082,640,480:waittimer 98598 blt 0,0,640,480,0, 10084,640,480:waittimer 98617 blt 0,0,640,480,0, 10086,640,480:waittimer 98637 blt 0,0,640,480,0, 10088,640,480:waittimer 98656 blt 0,0,640,480,0, 10090,640,480:waittimer 98676 blt 0,0,640,480,0, 10092,640,480:waittimer 98695 blt 0,0,640,480,0, 10094,640,480:waittimer 98715 blt 0,0,640,480,0, 10096,640,480:waittimer 98734 blt 0,0,640,480,0, 10098,640,480:waittimer 98754 blt 0,0,640,480,0, 10100,640,480:waittimer 98774 blt 0,0,640,480,0, 10102,640,480:waittimer 98793 blt 0,0,640,480,0, 10104,640,480:waittimer 98813 blt 0,0,640,480,0, 10106,640,480:waittimer 98832 blt 0,0,640,480,0, 10108,640,480:waittimer 98852 blt 0,0,640,480,0, 10110,640,480:waittimer 98871 blt 0,0,640,480,0, 10112,640,480:waittimer 98891 blt 0,0,640,480,0, 10114,640,480:waittimer 98911 blt 0,0,640,480,0, 10116,640,480:waittimer 98930 blt 0,0,640,480,0, 10118,640,480:waittimer 98950 blt 0,0,640,480,0, 10120,640,480:waittimer 98969 blt 0,0,640,480,0, 10122,640,480:waittimer 98989 blt 0,0,640,480,0, 10124,640,480:waittimer 99008 blt 0,0,640,480,0, 10126,640,480:waittimer 99028 blt 0,0,640,480,0, 10128,640,480:waittimer 99047 blt 0,0,640,480,0, 10130,640,480:waittimer 99067 blt 0,0,640,480,0, 10132,640,480:waittimer 99087 blt 0,0,640,480,0, 10134,640,480:waittimer 99106 blt 0,0,640,480,0, 10136,640,480:waittimer 99126 blt 0,0,640,480,0, 10138,640,480:waittimer 99145 blt 0,0,640,480,0, 10140,640,480:waittimer 99165 blt 0,0,640,480,0, 10142,640,480:waittimer 99184 blt 0,0,640,480,0, 10144,640,480:waittimer 99204 blt 0,0,640,480,0, 10146,640,480:waittimer 99223 blt 0,0,640,480,0, 10148,640,480:waittimer 99243 blt 0,0,640,480,0, 10150,640,480:waittimer 99263 blt 0,0,640,480,0, 10152,640,480:waittimer 99282 blt 0,0,640,480,0, 10154,640,480:waittimer 99302 blt 0,0,640,480,0, 10156,640,480:waittimer 99321 blt 0,0,640,480,0, 10158,640,480:waittimer 99341 blt 0,0,640,480,0, 10160,640,480:waittimer 99360 blt 0,0,640,480,0, 10162,640,480:waittimer 99380 blt 0,0,640,480,0, 10164,640,480:waittimer 99400 blt 0,0,640,480,0, 10166,640,480:waittimer 99419 blt 0,0,640,480,0, 10168,640,480:waittimer 99439 blt 0,0,640,480,0, 10170,640,480:waittimer 99458 blt 0,0,640,480,0, 10172,640,480:waittimer 99478 blt 0,0,640,480,0, 10174,640,480:waittimer 99497 blt 0,0,640,480,0, 10176,640,480:waittimer 99517 blt 0,0,640,480,0, 10178,640,480:waittimer 99536 blt 0,0,640,480,0, 10180,640,480:waittimer 99556 blt 0,0,640,480,0, 10182,640,480:waittimer 99576 blt 0,0,640,480,0, 10184,640,480:waittimer 99595 blt 0,0,640,480,0, 10186,640,480:waittimer 99615 blt 0,0,640,480,0, 10188,640,480:waittimer 99634 blt 0,0,640,480,0, 10190,640,480:waittimer 99654 blt 0,0,640,480,0, 10192,640,480:waittimer 99673 blt 0,0,640,480,0, 10194,640,480:waittimer 99693 blt 0,0,640,480,0, 10196,640,480:waittimer 99712 blt 0,0,640,480,0, 10198,640,480:waittimer 99732 blt 0,0,640,480,0, 10200,640,480:waittimer 99752 blt 0,0,640,480,0, 10202,640,480:waittimer 99771 blt 0,0,640,480,0, 10204,640,480:waittimer 99791 blt 0,0,640,480,0, 10206,640,480:waittimer 99810 blt 0,0,640,480,0, 10208,640,480:waittimer 99830 blt 0,0,640,480,0, 10210,640,480:waittimer 99849 blt 0,0,640,480,0, 10212,640,480:waittimer 99869 blt 0,0,640,480,0, 10214,640,480:waittimer 99888 blt 0,0,640,480,0, 10216,640,480:waittimer 99908 blt 0,0,640,480,0, 10218,640,480:waittimer 99928 blt 0,0,640,480,0, 10220,640,480:waittimer 99947 blt 0,0,640,480,0, 10222,640,480:waittimer 99967 blt 0,0,640,480,0, 10224,640,480:waittimer 99986 blt 0,0,640,480,0, 10226,640,480:waittimer 100006 blt 0,0,640,480,0, 10228,640,480:waittimer 100025 blt 0,0,640,480,0, 10230,640,480:waittimer 100045 blt 0,0,640,480,0, 10232,640,480:waittimer 100065 blt 0,0,640,480,0, 10234,640,480:waittimer 100084 blt 0,0,640,480,0, 10236,640,480:waittimer 100104 blt 0,0,640,480,0, 10238,640,480:waittimer 100123 blt 0,0,640,480,0, 10240,640,480:waittimer 100143 blt 0,0,640,480,0, 10242,640,480:waittimer 100162 blt 0,0,640,480,0, 10244,640,480:waittimer 100182 blt 0,0,640,480,0, 10246,640,480:waittimer 100201 blt 0,0,640,480,0, 10248,640,480:waittimer 100221 blt 0,0,640,480,0, 10250,640,480:waittimer 100241 blt 0,0,640,480,0, 10252,640,480:waittimer 100260 blt 0,0,640,480,0, 10254,640,480:waittimer 100280 blt 0,0,640,480,0, 10256,640,480:waittimer 100299 blt 0,0,640,480,0, 10258,640,480:waittimer 100319 blt 0,0,640,480,0, 10260,640,480:waittimer 100338 blt 0,0,640,480,0, 10262,640,480:waittimer 100358 blt 0,0,640,480,0, 10264,640,480:waittimer 100377 blt 0,0,640,480,0, 10266,640,480:waittimer 100397 blt 0,0,640,480,0, 10268,640,480:waittimer 100417 blt 0,0,640,480,0, 10270,640,480:waittimer 100436 blt 0,0,640,480,0, 10272,640,480:waittimer 100456 blt 0,0,640,480,0, 10274,640,480:waittimer 100475 blt 0,0,640,480,0, 10276,640,480:waittimer 100495 blt 0,0,640,480,0, 10278,640,480:waittimer 100514 blt 0,0,640,480,0, 10280,640,480:waittimer 100534 blt 0,0,640,480,0, 10282,640,480:waittimer 100553 blt 0,0,640,480,0, 10284,640,480:waittimer 100573 blt 0,0,640,480,0, 10286,640,480:waittimer 100593 blt 0,0,640,480,0, 10288,640,480:waittimer 100612 blt 0,0,640,480,0, 10290,640,480:waittimer 100632 blt 0,0,640,480,0, 10292,640,480:waittimer 100651 blt 0,0,640,480,0, 10294,640,480:waittimer 100671 blt 0,0,640,480,0, 10296,640,480:waittimer 100690 blt 0,0,640,480,0, 10298,640,480:waittimer 100710 blt 0,0,640,480,0, 10300,640,480:waittimer 100730 blt 0,0,640,480,0, 10302,640,480:waittimer 100749 blt 0,0,640,480,0, 10304,640,480:waittimer 100769 blt 0,0,640,480,0, 10306,640,480:waittimer 100788 blt 0,0,640,480,0, 10308,640,480:waittimer 100808 blt 0,0,640,480,0, 10310,640,480:waittimer 100827 blt 0,0,640,480,0, 10312,640,480:waittimer 100847 blt 0,0,640,480,0, 10314,640,480:waittimer 100866 blt 0,0,640,480,0, 10316,640,480:waittimer 100886 blt 0,0,640,480,0, 10318,640,480:waittimer 100906 blt 0,0,640,480,0, 10320,640,480:waittimer 100925 blt 0,0,640,480,0, 10322,640,480:waittimer 100945 blt 0,0,640,480,0, 10324,640,480:waittimer 100964 blt 0,0,640,480,0, 10326,640,480:waittimer 100984 blt 0,0,640,480,0, 10328,640,480:waittimer 101003 blt 0,0,640,480,0, 10330,640,480:waittimer 101023 blt 0,0,640,480,0, 10332,640,480:waittimer 101042 blt 0,0,640,480,0, 10334,640,480:waittimer 101062 blt 0,0,640,480,0, 10336,640,480:waittimer 101082 blt 0,0,640,480,0, 10338,640,480:waittimer 101101 blt 0,0,640,480,0, 10340,640,480:waittimer 101121 blt 0,0,640,480,0, 10342,640,480:waittimer 101140 blt 0,0,640,480,0, 10344,640,480:waittimer 101160 blt 0,0,640,480,0, 10346,640,480:waittimer 101179 blt 0,0,640,480,0, 10348,640,480:waittimer 101199 blt 0,0,640,480,0, 10350,640,480:waittimer 101219 blt 0,0,640,480,0, 10352,640,480:waittimer 101238 blt 0,0,640,480,0, 10354,640,480:waittimer 101258 blt 0,0,640,480,0, 10356,640,480:waittimer 101277 blt 0,0,640,480,0, 10358,640,480:waittimer 101297 blt 0,0,640,480,0, 10360,640,480:waittimer 101316 blt 0,0,640,480,0, 10362,640,480:waittimer 101336 blt 0,0,640,480,0, 10364,640,480:waittimer 101355 blt 0,0,640,480,0, 10366,640,480:waittimer 101375 blt 0,0,640,480,0, 10368,640,480:waittimer 101395 blt 0,0,640,480,0, 10370,640,480:waittimer 101414 blt 0,0,640,480,0, 10372,640,480:waittimer 101434 blt 0,0,640,480,0, 10374,640,480:waittimer 101453 blt 0,0,640,480,0, 10376,640,480:waittimer 101473 blt 0,0,640,480,0, 10378,640,480:waittimer 101492 blt 0,0,640,480,0, 10380,640,480:waittimer 101512 blt 0,0,640,480,0, 10382,640,480:waittimer 101531 blt 0,0,640,480,0, 10384,640,480:waittimer 101551 blt 0,0,640,480,0, 10386,640,480:waittimer 101571 blt 0,0,640,480,0, 10388,640,480:waittimer 101590 blt 0,0,640,480,0, 10390,640,480:waittimer 101610 blt 0,0,640,480,0, 10392,640,480:waittimer 101629 blt 0,0,640,480,0, 10394,640,480:waittimer 101649 blt 0,0,640,480,0, 10396,640,480:waittimer 101668 blt 0,0,640,480,0, 10398,640,480:waittimer 101688 blt 0,0,640,480,0, 10400,640,480:waittimer 101707 blt 0,0,640,480,0, 10402,640,480:waittimer 101727 blt 0,0,640,480,0, 10404,640,480:waittimer 101747 blt 0,0,640,480,0, 10406,640,480:waittimer 101766 blt 0,0,640,480,0, 10408,640,480:waittimer 101786 blt 0,0,640,480,0, 10410,640,480:waittimer 101805 blt 0,0,640,480,0, 10412,640,480:waittimer 101825 blt 0,0,640,480,0, 10414,640,480:waittimer 101844 blt 0,0,640,480,0, 10416,640,480:waittimer 101864 blt 0,0,640,480,0, 10418,640,480:waittimer 101884 blt 0,0,640,480,0, 10420,640,480:waittimer 101903 blt 0,0,640,480,0, 10422,640,480:waittimer 101923 blt 0,0,640,480,0, 10424,640,480:waittimer 101942 blt 0,0,640,480,0, 10426,640,480:waittimer 101962 blt 0,0,640,480,0, 10428,640,480:waittimer 101981 blt 0,0,640,480,0, 10430,640,480:waittimer 102001 blt 0,0,640,480,0, 10432,640,480:waittimer 102020 blt 0,0,640,480,0, 10434,640,480:waittimer 102040 blt 0,0,640,480,0, 10436,640,480:waittimer 102060 blt 0,0,640,480,0, 10438,640,480:waittimer 102079 blt 0,0,640,480,0, 10440,640,480:waittimer 102099 blt 0,0,640,480,0, 10442,640,480:waittimer 102118 blt 0,0,640,480,0, 10444,640,480:waittimer 102138 blt 0,0,640,480,0, 10446,640,480:waittimer 102157 blt 0,0,640,480,0, 10448,640,480:waittimer 102177 blt 0,0,640,480,0, 10450,640,480:waittimer 102196 blt 0,0,640,480,0, 10452,640,480:waittimer 102216 blt 0,0,640,480,0, 10454,640,480:waittimer 102236 blt 0,0,640,480,0, 10456,640,480:waittimer 102255 blt 0,0,640,480,0, 10458,640,480:waittimer 102275 blt 0,0,640,480,0, 10460,640,480:waittimer 102294 blt 0,0,640,480,0, 10462,640,480:waittimer 102314 blt 0,0,640,480,0, 10464,640,480:waittimer 102333 blt 0,0,640,480,0, 10466,640,480:waittimer 102353 blt 0,0,640,480,0, 10468,640,480:waittimer 102373 blt 0,0,640,480,0, 10470,640,480:waittimer 102392 blt 0,0,640,480,0, 10472,640,480:waittimer 102412 blt 0,0,640,480,0, 10474,640,480:waittimer 102431 blt 0,0,640,480,0, 10476,640,480:waittimer 102451 blt 0,0,640,480,0, 10478,640,480:waittimer 102470 blt 0,0,640,480,0, 10480,640,480:waittimer 102490 blt 0,0,640,480,0, 10482,640,480:waittimer 102509 blt 0,0,640,480,0, 10484,640,480:waittimer 102529 blt 0,0,640,480,0, 10486,640,480:waittimer 102549 blt 0,0,640,480,0, 10488,640,480:waittimer 102568 blt 0,0,640,480,0, 10490,640,480:waittimer 102588 blt 0,0,640,480,0, 10492,640,480:waittimer 102607 blt 0,0,640,480,0, 10494,640,480:waittimer 102627 blt 0,0,640,480,0, 10496,640,480:waittimer 102646 blt 0,0,640,480,0, 10498,640,480:waittimer 102666 blt 0,0,640,480,0, 10500,640,480:waittimer 102685 blt 0,0,640,480,0, 10502,640,480:waittimer 102705 blt 0,0,640,480,0, 10504,640,480:waittimer 102725 blt 0,0,640,480,0, 10506,640,480:waittimer 102744 blt 0,0,640,480,0, 10508,640,480:waittimer 102764 blt 0,0,640,480,0, 10510,640,480:waittimer 102783 blt 0,0,640,480,0, 10512,640,480:waittimer 102803 blt 0,0,640,480,0, 10514,640,480:waittimer 102822 blt 0,0,640,480,0, 10516,640,480:waittimer 102842 blt 0,0,640,480,0, 10518,640,480:waittimer 102861 blt 0,0,640,480,0, 10520,640,480:waittimer 102881 blt 0,0,640,480,0, 10522,640,480:waittimer 102901 blt 0,0,640,480,0, 10524,640,480:waittimer 102920 blt 0,0,640,480,0, 10526,640,480:waittimer 102940 blt 0,0,640,480,0, 10528,640,480:waittimer 102959 blt 0,0,640,480,0, 10530,640,480:waittimer 102979 blt 0,0,640,480,0, 10532,640,480:waittimer 102998 blt 0,0,640,480,0, 10534,640,480:waittimer 103018 blt 0,0,640,480,0, 10536,640,480:waittimer 103038 blt 0,0,640,480,0, 10538,640,480:waittimer 103057 blt 0,0,640,480,0, 10540,640,480:waittimer 103077 blt 0,0,640,480,0, 10542,640,480:waittimer 103096 blt 0,0,640,480,0, 10544,640,480:waittimer 103116 blt 0,0,640,480,0, 10546,640,480:waittimer 103135 blt 0,0,640,480,0, 10548,640,480:waittimer 103155 blt 0,0,640,480,0, 10550,640,480:waittimer 103174 blt 0,0,640,480,0, 10552,640,480:waittimer 103194 blt 0,0,640,480,0, 10554,640,480:waittimer 103214 blt 0,0,640,480,0, 10556,640,480:waittimer 103233 blt 0,0,640,480,0, 10558,640,480:waittimer 103253 blt 0,0,640,480,0, 10560,640,480:waittimer 103272 blt 0,0,640,480,0, 10562,640,480:waittimer 103292 blt 0,0,640,480,0, 10564,640,480:waittimer 103311 blt 0,0,640,480,0, 10566,640,480:waittimer 103331 blt 0,0,640,480,0, 10568,640,480:waittimer 103350 blt 0,0,640,480,0, 10570,640,480:waittimer 103370 blt 0,0,640,480,0, 10572,640,480:waittimer 103390 blt 0,0,640,480,0, 10574,640,480:waittimer 103409 blt 0,0,640,480,0, 10576,640,480:waittimer 103429 blt 0,0,640,480,0, 10578,640,480:waittimer 103448 blt 0,0,640,480,0, 10580,640,480:waittimer 103468 blt 0,0,640,480,0, 10582,640,480:waittimer 103487 blt 0,0,640,480,0, 10584,640,480:waittimer 103507 blt 0,0,640,480,0, 10586,640,480:waittimer 103526 blt 0,0,640,480,0, 10588,640,480:waittimer 103546 blt 0,0,640,480,0, 10590,640,480:waittimer 103566 blt 0,0,640,480,0, 10592,640,480:waittimer 103585 blt 0,0,640,480,0, 10594,640,480:waittimer 103605 blt 0,0,640,480,0, 10596,640,480:waittimer 103624 blt 0,0,640,480,0, 10598,640,480:waittimer 103644 blt 0,0,640,480,0, 10600,640,480:waittimer 103663 blt 0,0,640,480,0, 10602,640,480:waittimer 103683 blt 0,0,640,480,0, 10604,640,480:waittimer 103703 blt 0,0,640,480,0, 10606,640,480:waittimer 103722 blt 0,0,640,480,0, 10608,640,480:waittimer 103742 blt 0,0,640,480,0, 10610,640,480:waittimer 103761 blt 0,0,640,480,0, 10612,640,480:waittimer 103781 blt 0,0,640,480,0, 10614,640,480:waittimer 103800 blt 0,0,640,480,0, 10616,640,480:waittimer 103820 blt 0,0,640,480,0, 10618,640,480:waittimer 103839 blt 0,0,640,480,0, 10620,640,480:waittimer 103859 blt 0,0,640,480,0, 10622,640,480:waittimer 103879 blt 0,0,640,480,0, 10624,640,480:waittimer 103898 blt 0,0,640,480,0, 10626,640,480:waittimer 103918 blt 0,0,640,480,0, 10628,640,480:waittimer 103937 blt 0,0,640,480,0, 10630,640,480:waittimer 103957 blt 0,0,640,480,0, 10632,640,480:waittimer 103976 blt 0,0,640,480,0, 10634,640,480:waittimer 103996 blt 0,0,640,480,0, 10636,640,480:waittimer 104015 blt 0,0,640,480,0, 10638,640,480:waittimer 104035 blt 0,0,640,480,0, 10640,640,480:waittimer 104055 blt 0,0,640,480,0, 10642,640,480:waittimer 104074 blt 0,0,640,480,0, 10644,640,480:waittimer 104094 blt 0,0,640,480,0, 10646,640,480:waittimer 104113 blt 0,0,640,480,0, 10648,640,480:waittimer 104133 blt 0,0,640,480,0, 10650,640,480:waittimer 104152 blt 0,0,640,480,0, 10652,640,480:waittimer 104172 blt 0,0,640,480,0, 10654,640,480:waittimer 104192 blt 0,0,640,480,0, 10656,640,480:waittimer 104211 blt 0,0,640,480,0, 10658,640,480:waittimer 104231 blt 0,0,640,480,0, 10660,640,480:waittimer 104250 blt 0,0,640,480,0, 10662,640,480:waittimer 104270 blt 0,0,640,480,0, 10664,640,480:waittimer 104289 blt 0,0,640,480,0, 10666,640,480:waittimer 104309 blt 0,0,640,480,0, 10668,640,480:waittimer 104328 blt 0,0,640,480,0, 10670,640,480:waittimer 104348 blt 0,0,640,480,0, 10672,640,480:waittimer 104368 blt 0,0,640,480,0, 10674,640,480:waittimer 104387 blt 0,0,640,480,0, 10676,640,480:waittimer 104407 blt 0,0,640,480,0, 10678,640,480:waittimer 104426 blt 0,0,640,480,0, 10680,640,480:waittimer 104446 blt 0,0,640,480,0, 10682,640,480:waittimer 104465 blt 0,0,640,480,0, 10684,640,480:waittimer 104485 blt 0,0,640,480,0, 10686,640,480:waittimer 104504 blt 0,0,640,480,0, 10688,640,480:waittimer 104524 blt 0,0,640,480,0, 10690,640,480:waittimer 104544 blt 0,0,640,480,0, 10692,640,480:waittimer 104563 blt 0,0,640,480,0, 10694,640,480:waittimer 104583 blt 0,0,640,480,0, 10696,640,480:waittimer 104602 blt 0,0,640,480,0, 10698,640,480:waittimer 104622 blt 0,0,640,480,0, 10700,640,480:waittimer 104641 blt 0,0,640,480,0, 10702,640,480:waittimer 104661 blt 0,0,640,480,0, 10704,640,480:waittimer 104680 blt 0,0,640,480,0, 10706,640,480:waittimer 104700 blt 0,0,640,480,0, 10708,640,480:waittimer 104720 blt 0,0,640,480,0, 10710,640,480:waittimer 104739 blt 0,0,640,480,0, 10712,640,480:waittimer 104759 blt 0,0,640,480,0, 10714,640,480:waittimer 104778 blt 0,0,640,480,0, 10716,640,480:waittimer 104798 blt 0,0,640,480,0, 10718,640,480:waittimer 104817 blt 0,0,640,480,0, 10720,640,480:waittimer 104837 blt 0,0,640,480,0, 10722,640,480:waittimer 104857 blt 0,0,640,480,0, 10724,640,480:waittimer 104876 blt 0,0,640,480,0, 10726,640,480:waittimer 104896 blt 0,0,640,480,0, 10728,640,480:waittimer 104915 blt 0,0,640,480,0, 10730,640,480:waittimer 104935 blt 0,0,640,480,0, 10732,640,480:waittimer 104954 blt 0,0,640,480,0, 10734,640,480:waittimer 104974 blt 0,0,640,480,0, 10736,640,480:waittimer 104993 blt 0,0,640,480,0, 10738,640,480:waittimer 105013 blt 0,0,640,480,0, 10740,640,480:waittimer 105033 blt 0,0,640,480,0, 10742,640,480:waittimer 105052 blt 0,0,640,480,0, 10744,640,480:waittimer 105072 blt 0,0,640,480,0, 10746,640,480:waittimer 105091 blt 0,0,640,480,0, 10748,640,480:waittimer 105111 blt 0,0,640,480,0, 10750,640,480:waittimer 105130 blt 0,0,640,480,0, 10752,640,480:waittimer 105150 blt 0,0,640,480,0, 10754,640,480:waittimer 105169 blt 0,0,640,480,0, 10756,640,480:waittimer 105189 blt 0,0,640,480,0, 10758,640,480:waittimer 105209 blt 0,0,640,480,0, 10760,640,480:waittimer 105228 blt 0,0,640,480,0, 10762,640,480:waittimer 105248 blt 0,0,640,480,0, 10764,640,480:waittimer 105267 blt 0,0,640,480,0, 10766,640,480:waittimer 105287 blt 0,0,640,480,0, 10768,640,480:waittimer 105306 blt 0,0,640,480,0, 10770,640,480:waittimer 105326 blt 0,0,640,480,0, 10772,640,480:waittimer 105346 blt 0,0,640,480,0, 10774,640,480:waittimer 105365 blt 0,0,640,480,0, 10776,640,480:waittimer 105385 blt 0,0,640,480,0, 10778,640,480:waittimer 105404 blt 0,0,640,480,0, 10780,640,480:waittimer 105424 blt 0,0,640,480,0, 10782,640,480:waittimer 105443 blt 0,0,640,480,0, 10784,640,480:waittimer 105463 blt 0,0,640,480,0, 10786,640,480:waittimer 105482 blt 0,0,640,480,0, 10788,640,480:waittimer 105502 blt 0,0,640,480,0, 10790,640,480:waittimer 105522 blt 0,0,640,480,0, 10792,640,480:waittimer 105541 blt 0,0,640,480,0, 10794,640,480:waittimer 105561 blt 0,0,640,480,0, 10796,640,480:waittimer 105580 blt 0,0,640,480,0, 10798,640,480:waittimer 105600 blt 0,0,640,480,0, 10800,640,480:waittimer 105619 blt 0,0,640,480,0, 10802,640,480:waittimer 105639 blt 0,0,640,480,0, 10804,640,480:waittimer 105658 blt 0,0,640,480,0, 10806,640,480:waittimer 105678 blt 0,0,640,480,0, 10808,640,480:waittimer 105698 blt 0,0,640,480,0, 10810,640,480:waittimer 105717 blt 0,0,640,480,0, 10812,640,480:waittimer 105737 blt 0,0,640,480,0, 10814,640,480:waittimer 105756 blt 0,0,640,480,0, 10816,640,480:waittimer 105776 blt 0,0,640,480,0, 10818,640,480:waittimer 105795 blt 0,0,640,480,0, 10820,640,480:waittimer 105815 blt 0,0,640,480,0, 10822,640,480:waittimer 105834 blt 0,0,640,480,0, 10824,640,480:waittimer 105854 blt 0,0,640,480,0, 10826,640,480:waittimer 105874 blt 0,0,640,480,0, 10828,640,480:waittimer 105893 blt 0,0,640,480,0, 10830,640,480:waittimer 105913 blt 0,0,640,480,0, 10832,640,480:waittimer 105932 blt 0,0,640,480,0, 10834,640,480:waittimer 105952 blt 0,0,640,480,0, 10836,640,480:waittimer 105971 blt 0,0,640,480,0, 10838,640,480:waittimer 105991 blt 0,0,640,480,0, 10840,640,480:waittimer 106011 blt 0,0,640,480,0, 10842,640,480:waittimer 106030 blt 0,0,640,480,0, 10844,640,480:waittimer 106050 blt 0,0,640,480,0, 10846,640,480:waittimer 106069 blt 0,0,640,480,0, 10848,640,480:waittimer 106089 blt 0,0,640,480,0, 10850,640,480:waittimer 106108 blt 0,0,640,480,0, 10852,640,480:waittimer 106128 blt 0,0,640,480,0, 10854,640,480:waittimer 106147 blt 0,0,640,480,0, 10856,640,480:waittimer 106167 blt 0,0,640,480,0, 10858,640,480:waittimer 106187 blt 0,0,640,480,0, 10860,640,480:waittimer 106206 blt 0,0,640,480,0, 10862,640,480:waittimer 106226 blt 0,0,640,480,0, 10864,640,480:waittimer 106245 blt 0,0,640,480,0, 10866,640,480:waittimer 106265 blt 0,0,640,480,0, 10868,640,480:waittimer 106284 blt 0,0,640,480,0, 10870,640,480:waittimer 106304 blt 0,0,640,480,0, 10872,640,480:waittimer 106323 blt 0,0,640,480,0, 10874,640,480:waittimer 106343 blt 0,0,640,480,0, 10876,640,480:waittimer 106363 blt 0,0,640,480,0, 10878,640,480:waittimer 106382 blt 0,0,640,480,0, 10880,640,480:waittimer 106402 blt 0,0,640,480,0, 10882,640,480:waittimer 106421 blt 0,0,640,480,0, 10884,640,480:waittimer 106441 blt 0,0,640,480,0, 10886,640,480:waittimer 106460 blt 0,0,640,480,0, 10888,640,480:waittimer 106480 blt 0,0,640,480,0, 10890,640,480:waittimer 106500 blt 0,0,640,480,0, 10892,640,480:waittimer 106519 blt 0,0,640,480,0, 10894,640,480:waittimer 106539 blt 0,0,640,480,0, 10896,640,480:waittimer 106558 blt 0,0,640,480,0, 10898,640,480:waittimer 106578 blt 0,0,640,480,0, 10900,640,480:waittimer 106597 blt 0,0,640,480,0, 10902,640,480:waittimer 106617 blt 0,0,640,480,0, 10904,640,480:waittimer 106636 blt 0,0,640,480,0, 10906,640,480:waittimer 106656 blt 0,0,640,480,0, 10908,640,480:waittimer 106676 blt 0,0,640,480,0, 10910,640,480:waittimer 106695 blt 0,0,640,480,0, 10912,640,480:waittimer 106715 blt 0,0,640,480,0, 10914,640,480:waittimer 106734 blt 0,0,640,480,0, 10916,640,480:waittimer 106754 blt 0,0,640,480,0, 10918,640,480:waittimer 106773 blt 0,0,640,480,0, 10920,640,480:waittimer 106793 blt 0,0,640,480,0, 10922,640,480:waittimer 106812 blt 0,0,640,480,0, 10924,640,480:waittimer 106832 blt 0,0,640,480,0, 10926,640,480:waittimer 106852 blt 0,0,640,480,0, 10928,640,480:waittimer 106871 blt 0,0,640,480,0, 10930,640,480:waittimer 106891 blt 0,0,640,480,0, 10932,640,480:waittimer 106910 blt 0,0,640,480,0, 10934,640,480:waittimer 106930 blt 0,0,640,480,0, 10936,640,480:waittimer 106949 blt 0,0,640,480,0, 10938,640,480:waittimer 106969 blt 0,0,640,480,0, 10940,640,480:waittimer 106988 blt 0,0,640,480,0, 10942,640,480:waittimer 107008 blt 0,0,640,480,0, 10944,640,480:waittimer 107028 blt 0,0,640,480,0, 10946,640,480:waittimer 107047 blt 0,0,640,480,0, 10948,640,480:waittimer 107067 blt 0,0,640,480,0, 10950,640,480:waittimer 107086 blt 0,0,640,480,0, 10952,640,480:waittimer 107106 blt 0,0,640,480,0, 10954,640,480:waittimer 107125 blt 0,0,640,480,0, 10956,640,480:waittimer 107145 blt 0,0,640,480,0, 10958,640,480:waittimer 107165 blt 0,0,640,480,0, 10960,640,480:waittimer 107184 blt 0,0,640,480,0, 10962,640,480:waittimer 107204 blt 0,0,640,480,0, 10964,640,480:waittimer 107223 blt 0,0,640,480,0, 10966,640,480:waittimer 107243 blt 0,0,640,480,0, 10968,640,480:waittimer 107262 blt 0,0,640,480,0, 10970,640,480:waittimer 107282 blt 0,0,640,480,0, 10972,640,480:waittimer 107301 blt 0,0,640,480,0, 10974,640,480:waittimer 107321 blt 0,0,640,480,0, 10976,640,480:waittimer 107341 blt 0,0,640,480,0, 10978,640,480:waittimer 107360 blt 0,0,640,480,0, 10980,640,480:waittimer 107380 blt 0,0,640,480,0, 10982,640,480:waittimer 107399 blt 0,0,640,480,0, 10984,640,480:waittimer 107419 blt 0,0,640,480,0, 10986,640,480:waittimer 107438 blt 0,0,640,480,0, 10988,640,480:waittimer 107458 blt 0,0,640,480,0, 10990,640,480:waittimer 107477 blt 0,0,640,480,0, 10992,640,480:waittimer 107497 blt 0,0,640,480,0, 10994,640,480:waittimer 107517 blt 0,0,640,480,0, 10996,640,480:waittimer 107536 blt 0,0,640,480,0, 10998,640,480:waittimer 107556 blt 0,0,640,480,0, 11000,640,480:waittimer 107575 blt 0,0,640,480,0, 11002,640,480:waittimer 107595 blt 0,0,640,480,0, 11004,640,480:waittimer 107614 blt 0,0,640,480,0, 11006,640,480:waittimer 107634 blt 0,0,640,480,0, 11008,640,480:waittimer 107653 blt 0,0,640,480,0, 11010,640,480:waittimer 107673 blt 0,0,640,480,0, 11012,640,480:waittimer 107693 blt 0,0,640,480,0, 11014,640,480:waittimer 107712 blt 0,0,640,480,0, 11016,640,480:waittimer 107732 blt 0,0,640,480,0, 11018,640,480:waittimer 107751 blt 0,0,640,480,0, 11020,640,480:waittimer 107771 blt 0,0,640,480,0, 11022,640,480:waittimer 107790 blt 0,0,640,480,0, 11024,640,480:waittimer 107810 blt 0,0,640,480,0, 11026,640,480:waittimer 107830 blt 0,0,640,480,0, 11028,640,480:waittimer 107849 blt 0,0,640,480,0, 11030,640,480:waittimer 107869 blt 0,0,640,480,0, 11032,640,480:waittimer 107888 blt 0,0,640,480,0, 11034,640,480:waittimer 107908 blt 0,0,640,480,0, 11036,640,480:waittimer 107927 blt 0,0,640,480,0, 11038,640,480:waittimer 107947 blt 0,0,640,480,0, 11040,640,480:waittimer 107966 blt 0,0,640,480,0, 11042,640,480:waittimer 107986 blt 0,0,640,480,0, 11044,640,480:waittimer 108006 blt 0,0,640,480,0, 11046,640,480:waittimer 108025 blt 0,0,640,480,0, 11048,640,480:waittimer 108045 blt 0,0,640,480,0, 11050,640,480:waittimer 108064 blt 0,0,640,480,0, 11052,640,480:waittimer 108084 blt 0,0,640,480,0, 11054,640,480:waittimer 108103 blt 0,0,640,480,0, 11056,640,480:waittimer 108123 blt 0,0,640,480,0, 11058,640,480:waittimer 108142 blt 0,0,640,480,0, 11060,640,480:waittimer 108162 blt 0,0,640,480,0, 11062,640,480:waittimer 108182 blt 0,0,640,480,0, 11064,640,480:waittimer 108201 blt 0,0,640,480,0, 11066,640,480:waittimer 108221 blt 0,0,640,480,0, 11068,640,480:waittimer 108240 blt 0,0,640,480,0, 11070,640,480:waittimer 108260 blt 0,0,640,480,0, 11072,640,480:waittimer 108279 blt 0,0,640,480,0, 11074,640,480:waittimer 108299 blt 0,0,640,480,0, 11076,640,480:waittimer 108319 blt 0,0,640,480,0, 11078,640,480:waittimer 108338 blt 0,0,640,480,0, 11080,640,480:waittimer 108358 blt 0,0,640,480,0, 11082,640,480:waittimer 108377 blt 0,0,640,480,0, 11084,640,480:waittimer 108397 blt 0,0,640,480,0, 11086,640,480:waittimer 108416 blt 0,0,640,480,0, 11088,640,480:waittimer 108436 blt 0,0,640,480,0, 11090,640,480:waittimer 108455 blt 0,0,640,480,0, 11092,640,480:waittimer 108475 blt 0,0,640,480,0, 11094,640,480:waittimer 108495 blt 0,0,640,480,0, 11096,640,480:waittimer 108514 blt 0,0,640,480,0, 11098,640,480:waittimer 108534 blt 0,0,640,480,0, 11100,640,480:waittimer 108553 blt 0,0,640,480,0, 11102,640,480:waittimer 108573 blt 0,0,640,480,0, 11104,640,480:waittimer 108592 blt 0,0,640,480,0, 11106,640,480:waittimer 108612 blt 0,0,640,480,0, 11108,640,480:waittimer 108631 blt 0,0,640,480,0, 11110,640,480:waittimer 108651 blt 0,0,640,480,0, 11112,640,480:waittimer 108671 blt 0,0,640,480,0, 11114,640,480:waittimer 108690 blt 0,0,640,480,0, 11116,640,480:waittimer 108710 blt 0,0,640,480,0, 11118,640,480:waittimer 108729 blt 0,0,640,480,0, 11120,640,480:waittimer 108749 blt 0,0,640,480,0, 11122,640,480:waittimer 108768 blt 0,0,640,480,0, 11124,640,480:waittimer 108788 blt 0,0,640,480,0, 11126,640,480:waittimer 108807 blt 0,0,640,480,0, 11128,640,480:waittimer 108827 blt 0,0,640,480,0, 11130,640,480:waittimer 108847 blt 0,0,640,480,0, 11132,640,480:waittimer 108866 blt 0,0,640,480,0, 11134,640,480:waittimer 108886 blt 0,0,640,480,0, 11136,640,480:waittimer 108905 blt 0,0,640,480,0, 11138,640,480:waittimer 108925 blt 0,0,640,480,0, 11140,640,480:waittimer 108944 blt 0,0,640,480,0, 11142,640,480:waittimer 108964 blt 0,0,640,480,0, 11144,640,480:waittimer 108984 blt 0,0,640,480,0, 11146,640,480:waittimer 109003 blt 0,0,640,480,0, 11148,640,480:waittimer 109023 blt 0,0,640,480,0, 11150,640,480:waittimer 109042 blt 0,0,640,480,0, 11152,640,480:waittimer 109062 blt 0,0,640,480,0, 11154,640,480:waittimer 109081 blt 0,0,640,480,0, 11156,640,480:waittimer 109101 blt 0,0,640,480,0, 11158,640,480:waittimer 109120 blt 0,0,640,480,0, 11160,640,480:waittimer 109140 blt 0,0,640,480,0, 11162,640,480:waittimer 109160 blt 0,0,640,480,0, 11164,640,480:waittimer 109179 blt 0,0,640,480,0, 11166,640,480:waittimer 109199 blt 0,0,640,480,0, 11168,640,480:waittimer 109218 blt 0,0,640,480,0, 11170,640,480:waittimer 109238 blt 0,0,640,480,0, 11172,640,480:waittimer 109257 blt 0,0,640,480,0, 11174,640,480:waittimer 109277 blt 0,0,640,480,0, 11176,640,480:waittimer 109296 blt 0,0,640,480,0, 11178,640,480:waittimer 109316 blt 0,0,640,480,0, 11180,640,480:waittimer 109336 blt 0,0,640,480,0, 11182,640,480:waittimer 109355 blt 0,0,640,480,0, 11184,640,480:waittimer 109375 blt 0,0,640,480,0, 11186,640,480:waittimer 109394 blt 0,0,640,480,0, 11188,640,480:waittimer 109414 blt 0,0,640,480,0, 11190,640,480:waittimer 109433 blt 0,0,640,480,0, 11192,640,480:waittimer 109453 blt 0,0,640,480,0, 11194,640,480:waittimer 109473 blt 0,0,640,480,0, 11196,640,480:waittimer 109492 blt 0,0,640,480,0, 11198,640,480:waittimer 109512 blt 0,0,640,480,0, 11200,640,480:waittimer 109531 blt 0,0,640,480,0, 11202,640,480:waittimer 109551 blt 0,0,640,480,0, 11204,640,480:waittimer 109570 blt 0,0,640,480,0, 11206,640,480:waittimer 109590 blt 0,0,640,480,0, 11208,640,480:waittimer 109609 blt 0,0,640,480,0, 11210,640,480:waittimer 109629 blt 0,0,640,480,0, 11212,640,480:waittimer 109649 blt 0,0,640,480,0, 11214,640,480:waittimer 109668 blt 0,0,640,480,0, 11216,640,480:waittimer 109688 blt 0,0,640,480,0, 11218,640,480:waittimer 109707 blt 0,0,640,480,0, 11220,640,480:waittimer 109727 blt 0,0,640,480,0, 11222,640,480:waittimer 109746 blt 0,0,640,480,0, 11224,640,480:waittimer 109766 blt 0,0,640,480,0, 11226,640,480:waittimer 109785 blt 0,0,640,480,0, 11228,640,480:waittimer 109805 blt 0,0,640,480,0, 11230,640,480:waittimer 109825 blt 0,0,640,480,0, 11232,640,480:waittimer 109844 blt 0,0,640,480,0, 11234,640,480:waittimer 109864 blt 0,0,640,480,0, 11236,640,480:waittimer 109883 blt 0,0,640,480,0, 11238,640,480:waittimer 109903 blt 0,0,640,480,0, 11240,640,480:waittimer 109922 blt 0,0,640,480,0, 11242,640,480:waittimer 109942 blt 0,0,640,480,0, 11244,640,480:waittimer 109961 blt 0,0,640,480,0, 11246,640,480:waittimer 109981 blt 0,0,640,480,0, 11248,640,480:waittimer 110001 blt 0,0,640,480,0, 11250,640,480:waittimer 110020 blt 0,0,640,480,0, 11252,640,480:waittimer 110040 blt 0,0,640,480,0, 11254,640,480:waittimer 110059 blt 0,0,640,480,0, 11256,640,480:waittimer 110079 blt 0,0,640,480,0, 11258,640,480:waittimer 110098 blt 0,0,640,480,0, 11260,640,480:waittimer 110118 blt 0,0,640,480,0, 11262,640,480:waittimer 110138 blt 0,0,640,480,0, 11264,640,480:waittimer 110157 blt 0,0,640,480,0, 11266,640,480:waittimer 110177 blt 0,0,640,480,0, 11268,640,480:waittimer 110196 blt 0,0,640,480,0, 11270,640,480:waittimer 110216 blt 0,0,640,480,0, 11272,640,480:waittimer 110235 blt 0,0,640,480,0, 11274,640,480:waittimer 110255 blt 0,0,640,480,0, 11276,640,480:waittimer 110274 blt 0,0,640,480,0, 11278,640,480:waittimer 110294 blt 0,0,640,480,0, 11280,640,480:waittimer 110314 blt 0,0,640,480,0, 11282,640,480:waittimer 110333 blt 0,0,640,480,0, 11284,640,480:waittimer 110353 blt 0,0,640,480,0, 11286,640,480:waittimer 110372 blt 0,0,640,480,0, 11288,640,480:waittimer 110392 blt 0,0,640,480,0, 11290,640,480:waittimer 110411 blt 0,0,640,480,0, 11292,640,480:waittimer 110431 blt 0,0,640,480,0, 11294,640,480:waittimer 110450 blt 0,0,640,480,0, 11296,640,480:waittimer 110470 blt 0,0,640,480,0, 11298,640,480:waittimer 110490 blt 0,0,640,480,0, 11300,640,480:waittimer 110509 blt 0,0,640,480,0, 11302,640,480:waittimer 110529 blt 0,0,640,480,0, 11304,640,480:waittimer 110548 blt 0,0,640,480,0, 11306,640,480:waittimer 110568 blt 0,0,640,480,0, 11308,640,480:waittimer 110587 blt 0,0,640,480,0, 11310,640,480:waittimer 110607 blt 0,0,640,480,0, 11312,640,480:waittimer 110626 blt 0,0,640,480,0, 11314,640,480:waittimer 110646 blt 0,0,640,480,0, 11316,640,480:waittimer 110666 blt 0,0,640,480,0, 11318,640,480:waittimer 110685 blt 0,0,640,480,0, 11320,640,480:waittimer 110705 blt 0,0,640,480,0, 11322,640,480:waittimer 110724 blt 0,0,640,480,0, 11324,640,480:waittimer 110744 blt 0,0,640,480,0, 11326,640,480:waittimer 110763 blt 0,0,640,480,0, 11328,640,480:waittimer 110783 blt 0,0,640,480,0, 11330,640,480:waittimer 110803 blt 0,0,640,480,0, 11332,640,480:waittimer 110822 blt 0,0,640,480,0, 11334,640,480:waittimer 110842 blt 0,0,640,480,0, 11336,640,480:waittimer 110861 blt 0,0,640,480,0, 11338,640,480:waittimer 110881 blt 0,0,640,480,0, 11340,640,480:waittimer 110900 blt 0,0,640,480,0, 11342,640,480:waittimer 110920 blt 0,0,640,480,0, 11344,640,480:waittimer 110939 blt 0,0,640,480,0, 11346,640,480:waittimer 110959 blt 0,0,640,480,0, 11348,640,480:waittimer 110979 blt 0,0,640,480,0, 11350,640,480:waittimer 110998 blt 0,0,640,480,0, 11352,640,480:waittimer 111018 blt 0,0,640,480,0, 11354,640,480:waittimer 111037 blt 0,0,640,480,0, 11356,640,480:waittimer 111057 blt 0,0,640,480,0, 11358,640,480:waittimer 111076 blt 0,0,640,480,0, 11360,640,480:waittimer 111096 blt 0,0,640,480,0, 11362,640,480:waittimer 111115 blt 0,0,640,480,0, 11364,640,480:waittimer 111135 blt 0,0,640,480,0, 11366,640,480:waittimer 111155 blt 0,0,640,480,0, 11368,640,480:waittimer 111174 blt 0,0,640,480,0, 11370,640,480:waittimer 111194 blt 0,0,640,480,0, 11372,640,480:waittimer 111213 blt 0,0,640,480,0, 11374,640,480:waittimer 111233 blt 0,0,640,480,0, 11376,640,480:waittimer 111252 blt 0,0,640,480,0, 11378,640,480:waittimer 111272 blt 0,0,640,480,0, 11380,640,480:waittimer 111292 blt 0,0,640,480,0, 11382,640,480:waittimer 111311 blt 0,0,640,480,0, 11384,640,480:waittimer 111331 blt 0,0,640,480,0, 11386,640,480:waittimer 111350 blt 0,0,640,480,0, 11388,640,480:waittimer 111370 blt 0,0,640,480,0, 11390,640,480:waittimer 111389 blt 0,0,640,480,0, 11392,640,480:waittimer 111409 blt 0,0,640,480,0, 11394,640,480:waittimer 111428 blt 0,0,640,480,0, 11396,640,480:waittimer 111448 blt 0,0,640,480,0, 11398,640,480:waittimer 111468 blt 0,0,640,480,0, 11400,640,480:waittimer 111487 blt 0,0,640,480,0, 11402,640,480:waittimer 111507 blt 0,0,640,480,0, 11404,640,480:waittimer 111526 blt 0,0,640,480,0, 11406,640,480:waittimer 111546 blt 0,0,640,480,0, 11408,640,480:waittimer 111565 blt 0,0,640,480,0, 11410,640,480:waittimer 111585 blt 0,0,640,480,0, 11412,640,480:waittimer 111604 blt 0,0,640,480,0, 11414,640,480:waittimer 111624 blt 0,0,640,480,0, 11416,640,480:waittimer 111644 blt 0,0,640,480,0, 11418,640,480:waittimer 111663 blt 0,0,640,480,0, 11420,640,480:waittimer 111683 blt 0,0,640,480,0, 11422,640,480:waittimer 111702 blt 0,0,640,480,0, 11424,640,480:waittimer 111722 blt 0,0,640,480,0, 11426,640,480:waittimer 111741 blt 0,0,640,480,0, 11428,640,480:waittimer 111761 blt 0,0,640,480,0, 11430,640,480:waittimer 111780 blt 0,0,640,480,0, 11432,640,480:waittimer 111800 blt 0,0,640,480,0, 11434,640,480:waittimer 111820 blt 0,0,640,480,0, 11436,640,480:waittimer 111839 blt 0,0,640,480,0, 11438,640,480:waittimer 111859 blt 0,0,640,480,0, 11440,640,480:waittimer 111878 blt 0,0,640,480,0, 11442,640,480:waittimer 111898 blt 0,0,640,480,0, 11444,640,480:waittimer 111917 blt 0,0,640,480,0, 11446,640,480:waittimer 111937 blt 0,0,640,480,0, 11448,640,480:waittimer 111957 blt 0,0,640,480,0, 11450,640,480:waittimer 111976 blt 0,0,640,480,0, 11452,640,480:waittimer 111996 blt 0,0,640,480,0, 11454,640,480:waittimer 112015 blt 0,0,640,480,0, 11456,640,480:waittimer 112035 blt 0,0,640,480,0, 11458,640,480:waittimer 112054 blt 0,0,640,480,0, 11460,640,480:waittimer 112074 blt 0,0,640,480,0, 11462,640,480:waittimer 112093 blt 0,0,640,480,0, 11464,640,480:waittimer 112113 blt 0,0,640,480,0, 11466,640,480:waittimer 112133 blt 0,0,640,480,0, 11468,640,480:waittimer 112152 blt 0,0,640,480,0, 11470,640,480:waittimer 112172 blt 0,0,640,480,0, 11472,640,480:waittimer 112191 blt 0,0,640,480,0, 11474,640,480:waittimer 112211 blt 0,0,640,480,0, 11476,640,480:waittimer 112230 blt 0,0,640,480,0, 11478,640,480:waittimer 112250 blt 0,0,640,480,0, 11480,640,480:waittimer 112269 blt 0,0,640,480,0, 11482,640,480:waittimer 112289 blt 0,0,640,480,0, 11484,640,480:waittimer 112309 blt 0,0,640,480,0, 11486,640,480:waittimer 112328 blt 0,0,640,480,0, 11488,640,480:waittimer 112348 blt 0,0,640,480,0, 11490,640,480:waittimer 112367 blt 0,0,640,480,0, 11492,640,480:waittimer 112387 blt 0,0,640,480,0, 11494,640,480:waittimer 112406 blt 0,0,640,480,0, 11496,640,480:waittimer 112426 blt 0,0,640,480,0, 11498,640,480:waittimer 112446 blt 0,0,640,480,0, 11500,640,480:waittimer 112465 blt 0,0,640,480,0, 11502,640,480:waittimer 112485 blt 0,0,640,480,0, 11504,640,480:waittimer 112504 blt 0,0,640,480,0, 11506,640,480:waittimer 112524 blt 0,0,640,480,0, 11508,640,480:waittimer 112543 blt 0,0,640,480,0, 11510,640,480:waittimer 112563 blt 0,0,640,480,0, 11512,640,480:waittimer 112582 blt 0,0,640,480,0, 11514,640,480:waittimer 112602 blt 0,0,640,480,0, 11516,640,480:waittimer 112622 blt 0,0,640,480,0, 11518,640,480:waittimer 112641 blt 0,0,640,480,0, 11520,640,480:waittimer 112661 blt 0,0,640,480,0, 11522,640,480:waittimer 112680 blt 0,0,640,480,0, 11524,640,480:waittimer 112700 blt 0,0,640,480,0, 11526,640,480:waittimer 112719 blt 0,0,640,480,0, 11528,640,480:waittimer 112739 blt 0,0,640,480,0, 11530,640,480:waittimer 112758 blt 0,0,640,480,0, 11532,640,480:waittimer 112778 blt 0,0,640,480,0, 11534,640,480:waittimer 112798 blt 0,0,640,480,0, 11536,640,480:waittimer 112817 blt 0,0,640,480,0, 11538,640,480:waittimer 112837 blt 0,0,640,480,0, 11540,640,480:waittimer 112856 blt 0,0,640,480,0, 11542,640,480:waittimer 112876 blt 0,0,640,480,0, 11544,640,480:waittimer 112895 blt 0,0,640,480,0, 11546,640,480:waittimer 112915 blt 0,0,640,480,0, 11548,640,480:waittimer 112934 blt 0,0,640,480,0, 11550,640,480:waittimer 112954 blt 0,0,640,480,0, 11552,640,480:waittimer 112974 blt 0,0,640,480,0, 11554,640,480:waittimer 112993 blt 0,0,640,480,0, 11556,640,480:waittimer 113013 blt 0,0,640,480,0, 11558,640,480:waittimer 113032 blt 0,0,640,480,0, 11560,640,480:waittimer 113052 blt 0,0,640,480,0, 11562,640,480:waittimer 113071 blt 0,0,640,480,0, 11564,640,480:waittimer 113091 blt 0,0,640,480,0, 11566,640,480:waittimer 113111 blt 0,0,640,480,0, 11568,640,480:waittimer 113130 blt 0,0,640,480,0, 11570,640,480:waittimer 113150 blt 0,0,640,480,0, 11572,640,480:waittimer 113169 blt 0,0,640,480,0, 11574,640,480:waittimer 113189 blt 0,0,640,480,0, 11576,640,480:waittimer 113208 blt 0,0,640,480,0, 11578,640,480:waittimer 113228 blt 0,0,640,480,0, 11580,640,480:waittimer 113247 blt 0,0,640,480,0, 11582,640,480:waittimer 113267 blt 0,0,640,480,0, 11584,640,480:waittimer 113287 blt 0,0,640,480,0, 11586,640,480:waittimer 113306 blt 0,0,640,480,0, 11588,640,480:waittimer 113326 blt 0,0,640,480,0, 11590,640,480:waittimer 113345 blt 0,0,640,480,0, 11592,640,480:waittimer 113365 blt 0,0,640,480,0, 11594,640,480:waittimer 113384 blt 0,0,640,480,0, 11596,640,480:waittimer 113404 blt 0,0,640,480,0, 11598,640,480:waittimer 113423 blt 0,0,640,480,0, 11600,640,480:waittimer 113443 blt 0,0,640,480,0, 11602,640,480:waittimer 113463 blt 0,0,640,480,0, 11604,640,480:waittimer 113482 blt 0,0,640,480,0, 11606,640,480:waittimer 113502 blt 0,0,640,480,0, 11608,640,480:waittimer 113521 blt 0,0,640,480,0, 11610,640,480:waittimer 113541 blt 0,0,640,480,0, 11612,640,480:waittimer 113560 blt 0,0,640,480,0, 11614,640,480:waittimer 113580 blt 0,0,640,480,0, 11616,640,480:waittimer 113600 blt 0,0,640,480,0, 11618,640,480:waittimer 113619 blt 0,0,640,480,0, 11620,640,480:waittimer 113639 blt 0,0,640,480,0, 11622,640,480:waittimer 113658 blt 0,0,640,480,0, 11624,640,480:waittimer 113678 blt 0,0,640,480,0, 11626,640,480:waittimer 113697 blt 0,0,640,480,0, 11628,640,480:waittimer 113717 blt 0,0,640,480,0, 11630,640,480:waittimer 113736 blt 0,0,640,480,0, 11632,640,480:waittimer 113756 blt 0,0,640,480,0, 11634,640,480:waittimer 113776 blt 0,0,640,480,0, 11636,640,480:waittimer 113795 blt 0,0,640,480,0, 11638,640,480:waittimer 113815 blt 0,0,640,480,0, 11640,640,480:waittimer 113834 blt 0,0,640,480,0, 11642,640,480:waittimer 113854 blt 0,0,640,480,0, 11644,640,480:waittimer 113873 blt 0,0,640,480,0, 11646,640,480:waittimer 113893 blt 0,0,640,480,0, 11648,640,480:waittimer 113912 blt 0,0,640,480,0, 11650,640,480:waittimer 113932 blt 0,0,640,480,0, 11652,640,480:waittimer 113952 blt 0,0,640,480,0, 11654,640,480:waittimer 113971 blt 0,0,640,480,0, 11656,640,480:waittimer 113991 blt 0,0,640,480,0, 11658,640,480:waittimer 114010 blt 0,0,640,480,0, 11660,640,480:waittimer 114030 blt 0,0,640,480,0, 11662,640,480:waittimer 114049 blt 0,0,640,480,0, 11664,640,480:waittimer 114069 blt 0,0,640,480,0, 11666,640,480:waittimer 114088 blt 0,0,640,480,0, 11668,640,480:waittimer 114108 blt 0,0,640,480,0, 11670,640,480:waittimer 114128 blt 0,0,640,480,0, 11672,640,480:waittimer 114147 blt 0,0,640,480,0, 11674,640,480:waittimer 114167 blt 0,0,640,480,0, 11676,640,480:waittimer 114186 blt 0,0,640,480,0, 11678,640,480:waittimer 114206 blt 0,0,640,480,0, 11680,640,480:waittimer 114225 blt 0,0,640,480,0, 11682,640,480:waittimer 114245 blt 0,0,640,480,0, 11684,640,480:waittimer 114265 blt 0,0,640,480,0, 11686,640,480:waittimer 114284 blt 0,0,640,480,0, 11688,640,480:waittimer 114304 blt 0,0,640,480,0, 11690,640,480:waittimer 114323 blt 0,0,640,480,0, 11692,640,480:waittimer 114343 blt 0,0,640,480,0, 11694,640,480:waittimer 114362 blt 0,0,640,480,0, 11696,640,480:waittimer 114382 blt 0,0,640,480,0, 11698,640,480:waittimer 114401 blt 0,0,640,480,0, 11700,640,480:waittimer 114421 blt 0,0,640,480,0, 11702,640,480:waittimer 114441 blt 0,0,640,480,0, 11704,640,480:waittimer 114460 blt 0,0,640,480,0, 11706,640,480:waittimer 114480 blt 0,0,640,480,0, 11708,640,480:waittimer 114499 blt 0,0,640,480,0, 11710,640,480:waittimer 114519 blt 0,0,640,480,0, 11712,640,480:waittimer 114538 blt 0,0,640,480,0, 11714,640,480:waittimer 114558 blt 0,0,640,480,0, 11716,640,480:waittimer 114577 blt 0,0,640,480,0, 11718,640,480:waittimer 114597 blt 0,0,640,480,0, 11720,640,480:waittimer 114617 blt 0,0,640,480,0, 11722,640,480:waittimer 114636 blt 0,0,640,480,0, 11724,640,480:waittimer 114656 blt 0,0,640,480,0, 11726,640,480:waittimer 114675 blt 0,0,640,480,0, 11728,640,480:waittimer 114695 blt 0,0,640,480,0, 11730,640,480:waittimer 114714 blt 0,0,640,480,0, 11732,640,480:waittimer 114734 blt 0,0,640,480,0, 11734,640,480:waittimer 114753 blt 0,0,640,480,0, 11736,640,480:waittimer 114773 blt 0,0,640,480,0, 11738,640,480:waittimer 114793 blt 0,0,640,480,0, 11740,640,480:waittimer 114812 blt 0,0,640,480,0, 11742,640,480:waittimer 114832 blt 0,0,640,480,0, 11744,640,480:waittimer 114851 blt 0,0,640,480,0, 11746,640,480:waittimer 114871 blt 0,0,640,480,0, 11748,640,480:waittimer 114890 blt 0,0,640,480,0, 11750,640,480:waittimer 114910 blt 0,0,640,480,0, 11752,640,480:waittimer 114930 blt 0,0,640,480,0, 11754,640,480:waittimer 114949 blt 0,0,640,480,0, 11756,640,480:waittimer 114969 blt 0,0,640,480,0, 11758,640,480:waittimer 114988 blt 0,0,640,480,0, 11760,640,480:waittimer 115008 blt 0,0,640,480,0, 11762,640,480:waittimer 115027 blt 0,0,640,480,0, 11764,640,480:waittimer 115047 blt 0,0,640,480,0, 11766,640,480:waittimer 115066 blt 0,0,640,480,0, 11768,640,480:waittimer 115086 blt 0,0,640,480,0, 11770,640,480:waittimer 115106 blt 0,0,640,480,0, 11772,640,480:waittimer 115125 blt 0,0,640,480,0, 11774,640,480:waittimer 115145 blt 0,0,640,480,0, 11776,640,480:waittimer 115164 blt 0,0,640,480,0, 11778,640,480:waittimer 115184 blt 0,0,640,480,0, 11780,640,480:waittimer 115203 blt 0,0,640,480,0, 11782,640,480:waittimer 115223 blt 0,0,640,480,0, 11784,640,480:waittimer 115242 blt 0,0,640,480,0, 11786,640,480:waittimer 115262 blt 0,0,640,480,0, 11788,640,480:waittimer 115282 blt 0,0,640,480,0, 11790,640,480:waittimer 115301 blt 0,0,640,480,0, 11792,640,480:waittimer 115321 blt 0,0,640,480,0, 11794,640,480:waittimer 115340 blt 0,0,640,480,0, 11796,640,480:waittimer 115360 blt 0,0,640,480,0, 11798,640,480:waittimer 115379 blt 0,0,640,480,0, 11800,640,480:waittimer 115399 blt 0,0,640,480,0, 11802,640,480:waittimer 115419 blt 0,0,640,480,0, 11804,640,480:waittimer 115438 blt 0,0,640,480,0, 11806,640,480:waittimer 115458 blt 0,0,640,480,0, 11808,640,480:waittimer 115477 blt 0,0,640,480,0, 11810,640,480:waittimer 115497 blt 0,0,640,480,0, 11812,640,480:waittimer 115516 blt 0,0,640,480,0, 11814,640,480:waittimer 115536 blt 0,0,640,480,0, 11816,640,480:waittimer 115555 blt 0,0,640,480,0, 11818,640,480:waittimer 115575 blt 0,0,640,480,0, 11820,640,480:waittimer 115595 blt 0,0,640,480,0, 11822,640,480:waittimer 115614 blt 0,0,640,480,0, 11824,640,480:waittimer 115634 blt 0,0,640,480,0, 11826,640,480:waittimer 115653 blt 0,0,640,480,0, 11828,640,480:waittimer 115673 blt 0,0,640,480,0, 11830,640,480:waittimer 115692 blt 0,0,640,480,0, 11832,640,480:waittimer 115712 blt 0,0,640,480,0, 11834,640,480:waittimer 115731 blt 0,0,640,480,0, 11836,640,480:waittimer 115751 blt 0,0,640,480,0, 11838,640,480:waittimer 115771 blt 0,0,640,480,0, 11840,640,480:waittimer 115790 blt 0,0,640,480,0, 11842,640,480:waittimer 115810 blt 0,0,640,480,0, 11844,640,480:waittimer 115829 blt 0,0,640,480,0, 11846,640,480:waittimer 115849 blt 0,0,640,480,0, 11848,640,480:waittimer 115868 blt 0,0,640,480,0, 11850,640,480:waittimer 115888 blt 0,0,640,480,0, 11852,640,480:waittimer 115907 blt 0,0,640,480,0, 11854,640,480:waittimer 115927 blt 0,0,640,480,0, 11856,640,480:waittimer 115947 blt 0,0,640,480,0, 11858,640,480:waittimer 115966 blt 0,0,640,480,0, 11860,640,480:waittimer 115986 blt 0,0,640,480,0, 11862,640,480:waittimer 116005 blt 0,0,640,480,0, 11864,640,480:waittimer 116025 blt 0,0,640,480,0, 11866,640,480:waittimer 116044 blt 0,0,640,480,0, 11868,640,480:waittimer 116064 blt 0,0,640,480,0, 11870,640,480:waittimer 116084 blt 0,0,640,480,0, 11872,640,480:waittimer 116103 blt 0,0,640,480,0, 11874,640,480:waittimer 116123 blt 0,0,640,480,0, 11876,640,480:waittimer 116142 blt 0,0,640,480,0, 11878,640,480:waittimer 116162 blt 0,0,640,480,0, 11880,640,480:waittimer 116181 blt 0,0,640,480,0, 11882,640,480:waittimer 116201 blt 0,0,640,480,0, 11884,640,480:waittimer 116220 blt 0,0,640,480,0, 11886,640,480:waittimer 116240 blt 0,0,640,480,0, 11888,640,480:waittimer 116260 blt 0,0,640,480,0, 11890,640,480:waittimer 116279 blt 0,0,640,480,0, 11892,640,480:waittimer 116299 blt 0,0,640,480,0, 11894,640,480:waittimer 116318 blt 0,0,640,480,0, 11896,640,480:waittimer 116338 blt 0,0,640,480,0, 11898,640,480:waittimer 116357 blt 0,0,640,480,0, 11900,640,480:waittimer 116377 blt 0,0,640,480,0, 11902,640,480:waittimer 116396 blt 0,0,640,480,0, 11904,640,480:waittimer 116416 blt 0,0,640,480,0, 11906,640,480:waittimer 116436 blt 0,0,640,480,0, 11908,640,480:waittimer 116455 blt 0,0,640,480,0, 11910,640,480:waittimer 116475 blt 0,0,640,480,0, 11912,640,480:waittimer 116494 blt 0,0,640,480,0, 11914,640,480:waittimer 116514 blt 0,0,640,480,0, 11916,640,480:waittimer 116533 blt 0,0,640,480,0, 11918,640,480:waittimer 116553 blt 0,0,640,480,0, 11920,640,480:waittimer 116573 blt 0,0,640,480,0, 11922,640,480:waittimer 116592 blt 0,0,640,480,0, 11924,640,480:waittimer 116612 blt 0,0,640,480,0, 11926,640,480:waittimer 116631 blt 0,0,640,480,0, 11928,640,480:waittimer 116651 blt 0,0,640,480,0, 11930,640,480:waittimer 116670 blt 0,0,640,480,0, 11932,640,480:waittimer 116690 blt 0,0,640,480,0, 11934,640,480:waittimer 116709 blt 0,0,640,480,0, 11936,640,480:waittimer 116729 blt 0,0,640,480,0, 11938,640,480:waittimer 116749 blt 0,0,640,480,0, 11940,640,480:waittimer 116768 blt 0,0,640,480,0, 11942,640,480:waittimer 116788 blt 0,0,640,480,0, 11944,640,480:waittimer 116807 blt 0,0,640,480,0, 11946,640,480:waittimer 116827 blt 0,0,640,480,0, 11948,640,480:waittimer 116846 blt 0,0,640,480,0, 11950,640,480:waittimer 116866 blt 0,0,640,480,0, 11952,640,480:waittimer 116885 blt 0,0,640,480,0, 11954,640,480:waittimer 116905 blt 0,0,640,480,0, 11956,640,480:waittimer 116925 blt 0,0,640,480,0, 11958,640,480:waittimer 116944 blt 0,0,640,480,0, 11960,640,480:waittimer 116964 blt 0,0,640,480,0, 11962,640,480:waittimer 116983 blt 0,0,640,480,0, 11964,640,480:waittimer 117003 blt 0,0,640,480,0, 11966,640,480:waittimer 117022 blt 0,0,640,480,0, 11968,640,480:waittimer 117042 blt 0,0,640,480,0, 11970,640,480:waittimer 117061 blt 0,0,640,480,0, 11972,640,480:waittimer 117081 blt 0,0,640,480,0, 11974,640,480:waittimer 117101 blt 0,0,640,480,0, 11976,640,480:waittimer 117120 blt 0,0,640,480,0, 11978,640,480:waittimer 117140 blt 0,0,640,480,0, 11980,640,480:waittimer 117159 blt 0,0,640,480,0, 11982,640,480:waittimer 117179 blt 0,0,640,480,0, 11984,640,480:waittimer 117198 blt 0,0,640,480,0, 11986,640,480:waittimer 117218 blt 0,0,640,480,0, 11988,640,480:waittimer 117238 blt 0,0,640,480,0, 11990,640,480:waittimer 117257 blt 0,0,640,480,0, 11992,640,480:waittimer 117277 blt 0,0,640,480,0, 11994,640,480:waittimer 117296 blt 0,0,640,480,0, 11996,640,480:waittimer 117316 blt 0,0,640,480,0, 11998,640,480:waittimer 117335 blt 0,0,640,480,0, 12000,640,480:waittimer 117355 blt 0,0,640,480,0, 12002,640,480:waittimer 117374 blt 0,0,640,480,0, 12004,640,480:waittimer 117394 blt 0,0,640,480,0, 12006,640,480:waittimer 117414 blt 0,0,640,480,0, 12008,640,480:waittimer 117433 blt 0,0,640,480,0, 12010,640,480:waittimer 117453 blt 0,0,640,480,0, 12012,640,480:waittimer 117472 blt 0,0,640,480,0, 12014,640,480:waittimer 117492 blt 0,0,640,480,0, 12016,640,480:waittimer 117511 blt 0,0,640,480,0, 12018,640,480:waittimer 117531 blt 0,0,640,480,0, 12020,640,480:waittimer 117550 blt 0,0,640,480,0, 12022,640,480:waittimer 117570 blt 0,0,640,480,0, 12024,640,480:waittimer 117590 blt 0,0,640,480,0, 12026,640,480:waittimer 117609 blt 0,0,640,480,0, 12028,640,480:waittimer 117629 blt 0,0,640,480,0, 12030,640,480:waittimer 117648 blt 0,0,640,480,0, 12032,640,480:waittimer 117668 blt 0,0,640,480,0, 12034,640,480:waittimer 117687 blt 0,0,640,480,0, 12036,640,480:waittimer 117707 blt 0,0,640,480,0, 12038,640,480:waittimer 117726 blt 0,0,640,480,0, 12040,640,480:waittimer 117746 blt 0,0,640,480,0, 12042,640,480:waittimer 117766 blt 0,0,640,480,0, 12044,640,480:waittimer 117785 blt 0,0,640,480,0, 12046,640,480:waittimer 117805 blt 0,0,640,480,0, 12048,640,480:waittimer 117824 blt 0,0,640,480,0, 12050,640,480:waittimer 117844 blt 0,0,640,480,0, 12052,640,480:waittimer 117863 blt 0,0,640,480,0, 12054,640,480:waittimer 117883 blt 0,0,640,480,0, 12056,640,480:waittimer 117903 blt 0,0,640,480,0, 12058,640,480:waittimer 117922 blt 0,0,640,480,0, 12060,640,480:waittimer 117942 blt 0,0,640,480,0, 12062,640,480:waittimer 117961 blt 0,0,640,480,0, 12064,640,480:waittimer 117981 blt 0,0,640,480,0, 12066,640,480:waittimer 118000 blt 0,0,640,480,0, 12068,640,480:waittimer 118020 blt 0,0,640,480,0, 12070,640,480:waittimer 118039 blt 0,0,640,480,0, 12072,640,480:waittimer 118059 blt 0,0,640,480,0, 12074,640,480:waittimer 118079 blt 0,0,640,480,0, 12076,640,480:waittimer 118098 blt 0,0,640,480,0, 12078,640,480:waittimer 118118 blt 0,0,640,480,0, 12080,640,480:waittimer 118137 blt 0,0,640,480,0, 12082,640,480:waittimer 118157 blt 0,0,640,480,0, 12084,640,480:waittimer 118176 blt 0,0,640,480,0, 12086,640,480:waittimer 118196 blt 0,0,640,480,0, 12088,640,480:waittimer 118215 blt 0,0,640,480,0, 12090,640,480:waittimer 118235 blt 0,0,640,480,0, 12092,640,480:waittimer 118255 blt 0,0,640,480,0, 12094,640,480:waittimer 118274 blt 0,0,640,480,0, 12096,640,480:waittimer 118294 blt 0,0,640,480,0, 12098,640,480:waittimer 118313 blt 0,0,640,480,0, 12100,640,480:waittimer 118333 blt 0,0,640,480,0, 12102,640,480:waittimer 118352 blt 0,0,640,480,0, 12104,640,480:waittimer 118372 blt 0,0,640,480,0, 12106,640,480:waittimer 118392 blt 0,0,640,480,0, 12108,640,480:waittimer 118411 blt 0,0,640,480,0, 12110,640,480:waittimer 118431 blt 0,0,640,480,0, 12112,640,480:waittimer 118450 blt 0,0,640,480,0, 12114,640,480:waittimer 118470 blt 0,0,640,480,0, 12116,640,480:waittimer 118489 blt 0,0,640,480,0, 12118,640,480:waittimer 118509 blt 0,0,640,480,0, 12120,640,480:waittimer 118528 blt 0,0,640,480,0, 12122,640,480:waittimer 118548 blt 0,0,640,480,0, 12124,640,480:waittimer 118568 blt 0,0,640,480,0, 12126,640,480:waittimer 118587 blt 0,0,640,480,0, 12128,640,480:waittimer 118607 blt 0,0,640,480,0, 12130,640,480:waittimer 118626 blt 0,0,640,480,0, 12132,640,480:waittimer 118646 blt 0,0,640,480,0, 12134,640,480:waittimer 118665 blt 0,0,640,480,0, 12136,640,480:waittimer 118685 blt 0,0,640,480,0, 12138,640,480:waittimer 118704 blt 0,0,640,480,0, 12140,640,480:waittimer 118724 blt 0,0,640,480,0, 12142,640,480:waittimer 118744 blt 0,0,640,480,0, 12144,640,480:waittimer 118763 blt 0,0,640,480,0, 12146,640,480:waittimer 118783 blt 0,0,640,480,0, 12148,640,480:waittimer 118802 blt 0,0,640,480,0, 12150,640,480:waittimer 118822 blt 0,0,640,480,0, 12152,640,480:waittimer 118841 blt 0,0,640,480,0, 12154,640,480:waittimer 118861 blt 0,0,640,480,0, 12156,640,480:waittimer 118880 blt 0,0,640,480,0, 12158,640,480:waittimer 118900 blt 0,0,640,480,0, 12160,640,480:waittimer 118920 blt 0,0,640,480,0, 12162,640,480:waittimer 118939 blt 0,0,640,480,0, 12164,640,480:waittimer 118959 blt 0,0,640,480,0, 12166,640,480:waittimer 118978 blt 0,0,640,480,0, 12168,640,480:waittimer 118998 blt 0,0,640,480,0, 12170,640,480:waittimer 119017 blt 0,0,640,480,0, 12172,640,480:waittimer 119037 blt 0,0,640,480,0, 12174,640,480:waittimer 119057 blt 0,0,640,480,0, 12176,640,480:waittimer 119076 blt 0,0,640,480,0, 12178,640,480:waittimer 119096 blt 0,0,640,480,0, 12180,640,480:waittimer 119115 blt 0,0,640,480,0, 12182,640,480:waittimer 119135 blt 0,0,640,480,0, 12184,640,480:waittimer 119154 blt 0,0,640,480,0, 12186,640,480:waittimer 119174 blt 0,0,640,480,0, 12188,640,480:waittimer 119193 blt 0,0,640,480,0, 12190,640,480:waittimer 119213 blt 0,0,640,480,0, 12192,640,480:waittimer 119233 blt 0,0,640,480,0, 12194,640,480:waittimer 119252 blt 0,0,640,480,0, 12196,640,480:waittimer 119272 blt 0,0,640,480,0, 12198,640,480:waittimer 119291 blt 0,0,640,480,0, 12200,640,480:waittimer 119311 blt 0,0,640,480,0, 12202,640,480:waittimer 119330 blt 0,0,640,480,0, 12204,640,480:waittimer 119350 blt 0,0,640,480,0, 12206,640,480:waittimer 119369 blt 0,0,640,480,0, 12208,640,480:waittimer 119389 blt 0,0,640,480,0, 12210,640,480:waittimer 119409 blt 0,0,640,480,0, 12212,640,480:waittimer 119428 blt 0,0,640,480,0, 12214,640,480:waittimer 119448 blt 0,0,640,480,0, 12216,640,480:waittimer 119467 blt 0,0,640,480,0, 12218,640,480:waittimer 119487 blt 0,0,640,480,0, 12220,640,480:waittimer 119506 blt 0,0,640,480,0, 12222,640,480:waittimer 119526 blt 0,0,640,480,0, 12224,640,480:waittimer 119546 blt 0,0,640,480,0, 12226,640,480:waittimer 119565 blt 0,0,640,480,0, 12228,640,480:waittimer 119585 blt 0,0,640,480,0, 12230,640,480:waittimer 119604 blt 0,0,640,480,0, 12232,640,480:waittimer 119624 blt 0,0,640,480,0, 12234,640,480:waittimer 119643 blt 0,0,640,480,0, 12236,640,480:waittimer 119663 blt 0,0,640,480,0, 12238,640,480:waittimer 119682 blt 0,0,640,480,0, 12240,640,480:waittimer 119702 blt 0,0,640,480,0, 12242,640,480:waittimer 119722 blt 0,0,640,480,0, 12244,640,480:waittimer 119741 blt 0,0,640,480,0, 12246,640,480:waittimer 119761 blt 0,0,640,480,0, 12248,640,480:waittimer 119780 blt 0,0,640,480,0, 12250,640,480:waittimer 119800 blt 0,0,640,480,0, 12252,640,480:waittimer 119819 blt 0,0,640,480,0, 12254,640,480:waittimer 119839 blt 0,0,640,480,0, 12256,640,480:waittimer 119858 blt 0,0,640,480,0, 12258,640,480:waittimer 119878 blt 0,0,640,480,0, 12260,640,480:waittimer 119898 blt 0,0,640,480,0, 12262,640,480:waittimer 119917 blt 0,0,640,480,0, 12264,640,480:waittimer 119937 blt 0,0,640,480,0, 12266,640,480:waittimer 119956 blt 0,0,640,480,0, 12268,640,480:waittimer 119976 blt 0,0,640,480,0, 12270,640,480:waittimer 119995 blt 0,0,640,480,0, 12272,640,480:waittimer 120015 blt 0,0,640,480,0, 12274,640,480:waittimer 120034 blt 0,0,640,480,0, 12276,640,480:waittimer 120054 blt 0,0,640,480,0, 12278,640,480:waittimer 120074 blt 0,0,640,480,0, 12280,640,480:waittimer 120093 blt 0,0,640,480,0, 12282,640,480:waittimer 120113 blt 0,0,640,480,0, 12284,640,480:waittimer 120132 blt 0,0,640,480,0, 12286,640,480:waittimer 120152 blt 0,0,640,480,0, 12288,640,480:waittimer 120171 blt 0,0,640,480,0, 12290,640,480:waittimer 120191 blt 0,0,640,480,0, 12292,640,480:waittimer 120211 blt 0,0,640,480,0, 12294,640,480:waittimer 120230 blt 0,0,640,480,0, 12296,640,480:waittimer 120250 blt 0,0,640,480,0, 12298,640,480:waittimer 120269 blt 0,0,640,480,0, 12300,640,480:waittimer 120289 blt 0,0,640,480,0, 12302,640,480:waittimer 120308 blt 0,0,640,480,0, 12304,640,480:waittimer 120328 blt 0,0,640,480,0, 12306,640,480:waittimer 120347 blt 0,0,640,480,0, 12308,640,480:waittimer 120367 blt 0,0,640,480,0, 12310,640,480:waittimer 120387 blt 0,0,640,480,0, 12312,640,480:waittimer 120406 blt 0,0,640,480,0, 12314,640,480:waittimer 120426 blt 0,0,640,480,0, 12316,640,480:waittimer 120445 blt 0,0,640,480,0, 12318,640,480:waittimer 120465 blt 0,0,640,480,0, 12320,640,480:waittimer 120484 blt 0,0,640,480,0, 12322,640,480:waittimer 120504 blt 0,0,640,480,0, 12324,640,480:waittimer 120523 blt 0,0,640,480,0, 12326,640,480:waittimer 120543 blt 0,0,640,480,0, 12328,640,480:waittimer 120563 blt 0,0,640,480,0, 12330,640,480:waittimer 120582 blt 0,0,640,480,0, 12332,640,480:waittimer 120602 blt 0,0,640,480,0, 12334,640,480:waittimer 120621 blt 0,0,640,480,0, 12336,640,480:waittimer 120641 blt 0,0,640,480,0, 12338,640,480:waittimer 120660 blt 0,0,640,480,0, 12340,640,480:waittimer 120680 blt 0,0,640,480,0, 12342,640,480:waittimer 120700 blt 0,0,640,480,0, 12344,640,480:waittimer 120719 blt 0,0,640,480,0, 12346,640,480:waittimer 120739 blt 0,0,640,480,0, 12348,640,480:waittimer 120758 blt 0,0,640,480,0, 12350,640,480:waittimer 120778 blt 0,0,640,480,0, 12352,640,480:waittimer 120797 blt 0,0,640,480,0, 12354,640,480:waittimer 120817 blt 0,0,640,480,0, 12356,640,480:waittimer 120836 blt 0,0,640,480,0, 12358,640,480:waittimer 120856 blt 0,0,640,480,0, 12360,640,480:waittimer 120876 blt 0,0,640,480,0, 12362,640,480:waittimer 120895 blt 0,0,640,480,0, 12364,640,480:waittimer 120915 blt 0,0,640,480,0, 12366,640,480:waittimer 120934 blt 0,0,640,480,0, 12368,640,480:waittimer 120954 blt 0,0,640,480,0, 12370,640,480:waittimer 120973 blt 0,0,640,480,0, 12372,640,480:waittimer 120993 blt 0,0,640,480,0, 12374,640,480:waittimer 121012 blt 0,0,640,480,0, 12376,640,480:waittimer 121032 blt 0,0,640,480,0, 12378,640,480:waittimer 121052 blt 0,0,640,480,0, 12380,640,480:waittimer 121071 blt 0,0,640,480,0, 12382,640,480:waittimer 121091 blt 0,0,640,480,0, 12384,640,480:waittimer 121110 blt 0,0,640,480,0, 12386,640,480:waittimer 121130 blt 0,0,640,480,0, 12388,640,480:waittimer 121149 blt 0,0,640,480,0, 12390,640,480:waittimer 121169 blt 0,0,640,480,0, 12392,640,480:waittimer 121188 blt 0,0,640,480,0, 12394,640,480:waittimer 121208 blt 0,0,640,480,0, 12396,640,480:waittimer 121228 blt 0,0,640,480,0, 12398,640,480:waittimer 121247 blt 0,0,640,480,0, 12400,640,480:waittimer 121267 blt 0,0,640,480,0, 12402,640,480:waittimer 121286 blt 0,0,640,480,0, 12404,640,480:waittimer 121306 blt 0,0,640,480,0, 12406,640,480:waittimer 121325 blt 0,0,640,480,0, 12408,640,480:waittimer 121345 blt 0,0,640,480,0, 12410,640,480:waittimer 121365 blt 0,0,640,480,0, 12412,640,480:waittimer 121384 blt 0,0,640,480,0, 12414,640,480:waittimer 121404 blt 0,0,640,480,0, 12416,640,480:waittimer 121423 blt 0,0,640,480,0, 12418,640,480:waittimer 121443 blt 0,0,640,480,0, 12420,640,480:waittimer 121462 blt 0,0,640,480,0, 12422,640,480:waittimer 121482 blt 0,0,640,480,0, 12424,640,480:waittimer 121501 blt 0,0,640,480,0, 12426,640,480:waittimer 121521 blt 0,0,640,480,0, 12428,640,480:waittimer 121541 blt 0,0,640,480,0, 12430,640,480:waittimer 121560 blt 0,0,640,480,0, 12432,640,480:waittimer 121580 blt 0,0,640,480,0, 12434,640,480:waittimer 121599 blt 0,0,640,480,0, 12436,640,480:waittimer 121619 blt 0,0,640,480,0, 12438,640,480:waittimer 121638 blt 0,0,640,480,0, 12440,640,480:waittimer 121658 blt 0,0,640,480,0, 12442,640,480:waittimer 121677 blt 0,0,640,480,0, 12444,640,480:waittimer 121697 blt 0,0,640,480,0, 12446,640,480:waittimer 121717 blt 0,0,640,480,0, 12448,640,480:waittimer 121736 blt 0,0,640,480,0, 12450,640,480:waittimer 121756 blt 0,0,640,480,0, 12452,640,480:waittimer 121775 blt 0,0,640,480,0, 12454,640,480:waittimer 121795 blt 0,0,640,480,0, 12456,640,480:waittimer 121814 blt 0,0,640,480,0, 12458,640,480:waittimer 121834 blt 0,0,640,480,0, 12460,640,480:waittimer 121853 blt 0,0,640,480,0, 12462,640,480:waittimer 121873 blt 0,0,640,480,0, 12464,640,480:waittimer 121893 blt 0,0,640,480,0, 12466,640,480:waittimer 121912 blt 0,0,640,480,0, 12468,640,480:waittimer 121932 blt 0,0,640,480,0, 12470,640,480:waittimer 121951 blt 0,0,640,480,0, 12472,640,480:waittimer 121971 blt 0,0,640,480,0, 12474,640,480:waittimer 121990 blt 0,0,640,480,0, 12476,640,480:waittimer 122010 blt 0,0,640,480,0, 12478,640,480:waittimer 122030 blt 0,0,640,480,0, 12480,640,480:waittimer 122049 blt 0,0,640,480,0, 12482,640,480:waittimer 122069 blt 0,0,640,480,0, 12484,640,480:waittimer 122088 blt 0,0,640,480,0, 12486,640,480:waittimer 122108 blt 0,0,640,480,0, 12488,640,480:waittimer 122127 blt 0,0,640,480,0, 12490,640,480:waittimer 122147 blt 0,0,640,480,0, 12492,640,480:waittimer 122166 blt 0,0,640,480,0, 12494,640,480:waittimer 122186 blt 0,0,640,480,0, 12496,640,480:waittimer 122206 blt 0,0,640,480,0, 12498,640,480:waittimer 122225 blt 0,0,640,480,0, 12500,640,480:waittimer 122245 blt 0,0,640,480,0, 12502,640,480:waittimer 122264 blt 0,0,640,480,0, 12504,640,480:waittimer 122284 blt 0,0,640,480,0, 12506,640,480:waittimer 122303 blt 0,0,640,480,0, 12508,640,480:waittimer 122323 blt 0,0,640,480,0, 12510,640,480:waittimer 122342 blt 0,0,640,480,0, 12512,640,480:waittimer 122362 blt 0,0,640,480,0, 12514,640,480:waittimer 122382 blt 0,0,640,480,0, 12516,640,480:waittimer 122401 blt 0,0,640,480,0, 12518,640,480:waittimer 122421 blt 0,0,640,480,0, 12520,640,480:waittimer 122440 blt 0,0,640,480,0, 12522,640,480:waittimer 122460 blt 0,0,640,480,0, 12524,640,480:waittimer 122479 blt 0,0,640,480,0, 12526,640,480:waittimer 122499 blt 0,0,640,480,0, 12528,640,480:waittimer 122519 blt 0,0,640,480,0, 12530,640,480:waittimer 122538 blt 0,0,640,480,0, 12532,640,480:waittimer 122558 blt 0,0,640,480,0, 12534,640,480:waittimer 122577 blt 0,0,640,480,0, 12536,640,480:waittimer 122597 blt 0,0,640,480,0, 12538,640,480:waittimer 122616 blt 0,0,640,480,0, 12540,640,480:waittimer 122636 blt 0,0,640,480,0, 12542,640,480:waittimer 122655 blt 0,0,640,480,0, 12544,640,480:waittimer 122675 blt 0,0,640,480,0, 12546,640,480:waittimer 122695 blt 0,0,640,480,0, 12548,640,480:waittimer 122714 blt 0,0,640,480,0, 12550,640,480:waittimer 122734 blt 0,0,640,480,0, 12552,640,480:waittimer 122753 blt 0,0,640,480,0, 12554,640,480:waittimer 122773 blt 0,0,640,480,0, 12556,640,480:waittimer 122792 blt 0,0,640,480,0, 12558,640,480:waittimer 122812 blt 0,0,640,480,0, 12560,640,480:waittimer 122831 blt 0,0,640,480,0, 12562,640,480:waittimer 122851 blt 0,0,640,480,0, 12564,640,480:waittimer 122871 blt 0,0,640,480,0, 12566,640,480:waittimer 122890 blt 0,0,640,480,0, 12568,640,480:waittimer 122910 blt 0,0,640,480,0, 12570,640,480:waittimer 122929 blt 0,0,640,480,0, 12572,640,480:waittimer 122949 blt 0,0,640,480,0, 12574,640,480:waittimer 122968 blt 0,0,640,480,0, 12576,640,480:waittimer 122988 blt 0,0,640,480,0, 12578,640,480:waittimer 123007 blt 0,0,640,480,0, 12580,640,480:waittimer 123027 blt 0,0,640,480,0, 12582,640,480:waittimer 123047 blt 0,0,640,480,0, 12584,640,480:waittimer 123066 blt 0,0,640,480,0, 12586,640,480:waittimer 123086 blt 0,0,640,480,0, 12588,640,480:waittimer 123105 blt 0,0,640,480,0, 12590,640,480:waittimer 123125 blt 0,0,640,480,0, 12592,640,480:waittimer 123144 blt 0,0,640,480,0, 12594,640,480:waittimer 123164 blt 0,0,640,480,0, 12596,640,480:waittimer 123184 blt 0,0,640,480,0, 12598,640,480:waittimer 123203 blt 0,0,640,480,0, 12600,640,480:waittimer 123223 blt 0,0,640,480,0, 12602,640,480:waittimer 123242 blt 0,0,640,480,0, 12604,640,480:waittimer 123262 blt 0,0,640,480,0, 12606,640,480:waittimer 123281 blt 0,0,640,480,0, 12608,640,480:waittimer 123301 blt 0,0,640,480,0, 12610,640,480:waittimer 123320 blt 0,0,640,480,0, 12612,640,480:waittimer 123340 blt 0,0,640,480,0, 12614,640,480:waittimer 123360 blt 0,0,640,480,0, 12616,640,480:waittimer 123379 blt 0,0,640,480,0, 12618,640,480:waittimer 123399 blt 0,0,640,480,0, 12620,640,480:waittimer 123418 blt 0,0,640,480,0, 12622,640,480:waittimer 123438 blt 0,0,640,480,0, 12624,640,480:waittimer 123457 blt 0,0,640,480,0, 12626,640,480:waittimer 123477 blt 0,0,640,480,0, 12628,640,480:waittimer 123496 blt 0,0,640,480,0, 12630,640,480:waittimer 123516 blt 0,0,640,480,0, 12632,640,480:waittimer 123536 blt 0,0,640,480,0, 12634,640,480:waittimer 123555 blt 0,0,640,480,0, 12636,640,480:waittimer 123575 blt 0,0,640,480,0, 12638,640,480:waittimer 123594 blt 0,0,640,480,0, 12640,640,480:waittimer 123614 blt 0,0,640,480,0, 12642,640,480:waittimer 123633 blt 0,0,640,480,0, 12644,640,480:waittimer 123653 blt 0,0,640,480,0, 12646,640,480:waittimer 123673 blt 0,0,640,480,0, 12648,640,480:waittimer 123692 blt 0,0,640,480,0, 12650,640,480:waittimer 123712 blt 0,0,640,480,0, 12652,640,480:waittimer 123731 blt 0,0,640,480,0, 12654,640,480:waittimer 123751 blt 0,0,640,480,0, 12656,640,480:waittimer 123770 blt 0,0,640,480,0, 12658,640,480:waittimer 123790 blt 0,0,640,480,0, 12660,640,480:waittimer 123809 blt 0,0,640,480,0, 12662,640,480:waittimer 123829 blt 0,0,640,480,0, 12664,640,480:waittimer 123849 blt 0,0,640,480,0, 12666,640,480:waittimer 123868 blt 0,0,640,480,0, 12668,640,480:waittimer 123888 blt 0,0,640,480,0, 12670,640,480:waittimer 123907 blt 0,0,640,480,0, 12672,640,480:waittimer 123927 blt 0,0,640,480,0, 12674,640,480:waittimer 123946 blt 0,0,640,480,0, 12676,640,480:waittimer 123966 blt 0,0,640,480,0, 12678,640,480:waittimer 123985 blt 0,0,640,480,0, 12680,640,480:waittimer 124005 blt 0,0,640,480,0, 12682,640,480:waittimer 124025 blt 0,0,640,480,0, 12684,640,480:waittimer 124044 blt 0,0,640,480,0, 12686,640,480:waittimer 124064 blt 0,0,640,480,0, 12688,640,480:waittimer 124083 blt 0,0,640,480,0, 12690,640,480:waittimer 124103 blt 0,0,640,480,0, 12692,640,480:waittimer 124122 blt 0,0,640,480,0, 12694,640,480:waittimer 124142 blt 0,0,640,480,0, 12696,640,480:waittimer 124161 blt 0,0,640,480,0, 12698,640,480:waittimer 124181 blt 0,0,640,480,0, 12700,640,480:waittimer 124201 blt 0,0,640,480,0, 12702,640,480:waittimer 124220 blt 0,0,640,480,0, 12704,640,480:waittimer 124240 blt 0,0,640,480,0, 12706,640,480:waittimer 124259 blt 0,0,640,480,0, 12708,640,480:waittimer 124279 blt 0,0,640,480,0, 12710,640,480:waittimer 124298 blt 0,0,640,480,0, 12712,640,480:waittimer 124318 blt 0,0,640,480,0, 12714,640,480:waittimer 124338 blt 0,0,640,480,0, 12716,640,480:waittimer 124357 blt 0,0,640,480,0, 12718,640,480:waittimer 124377 blt 0,0,640,480,0, 12720,640,480:waittimer 124396 blt 0,0,640,480,0, 12722,640,480:waittimer 124416 blt 0,0,640,480,0, 12724,640,480:waittimer 124435 blt 0,0,640,480,0, 12726,640,480:waittimer 124455 blt 0,0,640,480,0, 12728,640,480:waittimer 124474 blt 0,0,640,480,0, 12730,640,480:waittimer 124494 blt 0,0,640,480,0, 12732,640,480:waittimer 124514 blt 0,0,640,480,0, 12734,640,480:waittimer 124533 blt 0,0,640,480,0, 12736,640,480:waittimer 124553 blt 0,0,640,480,0, 12738,640,480:waittimer 124572 blt 0,0,640,480,0, 12740,640,480:waittimer 124592 blt 0,0,640,480,0, 12742,640,480:waittimer 124611 blt 0,0,640,480,0, 12744,640,480:waittimer 124631 blt 0,0,640,480,0, 12746,640,480:waittimer 124650 blt 0,0,640,480,0, 12748,640,480:waittimer 124670 blt 0,0,640,480,0, 12750,640,480:waittimer 124690 blt 0,0,640,480,0, 12752,640,480:waittimer 124709 blt 0,0,640,480,0, 12754,640,480:waittimer 124729 blt 0,0,640,480,0, 12756,640,480:waittimer 124748 blt 0,0,640,480,0, 12758,640,480:waittimer 124768 blt 0,0,640,480,0, 12760,640,480:waittimer 124787 blt 0,0,640,480,0, 12762,640,480:waittimer 124807 blt 0,0,640,480,0, 12764,640,480:waittimer 124826 blt 0,0,640,480,0, 12766,640,480:waittimer 124846 blt 0,0,640,480,0, 12768,640,480:waittimer 124866 blt 0,0,640,480,0, 12770,640,480:waittimer 124885 blt 0,0,640,480,0, 12772,640,480:waittimer 124905 blt 0,0,640,480,0, 12774,640,480:waittimer 124924 blt 0,0,640,480,0, 12776,640,480:waittimer 124944 blt 0,0,640,480,0, 12778,640,480:waittimer 124963 blt 0,0,640,480,0, 12780,640,480:waittimer 124983 blt 0,0,640,480,0, 12782,640,480:waittimer 125003 blt 0,0,640,480,0, 12784,640,480:waittimer 125022 blt 0,0,640,480,0, 12786,640,480:waittimer 125042 blt 0,0,640,480,0, 12788,640,480:waittimer 125061 blt 0,0,640,480,0, 12790,640,480:waittimer 125081 blt 0,0,640,480,0, 12792,640,480:waittimer 125100 blt 0,0,640,480,0, 12794,640,480:waittimer 125120 blt 0,0,640,480,0, 12796,640,480:waittimer 125139 blt 0,0,640,480,0, 12798,640,480:waittimer 125159 blt 0,0,640,480,0, 12800,640,480:waittimer 125179 blt 0,0,640,480,0, 12802,640,480:waittimer 125198 blt 0,0,640,480,0, 12804,640,480:waittimer 125218 blt 0,0,640,480,0, 12806,640,480:waittimer 125237 blt 0,0,640,480,0, 12808,640,480:waittimer 125257 blt 0,0,640,480,0, 12810,640,480:waittimer 125276 blt 0,0,640,480,0, 12812,640,480:waittimer 125296 blt 0,0,640,480,0, 12814,640,480:waittimer 125315 blt 0,0,640,480,0, 12816,640,480:waittimer 125335 blt 0,0,640,480,0, 12818,640,480:waittimer 125355 blt 0,0,640,480,0, 12820,640,480:waittimer 125374 blt 0,0,640,480,0, 12822,640,480:waittimer 125394 blt 0,0,640,480,0, 12824,640,480:waittimer 125413 blt 0,0,640,480,0, 12826,640,480:waittimer 125433 blt 0,0,640,480,0, 12828,640,480:waittimer 125452 blt 0,0,640,480,0, 12830,640,480:waittimer 125472 blt 0,0,640,480,0, 12832,640,480:waittimer 125492 blt 0,0,640,480,0, 12834,640,480:waittimer 125511 blt 0,0,640,480,0, 12836,640,480:waittimer 125531 blt 0,0,640,480,0, 12838,640,480:waittimer 125550 blt 0,0,640,480,0, 12840,640,480:waittimer 125570 blt 0,0,640,480,0, 12842,640,480:waittimer 125589 blt 0,0,640,480,0, 12844,640,480:waittimer 125609 blt 0,0,640,480,0, 12846,640,480:waittimer 125628 blt 0,0,640,480,0, 12848,640,480:waittimer 125648 blt 0,0,640,480,0, 12850,640,480:waittimer 125668 blt 0,0,640,480,0, 12852,640,480:waittimer 125687 blt 0,0,640,480,0, 12854,640,480:waittimer 125707 blt 0,0,640,480,0, 12856,640,480:waittimer 125726 blt 0,0,640,480,0, 12858,640,480:waittimer 125746 blt 0,0,640,480,0, 12860,640,480:waittimer 125765 blt 0,0,640,480,0, 12862,640,480:waittimer 125785 blt 0,0,640,480,0, 12864,640,480:waittimer 125804 blt 0,0,640,480,0, 12866,640,480:waittimer 125824 blt 0,0,640,480,0, 12868,640,480:waittimer 125844 blt 0,0,640,480,0, 12870,640,480:waittimer 125863 blt 0,0,640,480,0, 12872,640,480:waittimer 125883 blt 0,0,640,480,0, 12874,640,480:waittimer 125902 blt 0,0,640,480,0, 12876,640,480:waittimer 125922 blt 0,0,640,480,0, 12878,640,480:waittimer 125941 blt 0,0,640,480,0, 12880,640,480:waittimer 125961 blt 0,0,640,480,0, 12882,640,480:waittimer 125980 blt 0,0,640,480,0, 12884,640,480:waittimer 126000 blt 0,0,640,480,0, 12886,640,480:waittimer 126020 blt 0,0,640,480,0, 12888,640,480:waittimer 126039 blt 0,0,640,480,0, 12890,640,480:waittimer 126059 blt 0,0,640,480,0, 12892,640,480:waittimer 126078 blt 0,0,640,480,0, 12894,640,480:waittimer 126098 blt 0,0,640,480,0, 12896,640,480:waittimer 126117 blt 0,0,640,480,0, 12898,640,480:waittimer 126137 blt 0,0,640,480,0, 12900,640,480:waittimer 126157 blt 0,0,640,480,0, 12902,640,480:waittimer 126176 blt 0,0,640,480,0, 12904,640,480:waittimer 126196 blt 0,0,640,480,0, 12906,640,480:waittimer 126215 blt 0,0,640,480,0, 12908,640,480:waittimer 126235 blt 0,0,640,480,0, 12910,640,480:waittimer 126254 blt 0,0,640,480,0, 12912,640,480:waittimer 126274 blt 0,0,640,480,0, 12914,640,480:waittimer 126293 blt 0,0,640,480,0, 12916,640,480:waittimer 126313 blt 0,0,640,480,0, 12918,640,480:waittimer 126333 blt 0,0,640,480,0, 12920,640,480:waittimer 126352 blt 0,0,640,480,0, 12922,640,480:waittimer 126372 blt 0,0,640,480,0, 12924,640,480:waittimer 126391 blt 0,0,640,480,0, 12926,640,480:waittimer 126411 blt 0,0,640,480,0, 12928,640,480:waittimer 126430 blt 0,0,640,480,0, 12930,640,480:waittimer 126450 blt 0,0,640,480,0, 12932,640,480:waittimer 126469 blt 0,0,640,480,0, 12934,640,480:waittimer 126489 blt 0,0,640,480,0, 12936,640,480:waittimer 126509 blt 0,0,640,480,0, 12938,640,480:waittimer 126528 blt 0,0,640,480,0, 12940,640,480:waittimer 126548 blt 0,0,640,480,0, 12942,640,480:waittimer 126567 blt 0,0,640,480,0, 12944,640,480:waittimer 126587 blt 0,0,640,480,0, 12946,640,480:waittimer 126606 blt 0,0,640,480,0, 12948,640,480:waittimer 126626 blt 0,0,640,480,0, 12950,640,480:waittimer 126646 blt 0,0,640,480,0, 12952,640,480:waittimer 126665 blt 0,0,640,480,0, 12954,640,480:waittimer 126685 blt 0,0,640,480,0, 12956,640,480:waittimer 126704 blt 0,0,640,480,0, 12958,640,480:waittimer 126724 blt 0,0,640,480,0, 12960,640,480:waittimer 126743 blt 0,0,640,480,0, 12962,640,480:waittimer 126763 blt 0,0,640,480,0, 12964,640,480:waittimer 126782 blt 0,0,640,480,0, 12966,640,480:waittimer 126802 blt 0,0,640,480,0, 12968,640,480:waittimer 126822 blt 0,0,640,480,0, 12970,640,480:waittimer 126841 blt 0,0,640,480,0, 12972,640,480:waittimer 126861 blt 0,0,640,480,0, 12974,640,480:waittimer 126880 blt 0,0,640,480,0, 12976,640,480:waittimer 126900 blt 0,0,640,480,0, 12978,640,480:waittimer 126919 blt 0,0,640,480,0, 12980,640,480:waittimer 126939 blt 0,0,640,480,0, 12982,640,480:waittimer 126958 blt 0,0,640,480,0, 12984,640,480:waittimer 126978 blt 0,0,640,480,0, 12986,640,480:waittimer 126998 blt 0,0,640,480,0, 12988,640,480:waittimer 127017 blt 0,0,640,480,0, 12990,640,480:waittimer 127037 blt 0,0,640,480,0, 12992,640,480:waittimer 127056 blt 0,0,640,480,0, 12994,640,480:waittimer 127076 blt 0,0,640,480,0, 12996,640,480:waittimer 127095 blt 0,0,640,480,0, 12998,640,480:waittimer 127115 blt 0,0,640,480,0, 13000,640,480:waittimer 127134 blt 0,0,640,480,0, 13002,640,480:waittimer 127154 blt 0,0,640,480,0, 13004,640,480:waittimer 127174 blt 0,0,640,480,0, 13006,640,480:waittimer 127193 blt 0,0,640,480,0, 13008,640,480:waittimer 127213 blt 0,0,640,480,0, 13010,640,480:waittimer 127232 blt 0,0,640,480,0, 13012,640,480:waittimer 127252 blt 0,0,640,480,0, 13014,640,480:waittimer 127271 blt 0,0,640,480,0, 13016,640,480:waittimer 127291 blt 0,0,640,480,0, 13018,640,480:waittimer 127311 blt 0,0,640,480,0, 13020,640,480:waittimer 127330 blt 0,0,640,480,0, 13022,640,480:waittimer 127350 blt 0,0,640,480,0, 13024,640,480:waittimer 127369 blt 0,0,640,480,0, 13026,640,480:waittimer 127389 blt 0,0,640,480,0, 13028,640,480:waittimer 127408 blt 0,0,640,480,0, 13030,640,480:waittimer 127428 blt 0,0,640,480,0, 13032,640,480:waittimer 127447 blt 0,0,640,480,0, 13034,640,480:waittimer 127467 blt 0,0,640,480,0, 13036,640,480:waittimer 127487 blt 0,0,640,480,0, 13038,640,480:waittimer 127506 blt 0,0,640,480,0, 13040,640,480:waittimer 127526 blt 0,0,640,480,0, 13042,640,480:waittimer 127545 blt 0,0,640,480,0, 13044,640,480:waittimer 127565 blt 0,0,640,480,0, 13046,640,480:waittimer 127584 blt 0,0,640,480,0, 13048,640,480:waittimer 127604 blt 0,0,640,480,0, 13050,640,480:waittimer 127623 blt 0,0,640,480,0, 13052,640,480:waittimer 127643 blt 0,0,640,480,0, 13054,640,480:waittimer 127663 blt 0,0,640,480,0, 13056,640,480:waittimer 127682 blt 0,0,640,480,0, 13058,640,480:waittimer 127702 blt 0,0,640,480,0, 13060,640,480:waittimer 127721 blt 0,0,640,480,0, 13062,640,480:waittimer 127741 blt 0,0,640,480,0, 13064,640,480:waittimer 127760 blt 0,0,640,480,0, 13066,640,480:waittimer 127780 blt 0,0,640,480,0, 13068,640,480:waittimer 127800 blt 0,0,640,480,0, 13070,640,480:waittimer 127819 blt 0,0,640,480,0, 13072,640,480:waittimer 127839 blt 0,0,640,480,0, 13074,640,480:waittimer 127858 blt 0,0,640,480,0, 13076,640,480:waittimer 127878 blt 0,0,640,480,0, 13078,640,480:waittimer 127897 blt 0,0,640,480,0, 13080,640,480:waittimer 127917 blt 0,0,640,480,0, 13082,640,480:waittimer 127936 blt 0,0,640,480,0, 13084,640,480:waittimer 127956 blt 0,0,640,480,0, 13086,640,480:waittimer 127976 blt 0,0,640,480,0, 13088,640,480:waittimer 127995 blt 0,0,640,480,0, 13090,640,480:waittimer 128015 blt 0,0,640,480,0, 13092,640,480:waittimer 128034 blt 0,0,640,480,0, 13094,640,480:waittimer 128054 blt 0,0,640,480,0, 13096,640,480:waittimer 128073 blt 0,0,640,480,0, 13098,640,480:waittimer 128093 blt 0,0,640,480,0, 13100,640,480:waittimer 128112 blt 0,0,640,480,0, 13102,640,480:waittimer 128132 blt 0,0,640,480,0, 13104,640,480:waittimer 128152 blt 0,0,640,480,0, 13106,640,480:waittimer 128171 blt 0,0,640,480,0, 13108,640,480:waittimer 128191 blt 0,0,640,480,0, 13110,640,480:waittimer 128210 blt 0,0,640,480,0, 13112,640,480:waittimer 128230 blt 0,0,640,480,0, 13114,640,480:waittimer 128249 blt 0,0,640,480,0, 13116,640,480:waittimer 128269 blt 0,0,640,480,0, 13118,640,480:waittimer 128288 blt 0,0,640,480,0, 13120,640,480:waittimer 128308 blt 0,0,640,480,0, 13122,640,480:waittimer 128328 blt 0,0,640,480,0, 13124,640,480:waittimer 128347 blt 0,0,640,480,0, 13126,640,480:waittimer 128367 blt 0,0,640,480,0, 13128,640,480:waittimer 128386 blt 0,0,640,480,0, 13130,640,480:waittimer 128406 blt 0,0,640,480,0, 13132,640,480:waittimer 128425 blt 0,0,640,480,0, 13134,640,480:waittimer 128445 blt 0,0,640,480,0, 13136,640,480:waittimer 128465 blt 0,0,640,480,0, 13138,640,480:waittimer 128484 blt 0,0,640,480,0, 13140,640,480:waittimer 128504 blt 0,0,640,480,0, 13142,640,480:waittimer 128523 blt 0,0,640,480,0, 13144,640,480:waittimer 128543 blt 0,0,640,480,0, 13146,640,480:waittimer 128562 blt 0,0,640,480,0, 13148,640,480:waittimer 128582 blt 0,0,640,480,0, 13150,640,480:waittimer 128601 blt 0,0,640,480,0, 13152,640,480:waittimer 128621 blt 0,0,640,480,0, 13154,640,480:waittimer 128641 blt 0,0,640,480,0, 13156,640,480:waittimer 128660 blt 0,0,640,480,0, 13158,640,480:waittimer 128680 blt 0,0,640,480,0, 13160,640,480:waittimer 128699 blt 0,0,640,480,0, 13162,640,480:waittimer 128719 blt 0,0,640,480,0, 13164,640,480:waittimer 128738 blt 0,0,640,480,0, 13166,640,480:waittimer 128758 blt 0,0,640,480,0, 13168,640,480:waittimer 128777 blt 0,0,640,480,0, 13170,640,480:waittimer 128797 blt 0,0,640,480,0, 13172,640,480:waittimer 128817 blt 0,0,640,480,0, 13174,640,480:waittimer 128836 blt 0,0,640,480,0, 13176,640,480:waittimer 128856 blt 0,0,640,480,0, 13178,640,480:waittimer 128875 blt 0,0,640,480,0, 13180,640,480:waittimer 128895 blt 0,0,640,480,0, 13182,640,480:waittimer 128914 blt 0,0,640,480,0, 13184,640,480:waittimer 128934 blt 0,0,640,480,0, 13186,640,480:waittimer 128953 blt 0,0,640,480,0, 13188,640,480:waittimer 128973 blt 0,0,640,480,0, 13190,640,480:waittimer 128993 blt 0,0,640,480,0, 13192,640,480:waittimer 129012 blt 0,0,640,480,0, 13194,640,480:waittimer 129032 blt 0,0,640,480,0, 13196,640,480:waittimer 129051 blt 0,0,640,480,0, 13198,640,480:waittimer 129071 blt 0,0,640,480,0, 13200,640,480:waittimer 129090 blt 0,0,640,480,0, 13202,640,480:waittimer 129110 blt 0,0,640,480,0, 13204,640,480:waittimer 129130 blt 0,0,640,480,0, 13206,640,480:waittimer 129149 blt 0,0,640,480,0, 13208,640,480:waittimer 129169 blt 0,0,640,480,0, 13210,640,480:waittimer 129188 blt 0,0,640,480,0, 13212,640,480:waittimer 129208 blt 0,0,640,480,0, 13214,640,480:waittimer 129227 blt 0,0,640,480,0, 13216,640,480:waittimer 129247 blt 0,0,640,480,0, 13218,640,480:waittimer 129266 blt 0,0,640,480,0, 13220,640,480:waittimer 129286 blt 0,0,640,480,0, 13222,640,480:waittimer 129306 blt 0,0,640,480,0, 13224,640,480:waittimer 129325 blt 0,0,640,480,0, 13226,640,480:waittimer 129345 blt 0,0,640,480,0, 13228,640,480:waittimer 129364 blt 0,0,640,480,0, 13230,640,480:waittimer 129384 blt 0,0,640,480,0, 13232,640,480:waittimer 129403 blt 0,0,640,480,0, 13234,640,480:waittimer 129423 blt 0,0,640,480,0, 13236,640,480:waittimer 129442 blt 0,0,640,480,0, 13238,640,480:waittimer 129462 blt 0,0,640,480,0, 13240,640,480:waittimer 129482 blt 0,0,640,480,0, 13242,640,480:waittimer 129501 blt 0,0,640,480,0, 13244,640,480:waittimer 129521 blt 0,0,640,480,0, 13246,640,480:waittimer 129540 blt 0,0,640,480,0, 13248,640,480:waittimer 129560 blt 0,0,640,480,0, 13250,640,480:waittimer 129579 blt 0,0,640,480,0, 13252,640,480:waittimer 129599 blt 0,0,640,480,0, 13254,640,480:waittimer 129619 blt 0,0,640,480,0, 13256,640,480:waittimer 129638 blt 0,0,640,480,0, 13258,640,480:waittimer 129658 blt 0,0,640,480,0, 13260,640,480:waittimer 129677 blt 0,0,640,480,0, 13262,640,480:waittimer 129697 blt 0,0,640,480,0, 13264,640,480:waittimer 129716 blt 0,0,640,480,0, 13266,640,480:waittimer 129736 blt 0,0,640,480,0, 13268,640,480:waittimer 129755 blt 0,0,640,480,0, 13270,640,480:waittimer 129775 blt 0,0,640,480,0, 13272,640,480:waittimer 129795 blt 0,0,640,480,0, 13274,640,480:waittimer 129814 blt 0,0,640,480,0, 13276,640,480:waittimer 129834 blt 0,0,640,480,0, 13278,640,480:waittimer 129853 blt 0,0,640,480,0, 13280,640,480:waittimer 129873 blt 0,0,640,480,0, 13282,640,480:waittimer 129892 blt 0,0,640,480,0, 13284,640,480:waittimer 129912 blt 0,0,640,480,0, 13286,640,480:waittimer 129931 blt 0,0,640,480,0, 13288,640,480:waittimer 129951 blt 0,0,640,480,0, 13290,640,480:waittimer 129971 blt 0,0,640,480,0, 13292,640,480:waittimer 129990 blt 0,0,640,480,0, 13294,640,480:waittimer 130010 blt 0,0,640,480,0, 13296,640,480:waittimer 130029 blt 0,0,640,480,0, 13298,640,480:waittimer 130049 blt 0,0,640,480,0, 13300,640,480:waittimer 130068 blt 0,0,640,480,0, 13302,640,480:waittimer 130088 blt 0,0,640,480,0, 13304,640,480:waittimer 130107 blt 0,0,640,480,0, 13306,640,480:waittimer 130127 blt 0,0,640,480,0, 13308,640,480:waittimer 130147 blt 0,0,640,480,0, 13310,640,480:waittimer 130166 blt 0,0,640,480,0, 13312,640,480:waittimer 130186 blt 0,0,640,480,0, 13314,640,480:waittimer 130205 blt 0,0,640,480,0, 13316,640,480:waittimer 130225 blt 0,0,640,480,0, 13318,640,480:waittimer 130244 blt 0,0,640,480,0, 13320,640,480:waittimer 130264 blt 0,0,640,480,0, 13322,640,480:waittimer 130284 blt 0,0,640,480,0, 13324,640,480:waittimer 130303 blt 0,0,640,480,0, 13326,640,480:waittimer 130323 blt 0,0,640,480,0, 13328,640,480:waittimer 130342 blt 0,0,640,480,0, 13330,640,480:waittimer 130362 blt 0,0,640,480,0, 13332,640,480:waittimer 130381 blt 0,0,640,480,0, 13334,640,480:waittimer 130401 blt 0,0,640,480,0, 13336,640,480:waittimer 130420 blt 0,0,640,480,0, 13338,640,480:waittimer 130440 blt 0,0,640,480,0, 13340,640,480:waittimer 130460 blt 0,0,640,480,0, 13342,640,480:waittimer 130479 blt 0,0,640,480,0, 13344,640,480:waittimer 130499 blt 0,0,640,480,0, 13346,640,480:waittimer 130518 blt 0,0,640,480,0, 13348,640,480:waittimer 130538 blt 0,0,640,480,0, 13350,640,480:waittimer 130557 blt 0,0,640,480,0, 13352,640,480:waittimer 130577 blt 0,0,640,480,0, 13354,640,480:waittimer 130596 blt 0,0,640,480,0, 13356,640,480:waittimer 130616 blt 0,0,640,480,0, 13358,640,480:waittimer 130636 blt 0,0,640,480,0, 13360,640,480:waittimer 130655 blt 0,0,640,480,0, 13362,640,480:waittimer 130675 blt 0,0,640,480,0, 13364,640,480:waittimer 130694 blt 0,0,640,480,0, 13366,640,480:waittimer 130714 blt 0,0,640,480,0, 13368,640,480:waittimer 130733 blt 0,0,640,480,0, 13370,640,480:waittimer 130753 blt 0,0,640,480,0, 13372,640,480:waittimer 130773 blt 0,0,640,480,0, 13374,640,480:waittimer 130792 blt 0,0,640,480,0, 13376,640,480:waittimer 130812 blt 0,0,640,480,0, 13378,640,480:waittimer 130831 blt 0,0,640,480,0, 13380,640,480:waittimer 130851 blt 0,0,640,480,0, 13382,640,480:waittimer 130870 blt 0,0,640,480,0, 13384,640,480:waittimer 130890 blt 0,0,640,480,0, 13386,640,480:waittimer 130909 blt 0,0,640,480,0, 13388,640,480:waittimer 130929 blt 0,0,640,480,0, 13390,640,480:waittimer 130949 blt 0,0,640,480,0, 13392,640,480:waittimer 130968 blt 0,0,640,480,0, 13394,640,480:waittimer 130988 blt 0,0,640,480,0, 13396,640,480:waittimer 131007 blt 0,0,640,480,0, 13398,640,480:waittimer 131027 blt 0,0,640,480,0, 13400,640,480:waittimer 131046 blt 0,0,640,480,0, 13402,640,480:waittimer 131066 blt 0,0,640,480,0, 13404,640,480:waittimer 131085 blt 0,0,640,480,0, 13406,640,480:waittimer 131105 blt 0,0,640,480,0, 13408,640,480:waittimer 131125 blt 0,0,640,480,0, 13410,640,480:waittimer 131144 blt 0,0,640,480,0, 13412,640,480:waittimer 131164 blt 0,0,640,480,0, 13414,640,480:waittimer 131183 blt 0,0,640,480,0, 13416,640,480:waittimer 131203 blt 0,0,640,480,0, 13418,640,480:waittimer 131222 blt 0,0,640,480,0, 13420,640,480:waittimer 131242 blt 0,0,640,480,0, 13422,640,480:waittimer 131261 blt 0,0,640,480,0, 13424,640,480:waittimer 131281 blt 0,0,640,480,0, 13426,640,480:waittimer 131301 blt 0,0,640,480,0, 13428,640,480:waittimer 131320 blt 0,0,640,480,0, 13430,640,480:waittimer 131340 blt 0,0,640,480,0, 13432,640,480:waittimer 131359 blt 0,0,640,480,0, 13434,640,480:waittimer 131379 blt 0,0,640,480,0, 13436,640,480:waittimer 131398 blt 0,0,640,480,0, 13438,640,480:waittimer 131418 blt 0,0,640,480,0, 13440,640,480:waittimer 131438 blt 0,0,640,480,0, 13442,640,480:waittimer 131457 blt 0,0,640,480,0, 13444,640,480:waittimer 131477 blt 0,0,640,480,0, 13446,640,480:waittimer 131496 blt 0,0,640,480,0, 13448,640,480:waittimer 131516 blt 0,0,640,480,0, 13450,640,480:waittimer 131535 blt 0,0,640,480,0, 13452,640,480:waittimer 131555 blt 0,0,640,480,0, 13454,640,480:waittimer 131574 blt 0,0,640,480,0, 13456,640,480:waittimer 131594 blt 0,0,640,480,0, 13458,640,480:waittimer 131614 blt 0,0,640,480,0, 13460,640,480:waittimer 131633 blt 0,0,640,480,0, 13462,640,480:waittimer 131653 blt 0,0,640,480,0, 13464,640,480:waittimer 131672 blt 0,0,640,480,0, 13466,640,480:waittimer 131692 blt 0,0,640,480,0, 13468,640,480:waittimer 131711 blt 0,0,640,480,0, 13470,640,480:waittimer 131731 blt 0,0,640,480,0, 13472,640,480:waittimer 131750 blt 0,0,640,480,0, 13474,640,480:waittimer 131770 blt 0,0,640,480,0, 13476,640,480:waittimer 131790 blt 0,0,640,480,0, 13478,640,480:waittimer 131809 blt 0,0,640,480,0, 13480,640,480:waittimer 131829 blt 0,0,640,480,0, 13482,640,480:waittimer 131848 blt 0,0,640,480,0, 13484,640,480:waittimer 131868 blt 0,0,640,480,0, 13486,640,480:waittimer 131887 blt 0,0,640,480,0, 13488,640,480:waittimer 131907 blt 0,0,640,480,0, 13490,640,480:waittimer 131926 blt 0,0,640,480,0, 13492,640,480:waittimer 131946 blt 0,0,640,480,0, 13494,640,480:waittimer 131966 blt 0,0,640,480,0, 13496,640,480:waittimer 131985 blt 0,0,640,480,0, 13498,640,480:waittimer 132005 blt 0,0,640,480,0, 13500,640,480:waittimer 132024 blt 0,0,640,480,0, 13502,640,480:waittimer 132044 blt 0,0,640,480,0, 13504,640,480:waittimer 132063 blt 0,0,640,480,0, 13506,640,480:waittimer 132083 blt 0,0,640,480,0, 13508,640,480:waittimer 132103 blt 0,0,640,480,0, 13510,640,480:waittimer 132122 blt 0,0,640,480,0, 13512,640,480:waittimer 132142 blt 0,0,640,480,0, 13514,640,480:waittimer 132161 blt 0,0,640,480,0, 13516,640,480:waittimer 132181 blt 0,0,640,480,0, 13518,640,480:waittimer 132200 blt 0,0,640,480,0, 13520,640,480:waittimer 132220 blt 0,0,640,480,0, 13522,640,480:waittimer 132239 blt 0,0,640,480,0, 13524,640,480:waittimer 132259 blt 0,0,640,480,0, 13526,640,480:waittimer 132279 blt 0,0,640,480,0, 13528,640,480:waittimer 132298 blt 0,0,640,480,0, 13530,640,480:waittimer 132318 blt 0,0,640,480,0, 13532,640,480:waittimer 132337 blt 0,0,640,480,0, 13534,640,480:waittimer 132357 blt 0,0,640,480,0, 13536,640,480:waittimer 132376 blt 0,0,640,480,0, 13538,640,480:waittimer 132396 blt 0,0,640,480,0, 13540,640,480:waittimer 132415 blt 0,0,640,480,0, 13542,640,480:waittimer 132435 blt 0,0,640,480,0, 13544,640,480:waittimer 132455 blt 0,0,640,480,0, 13546,640,480:waittimer 132474 blt 0,0,640,480,0, 13548,640,480:waittimer 132494 blt 0,0,640,480,0, 13550,640,480:waittimer 132513 blt 0,0,640,480,0, 13552,640,480:waittimer 132533 blt 0,0,640,480,0, 13554,640,480:waittimer 132552 blt 0,0,640,480,0, 13556,640,480:waittimer 132572 blt 0,0,640,480,0, 13558,640,480:waittimer 132592 blt 0,0,640,480,0, 13560,640,480:waittimer 132611 blt 0,0,640,480,0, 13562,640,480:waittimer 132631 blt 0,0,640,480,0, 13564,640,480:waittimer 132650 blt 0,0,640,480,0, 13566,640,480:waittimer 132670 blt 0,0,640,480,0, 13568,640,480:waittimer 132689 blt 0,0,640,480,0, 13570,640,480:waittimer 132709 blt 0,0,640,480,0, 13572,640,480:waittimer 132728 blt 0,0,640,480,0, 13574,640,480:waittimer 132748 blt 0,0,640,480,0, 13576,640,480:waittimer 132768 blt 0,0,640,480,0, 13578,640,480:waittimer 132787 blt 0,0,640,480,0, 13580,640,480:waittimer 132807 blt 0,0,640,480,0, 13582,640,480:waittimer 132826 blt 0,0,640,480,0, 13584,640,480:waittimer 132846 blt 0,0,640,480,0, 13586,640,480:waittimer 132865 blt 0,0,640,480,0, 13588,640,480:waittimer 132885 blt 0,0,640,480,0, 13590,640,480:waittimer 132904 blt 0,0,640,480,0, 13592,640,480:waittimer 132924 blt 0,0,640,480,0, 13594,640,480:waittimer 132944 blt 0,0,640,480,0, 13596,640,480:waittimer 132963 blt 0,0,640,480,0, 13598,640,480:waittimer 132983 blt 0,0,640,480,0, 13600,640,480:waittimer 133002 blt 0,0,640,480,0, 13602,640,480:waittimer 133022 blt 0,0,640,480,0, 13604,640,480:waittimer 133041 blt 0,0,640,480,0, 13606,640,480:waittimer 133061 blt 0,0,640,480,0, 13608,640,480:waittimer 133080 blt 0,0,640,480,0, 13610,640,480:waittimer 133100 blt 0,0,640,480,0, 13612,640,480:waittimer 133120 blt 0,0,640,480,0, 13614,640,480:waittimer 133139 blt 0,0,640,480,0, 13616,640,480:waittimer 133159 blt 0,0,640,480,0, 13618,640,480:waittimer 133178 blt 0,0,640,480,0, 13620,640,480:waittimer 133198 blt 0,0,640,480,0, 13622,640,480:waittimer 133217 blt 0,0,640,480,0, 13624,640,480:waittimer 133237 blt 0,0,640,480,0, 13626,640,480:waittimer 133257 blt 0,0,640,480,0, 13628,640,480:waittimer 133276 blt 0,0,640,480,0, 13630,640,480:waittimer 133296 blt 0,0,640,480,0, 13632,640,480:waittimer 133315 blt 0,0,640,480,0, 13634,640,480:waittimer 133335 blt 0,0,640,480,0, 13636,640,480:waittimer 133354 blt 0,0,640,480,0, 13638,640,480:waittimer 133374 blt 0,0,640,480,0, 13640,640,480:waittimer 133393 blt 0,0,640,480,0, 13642,640,480:waittimer 133413 blt 0,0,640,480,0, 13644,640,480:waittimer 133433 blt 0,0,640,480,0, 13646,640,480:waittimer 133452 blt 0,0,640,480,0, 13648,640,480:waittimer 133472 blt 0,0,640,480,0, 13650,640,480:waittimer 133491 blt 0,0,640,480,0, 13652,640,480:waittimer 133511 blt 0,0,640,480,0, 13654,640,480:waittimer 133530 blt 0,0,640,480,0, 13656,640,480:waittimer 133550 blt 0,0,640,480,0, 13658,640,480:waittimer 133569 blt 0,0,640,480,0, 13660,640,480:waittimer 133589 blt 0,0,640,480,0, 13662,640,480:waittimer 133609 blt 0,0,640,480,0, 13664,640,480:waittimer 133628 blt 0,0,640,480,0, 13666,640,480:waittimer 133648 blt 0,0,640,480,0, 13668,640,480:waittimer 133667 blt 0,0,640,480,0, 13670,640,480:waittimer 133687 blt 0,0,640,480,0, 13672,640,480:waittimer 133706 blt 0,0,640,480,0, 13674,640,480:waittimer 133726 blt 0,0,640,480,0, 13676,640,480:waittimer 133746 blt 0,0,640,480,0, 13678,640,480:waittimer 133765 blt 0,0,640,480,0, 13680,640,480:waittimer 133785 blt 0,0,640,480,0, 13682,640,480:waittimer 133804 blt 0,0,640,480,0, 13684,640,480:waittimer 133824 blt 0,0,640,480,0, 13686,640,480:waittimer 133843 blt 0,0,640,480,0, 13688,640,480:waittimer 133863 blt 0,0,640,480,0, 13690,640,480:waittimer 133882 blt 0,0,640,480,0, 13692,640,480:waittimer 133902 blt 0,0,640,480,0, 13694,640,480:waittimer 133922 blt 0,0,640,480,0, 13696,640,480:waittimer 133941 blt 0,0,640,480,0, 13698,640,480:waittimer 133961 blt 0,0,640,480,0, 13700,640,480:waittimer 133980 blt 0,0,640,480,0, 13702,640,480:waittimer 134000 blt 0,0,640,480,0, 13704,640,480:waittimer 134019 blt 0,0,640,480,0, 13706,640,480:waittimer 134039 blt 0,0,640,480,0, 13708,640,480:waittimer 134058 blt 0,0,640,480,0, 13710,640,480:waittimer 134078 blt 0,0,640,480,0, 13712,640,480:waittimer 134098 blt 0,0,640,480,0, 13714,640,480:waittimer 134117 blt 0,0,640,480,0, 13716,640,480:waittimer 134137 blt 0,0,640,480,0, 13718,640,480:waittimer 134156 blt 0,0,640,480,0, 13720,640,480:waittimer 134176 blt 0,0,640,480,0, 13722,640,480:waittimer 134195 blt 0,0,640,480,0, 13724,640,480:waittimer 134215 blt 0,0,640,480,0, 13726,640,480:waittimer 134234 blt 0,0,640,480,0, 13728,640,480:waittimer 134254 blt 0,0,640,480,0, 13730,640,480:waittimer 134274 blt 0,0,640,480,0, 13732,640,480:waittimer 134293 blt 0,0,640,480,0, 13734,640,480:waittimer 134313 blt 0,0,640,480,0, 13736,640,480:waittimer 134332 blt 0,0,640,480,0, 13738,640,480:waittimer 134352 blt 0,0,640,480,0, 13740,640,480:waittimer 134371 blt 0,0,640,480,0, 13742,640,480:waittimer 134391 blt 0,0,640,480,0, 13744,640,480:waittimer 134411 blt 0,0,640,480,0, 13746,640,480:waittimer 134430 blt 0,0,640,480,0, 13748,640,480:waittimer 134450 blt 0,0,640,480,0, 13750,640,480:waittimer 134469 blt 0,0,640,480,0, 13752,640,480:waittimer 134489 blt 0,0,640,480,0, 13754,640,480:waittimer 134508 blt 0,0,640,480,0, 13756,640,480:waittimer 134528 blt 0,0,640,480,0, 13758,640,480:waittimer 134547 blt 0,0,640,480,0, 13760,640,480:waittimer 134567 blt 0,0,640,480,0, 13762,640,480:waittimer 134587 blt 0,0,640,480,0, 13764,640,480:waittimer 134606 blt 0,0,640,480,0, 13766,640,480:waittimer 134626 blt 0,0,640,480,0, 13768,640,480:waittimer 134645 blt 0,0,640,480,0, 13770,640,480:waittimer 134665 blt 0,0,640,480,0, 13772,640,480:waittimer 134684 blt 0,0,640,480,0, 13774,640,480:waittimer 134704 blt 0,0,640,480,0, 13776,640,480:waittimer 134723 blt 0,0,640,480,0, 13778,640,480:waittimer 134743 blt 0,0,640,480,0, 13780,640,480:waittimer 134763 blt 0,0,640,480,0, 13782,640,480:waittimer 134782 blt 0,0,640,480,0, 13784,640,480:waittimer 134802 blt 0,0,640,480,0, 13786,640,480:waittimer 134821 blt 0,0,640,480,0, 13788,640,480:waittimer 134841 blt 0,0,640,480,0, 13790,640,480:waittimer 134860 blt 0,0,640,480,0, 13792,640,480:waittimer 134880 blt 0,0,640,480,0, 13794,640,480:waittimer 134900 blt 0,0,640,480,0, 13796,640,480:waittimer 134919 blt 0,0,640,480,0, 13798,640,480:waittimer 134939 blt 0,0,640,480,0, 13800,640,480:waittimer 134958 blt 0,0,640,480,0, 13802,640,480:waittimer 134978 blt 0,0,640,480,0, 13804,640,480:waittimer 134997 blt 0,0,640,480,0, 13806,640,480:waittimer 135017 blt 0,0,640,480,0, 13808,640,480:waittimer 135036 blt 0,0,640,480,0, 13810,640,480:waittimer 135056 blt 0,0,640,480,0, 13812,640,480:waittimer 135076 blt 0,0,640,480,0, 13814,640,480:waittimer 135095 blt 0,0,640,480,0, 13816,640,480:waittimer 135115 blt 0,0,640,480,0, 13818,640,480:waittimer 135134 blt 0,0,640,480,0, 13820,640,480:waittimer 135154 blt 0,0,640,480,0, 13822,640,480:waittimer 135173 blt 0,0,640,480,0, 13824,640,480:waittimer 135193 blt 0,0,640,480,0, 13826,640,480:waittimer 135212 blt 0,0,640,480,0, 13828,640,480:waittimer 135232 blt 0,0,640,480,0, 13830,640,480:waittimer 135252 blt 0,0,640,480,0, 13832,640,480:waittimer 135271 blt 0,0,640,480,0, 13834,640,480:waittimer 135291 blt 0,0,640,480,0, 13836,640,480:waittimer 135310 blt 0,0,640,480,0, 13838,640,480:waittimer 135330 blt 0,0,640,480,0, 13840,640,480:waittimer 135349 blt 0,0,640,480,0, 13842,640,480:waittimer 135369 blt 0,0,640,480,0, 13844,640,480:waittimer 135388 blt 0,0,640,480,0, 13846,640,480:waittimer 135408 blt 0,0,640,480,0, 13848,640,480:waittimer 135428 blt 0,0,640,480,0, 13850,640,480:waittimer 135447 blt 0,0,640,480,0, 13852,640,480:waittimer 135467 blt 0,0,640,480,0, 13854,640,480:waittimer 135486 blt 0,0,640,480,0, 13856,640,480:waittimer 135506 blt 0,0,640,480,0, 13858,640,480:waittimer 135525 blt 0,0,640,480,0, 13860,640,480:waittimer 135545 blt 0,0,640,480,0, 13862,640,480:waittimer 135565 blt 0,0,640,480,0, 13864,640,480:waittimer 135584 blt 0,0,640,480,0, 13866,640,480:waittimer 135604 blt 0,0,640,480,0, 13868,640,480:waittimer 135623 blt 0,0,640,480,0, 13870,640,480:waittimer 135643 blt 0,0,640,480,0, 13872,640,480:waittimer 135662 blt 0,0,640,480,0, 13874,640,480:waittimer 135682 blt 0,0,640,480,0, 13876,640,480:waittimer 135701 blt 0,0,640,480,0, 13878,640,480:waittimer 135721 blt 0,0,640,480,0, 13880,640,480:waittimer 135741 blt 0,0,640,480,0, 13882,640,480:waittimer 135760 blt 0,0,640,480,0, 13884,640,480:waittimer 135780 blt 0,0,640,480,0, 13886,640,480:waittimer 135799 blt 0,0,640,480,0, 13888,640,480:waittimer 135819 blt 0,0,640,480,0, 13890,640,480:waittimer 135838 blt 0,0,640,480,0, 13892,640,480:waittimer 135858 blt 0,0,640,480,0, 13894,640,480:waittimer 135877 blt 0,0,640,480,0, 13896,640,480:waittimer 135897 blt 0,0,640,480,0, 13898,640,480:waittimer 135917 blt 0,0,640,480,0, 13900,640,480:waittimer 135936 blt 0,0,640,480,0, 13902,640,480:waittimer 135956 blt 0,0,640,480,0, 13904,640,480:waittimer 135975 blt 0,0,640,480,0, 13906,640,480:waittimer 135995 blt 0,0,640,480,0, 13908,640,480:waittimer 136014 blt 0,0,640,480,0, 13910,640,480:waittimer 136034 blt 0,0,640,480,0, 13912,640,480:waittimer 136053 blt 0,0,640,480,0, 13914,640,480:waittimer 136073 blt 0,0,640,480,0, 13916,640,480:waittimer 136093 blt 0,0,640,480,0, 13918,640,480:waittimer 136112 blt 0,0,640,480,0, 13920,640,480:waittimer 136132 blt 0,0,640,480,0, 13922,640,480:waittimer 136151 blt 0,0,640,480,0, 13924,640,480:waittimer 136171 blt 0,0,640,480,0, 13926,640,480:waittimer 136190 blt 0,0,640,480,0, 13928,640,480:waittimer 136210 blt 0,0,640,480,0, 13930,640,480:waittimer 136230 blt 0,0,640,480,0, 13932,640,480:waittimer 136249 blt 0,0,640,480,0, 13934,640,480:waittimer 136269 blt 0,0,640,480,0, 13936,640,480:waittimer 136288 blt 0,0,640,480,0, 13938,640,480:waittimer 136308 blt 0,0,640,480,0, 13940,640,480:waittimer 136327 blt 0,0,640,480,0, 13942,640,480:waittimer 136347 blt 0,0,640,480,0, 13944,640,480:waittimer 136366 blt 0,0,640,480,0, 13946,640,480:waittimer 136386 blt 0,0,640,480,0, 13948,640,480:waittimer 136406 blt 0,0,640,480,0, 13950,640,480:waittimer 136425 blt 0,0,640,480,0, 13952,640,480:waittimer 136445 blt 0,0,640,480,0, 13954,640,480:waittimer 136464 blt 0,0,640,480,0, 13956,640,480:waittimer 136484 blt 0,0,640,480,0, 13958,640,480:waittimer 136503 blt 0,0,640,480,0, 13960,640,480:waittimer 136523 blt 0,0,640,480,0, 13962,640,480:waittimer 136542 blt 0,0,640,480,0, 13964,640,480:waittimer 136562 blt 0,0,640,480,0, 13966,640,480:waittimer 136582 blt 0,0,640,480,0, 13968,640,480:waittimer 136601 blt 0,0,640,480,0, 13970,640,480:waittimer 136621 blt 0,0,640,480,0, 13972,640,480:waittimer 136640 blt 0,0,640,480,0, 13974,640,480:waittimer 136660 blt 0,0,640,480,0, 13976,640,480:waittimer 136679 blt 0,0,640,480,0, 13978,640,480:waittimer 136699 blt 0,0,640,480,0, 13980,640,480:waittimer 136719 blt 0,0,640,480,0, 13982,640,480:waittimer 136738 blt 0,0,640,480,0, 13984,640,480:waittimer 136758 blt 0,0,640,480,0, 13986,640,480:waittimer 136777 blt 0,0,640,480,0, 13988,640,480:waittimer 136797 blt 0,0,640,480,0, 13990,640,480:waittimer 136816 blt 0,0,640,480,0, 13992,640,480:waittimer 136836 blt 0,0,640,480,0, 13994,640,480:waittimer 136855 blt 0,0,640,480,0, 13996,640,480:waittimer 136875 blt 0,0,640,480,0, 13998,640,480:waittimer 136895 blt 0,0,640,480,0, 14000,640,480:waittimer 136914 blt 0,0,640,480,0, 14002,640,480:waittimer 136934 blt 0,0,640,480,0, 14004,640,480:waittimer 136953 blt 0,0,640,480,0, 14006,640,480:waittimer 136973 blt 0,0,640,480,0, 14008,640,480:waittimer 136992 blt 0,0,640,480,0, 14010,640,480:waittimer 137012 blt 0,0,640,480,0, 14012,640,480:waittimer 137031 blt 0,0,640,480,0, 14014,640,480:waittimer 137051 blt 0,0,640,480,0, 14016,640,480:waittimer 137071 blt 0,0,640,480,0, 14018,640,480:waittimer 137090 blt 0,0,640,480,0, 14020,640,480:waittimer 137110 blt 0,0,640,480,0, 14022,640,480:waittimer 137129 blt 0,0,640,480,0, 14024,640,480:waittimer 137149 blt 0,0,640,480,0, 14026,640,480:waittimer 137168 blt 0,0,640,480,0, 14028,640,480:waittimer 137188 blt 0,0,640,480,0, 14030,640,480:waittimer 137207 blt 0,0,640,480,0, 14032,640,480:waittimer 137227 blt 0,0,640,480,0, 14034,640,480:waittimer 137247 blt 0,0,640,480,0, 14036,640,480:waittimer 137266 blt 0,0,640,480,0, 14038,640,480:waittimer 137286 blt 0,0,640,480,0, 14040,640,480:waittimer 137305 blt 0,0,640,480,0, 14042,640,480:waittimer 137325 blt 0,0,640,480,0, 14044,640,480:waittimer 137344 blt 0,0,640,480,0, 14046,640,480:waittimer 137364 blt 0,0,640,480,0, 14048,640,480:waittimer 137384 blt 0,0,640,480,0, 14050,640,480:waittimer 137403 blt 0,0,640,480,0, 14052,640,480:waittimer 137423 blt 0,0,640,480,0, 14054,640,480:waittimer 137442 blt 0,0,640,480,0, 14056,640,480:waittimer 137462 blt 0,0,640,480,0, 14058,640,480:waittimer 137481 blt 0,0,640,480,0, 14060,640,480:waittimer 137501 blt 0,0,640,480,0, 14062,640,480:waittimer 137520 blt 0,0,640,480,0, 14064,640,480:waittimer 137540 blt 0,0,640,480,0, 14066,640,480:waittimer 137560 blt 0,0,640,480,0, 14068,640,480:waittimer 137579 blt 0,0,640,480,0, 14070,640,480:waittimer 137599 blt 0,0,640,480,0, 14072,640,480:waittimer 137618 blt 0,0,640,480,0, 14074,640,480:waittimer 137638 blt 0,0,640,480,0, 14076,640,480:waittimer 137657 blt 0,0,640,480,0, 14078,640,480:waittimer 137677 blt 0,0,640,480,0, 14080,640,480:waittimer 137696 blt 0,0,640,480,0, 14082,640,480:waittimer 137716 blt 0,0,640,480,0, 14084,640,480:waittimer 137736 blt 0,0,640,480,0, 14086,640,480:waittimer 137755 blt 0,0,640,480,0, 14088,640,480:waittimer 137775 blt 0,0,640,480,0, 14090,640,480:waittimer 137794 blt 0,0,640,480,0, 14092,640,480:waittimer 137814 blt 0,0,640,480,0, 14094,640,480:waittimer 137833 blt 0,0,640,480,0, 14096,640,480:waittimer 137853 blt 0,0,640,480,0, 14098,640,480:waittimer 137873 blt 0,0,640,480,0, 14100,640,480:waittimer 137892 blt 0,0,640,480,0, 14102,640,480:waittimer 137912 blt 0,0,640,480,0, 14104,640,480:waittimer 137931 blt 0,0,640,480,0, 14106,640,480:waittimer 137951 blt 0,0,640,480,0, 14108,640,480:waittimer 137970 blt 0,0,640,480,0, 14110,640,480:waittimer 137990 blt 0,0,640,480,0, 14112,640,480:waittimer 138009 blt 0,0,640,480,0, 14114,640,480:waittimer 138029 blt 0,0,640,480,0, 14116,640,480:waittimer 138049 blt 0,0,640,480,0, 14118,640,480:waittimer 138068 blt 0,0,640,480,0, 14120,640,480:waittimer 138088 blt 0,0,640,480,0, 14122,640,480:waittimer 138107 blt 0,0,640,480,0, 14124,640,480:waittimer 138127 blt 0,0,640,480,0, 14126,640,480:waittimer 138146 blt 0,0,640,480,0, 14128,640,480:waittimer 138166 blt 0,0,640,480,0, 14130,640,480:waittimer 138185 blt 0,0,640,480,0, 14132,640,480:waittimer 138205 blt 0,0,640,480,0, 14134,640,480:waittimer 138225 blt 0,0,640,480,0, 14136,640,480:waittimer 138244 blt 0,0,640,480,0, 14138,640,480:waittimer 138264 blt 0,0,640,480,0, 14140,640,480:waittimer 138283 blt 0,0,640,480,0, 14142,640,480:waittimer 138303 blt 0,0,640,480,0, 14144,640,480:waittimer 138322 blt 0,0,640,480,0, 14146,640,480:waittimer 138342 blt 0,0,640,480,0, 14148,640,480:waittimer 138361 blt 0,0,640,480,0, 14150,640,480:waittimer 138381 blt 0,0,640,480,0, 14152,640,480:waittimer 138401 blt 0,0,640,480,0, 14154,640,480:waittimer 138420 blt 0,0,640,480,0, 14156,640,480:waittimer 138440 blt 0,0,640,480,0, 14158,640,480:waittimer 138459 blt 0,0,640,480,0, 14160,640,480:waittimer 138479 blt 0,0,640,480,0, 14162,640,480:waittimer 138498 blt 0,0,640,480,0, 14164,640,480:waittimer 138518 blt 0,0,640,480,0, 14166,640,480:waittimer 138538 blt 0,0,640,480,0, 14168,640,480:waittimer 138557 blt 0,0,640,480,0, 14170,640,480:waittimer 138577 blt 0,0,640,480,0, 14172,640,480:waittimer 138596 blt 0,0,640,480,0, 14174,640,480:waittimer 138616 blt 0,0,640,480,0, 14176,640,480:waittimer 138635 blt 0,0,640,480,0, 14178,640,480:waittimer 138655 blt 0,0,640,480,0, 14180,640,480:waittimer 138674 blt 0,0,640,480,0, 14182,640,480:waittimer 138694 blt 0,0,640,480,0, 14184,640,480:waittimer 138714 blt 0,0,640,480,0, 14186,640,480:waittimer 138733 blt 0,0,640,480,0, 14188,640,480:waittimer 138753 blt 0,0,640,480,0, 14190,640,480:waittimer 138772 blt 0,0,640,480,0, 14192,640,480:waittimer 138792 blt 0,0,640,480,0, 14194,640,480:waittimer 138811 blt 0,0,640,480,0, 14196,640,480:waittimer 138831 blt 0,0,640,480,0, 14198,640,480:waittimer 138850 blt 0,0,640,480,0, 14200,640,480:waittimer 138870 blt 0,0,640,480,0, 14202,640,480:waittimer 138890 blt 0,0,640,480,0, 14204,640,480:waittimer 138909 blt 0,0,640,480,0, 14206,640,480:waittimer 138929 blt 0,0,640,480,0, 14208,640,480:waittimer 138948 blt 0,0,640,480,0, 14210,640,480:waittimer 138968 blt 0,0,640,480,0, 14212,640,480:waittimer 138987 blt 0,0,640,480,0, 14214,640,480:waittimer 139007 blt 0,0,640,480,0, 14216,640,480:waittimer 139026 blt 0,0,640,480,0, 14218,640,480:waittimer 139046 blt 0,0,640,480,0, 14220,640,480:waittimer 139066 blt 0,0,640,480,0, 14222,640,480:waittimer 139085 blt 0,0,640,480,0, 14224,640,480:waittimer 139105 blt 0,0,640,480,0, 14226,640,480:waittimer 139124 blt 0,0,640,480,0, 14228,640,480:waittimer 139144 blt 0,0,640,480,0, 14230,640,480:waittimer 139163 blt 0,0,640,480,0, 14232,640,480:waittimer 139183 blt 0,0,640,480,0, 14234,640,480:waittimer 139203 blt 0,0,640,480,0, 14236,640,480:waittimer 139222 blt 0,0,640,480,0, 14238,640,480:waittimer 139242 blt 0,0,640,480,0, 14240,640,480:waittimer 139261 blt 0,0,640,480,0, 14242,640,480:waittimer 139281 blt 0,0,640,480,0, 14244,640,480:waittimer 139300 blt 0,0,640,480,0, 14246,640,480:waittimer 139320 blt 0,0,640,480,0, 14248,640,480:waittimer 139339 blt 0,0,640,480,0, 14250,640,480:waittimer 139359 blt 0,0,640,480,0, 14252,640,480:waittimer 139379 blt 0,0,640,480,0, 14254,640,480:waittimer 139398 blt 0,0,640,480,0, 14256,640,480:waittimer 139418 blt 0,0,640,480,0, 14258,640,480:waittimer 139437 blt 0,0,640,480,0, 14260,640,480:waittimer 139457 blt 0,0,640,480,0, 14262,640,480:waittimer 139476 blt 0,0,640,480,0, 14264,640,480:waittimer 139496 blt 0,0,640,480,0, 14266,640,480:waittimer 139515 blt 0,0,640,480,0, 14268,640,480:waittimer 139535 blt 0,0,640,480,0, 14270,640,480:waittimer 139555 blt 0,0,640,480,0, 14272,640,480:waittimer 139574 blt 0,0,640,480,0, 14274,640,480:waittimer 139594 blt 0,0,640,480,0, 14276,640,480:waittimer 139613 blt 0,0,640,480,0, 14278,640,480:waittimer 139633 blt 0,0,640,480,0, 14280,640,480:waittimer 139652 blt 0,0,640,480,0, 14282,640,480:waittimer 139672 blt 0,0,640,480,0, 14284,640,480:waittimer 139692 blt 0,0,640,480,0, 14286,640,480:waittimer 139711 blt 0,0,640,480,0, 14288,640,480:waittimer 139731 blt 0,0,640,480,0, 14290,640,480:waittimer 139750 blt 0,0,640,480,0, 14292,640,480:waittimer 139770 blt 0,0,640,480,0, 14294,640,480:waittimer 139789 blt 0,0,640,480,0, 14296,640,480:waittimer 139809 blt 0,0,640,480,0, 14298,640,480:waittimer 139828 blt 0,0,640,480,0, 14300,640,480:waittimer 139848 blt 0,0,640,480,0, 14302,640,480:waittimer 139868 blt 0,0,640,480,0, 14304,640,480:waittimer 139887 blt 0,0,640,480,0, 14306,640,480:waittimer 139907 blt 0,0,640,480,0, 14308,640,480:waittimer 139926 blt 0,0,640,480,0, 14310,640,480:waittimer 139946 blt 0,0,640,480,0, 14312,640,480:waittimer 139965 blt 0,0,640,480,0, 14314,640,480:waittimer 139985 blt 0,0,640,480,0, 14316,640,480:waittimer 140004 blt 0,0,640,480,0, 14318,640,480:waittimer 140024 blt 0,0,640,480,0, 14320,640,480:waittimer 140044 blt 0,0,640,480,0, 14322,640,480:waittimer 140063 blt 0,0,640,480,0, 14324,640,480:waittimer 140083 blt 0,0,640,480,0, 14326,640,480:waittimer 140102 blt 0,0,640,480,0, 14328,640,480:waittimer 140122 blt 0,0,640,480,0, 14330,640,480:waittimer 140141 blt 0,0,640,480,0, 14332,640,480:waittimer 140161 blt 0,0,640,480,0, 14334,640,480:waittimer 140180 blt 0,0,640,480,0, 14336,640,480:waittimer 140200 blt 0,0,640,480,0, 14338,640,480:waittimer 140220 blt 0,0,640,480,0, 14340,640,480:waittimer 140239 blt 0,0,640,480,0, 14342,640,480:waittimer 140259 blt 0,0,640,480,0, 14344,640,480:waittimer 140278 blt 0,0,640,480,0, 14346,640,480:waittimer 140298 blt 0,0,640,480,0, 14348,640,480:waittimer 140317 blt 0,0,640,480,0, 14350,640,480:waittimer 140337 blt 0,0,640,480,0, 14352,640,480:waittimer 140357 blt 0,0,640,480,0, 14354,640,480:waittimer 140376 blt 0,0,640,480,0, 14356,640,480:waittimer 140396 blt 0,0,640,480,0, 14358,640,480:waittimer 140415 blt 0,0,640,480,0, 14360,640,480:waittimer 140435 blt 0,0,640,480,0, 14362,640,480:waittimer 140454 blt 0,0,640,480,0, 14364,640,480:waittimer 140474 blt 0,0,640,480,0, 14366,640,480:waittimer 140493 blt 0,0,640,480,0, 14368,640,480:waittimer 140513 blt 0,0,640,480,0, 14370,640,480:waittimer 140533 blt 0,0,640,480,0, 14372,640,480:waittimer 140552 blt 0,0,640,480,0, 14374,640,480:waittimer 140572 blt 0,0,640,480,0, 14376,640,480:waittimer 140591 blt 0,0,640,480,0, 14378,640,480:waittimer 140611 blt 0,0,640,480,0, 14380,640,480:waittimer 140630 blt 0,0,640,480,0, 14382,640,480:waittimer 140650 blt 0,0,640,480,0, 14384,640,480:waittimer 140669 blt 0,0,640,480,0, 14386,640,480:waittimer 140689 blt 0,0,640,480,0, 14388,640,480:waittimer 140709 blt 0,0,640,480,0, 14390,640,480:waittimer 140728 blt 0,0,640,480,0, 14392,640,480:waittimer 140748 blt 0,0,640,480,0, 14394,640,480:waittimer 140767 blt 0,0,640,480,0, 14396,640,480:waittimer 140787 blt 0,0,640,480,0, 14398,640,480:waittimer 140806 blt 0,0,640,480,0, 14400,640,480:waittimer 140826 blt 0,0,640,480,0, 14402,640,480:waittimer 140846 blt 0,0,640,480,0, 14404,640,480:waittimer 140865 blt 0,0,640,480,0, 14406,640,480:waittimer 140885 blt 0,0,640,480,0, 14408,640,480:waittimer 140904 blt 0,0,640,480,0, 14410,640,480:waittimer 140924 blt 0,0,640,480,0, 14412,640,480:waittimer 140943 blt 0,0,640,480,0, 14414,640,480:waittimer 140963 blt 0,0,640,480,0, 14416,640,480:waittimer 140982 blt 0,0,640,480,0, 14418,640,480:waittimer 141002 blt 0,0,640,480,0, 14420,640,480:waittimer 141022 blt 0,0,640,480,0, 14422,640,480:waittimer 141041 blt 0,0,640,480,0, 14424,640,480:waittimer 141061 blt 0,0,640,480,0, 14426,640,480:waittimer 141080 blt 0,0,640,480,0, 14428,640,480:waittimer 141100 blt 0,0,640,480,0, 14430,640,480:waittimer 141119 blt 0,0,640,480,0, 14432,640,480:waittimer 141139 blt 0,0,640,480,0, 14434,640,480:waittimer 141158 blt 0,0,640,480,0, 14436,640,480:waittimer 141178 blt 0,0,640,480,0, 14438,640,480:waittimer 141198 blt 0,0,640,480,0, 14440,640,480:waittimer 141217 blt 0,0,640,480,0, 14442,640,480:waittimer 141237 blt 0,0,640,480,0, 14444,640,480:waittimer 141256 blt 0,0,640,480,0, 14446,640,480:waittimer 141276 blt 0,0,640,480,0, 14448,640,480:waittimer 141295 blt 0,0,640,480,0, 14450,640,480:waittimer 141315 blt 0,0,640,480,0, 14452,640,480:waittimer 141334 blt 0,0,640,480,0, 14454,640,480:waittimer 141354 blt 0,0,640,480,0, 14456,640,480:waittimer 141374 blt 0,0,640,480,0, 14458,640,480:waittimer 141393 blt 0,0,640,480,0, 14460,640,480:waittimer 141413 blt 0,0,640,480,0, 14462,640,480:waittimer 141432 blt 0,0,640,480,0, 14464,640,480:waittimer 141452 blt 0,0,640,480,0, 14466,640,480:waittimer 141471 blt 0,0,640,480,0, 14468,640,480:waittimer 141491 blt 0,0,640,480,0, 14470,640,480:waittimer 141511 blt 0,0,640,480,0, 14472,640,480:waittimer 141530 blt 0,0,640,480,0, 14474,640,480:waittimer 141550 blt 0,0,640,480,0, 14476,640,480:waittimer 141569 blt 0,0,640,480,0, 14478,640,480:waittimer 141589 blt 0,0,640,480,0, 14480,640,480:waittimer 141608 blt 0,0,640,480,0, 14482,640,480:waittimer 141628 blt 0,0,640,480,0, 14484,640,480:waittimer 141647 blt 0,0,640,480,0, 14486,640,480:waittimer 141667 blt 0,0,640,480,0, 14488,640,480:waittimer 141687 blt 0,0,640,480,0, 14490,640,480:waittimer 141706 blt 0,0,640,480,0, 14492,640,480:waittimer 141726 blt 0,0,640,480,0, 14494,640,480:waittimer 141745 blt 0,0,640,480,0, 14496,640,480:waittimer 141765 blt 0,0,640,480,0, 14498,640,480:waittimer 141784 blt 0,0,640,480,0, 14500,640,480:waittimer 141804 blt 0,0,640,480,0, 14502,640,480:waittimer 141823 blt 0,0,640,480,0, 14504,640,480:waittimer 141843 blt 0,0,640,480,0, 14506,640,480:waittimer 141863 blt 0,0,640,480,0, 14508,640,480:waittimer 141882 blt 0,0,640,480,0, 14510,640,480:waittimer 141902 blt 0,0,640,480,0, 14512,640,480:waittimer 141921 blt 0,0,640,480,0, 14514,640,480:waittimer 141941 blt 0,0,640,480,0, 14516,640,480:waittimer 141960 blt 0,0,640,480,0, 14518,640,480:waittimer 141980 blt 0,0,640,480,0, 14520,640,480:waittimer 142000 saveon return *teatime_ep7_scroll saveoff skipoff resettimer blt 0,0,640,480,0, 2,640,480:waittimer 21 blt 0,0,640,480,0, 4,640,480:waittimer 42 blt 0,0,640,480,0, 6,640,480:waittimer 63 blt 0,0,640,480,0, 8,640,480:waittimer 84 blt 0,0,640,480,0, 10,640,480:waittimer 105 blt 0,0,640,480,0, 12,640,480:waittimer 126 blt 0,0,640,480,0, 14,640,480:waittimer 147 blt 0,0,640,480,0, 16,640,480:waittimer 168 blt 0,0,640,480,0, 18,640,480:waittimer 189 blt 0,0,640,480,0, 20,640,480:waittimer 210 blt 0,0,640,480,0, 22,640,480:waittimer 231 blt 0,0,640,480,0, 24,640,480:waittimer 252 blt 0,0,640,480,0, 26,640,480:waittimer 273 blt 0,0,640,480,0, 28,640,480:waittimer 294 blt 0,0,640,480,0, 30,640,480:waittimer 315 blt 0,0,640,480,0, 32,640,480:waittimer 336 blt 0,0,640,480,0, 34,640,480:waittimer 357 blt 0,0,640,480,0, 36,640,480:waittimer 378 blt 0,0,640,480,0, 38,640,480:waittimer 399 blt 0,0,640,480,0, 40,640,480:waittimer 420 blt 0,0,640,480,0, 42,640,480:waittimer 441 blt 0,0,640,480,0, 44,640,480:waittimer 462 blt 0,0,640,480,0, 46,640,480:waittimer 483 blt 0,0,640,480,0, 48,640,480:waittimer 504 blt 0,0,640,480,0, 50,640,480:waittimer 525 blt 0,0,640,480,0, 52,640,480:waittimer 546 blt 0,0,640,480,0, 54,640,480:waittimer 568 blt 0,0,640,480,0, 56,640,480:waittimer 589 blt 0,0,640,480,0, 58,640,480:waittimer 610 blt 0,0,640,480,0, 60,640,480:waittimer 631 blt 0,0,640,480,0, 62,640,480:waittimer 652 blt 0,0,640,480,0, 64,640,480:waittimer 673 blt 0,0,640,480,0, 66,640,480:waittimer 694 blt 0,0,640,480,0, 68,640,480:waittimer 715 blt 0,0,640,480,0, 70,640,480:waittimer 736 blt 0,0,640,480,0, 72,640,480:waittimer 757 blt 0,0,640,480,0, 74,640,480:waittimer 778 blt 0,0,640,480,0, 76,640,480:waittimer 799 blt 0,0,640,480,0, 78,640,480:waittimer 820 blt 0,0,640,480,0, 80,640,480:waittimer 841 blt 0,0,640,480,0, 82,640,480:waittimer 862 blt 0,0,640,480,0, 84,640,480:waittimer 883 blt 0,0,640,480,0, 86,640,480:waittimer 904 blt 0,0,640,480,0, 88,640,480:waittimer 925 blt 0,0,640,480,0, 90,640,480:waittimer 946 blt 0,0,640,480,0, 92,640,480:waittimer 967 blt 0,0,640,480,0, 94,640,480:waittimer 988 blt 0,0,640,480,0, 96,640,480:waittimer 1009 blt 0,0,640,480,0, 98,640,480:waittimer 1030 blt 0,0,640,480,0, 100,640,480:waittimer 1051 blt 0,0,640,480,0, 102,640,480:waittimer 1072 blt 0,0,640,480,0, 104,640,480:waittimer 1093 blt 0,0,640,480,0, 106,640,480:waittimer 1115 blt 0,0,640,480,0, 108,640,480:waittimer 1136 blt 0,0,640,480,0, 110,640,480:waittimer 1157 blt 0,0,640,480,0, 112,640,480:waittimer 1178 blt 0,0,640,480,0, 114,640,480:waittimer 1199 blt 0,0,640,480,0, 116,640,480:waittimer 1220 blt 0,0,640,480,0, 118,640,480:waittimer 1241 blt 0,0,640,480,0, 120,640,480:waittimer 1262 blt 0,0,640,480,0, 122,640,480:waittimer 1283 blt 0,0,640,480,0, 124,640,480:waittimer 1304 blt 0,0,640,480,0, 126,640,480:waittimer 1325 blt 0,0,640,480,0, 128,640,480:waittimer 1346 blt 0,0,640,480,0, 130,640,480:waittimer 1367 blt 0,0,640,480,0, 132,640,480:waittimer 1388 blt 0,0,640,480,0, 134,640,480:waittimer 1409 blt 0,0,640,480,0, 136,640,480:waittimer 1430 blt 0,0,640,480,0, 138,640,480:waittimer 1451 blt 0,0,640,480,0, 140,640,480:waittimer 1472 blt 0,0,640,480,0, 142,640,480:waittimer 1493 blt 0,0,640,480,0, 144,640,480:waittimer 1514 blt 0,0,640,480,0, 146,640,480:waittimer 1535 blt 0,0,640,480,0, 148,640,480:waittimer 1556 blt 0,0,640,480,0, 150,640,480:waittimer 1577 blt 0,0,640,480,0, 152,640,480:waittimer 1598 blt 0,0,640,480,0, 154,640,480:waittimer 1619 blt 0,0,640,480,0, 156,640,480:waittimer 1640 blt 0,0,640,480,0, 158,640,480:waittimer 1661 blt 0,0,640,480,0, 160,640,480:waittimer 1683 blt 0,0,640,480,0, 162,640,480:waittimer 1704 blt 0,0,640,480,0, 164,640,480:waittimer 1725 blt 0,0,640,480,0, 166,640,480:waittimer 1746 blt 0,0,640,480,0, 168,640,480:waittimer 1767 blt 0,0,640,480,0, 170,640,480:waittimer 1788 blt 0,0,640,480,0, 172,640,480:waittimer 1809 blt 0,0,640,480,0, 174,640,480:waittimer 1830 blt 0,0,640,480,0, 176,640,480:waittimer 1851 blt 0,0,640,480,0, 178,640,480:waittimer 1872 blt 0,0,640,480,0, 180,640,480:waittimer 1893 blt 0,0,640,480,0, 182,640,480:waittimer 1914 blt 0,0,640,480,0, 184,640,480:waittimer 1935 blt 0,0,640,480,0, 186,640,480:waittimer 1956 blt 0,0,640,480,0, 188,640,480:waittimer 1977 blt 0,0,640,480,0, 190,640,480:waittimer 1998 blt 0,0,640,480,0, 192,640,480:waittimer 2019 blt 0,0,640,480,0, 194,640,480:waittimer 2040 blt 0,0,640,480,0, 196,640,480:waittimer 2061 blt 0,0,640,480,0, 198,640,480:waittimer 2082 blt 0,0,640,480,0, 200,640,480:waittimer 2103 blt 0,0,640,480,0, 202,640,480:waittimer 2124 blt 0,0,640,480,0, 204,640,480:waittimer 2145 blt 0,0,640,480,0, 206,640,480:waittimer 2166 blt 0,0,640,480,0, 208,640,480:waittimer 2187 blt 0,0,640,480,0, 210,640,480:waittimer 2208 blt 0,0,640,480,0, 212,640,480:waittimer 2230 blt 0,0,640,480,0, 214,640,480:waittimer 2251 blt 0,0,640,480,0, 216,640,480:waittimer 2272 blt 0,0,640,480,0, 218,640,480:waittimer 2293 blt 0,0,640,480,0, 220,640,480:waittimer 2314 blt 0,0,640,480,0, 222,640,480:waittimer 2335 blt 0,0,640,480,0, 224,640,480:waittimer 2356 blt 0,0,640,480,0, 226,640,480:waittimer 2377 blt 0,0,640,480,0, 228,640,480:waittimer 2398 blt 0,0,640,480,0, 230,640,480:waittimer 2419 blt 0,0,640,480,0, 232,640,480:waittimer 2440 blt 0,0,640,480,0, 234,640,480:waittimer 2461 blt 0,0,640,480,0, 236,640,480:waittimer 2482 blt 0,0,640,480,0, 238,640,480:waittimer 2503 blt 0,0,640,480,0, 240,640,480:waittimer 2524 blt 0,0,640,480,0, 242,640,480:waittimer 2545 blt 0,0,640,480,0, 244,640,480:waittimer 2566 blt 0,0,640,480,0, 246,640,480:waittimer 2587 blt 0,0,640,480,0, 248,640,480:waittimer 2608 blt 0,0,640,480,0, 250,640,480:waittimer 2629 blt 0,0,640,480,0, 252,640,480:waittimer 2650 blt 0,0,640,480,0, 254,640,480:waittimer 2671 blt 0,0,640,480,0, 256,640,480:waittimer 2692 blt 0,0,640,480,0, 258,640,480:waittimer 2713 blt 0,0,640,480,0, 260,640,480:waittimer 2734 blt 0,0,640,480,0, 262,640,480:waittimer 2755 blt 0,0,640,480,0, 264,640,480:waittimer 2776 blt 0,0,640,480,0, 266,640,480:waittimer 2798 blt 0,0,640,480,0, 268,640,480:waittimer 2819 blt 0,0,640,480,0, 270,640,480:waittimer 2840 blt 0,0,640,480,0, 272,640,480:waittimer 2861 blt 0,0,640,480,0, 274,640,480:waittimer 2882 blt 0,0,640,480,0, 276,640,480:waittimer 2903 blt 0,0,640,480,0, 278,640,480:waittimer 2924 blt 0,0,640,480,0, 280,640,480:waittimer 2945 blt 0,0,640,480,0, 282,640,480:waittimer 2966 blt 0,0,640,480,0, 284,640,480:waittimer 2987 blt 0,0,640,480,0, 286,640,480:waittimer 3008 blt 0,0,640,480,0, 288,640,480:waittimer 3029 blt 0,0,640,480,0, 290,640,480:waittimer 3050 blt 0,0,640,480,0, 292,640,480:waittimer 3071 blt 0,0,640,480,0, 294,640,480:waittimer 3092 blt 0,0,640,480,0, 296,640,480:waittimer 3113 blt 0,0,640,480,0, 298,640,480:waittimer 3134 blt 0,0,640,480,0, 300,640,480:waittimer 3155 blt 0,0,640,480,0, 302,640,480:waittimer 3176 blt 0,0,640,480,0, 304,640,480:waittimer 3197 blt 0,0,640,480,0, 306,640,480:waittimer 3218 blt 0,0,640,480,0, 308,640,480:waittimer 3239 blt 0,0,640,480,0, 310,640,480:waittimer 3260 blt 0,0,640,480,0, 312,640,480:waittimer 3281 blt 0,0,640,480,0, 314,640,480:waittimer 3302 blt 0,0,640,480,0, 316,640,480:waittimer 3323 blt 0,0,640,480,0, 318,640,480:waittimer 3345 blt 0,0,640,480,0, 320,640,480:waittimer 3366 blt 0,0,640,480,0, 322,640,480:waittimer 3387 blt 0,0,640,480,0, 324,640,480:waittimer 3408 blt 0,0,640,480,0, 326,640,480:waittimer 3429 blt 0,0,640,480,0, 328,640,480:waittimer 3450 blt 0,0,640,480,0, 330,640,480:waittimer 3471 blt 0,0,640,480,0, 332,640,480:waittimer 3492 blt 0,0,640,480,0, 334,640,480:waittimer 3513 blt 0,0,640,480,0, 336,640,480:waittimer 3534 blt 0,0,640,480,0, 338,640,480:waittimer 3555 blt 0,0,640,480,0, 340,640,480:waittimer 3576 blt 0,0,640,480,0, 342,640,480:waittimer 3597 blt 0,0,640,480,0, 344,640,480:waittimer 3618 blt 0,0,640,480,0, 346,640,480:waittimer 3639 blt 0,0,640,480,0, 348,640,480:waittimer 3660 blt 0,0,640,480,0, 350,640,480:waittimer 3681 blt 0,0,640,480,0, 352,640,480:waittimer 3702 blt 0,0,640,480,0, 354,640,480:waittimer 3723 blt 0,0,640,480,0, 356,640,480:waittimer 3744 blt 0,0,640,480,0, 358,640,480:waittimer 3765 blt 0,0,640,480,0, 360,640,480:waittimer 3786 blt 0,0,640,480,0, 362,640,480:waittimer 3807 blt 0,0,640,480,0, 364,640,480:waittimer 3828 blt 0,0,640,480,0, 366,640,480:waittimer 3849 blt 0,0,640,480,0, 368,640,480:waittimer 3870 blt 0,0,640,480,0, 370,640,480:waittimer 3892 blt 0,0,640,480,0, 372,640,480:waittimer 3913 blt 0,0,640,480,0, 374,640,480:waittimer 3934 blt 0,0,640,480,0, 376,640,480:waittimer 3955 blt 0,0,640,480,0, 378,640,480:waittimer 3976 blt 0,0,640,480,0, 380,640,480:waittimer 3997 blt 0,0,640,480,0, 382,640,480:waittimer 4018 blt 0,0,640,480,0, 384,640,480:waittimer 4039 blt 0,0,640,480,0, 386,640,480:waittimer 4060 blt 0,0,640,480,0, 388,640,480:waittimer 4081 blt 0,0,640,480,0, 390,640,480:waittimer 4102 blt 0,0,640,480,0, 392,640,480:waittimer 4123 blt 0,0,640,480,0, 394,640,480:waittimer 4144 blt 0,0,640,480,0, 396,640,480:waittimer 4165 blt 0,0,640,480,0, 398,640,480:waittimer 4186 blt 0,0,640,480,0, 400,640,480:waittimer 4207 blt 0,0,640,480,0, 402,640,480:waittimer 4228 blt 0,0,640,480,0, 404,640,480:waittimer 4249 blt 0,0,640,480,0, 406,640,480:waittimer 4270 blt 0,0,640,480,0, 408,640,480:waittimer 4291 blt 0,0,640,480,0, 410,640,480:waittimer 4312 blt 0,0,640,480,0, 412,640,480:waittimer 4333 blt 0,0,640,480,0, 414,640,480:waittimer 4354 blt 0,0,640,480,0, 416,640,480:waittimer 4375 blt 0,0,640,480,0, 418,640,480:waittimer 4396 blt 0,0,640,480,0, 420,640,480:waittimer 4417 blt 0,0,640,480,0, 422,640,480:waittimer 4438 blt 0,0,640,480,0, 424,640,480:waittimer 4460 blt 0,0,640,480,0, 426,640,480:waittimer 4481 blt 0,0,640,480,0, 428,640,480:waittimer 4502 blt 0,0,640,480,0, 430,640,480:waittimer 4523 blt 0,0,640,480,0, 432,640,480:waittimer 4544 blt 0,0,640,480,0, 434,640,480:waittimer 4565 blt 0,0,640,480,0, 436,640,480:waittimer 4586 blt 0,0,640,480,0, 438,640,480:waittimer 4607 blt 0,0,640,480,0, 440,640,480:waittimer 4628 blt 0,0,640,480,0, 442,640,480:waittimer 4649 blt 0,0,640,480,0, 444,640,480:waittimer 4670 blt 0,0,640,480,0, 446,640,480:waittimer 4691 blt 0,0,640,480,0, 448,640,480:waittimer 4712 blt 0,0,640,480,0, 450,640,480:waittimer 4733 blt 0,0,640,480,0, 452,640,480:waittimer 4754 blt 0,0,640,480,0, 454,640,480:waittimer 4775 blt 0,0,640,480,0, 456,640,480:waittimer 4796 blt 0,0,640,480,0, 458,640,480:waittimer 4817 blt 0,0,640,480,0, 460,640,480:waittimer 4838 blt 0,0,640,480,0, 462,640,480:waittimer 4859 blt 0,0,640,480,0, 464,640,480:waittimer 4880 blt 0,0,640,480,0, 466,640,480:waittimer 4901 blt 0,0,640,480,0, 468,640,480:waittimer 4922 blt 0,0,640,480,0, 470,640,480:waittimer 4943 blt 0,0,640,480,0, 472,640,480:waittimer 4964 blt 0,0,640,480,0, 474,640,480:waittimer 4985 blt 0,0,640,480,0, 476,640,480:waittimer 5007 blt 0,0,640,480,0, 478,640,480:waittimer 5028 blt 0,0,640,480,0, 480,640,480:waittimer 5049 blt 0,0,640,480,0, 482,640,480:waittimer 5070 blt 0,0,640,480,0, 484,640,480:waittimer 5091 blt 0,0,640,480,0, 486,640,480:waittimer 5112 blt 0,0,640,480,0, 488,640,480:waittimer 5133 blt 0,0,640,480,0, 490,640,480:waittimer 5154 blt 0,0,640,480,0, 492,640,480:waittimer 5175 blt 0,0,640,480,0, 494,640,480:waittimer 5196 blt 0,0,640,480,0, 496,640,480:waittimer 5217 blt 0,0,640,480,0, 498,640,480:waittimer 5238 blt 0,0,640,480,0, 500,640,480:waittimer 5259 blt 0,0,640,480,0, 502,640,480:waittimer 5280 blt 0,0,640,480,0, 504,640,480:waittimer 5301 blt 0,0,640,480,0, 506,640,480:waittimer 5322 blt 0,0,640,480,0, 508,640,480:waittimer 5343 blt 0,0,640,480,0, 510,640,480:waittimer 5364 blt 0,0,640,480,0, 512,640,480:waittimer 5385 blt 0,0,640,480,0, 514,640,480:waittimer 5406 blt 0,0,640,480,0, 516,640,480:waittimer 5427 blt 0,0,640,480,0, 518,640,480:waittimer 5448 blt 0,0,640,480,0, 520,640,480:waittimer 5469 blt 0,0,640,480,0, 522,640,480:waittimer 5490 blt 0,0,640,480,0, 524,640,480:waittimer 5511 blt 0,0,640,480,0, 526,640,480:waittimer 5532 blt 0,0,640,480,0, 528,640,480:waittimer 5553 blt 0,0,640,480,0, 530,640,480:waittimer 5575 blt 0,0,640,480,0, 532,640,480:waittimer 5596 blt 0,0,640,480,0, 534,640,480:waittimer 5617 blt 0,0,640,480,0, 536,640,480:waittimer 5638 blt 0,0,640,480,0, 538,640,480:waittimer 5659 blt 0,0,640,480,0, 540,640,480:waittimer 5680 blt 0,0,640,480,0, 542,640,480:waittimer 5701 blt 0,0,640,480,0, 544,640,480:waittimer 5722 blt 0,0,640,480,0, 546,640,480:waittimer 5743 blt 0,0,640,480,0, 548,640,480:waittimer 5764 blt 0,0,640,480,0, 550,640,480:waittimer 5785 blt 0,0,640,480,0, 552,640,480:waittimer 5806 blt 0,0,640,480,0, 554,640,480:waittimer 5827 blt 0,0,640,480,0, 556,640,480:waittimer 5848 blt 0,0,640,480,0, 558,640,480:waittimer 5869 blt 0,0,640,480,0, 560,640,480:waittimer 5890 blt 0,0,640,480,0, 562,640,480:waittimer 5911 blt 0,0,640,480,0, 564,640,480:waittimer 5932 blt 0,0,640,480,0, 566,640,480:waittimer 5953 blt 0,0,640,480,0, 568,640,480:waittimer 5974 blt 0,0,640,480,0, 570,640,480:waittimer 5995 blt 0,0,640,480,0, 572,640,480:waittimer 6016 blt 0,0,640,480,0, 574,640,480:waittimer 6037 blt 0,0,640,480,0, 576,640,480:waittimer 6058 blt 0,0,640,480,0, 578,640,480:waittimer 6079 blt 0,0,640,480,0, 580,640,480:waittimer 6100 blt 0,0,640,480,0, 582,640,480:waittimer 6122 blt 0,0,640,480,0, 584,640,480:waittimer 6143 blt 0,0,640,480,0, 586,640,480:waittimer 6164 blt 0,0,640,480,0, 588,640,480:waittimer 6185 blt 0,0,640,480,0, 590,640,480:waittimer 6206 blt 0,0,640,480,0, 592,640,480:waittimer 6227 blt 0,0,640,480,0, 594,640,480:waittimer 6248 blt 0,0,640,480,0, 596,640,480:waittimer 6269 blt 0,0,640,480,0, 598,640,480:waittimer 6290 blt 0,0,640,480,0, 600,640,480:waittimer 6311 blt 0,0,640,480,0, 602,640,480:waittimer 6332 blt 0,0,640,480,0, 604,640,480:waittimer 6353 blt 0,0,640,480,0, 606,640,480:waittimer 6374 blt 0,0,640,480,0, 608,640,480:waittimer 6395 blt 0,0,640,480,0, 610,640,480:waittimer 6416 blt 0,0,640,480,0, 612,640,480:waittimer 6437 blt 0,0,640,480,0, 614,640,480:waittimer 6458 blt 0,0,640,480,0, 616,640,480:waittimer 6479 blt 0,0,640,480,0, 618,640,480:waittimer 6500 blt 0,0,640,480,0, 620,640,480:waittimer 6521 blt 0,0,640,480,0, 622,640,480:waittimer 6542 blt 0,0,640,480,0, 624,640,480:waittimer 6563 blt 0,0,640,480,0, 626,640,480:waittimer 6584 blt 0,0,640,480,0, 628,640,480:waittimer 6605 blt 0,0,640,480,0, 630,640,480:waittimer 6626 blt 0,0,640,480,0, 632,640,480:waittimer 6647 blt 0,0,640,480,0, 634,640,480:waittimer 6669 blt 0,0,640,480,0, 636,640,480:waittimer 6690 blt 0,0,640,480,0, 638,640,480:waittimer 6711 blt 0,0,640,480,0, 640,640,480:waittimer 6732 blt 0,0,640,480,0, 642,640,480:waittimer 6753 blt 0,0,640,480,0, 644,640,480:waittimer 6774 blt 0,0,640,480,0, 646,640,480:waittimer 6795 blt 0,0,640,480,0, 648,640,480:waittimer 6816 blt 0,0,640,480,0, 650,640,480:waittimer 6837 blt 0,0,640,480,0, 652,640,480:waittimer 6858 blt 0,0,640,480,0, 654,640,480:waittimer 6879 blt 0,0,640,480,0, 656,640,480:waittimer 6900 blt 0,0,640,480,0, 658,640,480:waittimer 6921 blt 0,0,640,480,0, 660,640,480:waittimer 6942 blt 0,0,640,480,0, 662,640,480:waittimer 6963 blt 0,0,640,480,0, 664,640,480:waittimer 6984 blt 0,0,640,480,0, 666,640,480:waittimer 7005 blt 0,0,640,480,0, 668,640,480:waittimer 7026 blt 0,0,640,480,0, 670,640,480:waittimer 7047 blt 0,0,640,480,0, 672,640,480:waittimer 7068 blt 0,0,640,480,0, 674,640,480:waittimer 7089 blt 0,0,640,480,0, 676,640,480:waittimer 7110 blt 0,0,640,480,0, 678,640,480:waittimer 7131 blt 0,0,640,480,0, 680,640,480:waittimer 7152 blt 0,0,640,480,0, 682,640,480:waittimer 7173 blt 0,0,640,480,0, 684,640,480:waittimer 7194 blt 0,0,640,480,0, 686,640,480:waittimer 7215 blt 0,0,640,480,0, 688,640,480:waittimer 7237 blt 0,0,640,480,0, 690,640,480:waittimer 7258 blt 0,0,640,480,0, 692,640,480:waittimer 7279 blt 0,0,640,480,0, 694,640,480:waittimer 7300 blt 0,0,640,480,0, 696,640,480:waittimer 7321 blt 0,0,640,480,0, 698,640,480:waittimer 7342 blt 0,0,640,480,0, 700,640,480:waittimer 7363 blt 0,0,640,480,0, 702,640,480:waittimer 7384 blt 0,0,640,480,0, 704,640,480:waittimer 7405 blt 0,0,640,480,0, 706,640,480:waittimer 7426 blt 0,0,640,480,0, 708,640,480:waittimer 7447 blt 0,0,640,480,0, 710,640,480:waittimer 7468 blt 0,0,640,480,0, 712,640,480:waittimer 7489 blt 0,0,640,480,0, 714,640,480:waittimer 7510 blt 0,0,640,480,0, 716,640,480:waittimer 7531 blt 0,0,640,480,0, 718,640,480:waittimer 7552 blt 0,0,640,480,0, 720,640,480:waittimer 7573 blt 0,0,640,480,0, 722,640,480:waittimer 7594 blt 0,0,640,480,0, 724,640,480:waittimer 7615 blt 0,0,640,480,0, 726,640,480:waittimer 7636 blt 0,0,640,480,0, 728,640,480:waittimer 7657 blt 0,0,640,480,0, 730,640,480:waittimer 7678 blt 0,0,640,480,0, 732,640,480:waittimer 7699 blt 0,0,640,480,0, 734,640,480:waittimer 7720 blt 0,0,640,480,0, 736,640,480:waittimer 7741 blt 0,0,640,480,0, 738,640,480:waittimer 7762 blt 0,0,640,480,0, 740,640,480:waittimer 7784 blt 0,0,640,480,0, 742,640,480:waittimer 7805 blt 0,0,640,480,0, 744,640,480:waittimer 7826 blt 0,0,640,480,0, 746,640,480:waittimer 7847 blt 0,0,640,480,0, 748,640,480:waittimer 7868 blt 0,0,640,480,0, 750,640,480:waittimer 7889 blt 0,0,640,480,0, 752,640,480:waittimer 7910 blt 0,0,640,480,0, 754,640,480:waittimer 7931 blt 0,0,640,480,0, 756,640,480:waittimer 7952 blt 0,0,640,480,0, 758,640,480:waittimer 7973 blt 0,0,640,480,0, 760,640,480:waittimer 7994 blt 0,0,640,480,0, 762,640,480:waittimer 8015 blt 0,0,640,480,0, 764,640,480:waittimer 8036 blt 0,0,640,480,0, 766,640,480:waittimer 8057 blt 0,0,640,480,0, 768,640,480:waittimer 8078 blt 0,0,640,480,0, 770,640,480:waittimer 8099 blt 0,0,640,480,0, 772,640,480:waittimer 8120 blt 0,0,640,480,0, 774,640,480:waittimer 8141 blt 0,0,640,480,0, 776,640,480:waittimer 8162 blt 0,0,640,480,0, 778,640,480:waittimer 8183 blt 0,0,640,480,0, 780,640,480:waittimer 8204 blt 0,0,640,480,0, 782,640,480:waittimer 8225 blt 0,0,640,480,0, 784,640,480:waittimer 8246 blt 0,0,640,480,0, 786,640,480:waittimer 8267 blt 0,0,640,480,0, 788,640,480:waittimer 8288 blt 0,0,640,480,0, 790,640,480:waittimer 8309 blt 0,0,640,480,0, 792,640,480:waittimer 8330 blt 0,0,640,480,0, 794,640,480:waittimer 8352 blt 0,0,640,480,0, 796,640,480:waittimer 8373 blt 0,0,640,480,0, 798,640,480:waittimer 8394 blt 0,0,640,480,0, 800,640,480:waittimer 8415 blt 0,0,640,480,0, 802,640,480:waittimer 8436 blt 0,0,640,480,0, 804,640,480:waittimer 8457 blt 0,0,640,480,0, 806,640,480:waittimer 8478 blt 0,0,640,480,0, 808,640,480:waittimer 8499 blt 0,0,640,480,0, 810,640,480:waittimer 8520 blt 0,0,640,480,0, 812,640,480:waittimer 8541 blt 0,0,640,480,0, 814,640,480:waittimer 8562 blt 0,0,640,480,0, 816,640,480:waittimer 8583 blt 0,0,640,480,0, 818,640,480:waittimer 8604 blt 0,0,640,480,0, 820,640,480:waittimer 8625 blt 0,0,640,480,0, 822,640,480:waittimer 8646 blt 0,0,640,480,0, 824,640,480:waittimer 8667 blt 0,0,640,480,0, 826,640,480:waittimer 8688 blt 0,0,640,480,0, 828,640,480:waittimer 8709 blt 0,0,640,480,0, 830,640,480:waittimer 8730 blt 0,0,640,480,0, 832,640,480:waittimer 8751 blt 0,0,640,480,0, 834,640,480:waittimer 8772 blt 0,0,640,480,0, 836,640,480:waittimer 8793 blt 0,0,640,480,0, 838,640,480:waittimer 8814 blt 0,0,640,480,0, 840,640,480:waittimer 8835 blt 0,0,640,480,0, 842,640,480:waittimer 8856 blt 0,0,640,480,0, 844,640,480:waittimer 8877 blt 0,0,640,480,0, 846,640,480:waittimer 8899 blt 0,0,640,480,0, 848,640,480:waittimer 8920 blt 0,0,640,480,0, 850,640,480:waittimer 8941 blt 0,0,640,480,0, 852,640,480:waittimer 8962 blt 0,0,640,480,0, 854,640,480:waittimer 8983 blt 0,0,640,480,0, 856,640,480:waittimer 9004 blt 0,0,640,480,0, 858,640,480:waittimer 9025 blt 0,0,640,480,0, 860,640,480:waittimer 9046 blt 0,0,640,480,0, 862,640,480:waittimer 9067 blt 0,0,640,480,0, 864,640,480:waittimer 9088 blt 0,0,640,480,0, 866,640,480:waittimer 9109 blt 0,0,640,480,0, 868,640,480:waittimer 9130 blt 0,0,640,480,0, 870,640,480:waittimer 9151 blt 0,0,640,480,0, 872,640,480:waittimer 9172 blt 0,0,640,480,0, 874,640,480:waittimer 9193 blt 0,0,640,480,0, 876,640,480:waittimer 9214 blt 0,0,640,480,0, 878,640,480:waittimer 9235 blt 0,0,640,480,0, 880,640,480:waittimer 9256 blt 0,0,640,480,0, 882,640,480:waittimer 9277 blt 0,0,640,480,0, 884,640,480:waittimer 9298 blt 0,0,640,480,0, 886,640,480:waittimer 9319 blt 0,0,640,480,0, 888,640,480:waittimer 9340 blt 0,0,640,480,0, 890,640,480:waittimer 9361 blt 0,0,640,480,0, 892,640,480:waittimer 9382 blt 0,0,640,480,0, 894,640,480:waittimer 9403 blt 0,0,640,480,0, 896,640,480:waittimer 9424 blt 0,0,640,480,0, 898,640,480:waittimer 9446 blt 0,0,640,480,0, 900,640,480:waittimer 9467 blt 0,0,640,480,0, 902,640,480:waittimer 9488 blt 0,0,640,480,0, 904,640,480:waittimer 9509 blt 0,0,640,480,0, 906,640,480:waittimer 9530 blt 0,0,640,480,0, 908,640,480:waittimer 9551 blt 0,0,640,480,0, 910,640,480:waittimer 9572 blt 0,0,640,480,0, 912,640,480:waittimer 9593 blt 0,0,640,480,0, 914,640,480:waittimer 9614 blt 0,0,640,480,0, 916,640,480:waittimer 9635 blt 0,0,640,480,0, 918,640,480:waittimer 9656 blt 0,0,640,480,0, 920,640,480:waittimer 9677 blt 0,0,640,480,0, 922,640,480:waittimer 9698 blt 0,0,640,480,0, 924,640,480:waittimer 9719 blt 0,0,640,480,0, 926,640,480:waittimer 9740 blt 0,0,640,480,0, 928,640,480:waittimer 9761 blt 0,0,640,480,0, 930,640,480:waittimer 9782 blt 0,0,640,480,0, 932,640,480:waittimer 9803 blt 0,0,640,480,0, 934,640,480:waittimer 9824 blt 0,0,640,480,0, 936,640,480:waittimer 9845 blt 0,0,640,480,0, 938,640,480:waittimer 9866 blt 0,0,640,480,0, 940,640,480:waittimer 9887 blt 0,0,640,480,0, 942,640,480:waittimer 9908 blt 0,0,640,480,0, 944,640,480:waittimer 9929 blt 0,0,640,480,0, 946,640,480:waittimer 9950 blt 0,0,640,480,0, 948,640,480:waittimer 9971 blt 0,0,640,480,0, 950,640,480:waittimer 9992 blt 0,0,640,480,0, 952,640,480:waittimer 10014 blt 0,0,640,480,0, 954,640,480:waittimer 10035 blt 0,0,640,480,0, 956,640,480:waittimer 10056 blt 0,0,640,480,0, 958,640,480:waittimer 10077 blt 0,0,640,480,0, 960,640,480:waittimer 10098 blt 0,0,640,480,0, 962,640,480:waittimer 10119 blt 0,0,640,480,0, 964,640,480:waittimer 10140 blt 0,0,640,480,0, 966,640,480:waittimer 10161 blt 0,0,640,480,0, 968,640,480:waittimer 10182 blt 0,0,640,480,0, 970,640,480:waittimer 10203 blt 0,0,640,480,0, 972,640,480:waittimer 10224 blt 0,0,640,480,0, 974,640,480:waittimer 10245 blt 0,0,640,480,0, 976,640,480:waittimer 10266 blt 0,0,640,480,0, 978,640,480:waittimer 10287 blt 0,0,640,480,0, 980,640,480:waittimer 10308 blt 0,0,640,480,0, 982,640,480:waittimer 10329 blt 0,0,640,480,0, 984,640,480:waittimer 10350 blt 0,0,640,480,0, 986,640,480:waittimer 10371 blt 0,0,640,480,0, 988,640,480:waittimer 10392 blt 0,0,640,480,0, 990,640,480:waittimer 10413 blt 0,0,640,480,0, 992,640,480:waittimer 10434 blt 0,0,640,480,0, 994,640,480:waittimer 10455 blt 0,0,640,480,0, 996,640,480:waittimer 10476 blt 0,0,640,480,0, 998,640,480:waittimer 10497 blt 0,0,640,480,0, 1000,640,480:waittimer 10518 blt 0,0,640,480,0, 1002,640,480:waittimer 10539 blt 0,0,640,480,0, 1004,640,480:waittimer 10561 blt 0,0,640,480,0, 1006,640,480:waittimer 10582 blt 0,0,640,480,0, 1008,640,480:waittimer 10603 blt 0,0,640,480,0, 1010,640,480:waittimer 10624 blt 0,0,640,480,0, 1012,640,480:waittimer 10645 blt 0,0,640,480,0, 1014,640,480:waittimer 10666 blt 0,0,640,480,0, 1016,640,480:waittimer 10687 blt 0,0,640,480,0, 1018,640,480:waittimer 10708 blt 0,0,640,480,0, 1020,640,480:waittimer 10729 blt 0,0,640,480,0, 1022,640,480:waittimer 10750 blt 0,0,640,480,0, 1024,640,480:waittimer 10771 blt 0,0,640,480,0, 1026,640,480:waittimer 10792 blt 0,0,640,480,0, 1028,640,480:waittimer 10813 blt 0,0,640,480,0, 1030,640,480:waittimer 10834 blt 0,0,640,480,0, 1032,640,480:waittimer 10855 blt 0,0,640,480,0, 1034,640,480:waittimer 10876 blt 0,0,640,480,0, 1036,640,480:waittimer 10897 blt 0,0,640,480,0, 1038,640,480:waittimer 10918 blt 0,0,640,480,0, 1040,640,480:waittimer 10939 blt 0,0,640,480,0, 1042,640,480:waittimer 10960 blt 0,0,640,480,0, 1044,640,480:waittimer 10981 blt 0,0,640,480,0, 1046,640,480:waittimer 11002 blt 0,0,640,480,0, 1048,640,480:waittimer 11023 blt 0,0,640,480,0, 1050,640,480:waittimer 11044 blt 0,0,640,480,0, 1052,640,480:waittimer 11065 blt 0,0,640,480,0, 1054,640,480:waittimer 11086 blt 0,0,640,480,0, 1056,640,480:waittimer 11107 blt 0,0,640,480,0, 1058,640,480:waittimer 11129 blt 0,0,640,480,0, 1060,640,480:waittimer 11150 blt 0,0,640,480,0, 1062,640,480:waittimer 11171 blt 0,0,640,480,0, 1064,640,480:waittimer 11192 blt 0,0,640,480,0, 1066,640,480:waittimer 11213 blt 0,0,640,480,0, 1068,640,480:waittimer 11234 blt 0,0,640,480,0, 1070,640,480:waittimer 11255 blt 0,0,640,480,0, 1072,640,480:waittimer 11276 blt 0,0,640,480,0, 1074,640,480:waittimer 11297 blt 0,0,640,480,0, 1076,640,480:waittimer 11318 blt 0,0,640,480,0, 1078,640,480:waittimer 11339 blt 0,0,640,480,0, 1080,640,480:waittimer 11360 blt 0,0,640,480,0, 1082,640,480:waittimer 11381 blt 0,0,640,480,0, 1084,640,480:waittimer 11402 blt 0,0,640,480,0, 1086,640,480:waittimer 11423 blt 0,0,640,480,0, 1088,640,480:waittimer 11444 blt 0,0,640,480,0, 1090,640,480:waittimer 11465 blt 0,0,640,480,0, 1092,640,480:waittimer 11486 blt 0,0,640,480,0, 1094,640,480:waittimer 11507 blt 0,0,640,480,0, 1096,640,480:waittimer 11528 blt 0,0,640,480,0, 1098,640,480:waittimer 11549 blt 0,0,640,480,0, 1100,640,480:waittimer 11570 blt 0,0,640,480,0, 1102,640,480:waittimer 11591 blt 0,0,640,480,0, 1104,640,480:waittimer 11612 blt 0,0,640,480,0, 1106,640,480:waittimer 11633 blt 0,0,640,480,0, 1108,640,480:waittimer 11654 blt 0,0,640,480,0, 1110,640,480:waittimer 11676 blt 0,0,640,480,0, 1112,640,480:waittimer 11697 blt 0,0,640,480,0, 1114,640,480:waittimer 11718 blt 0,0,640,480,0, 1116,640,480:waittimer 11739 blt 0,0,640,480,0, 1118,640,480:waittimer 11760 blt 0,0,640,480,0, 1120,640,480:waittimer 11781 blt 0,0,640,480,0, 1122,640,480:waittimer 11802 blt 0,0,640,480,0, 1124,640,480:waittimer 11823 blt 0,0,640,480,0, 1126,640,480:waittimer 11844 blt 0,0,640,480,0, 1128,640,480:waittimer 11865 blt 0,0,640,480,0, 1130,640,480:waittimer 11886 blt 0,0,640,480,0, 1132,640,480:waittimer 11907 blt 0,0,640,480,0, 1134,640,480:waittimer 11928 blt 0,0,640,480,0, 1136,640,480:waittimer 11949 blt 0,0,640,480,0, 1138,640,480:waittimer 11970 blt 0,0,640,480,0, 1140,640,480:waittimer 11991 blt 0,0,640,480,0, 1142,640,480:waittimer 12012 blt 0,0,640,480,0, 1144,640,480:waittimer 12033 blt 0,0,640,480,0, 1146,640,480:waittimer 12054 blt 0,0,640,480,0, 1148,640,480:waittimer 12075 blt 0,0,640,480,0, 1150,640,480:waittimer 12096 blt 0,0,640,480,0, 1152,640,480:waittimer 12117 blt 0,0,640,480,0, 1154,640,480:waittimer 12138 blt 0,0,640,480,0, 1156,640,480:waittimer 12159 blt 0,0,640,480,0, 1158,640,480:waittimer 12180 blt 0,0,640,480,0, 1160,640,480:waittimer 12201 blt 0,0,640,480,0, 1162,640,480:waittimer 12223 blt 0,0,640,480,0, 1164,640,480:waittimer 12244 blt 0,0,640,480,0, 1166,640,480:waittimer 12265 blt 0,0,640,480,0, 1168,640,480:waittimer 12286 blt 0,0,640,480,0, 1170,640,480:waittimer 12307 blt 0,0,640,480,0, 1172,640,480:waittimer 12328 blt 0,0,640,480,0, 1174,640,480:waittimer 12349 blt 0,0,640,480,0, 1176,640,480:waittimer 12370 blt 0,0,640,480,0, 1178,640,480:waittimer 12391 blt 0,0,640,480,0, 1180,640,480:waittimer 12412 blt 0,0,640,480,0, 1182,640,480:waittimer 12433 blt 0,0,640,480,0, 1184,640,480:waittimer 12454 blt 0,0,640,480,0, 1186,640,480:waittimer 12475 blt 0,0,640,480,0, 1188,640,480:waittimer 12496 blt 0,0,640,480,0, 1190,640,480:waittimer 12517 blt 0,0,640,480,0, 1192,640,480:waittimer 12538 blt 0,0,640,480,0, 1194,640,480:waittimer 12559 blt 0,0,640,480,0, 1196,640,480:waittimer 12580 blt 0,0,640,480,0, 1198,640,480:waittimer 12601 blt 0,0,640,480,0, 1200,640,480:waittimer 12622 blt 0,0,640,480,0, 1202,640,480:waittimer 12643 blt 0,0,640,480,0, 1204,640,480:waittimer 12664 blt 0,0,640,480,0, 1206,640,480:waittimer 12685 blt 0,0,640,480,0, 1208,640,480:waittimer 12706 blt 0,0,640,480,0, 1210,640,480:waittimer 12727 blt 0,0,640,480,0, 1212,640,480:waittimer 12748 blt 0,0,640,480,0, 1214,640,480:waittimer 12769 blt 0,0,640,480,0, 1216,640,480:waittimer 12791 blt 0,0,640,480,0, 1218,640,480:waittimer 12812 blt 0,0,640,480,0, 1220,640,480:waittimer 12833 blt 0,0,640,480,0, 1222,640,480:waittimer 12854 blt 0,0,640,480,0, 1224,640,480:waittimer 12875 blt 0,0,640,480,0, 1226,640,480:waittimer 12896 blt 0,0,640,480,0, 1228,640,480:waittimer 12917 blt 0,0,640,480,0, 1230,640,480:waittimer 12938 blt 0,0,640,480,0, 1232,640,480:waittimer 12959 blt 0,0,640,480,0, 1234,640,480:waittimer 12980 blt 0,0,640,480,0, 1236,640,480:waittimer 13001 blt 0,0,640,480,0, 1238,640,480:waittimer 13022 blt 0,0,640,480,0, 1240,640,480:waittimer 13043 blt 0,0,640,480,0, 1242,640,480:waittimer 13064 blt 0,0,640,480,0, 1244,640,480:waittimer 13085 blt 0,0,640,480,0, 1246,640,480:waittimer 13106 blt 0,0,640,480,0, 1248,640,480:waittimer 13127 blt 0,0,640,480,0, 1250,640,480:waittimer 13148 blt 0,0,640,480,0, 1252,640,480:waittimer 13169 blt 0,0,640,480,0, 1254,640,480:waittimer 13190 blt 0,0,640,480,0, 1256,640,480:waittimer 13211 blt 0,0,640,480,0, 1258,640,480:waittimer 13232 blt 0,0,640,480,0, 1260,640,480:waittimer 13253 blt 0,0,640,480,0, 1262,640,480:waittimer 13274 blt 0,0,640,480,0, 1264,640,480:waittimer 13295 blt 0,0,640,480,0, 1266,640,480:waittimer 13316 blt 0,0,640,480,0, 1268,640,480:waittimer 13338 blt 0,0,640,480,0, 1270,640,480:waittimer 13359 blt 0,0,640,480,0, 1272,640,480:waittimer 13380 blt 0,0,640,480,0, 1274,640,480:waittimer 13401 blt 0,0,640,480,0, 1276,640,480:waittimer 13422 blt 0,0,640,480,0, 1278,640,480:waittimer 13443 blt 0,0,640,480,0, 1280,640,480:waittimer 13464 blt 0,0,640,480,0, 1282,640,480:waittimer 13485 blt 0,0,640,480,0, 1284,640,480:waittimer 13506 blt 0,0,640,480,0, 1286,640,480:waittimer 13527 blt 0,0,640,480,0, 1288,640,480:waittimer 13548 blt 0,0,640,480,0, 1290,640,480:waittimer 13569 blt 0,0,640,480,0, 1292,640,480:waittimer 13590 blt 0,0,640,480,0, 1294,640,480:waittimer 13611 blt 0,0,640,480,0, 1296,640,480:waittimer 13632 blt 0,0,640,480,0, 1298,640,480:waittimer 13653 blt 0,0,640,480,0, 1300,640,480:waittimer 13674 blt 0,0,640,480,0, 1302,640,480:waittimer 13695 blt 0,0,640,480,0, 1304,640,480:waittimer 13716 blt 0,0,640,480,0, 1306,640,480:waittimer 13737 blt 0,0,640,480,0, 1308,640,480:waittimer 13758 blt 0,0,640,480,0, 1310,640,480:waittimer 13779 blt 0,0,640,480,0, 1312,640,480:waittimer 13800 blt 0,0,640,480,0, 1314,640,480:waittimer 13821 blt 0,0,640,480,0, 1316,640,480:waittimer 13842 blt 0,0,640,480,0, 1318,640,480:waittimer 13863 blt 0,0,640,480,0, 1320,640,480:waittimer 13884 blt 0,0,640,480,0, 1322,640,480:waittimer 13906 blt 0,0,640,480,0, 1324,640,480:waittimer 13927 blt 0,0,640,480,0, 1326,640,480:waittimer 13948 blt 0,0,640,480,0, 1328,640,480:waittimer 13969 blt 0,0,640,480,0, 1330,640,480:waittimer 13990 blt 0,0,640,480,0, 1332,640,480:waittimer 14011 blt 0,0,640,480,0, 1334,640,480:waittimer 14032 blt 0,0,640,480,0, 1336,640,480:waittimer 14053 blt 0,0,640,480,0, 1338,640,480:waittimer 14074 blt 0,0,640,480,0, 1340,640,480:waittimer 14095 blt 0,0,640,480,0, 1342,640,480:waittimer 14116 blt 0,0,640,480,0, 1344,640,480:waittimer 14137 blt 0,0,640,480,0, 1346,640,480:waittimer 14158 blt 0,0,640,480,0, 1348,640,480:waittimer 14179 blt 0,0,640,480,0, 1350,640,480:waittimer 14200 blt 0,0,640,480,0, 1352,640,480:waittimer 14221 blt 0,0,640,480,0, 1354,640,480:waittimer 14242 blt 0,0,640,480,0, 1356,640,480:waittimer 14263 blt 0,0,640,480,0, 1358,640,480:waittimer 14284 blt 0,0,640,480,0, 1360,640,480:waittimer 14305 blt 0,0,640,480,0, 1362,640,480:waittimer 14326 blt 0,0,640,480,0, 1364,640,480:waittimer 14347 blt 0,0,640,480,0, 1366,640,480:waittimer 14368 blt 0,0,640,480,0, 1368,640,480:waittimer 14389 blt 0,0,640,480,0, 1370,640,480:waittimer 14410 blt 0,0,640,480,0, 1372,640,480:waittimer 14431 blt 0,0,640,480,0, 1374,640,480:waittimer 14453 blt 0,0,640,480,0, 1376,640,480:waittimer 14474 blt 0,0,640,480,0, 1378,640,480:waittimer 14495 blt 0,0,640,480,0, 1380,640,480:waittimer 14516 blt 0,0,640,480,0, 1382,640,480:waittimer 14537 blt 0,0,640,480,0, 1384,640,480:waittimer 14558 blt 0,0,640,480,0, 1386,640,480:waittimer 14579 blt 0,0,640,480,0, 1388,640,480:waittimer 14600 blt 0,0,640,480,0, 1390,640,480:waittimer 14621 blt 0,0,640,480,0, 1392,640,480:waittimer 14642 blt 0,0,640,480,0, 1394,640,480:waittimer 14663 blt 0,0,640,480,0, 1396,640,480:waittimer 14684 blt 0,0,640,480,0, 1398,640,480:waittimer 14705 blt 0,0,640,480,0, 1400,640,480:waittimer 14726 blt 0,0,640,480,0, 1402,640,480:waittimer 14747 blt 0,0,640,480,0, 1404,640,480:waittimer 14768 blt 0,0,640,480,0, 1406,640,480:waittimer 14789 blt 0,0,640,480,0, 1408,640,480:waittimer 14810 blt 0,0,640,480,0, 1410,640,480:waittimer 14831 blt 0,0,640,480,0, 1412,640,480:waittimer 14852 blt 0,0,640,480,0, 1414,640,480:waittimer 14873 blt 0,0,640,480,0, 1416,640,480:waittimer 14894 blt 0,0,640,480,0, 1418,640,480:waittimer 14915 blt 0,0,640,480,0, 1420,640,480:waittimer 14936 blt 0,0,640,480,0, 1422,640,480:waittimer 14957 blt 0,0,640,480,0, 1424,640,480:waittimer 14978 blt 0,0,640,480,0, 1426,640,480:waittimer 15000 blt 0,0,640,480,0, 1428,640,480:waittimer 15021 blt 0,0,640,480,0, 1430,640,480:waittimer 15042 blt 0,0,640,480,0, 1432,640,480:waittimer 15063 blt 0,0,640,480,0, 1434,640,480:waittimer 15084 blt 0,0,640,480,0, 1436,640,480:waittimer 15105 blt 0,0,640,480,0, 1438,640,480:waittimer 15126 blt 0,0,640,480,0, 1440,640,480:waittimer 15147 blt 0,0,640,480,0, 1442,640,480:waittimer 15168 blt 0,0,640,480,0, 1444,640,480:waittimer 15189 blt 0,0,640,480,0, 1446,640,480:waittimer 15210 blt 0,0,640,480,0, 1448,640,480:waittimer 15231 blt 0,0,640,480,0, 1450,640,480:waittimer 15252 blt 0,0,640,480,0, 1452,640,480:waittimer 15273 blt 0,0,640,480,0, 1454,640,480:waittimer 15294 blt 0,0,640,480,0, 1456,640,480:waittimer 15315 blt 0,0,640,480,0, 1458,640,480:waittimer 15336 blt 0,0,640,480,0, 1460,640,480:waittimer 15357 blt 0,0,640,480,0, 1462,640,480:waittimer 15378 blt 0,0,640,480,0, 1464,640,480:waittimer 15399 blt 0,0,640,480,0, 1466,640,480:waittimer 15420 blt 0,0,640,480,0, 1468,640,480:waittimer 15441 blt 0,0,640,480,0, 1470,640,480:waittimer 15462 blt 0,0,640,480,0, 1472,640,480:waittimer 15483 blt 0,0,640,480,0, 1474,640,480:waittimer 15504 blt 0,0,640,480,0, 1476,640,480:waittimer 15525 blt 0,0,640,480,0, 1478,640,480:waittimer 15546 blt 0,0,640,480,0, 1480,640,480:waittimer 15568 blt 0,0,640,480,0, 1482,640,480:waittimer 15589 blt 0,0,640,480,0, 1484,640,480:waittimer 15610 blt 0,0,640,480,0, 1486,640,480:waittimer 15631 blt 0,0,640,480,0, 1488,640,480:waittimer 15652 blt 0,0,640,480,0, 1490,640,480:waittimer 15673 blt 0,0,640,480,0, 1492,640,480:waittimer 15694 blt 0,0,640,480,0, 1494,640,480:waittimer 15715 blt 0,0,640,480,0, 1496,640,480:waittimer 15736 blt 0,0,640,480,0, 1498,640,480:waittimer 15757 blt 0,0,640,480,0, 1500,640,480:waittimer 15778 blt 0,0,640,480,0, 1502,640,480:waittimer 15799 blt 0,0,640,480,0, 1504,640,480:waittimer 15820 blt 0,0,640,480,0, 1506,640,480:waittimer 15841 blt 0,0,640,480,0, 1508,640,480:waittimer 15862 blt 0,0,640,480,0, 1510,640,480:waittimer 15883 blt 0,0,640,480,0, 1512,640,480:waittimer 15904 blt 0,0,640,480,0, 1514,640,480:waittimer 15925 blt 0,0,640,480,0, 1516,640,480:waittimer 15946 blt 0,0,640,480,0, 1518,640,480:waittimer 15967 blt 0,0,640,480,0, 1520,640,480:waittimer 15988 blt 0,0,640,480,0, 1522,640,480:waittimer 16009 blt 0,0,640,480,0, 1524,640,480:waittimer 16030 blt 0,0,640,480,0, 1526,640,480:waittimer 16051 blt 0,0,640,480,0, 1528,640,480:waittimer 16072 blt 0,0,640,480,0, 1530,640,480:waittimer 16093 blt 0,0,640,480,0, 1532,640,480:waittimer 16115 blt 0,0,640,480,0, 1534,640,480:waittimer 16136 blt 0,0,640,480,0, 1536,640,480:waittimer 16157 blt 0,0,640,480,0, 1538,640,480:waittimer 16178 blt 0,0,640,480,0, 1540,640,480:waittimer 16199 blt 0,0,640,480,0, 1542,640,480:waittimer 16220 blt 0,0,640,480,0, 1544,640,480:waittimer 16241 blt 0,0,640,480,0, 1546,640,480:waittimer 16262 blt 0,0,640,480,0, 1548,640,480:waittimer 16283 blt 0,0,640,480,0, 1550,640,480:waittimer 16304 blt 0,0,640,480,0, 1552,640,480:waittimer 16325 blt 0,0,640,480,0, 1554,640,480:waittimer 16346 blt 0,0,640,480,0, 1556,640,480:waittimer 16367 blt 0,0,640,480,0, 1558,640,480:waittimer 16388 blt 0,0,640,480,0, 1560,640,480:waittimer 16409 blt 0,0,640,480,0, 1562,640,480:waittimer 16430 blt 0,0,640,480,0, 1564,640,480:waittimer 16451 blt 0,0,640,480,0, 1566,640,480:waittimer 16472 blt 0,0,640,480,0, 1568,640,480:waittimer 16493 blt 0,0,640,480,0, 1570,640,480:waittimer 16514 blt 0,0,640,480,0, 1572,640,480:waittimer 16535 blt 0,0,640,480,0, 1574,640,480:waittimer 16556 blt 0,0,640,480,0, 1576,640,480:waittimer 16577 blt 0,0,640,480,0, 1578,640,480:waittimer 16598 blt 0,0,640,480,0, 1580,640,480:waittimer 16619 blt 0,0,640,480,0, 1582,640,480:waittimer 16640 blt 0,0,640,480,0, 1584,640,480:waittimer 16661 blt 0,0,640,480,0, 1586,640,480:waittimer 16683 blt 0,0,640,480,0, 1588,640,480:waittimer 16704 blt 0,0,640,480,0, 1590,640,480:waittimer 16725 blt 0,0,640,480,0, 1592,640,480:waittimer 16746 blt 0,0,640,480,0, 1594,640,480:waittimer 16767 blt 0,0,640,480,0, 1596,640,480:waittimer 16788 blt 0,0,640,480,0, 1598,640,480:waittimer 16809 blt 0,0,640,480,0, 1600,640,480:waittimer 16830 blt 0,0,640,480,0, 1602,640,480:waittimer 16851 blt 0,0,640,480,0, 1604,640,480:waittimer 16872 blt 0,0,640,480,0, 1606,640,480:waittimer 16893 blt 0,0,640,480,0, 1608,640,480:waittimer 16914 blt 0,0,640,480,0, 1610,640,480:waittimer 16935 blt 0,0,640,480,0, 1612,640,480:waittimer 16956 blt 0,0,640,480,0, 1614,640,480:waittimer 16977 blt 0,0,640,480,0, 1616,640,480:waittimer 16998 blt 0,0,640,480,0, 1618,640,480:waittimer 17019 blt 0,0,640,480,0, 1620,640,480:waittimer 17040 blt 0,0,640,480,0, 1622,640,480:waittimer 17061 blt 0,0,640,480,0, 1624,640,480:waittimer 17082 blt 0,0,640,480,0, 1626,640,480:waittimer 17103 blt 0,0,640,480,0, 1628,640,480:waittimer 17124 blt 0,0,640,480,0, 1630,640,480:waittimer 17145 blt 0,0,640,480,0, 1632,640,480:waittimer 17166 blt 0,0,640,480,0, 1634,640,480:waittimer 17187 blt 0,0,640,480,0, 1636,640,480:waittimer 17208 blt 0,0,640,480,0, 1638,640,480:waittimer 17230 blt 0,0,640,480,0, 1640,640,480:waittimer 17251 blt 0,0,640,480,0, 1642,640,480:waittimer 17272 blt 0,0,640,480,0, 1644,640,480:waittimer 17293 blt 0,0,640,480,0, 1646,640,480:waittimer 17314 blt 0,0,640,480,0, 1648,640,480:waittimer 17335 blt 0,0,640,480,0, 1650,640,480:waittimer 17356 blt 0,0,640,480,0, 1652,640,480:waittimer 17377 blt 0,0,640,480,0, 1654,640,480:waittimer 17398 blt 0,0,640,480,0, 1656,640,480:waittimer 17419 blt 0,0,640,480,0, 1658,640,480:waittimer 17440 blt 0,0,640,480,0, 1660,640,480:waittimer 17461 blt 0,0,640,480,0, 1662,640,480:waittimer 17482 blt 0,0,640,480,0, 1664,640,480:waittimer 17503 blt 0,0,640,480,0, 1666,640,480:waittimer 17524 blt 0,0,640,480,0, 1668,640,480:waittimer 17545 blt 0,0,640,480,0, 1670,640,480:waittimer 17566 blt 0,0,640,480,0, 1672,640,480:waittimer 17587 blt 0,0,640,480,0, 1674,640,480:waittimer 17608 blt 0,0,640,480,0, 1676,640,480:waittimer 17629 blt 0,0,640,480,0, 1678,640,480:waittimer 17650 blt 0,0,640,480,0, 1680,640,480:waittimer 17671 blt 0,0,640,480,0, 1682,640,480:waittimer 17692 blt 0,0,640,480,0, 1684,640,480:waittimer 17713 blt 0,0,640,480,0, 1686,640,480:waittimer 17734 blt 0,0,640,480,0, 1688,640,480:waittimer 17755 blt 0,0,640,480,0, 1690,640,480:waittimer 17776 blt 0,0,640,480,0, 1692,640,480:waittimer 17798 blt 0,0,640,480,0, 1694,640,480:waittimer 17819 blt 0,0,640,480,0, 1696,640,480:waittimer 17840 blt 0,0,640,480,0, 1698,640,480:waittimer 17861 blt 0,0,640,480,0, 1700,640,480:waittimer 17882 blt 0,0,640,480,0, 1702,640,480:waittimer 17903 blt 0,0,640,480,0, 1704,640,480:waittimer 17924 blt 0,0,640,480,0, 1706,640,480:waittimer 17945 blt 0,0,640,480,0, 1708,640,480:waittimer 17966 blt 0,0,640,480,0, 1710,640,480:waittimer 17987 blt 0,0,640,480,0, 1712,640,480:waittimer 18008 blt 0,0,640,480,0, 1714,640,480:waittimer 18029 blt 0,0,640,480,0, 1716,640,480:waittimer 18050 blt 0,0,640,480,0, 1718,640,480:waittimer 18071 blt 0,0,640,480,0, 1720,640,480:waittimer 18092 blt 0,0,640,480,0, 1722,640,480:waittimer 18113 blt 0,0,640,480,0, 1724,640,480:waittimer 18134 blt 0,0,640,480,0, 1726,640,480:waittimer 18155 blt 0,0,640,480,0, 1728,640,480:waittimer 18176 blt 0,0,640,480,0, 1730,640,480:waittimer 18197 blt 0,0,640,480,0, 1732,640,480:waittimer 18218 blt 0,0,640,480,0, 1734,640,480:waittimer 18239 blt 0,0,640,480,0, 1736,640,480:waittimer 18260 blt 0,0,640,480,0, 1738,640,480:waittimer 18281 blt 0,0,640,480,0, 1740,640,480:waittimer 18302 blt 0,0,640,480,0, 1742,640,480:waittimer 18323 blt 0,0,640,480,0, 1744,640,480:waittimer 18345 blt 0,0,640,480,0, 1746,640,480:waittimer 18366 blt 0,0,640,480,0, 1748,640,480:waittimer 18387 blt 0,0,640,480,0, 1750,640,480:waittimer 18408 blt 0,0,640,480,0, 1752,640,480:waittimer 18429 blt 0,0,640,480,0, 1754,640,480:waittimer 18450 blt 0,0,640,480,0, 1756,640,480:waittimer 18471 blt 0,0,640,480,0, 1758,640,480:waittimer 18492 blt 0,0,640,480,0, 1760,640,480:waittimer 18513 blt 0,0,640,480,0, 1762,640,480:waittimer 18534 blt 0,0,640,480,0, 1764,640,480:waittimer 18555 blt 0,0,640,480,0, 1766,640,480:waittimer 18576 blt 0,0,640,480,0, 1768,640,480:waittimer 18597 blt 0,0,640,480,0, 1770,640,480:waittimer 18618 blt 0,0,640,480,0, 1772,640,480:waittimer 18639 blt 0,0,640,480,0, 1774,640,480:waittimer 18660 blt 0,0,640,480,0, 1776,640,480:waittimer 18681 blt 0,0,640,480,0, 1778,640,480:waittimer 18702 blt 0,0,640,480,0, 1780,640,480:waittimer 18723 blt 0,0,640,480,0, 1782,640,480:waittimer 18744 blt 0,0,640,480,0, 1784,640,480:waittimer 18765 blt 0,0,640,480,0, 1786,640,480:waittimer 18786 blt 0,0,640,480,0, 1788,640,480:waittimer 18807 blt 0,0,640,480,0, 1790,640,480:waittimer 18828 blt 0,0,640,480,0, 1792,640,480:waittimer 18849 blt 0,0,640,480,0, 1794,640,480:waittimer 18870 blt 0,0,640,480,0, 1796,640,480:waittimer 18892 blt 0,0,640,480,0, 1798,640,480:waittimer 18913 blt 0,0,640,480,0, 1800,640,480:waittimer 18934 blt 0,0,640,480,0, 1802,640,480:waittimer 18955 blt 0,0,640,480,0, 1804,640,480:waittimer 18976 blt 0,0,640,480,0, 1806,640,480:waittimer 18997 blt 0,0,640,480,0, 1808,640,480:waittimer 19018 blt 0,0,640,480,0, 1810,640,480:waittimer 19039 blt 0,0,640,480,0, 1812,640,480:waittimer 19060 blt 0,0,640,480,0, 1814,640,480:waittimer 19081 blt 0,0,640,480,0, 1816,640,480:waittimer 19102 blt 0,0,640,480,0, 1818,640,480:waittimer 19123 blt 0,0,640,480,0, 1820,640,480:waittimer 19144 blt 0,0,640,480,0, 1822,640,480:waittimer 19165 blt 0,0,640,480,0, 1824,640,480:waittimer 19186 blt 0,0,640,480,0, 1826,640,480:waittimer 19207 blt 0,0,640,480,0, 1828,640,480:waittimer 19228 blt 0,0,640,480,0, 1830,640,480:waittimer 19249 blt 0,0,640,480,0, 1832,640,480:waittimer 19270 blt 0,0,640,480,0, 1834,640,480:waittimer 19291 blt 0,0,640,480,0, 1836,640,480:waittimer 19312 blt 0,0,640,480,0, 1838,640,480:waittimer 19333 blt 0,0,640,480,0, 1840,640,480:waittimer 19354 blt 0,0,640,480,0, 1842,640,480:waittimer 19375 blt 0,0,640,480,0, 1844,640,480:waittimer 19396 blt 0,0,640,480,0, 1846,640,480:waittimer 19417 blt 0,0,640,480,0, 1848,640,480:waittimer 19438 blt 0,0,640,480,0, 1850,640,480:waittimer 19460 blt 0,0,640,480,0, 1852,640,480:waittimer 19481 blt 0,0,640,480,0, 1854,640,480:waittimer 19502 blt 0,0,640,480,0, 1856,640,480:waittimer 19523 blt 0,0,640,480,0, 1858,640,480:waittimer 19544 blt 0,0,640,480,0, 1860,640,480:waittimer 19565 blt 0,0,640,480,0, 1862,640,480:waittimer 19586 blt 0,0,640,480,0, 1864,640,480:waittimer 19607 blt 0,0,640,480,0, 1866,640,480:waittimer 19628 blt 0,0,640,480,0, 1868,640,480:waittimer 19649 blt 0,0,640,480,0, 1870,640,480:waittimer 19670 blt 0,0,640,480,0, 1872,640,480:waittimer 19691 blt 0,0,640,480,0, 1874,640,480:waittimer 19712 blt 0,0,640,480,0, 1876,640,480:waittimer 19733 blt 0,0,640,480,0, 1878,640,480:waittimer 19754 blt 0,0,640,480,0, 1880,640,480:waittimer 19775 blt 0,0,640,480,0, 1882,640,480:waittimer 19796 blt 0,0,640,480,0, 1884,640,480:waittimer 19817 blt 0,0,640,480,0, 1886,640,480:waittimer 19838 blt 0,0,640,480,0, 1888,640,480:waittimer 19859 blt 0,0,640,480,0, 1890,640,480:waittimer 19880 blt 0,0,640,480,0, 1892,640,480:waittimer 19901 blt 0,0,640,480,0, 1894,640,480:waittimer 19922 blt 0,0,640,480,0, 1896,640,480:waittimer 19943 blt 0,0,640,480,0, 1898,640,480:waittimer 19964 blt 0,0,640,480,0, 1900,640,480:waittimer 19985 blt 0,0,640,480,0, 1902,640,480:waittimer 20007 blt 0,0,640,480,0, 1904,640,480:waittimer 20028 blt 0,0,640,480,0, 1906,640,480:waittimer 20049 blt 0,0,640,480,0, 1908,640,480:waittimer 20070 blt 0,0,640,480,0, 1910,640,480:waittimer 20091 blt 0,0,640,480,0, 1912,640,480:waittimer 20112 blt 0,0,640,480,0, 1914,640,480:waittimer 20133 blt 0,0,640,480,0, 1916,640,480:waittimer 20154 blt 0,0,640,480,0, 1918,640,480:waittimer 20175 blt 0,0,640,480,0, 1920,640,480:waittimer 20196 blt 0,0,640,480,0, 1922,640,480:waittimer 20217 blt 0,0,640,480,0, 1924,640,480:waittimer 20238 blt 0,0,640,480,0, 1926,640,480:waittimer 20259 blt 0,0,640,480,0, 1928,640,480:waittimer 20280 blt 0,0,640,480,0, 1930,640,480:waittimer 20301 blt 0,0,640,480,0, 1932,640,480:waittimer 20322 blt 0,0,640,480,0, 1934,640,480:waittimer 20343 blt 0,0,640,480,0, 1936,640,480:waittimer 20364 blt 0,0,640,480,0, 1938,640,480:waittimer 20385 blt 0,0,640,480,0, 1940,640,480:waittimer 20406 blt 0,0,640,480,0, 1942,640,480:waittimer 20427 blt 0,0,640,480,0, 1944,640,480:waittimer 20448 blt 0,0,640,480,0, 1946,640,480:waittimer 20469 blt 0,0,640,480,0, 1948,640,480:waittimer 20490 blt 0,0,640,480,0, 1950,640,480:waittimer 20511 blt 0,0,640,480,0, 1952,640,480:waittimer 20532 blt 0,0,640,480,0, 1954,640,480:waittimer 20553 blt 0,0,640,480,0, 1956,640,480:waittimer 20575 blt 0,0,640,480,0, 1958,640,480:waittimer 20596 blt 0,0,640,480,0, 1960,640,480:waittimer 20617 blt 0,0,640,480,0, 1962,640,480:waittimer 20638 blt 0,0,640,480,0, 1964,640,480:waittimer 20659 blt 0,0,640,480,0, 1966,640,480:waittimer 20680 blt 0,0,640,480,0, 1968,640,480:waittimer 20701 blt 0,0,640,480,0, 1970,640,480:waittimer 20722 blt 0,0,640,480,0, 1972,640,480:waittimer 20743 blt 0,0,640,480,0, 1974,640,480:waittimer 20764 blt 0,0,640,480,0, 1976,640,480:waittimer 20785 blt 0,0,640,480,0, 1978,640,480:waittimer 20806 blt 0,0,640,480,0, 1980,640,480:waittimer 20827 blt 0,0,640,480,0, 1982,640,480:waittimer 20848 blt 0,0,640,480,0, 1984,640,480:waittimer 20869 blt 0,0,640,480,0, 1986,640,480:waittimer 20890 blt 0,0,640,480,0, 1988,640,480:waittimer 20911 blt 0,0,640,480,0, 1990,640,480:waittimer 20932 blt 0,0,640,480,0, 1992,640,480:waittimer 20953 blt 0,0,640,480,0, 1994,640,480:waittimer 20974 blt 0,0,640,480,0, 1996,640,480:waittimer 20995 blt 0,0,640,480,0, 1998,640,480:waittimer 21016 blt 0,0,640,480,0, 2000,640,480:waittimer 21037 blt 0,0,640,480,0, 2002,640,480:waittimer 21058 blt 0,0,640,480,0, 2004,640,480:waittimer 21079 blt 0,0,640,480,0, 2006,640,480:waittimer 21100 blt 0,0,640,480,0, 2008,640,480:waittimer 21122 blt 0,0,640,480,0, 2010,640,480:waittimer 21143 blt 0,0,640,480,0, 2012,640,480:waittimer 21164 blt 0,0,640,480,0, 2014,640,480:waittimer 21185 blt 0,0,640,480,0, 2016,640,480:waittimer 21206 blt 0,0,640,480,0, 2018,640,480:waittimer 21227 blt 0,0,640,480,0, 2020,640,480:waittimer 21248 blt 0,0,640,480,0, 2022,640,480:waittimer 21269 blt 0,0,640,480,0, 2024,640,480:waittimer 21290 blt 0,0,640,480,0, 2026,640,480:waittimer 21311 blt 0,0,640,480,0, 2028,640,480:waittimer 21332 blt 0,0,640,480,0, 2030,640,480:waittimer 21353 blt 0,0,640,480,0, 2032,640,480:waittimer 21374 blt 0,0,640,480,0, 2034,640,480:waittimer 21395 blt 0,0,640,480,0, 2036,640,480:waittimer 21416 blt 0,0,640,480,0, 2038,640,480:waittimer 21437 blt 0,0,640,480,0, 2040,640,480:waittimer 21458 blt 0,0,640,480,0, 2042,640,480:waittimer 21479 blt 0,0,640,480,0, 2044,640,480:waittimer 21500 blt 0,0,640,480,0, 2046,640,480:waittimer 21521 blt 0,0,640,480,0, 2048,640,480:waittimer 21542 blt 0,0,640,480,0, 2050,640,480:waittimer 21563 blt 0,0,640,480,0, 2052,640,480:waittimer 21584 blt 0,0,640,480,0, 2054,640,480:waittimer 21605 blt 0,0,640,480,0, 2056,640,480:waittimer 21626 blt 0,0,640,480,0, 2058,640,480:waittimer 21647 blt 0,0,640,480,0, 2060,640,480:waittimer 21669 blt 0,0,640,480,0, 2062,640,480:waittimer 21690 blt 0,0,640,480,0, 2064,640,480:waittimer 21711 blt 0,0,640,480,0, 2066,640,480:waittimer 21732 blt 0,0,640,480,0, 2068,640,480:waittimer 21753 blt 0,0,640,480,0, 2070,640,480:waittimer 21774 blt 0,0,640,480,0, 2072,640,480:waittimer 21795 blt 0,0,640,480,0, 2074,640,480:waittimer 21816 blt 0,0,640,480,0, 2076,640,480:waittimer 21837 blt 0,0,640,480,0, 2078,640,480:waittimer 21858 blt 0,0,640,480,0, 2080,640,480:waittimer 21879 blt 0,0,640,480,0, 2082,640,480:waittimer 21900 blt 0,0,640,480,0, 2084,640,480:waittimer 21921 blt 0,0,640,480,0, 2086,640,480:waittimer 21942 blt 0,0,640,480,0, 2088,640,480:waittimer 21963 blt 0,0,640,480,0, 2090,640,480:waittimer 21984 blt 0,0,640,480,0, 2092,640,480:waittimer 22005 blt 0,0,640,480,0, 2094,640,480:waittimer 22026 blt 0,0,640,480,0, 2096,640,480:waittimer 22047 blt 0,0,640,480,0, 2098,640,480:waittimer 22068 blt 0,0,640,480,0, 2100,640,480:waittimer 22089 blt 0,0,640,480,0, 2102,640,480:waittimer 22110 blt 0,0,640,480,0, 2104,640,480:waittimer 22131 blt 0,0,640,480,0, 2106,640,480:waittimer 22152 blt 0,0,640,480,0, 2108,640,480:waittimer 22173 blt 0,0,640,480,0, 2110,640,480:waittimer 22194 blt 0,0,640,480,0, 2112,640,480:waittimer 22215 blt 0,0,640,480,0, 2114,640,480:waittimer 22237 blt 0,0,640,480,0, 2116,640,480:waittimer 22258 blt 0,0,640,480,0, 2118,640,480:waittimer 22279 blt 0,0,640,480,0, 2120,640,480:waittimer 22300 blt 0,0,640,480,0, 2122,640,480:waittimer 22321 blt 0,0,640,480,0, 2124,640,480:waittimer 22342 blt 0,0,640,480,0, 2126,640,480:waittimer 22363 blt 0,0,640,480,0, 2128,640,480:waittimer 22384 blt 0,0,640,480,0, 2130,640,480:waittimer 22405 blt 0,0,640,480,0, 2132,640,480:waittimer 22426 blt 0,0,640,480,0, 2134,640,480:waittimer 22447 blt 0,0,640,480,0, 2136,640,480:waittimer 22468 blt 0,0,640,480,0, 2138,640,480:waittimer 22489 blt 0,0,640,480,0, 2140,640,480:waittimer 22510 blt 0,0,640,480,0, 2142,640,480:waittimer 22531 blt 0,0,640,480,0, 2144,640,480:waittimer 22552 blt 0,0,640,480,0, 2146,640,480:waittimer 22573 blt 0,0,640,480,0, 2148,640,480:waittimer 22594 blt 0,0,640,480,0, 2150,640,480:waittimer 22615 blt 0,0,640,480,0, 2152,640,480:waittimer 22636 blt 0,0,640,480,0, 2154,640,480:waittimer 22657 blt 0,0,640,480,0, 2156,640,480:waittimer 22678 blt 0,0,640,480,0, 2158,640,480:waittimer 22699 blt 0,0,640,480,0, 2160,640,480:waittimer 22720 blt 0,0,640,480,0, 2162,640,480:waittimer 22741 blt 0,0,640,480,0, 2164,640,480:waittimer 22762 blt 0,0,640,480,0, 2166,640,480:waittimer 22784 blt 0,0,640,480,0, 2168,640,480:waittimer 22805 blt 0,0,640,480,0, 2170,640,480:waittimer 22826 blt 0,0,640,480,0, 2172,640,480:waittimer 22847 blt 0,0,640,480,0, 2174,640,480:waittimer 22868 blt 0,0,640,480,0, 2176,640,480:waittimer 22889 blt 0,0,640,480,0, 2178,640,480:waittimer 22910 blt 0,0,640,480,0, 2180,640,480:waittimer 22931 blt 0,0,640,480,0, 2182,640,480:waittimer 22952 blt 0,0,640,480,0, 2184,640,480:waittimer 22973 blt 0,0,640,480,0, 2186,640,480:waittimer 22994 blt 0,0,640,480,0, 2188,640,480:waittimer 23015 blt 0,0,640,480,0, 2190,640,480:waittimer 23036 blt 0,0,640,480,0, 2192,640,480:waittimer 23057 blt 0,0,640,480,0, 2194,640,480:waittimer 23078 blt 0,0,640,480,0, 2196,640,480:waittimer 23099 blt 0,0,640,480,0, 2198,640,480:waittimer 23120 blt 0,0,640,480,0, 2200,640,480:waittimer 23141 blt 0,0,640,480,0, 2202,640,480:waittimer 23162 blt 0,0,640,480,0, 2204,640,480:waittimer 23183 blt 0,0,640,480,0, 2206,640,480:waittimer 23204 blt 0,0,640,480,0, 2208,640,480:waittimer 23225 blt 0,0,640,480,0, 2210,640,480:waittimer 23246 blt 0,0,640,480,0, 2212,640,480:waittimer 23267 blt 0,0,640,480,0, 2214,640,480:waittimer 23288 blt 0,0,640,480,0, 2216,640,480:waittimer 23309 blt 0,0,640,480,0, 2218,640,480:waittimer 23330 blt 0,0,640,480,0, 2220,640,480:waittimer 23352 blt 0,0,640,480,0, 2222,640,480:waittimer 23373 blt 0,0,640,480,0, 2224,640,480:waittimer 23394 blt 0,0,640,480,0, 2226,640,480:waittimer 23415 blt 0,0,640,480,0, 2228,640,480:waittimer 23436 blt 0,0,640,480,0, 2230,640,480:waittimer 23457 blt 0,0,640,480,0, 2232,640,480:waittimer 23478 blt 0,0,640,480,0, 2234,640,480:waittimer 23499 blt 0,0,640,480,0, 2236,640,480:waittimer 23520 blt 0,0,640,480,0, 2238,640,480:waittimer 23541 blt 0,0,640,480,0, 2240,640,480:waittimer 23562 blt 0,0,640,480,0, 2242,640,480:waittimer 23583 blt 0,0,640,480,0, 2244,640,480:waittimer 23604 blt 0,0,640,480,0, 2246,640,480:waittimer 23625 blt 0,0,640,480,0, 2248,640,480:waittimer 23646 blt 0,0,640,480,0, 2250,640,480:waittimer 23667 blt 0,0,640,480,0, 2252,640,480:waittimer 23688 blt 0,0,640,480,0, 2254,640,480:waittimer 23709 blt 0,0,640,480,0, 2256,640,480:waittimer 23730 blt 0,0,640,480,0, 2258,640,480:waittimer 23751 blt 0,0,640,480,0, 2260,640,480:waittimer 23772 blt 0,0,640,480,0, 2262,640,480:waittimer 23793 blt 0,0,640,480,0, 2264,640,480:waittimer 23814 blt 0,0,640,480,0, 2266,640,480:waittimer 23835 blt 0,0,640,480,0, 2268,640,480:waittimer 23856 blt 0,0,640,480,0, 2270,640,480:waittimer 23877 blt 0,0,640,480,0, 2272,640,480:waittimer 23899 blt 0,0,640,480,0, 2274,640,480:waittimer 23920 blt 0,0,640,480,0, 2276,640,480:waittimer 23941 blt 0,0,640,480,0, 2278,640,480:waittimer 23962 blt 0,0,640,480,0, 2280,640,480:waittimer 23983 blt 0,0,640,480,0, 2282,640,480:waittimer 24004 blt 0,0,640,480,0, 2284,640,480:waittimer 24025 blt 0,0,640,480,0, 2286,640,480:waittimer 24046 blt 0,0,640,480,0, 2288,640,480:waittimer 24067 blt 0,0,640,480,0, 2290,640,480:waittimer 24088 blt 0,0,640,480,0, 2292,640,480:waittimer 24109 blt 0,0,640,480,0, 2294,640,480:waittimer 24130 blt 0,0,640,480,0, 2296,640,480:waittimer 24151 blt 0,0,640,480,0, 2298,640,480:waittimer 24172 blt 0,0,640,480,0, 2300,640,480:waittimer 24193 blt 0,0,640,480,0, 2302,640,480:waittimer 24214 blt 0,0,640,480,0, 2304,640,480:waittimer 24235 blt 0,0,640,480,0, 2306,640,480:waittimer 24256 blt 0,0,640,480,0, 2308,640,480:waittimer 24277 blt 0,0,640,480,0, 2310,640,480:waittimer 24298 blt 0,0,640,480,0, 2312,640,480:waittimer 24319 blt 0,0,640,480,0, 2314,640,480:waittimer 24340 blt 0,0,640,480,0, 2316,640,480:waittimer 24361 blt 0,0,640,480,0, 2318,640,480:waittimer 24382 blt 0,0,640,480,0, 2320,640,480:waittimer 24403 blt 0,0,640,480,0, 2322,640,480:waittimer 24424 blt 0,0,640,480,0, 2324,640,480:waittimer 24446 blt 0,0,640,480,0, 2326,640,480:waittimer 24467 blt 0,0,640,480,0, 2328,640,480:waittimer 24488 blt 0,0,640,480,0, 2330,640,480:waittimer 24509 blt 0,0,640,480,0, 2332,640,480:waittimer 24530 blt 0,0,640,480,0, 2334,640,480:waittimer 24551 blt 0,0,640,480,0, 2336,640,480:waittimer 24572 blt 0,0,640,480,0, 2338,640,480:waittimer 24593 blt 0,0,640,480,0, 2340,640,480:waittimer 24614 blt 0,0,640,480,0, 2342,640,480:waittimer 24635 blt 0,0,640,480,0, 2344,640,480:waittimer 24656 blt 0,0,640,480,0, 2346,640,480:waittimer 24677 blt 0,0,640,480,0, 2348,640,480:waittimer 24698 blt 0,0,640,480,0, 2350,640,480:waittimer 24719 blt 0,0,640,480,0, 2352,640,480:waittimer 24740 blt 0,0,640,480,0, 2354,640,480:waittimer 24761 blt 0,0,640,480,0, 2356,640,480:waittimer 24782 blt 0,0,640,480,0, 2358,640,480:waittimer 24803 blt 0,0,640,480,0, 2360,640,480:waittimer 24824 blt 0,0,640,480,0, 2362,640,480:waittimer 24845 blt 0,0,640,480,0, 2364,640,480:waittimer 24866 blt 0,0,640,480,0, 2366,640,480:waittimer 24887 blt 0,0,640,480,0, 2368,640,480:waittimer 24908 blt 0,0,640,480,0, 2370,640,480:waittimer 24929 blt 0,0,640,480,0, 2372,640,480:waittimer 24950 blt 0,0,640,480,0, 2374,640,480:waittimer 24971 blt 0,0,640,480,0, 2376,640,480:waittimer 24992 blt 0,0,640,480,0, 2378,640,480:waittimer 25014 blt 0,0,640,480,0, 2380,640,480:waittimer 25035 blt 0,0,640,480,0, 2382,640,480:waittimer 25056 blt 0,0,640,480,0, 2384,640,480:waittimer 25077 blt 0,0,640,480,0, 2386,640,480:waittimer 25098 blt 0,0,640,480,0, 2388,640,480:waittimer 25119 blt 0,0,640,480,0, 2390,640,480:waittimer 25140 blt 0,0,640,480,0, 2392,640,480:waittimer 25161 blt 0,0,640,480,0, 2394,640,480:waittimer 25182 blt 0,0,640,480,0, 2396,640,480:waittimer 25203 blt 0,0,640,480,0, 2398,640,480:waittimer 25224 blt 0,0,640,480,0, 2400,640,480:waittimer 25245 blt 0,0,640,480,0, 2402,640,480:waittimer 25266 blt 0,0,640,480,0, 2404,640,480:waittimer 25287 blt 0,0,640,480,0, 2406,640,480:waittimer 25308 blt 0,0,640,480,0, 2408,640,480:waittimer 25329 blt 0,0,640,480,0, 2410,640,480:waittimer 25350 blt 0,0,640,480,0, 2412,640,480:waittimer 25371 blt 0,0,640,480,0, 2414,640,480:waittimer 25392 blt 0,0,640,480,0, 2416,640,480:waittimer 25413 blt 0,0,640,480,0, 2418,640,480:waittimer 25434 blt 0,0,640,480,0, 2420,640,480:waittimer 25455 blt 0,0,640,480,0, 2422,640,480:waittimer 25476 blt 0,0,640,480,0, 2424,640,480:waittimer 25497 blt 0,0,640,480,0, 2426,640,480:waittimer 25518 blt 0,0,640,480,0, 2428,640,480:waittimer 25539 blt 0,0,640,480,0, 2430,640,480:waittimer 25561 blt 0,0,640,480,0, 2432,640,480:waittimer 25582 blt 0,0,640,480,0, 2434,640,480:waittimer 25603 blt 0,0,640,480,0, 2436,640,480:waittimer 25624 blt 0,0,640,480,0, 2438,640,480:waittimer 25645 blt 0,0,640,480,0, 2440,640,480:waittimer 25666 blt 0,0,640,480,0, 2442,640,480:waittimer 25687 blt 0,0,640,480,0, 2444,640,480:waittimer 25708 blt 0,0,640,480,0, 2446,640,480:waittimer 25729 blt 0,0,640,480,0, 2448,640,480:waittimer 25750 blt 0,0,640,480,0, 2450,640,480:waittimer 25771 blt 0,0,640,480,0, 2452,640,480:waittimer 25792 blt 0,0,640,480,0, 2454,640,480:waittimer 25813 blt 0,0,640,480,0, 2456,640,480:waittimer 25834 blt 0,0,640,480,0, 2458,640,480:waittimer 25855 blt 0,0,640,480,0, 2460,640,480:waittimer 25876 blt 0,0,640,480,0, 2462,640,480:waittimer 25897 blt 0,0,640,480,0, 2464,640,480:waittimer 25918 blt 0,0,640,480,0, 2466,640,480:waittimer 25939 blt 0,0,640,480,0, 2468,640,480:waittimer 25960 blt 0,0,640,480,0, 2470,640,480:waittimer 25981 blt 0,0,640,480,0, 2472,640,480:waittimer 26002 blt 0,0,640,480,0, 2474,640,480:waittimer 26023 blt 0,0,640,480,0, 2476,640,480:waittimer 26044 blt 0,0,640,480,0, 2478,640,480:waittimer 26065 blt 0,0,640,480,0, 2480,640,480:waittimer 26086 blt 0,0,640,480,0, 2482,640,480:waittimer 26107 blt 0,0,640,480,0, 2484,640,480:waittimer 26129 blt 0,0,640,480,0, 2486,640,480:waittimer 26150 blt 0,0,640,480,0, 2488,640,480:waittimer 26171 blt 0,0,640,480,0, 2490,640,480:waittimer 26192 blt 0,0,640,480,0, 2492,640,480:waittimer 26213 blt 0,0,640,480,0, 2494,640,480:waittimer 26234 blt 0,0,640,480,0, 2496,640,480:waittimer 26255 blt 0,0,640,480,0, 2498,640,480:waittimer 26276 blt 0,0,640,480,0, 2500,640,480:waittimer 26297 blt 0,0,640,480,0, 2502,640,480:waittimer 26318 blt 0,0,640,480,0, 2504,640,480:waittimer 26339 blt 0,0,640,480,0, 2506,640,480:waittimer 26360 blt 0,0,640,480,0, 2508,640,480:waittimer 26381 blt 0,0,640,480,0, 2510,640,480:waittimer 26402 blt 0,0,640,480,0, 2512,640,480:waittimer 26423 blt 0,0,640,480,0, 2514,640,480:waittimer 26444 blt 0,0,640,480,0, 2516,640,480:waittimer 26465 blt 0,0,640,480,0, 2518,640,480:waittimer 26486 blt 0,0,640,480,0, 2520,640,480:waittimer 26507 blt 0,0,640,480,0, 2522,640,480:waittimer 26528 blt 0,0,640,480,0, 2524,640,480:waittimer 26549 blt 0,0,640,480,0, 2526,640,480:waittimer 26570 blt 0,0,640,480,0, 2528,640,480:waittimer 26591 blt 0,0,640,480,0, 2530,640,480:waittimer 26612 blt 0,0,640,480,0, 2532,640,480:waittimer 26633 blt 0,0,640,480,0, 2534,640,480:waittimer 26654 blt 0,0,640,480,0, 2536,640,480:waittimer 26676 blt 0,0,640,480,0, 2538,640,480:waittimer 26697 blt 0,0,640,480,0, 2540,640,480:waittimer 26718 blt 0,0,640,480,0, 2542,640,480:waittimer 26739 blt 0,0,640,480,0, 2544,640,480:waittimer 26760 blt 0,0,640,480,0, 2546,640,480:waittimer 26781 blt 0,0,640,480,0, 2548,640,480:waittimer 26802 blt 0,0,640,480,0, 2550,640,480:waittimer 26823 blt 0,0,640,480,0, 2552,640,480:waittimer 26844 blt 0,0,640,480,0, 2554,640,480:waittimer 26865 blt 0,0,640,480,0, 2556,640,480:waittimer 26886 blt 0,0,640,480,0, 2558,640,480:waittimer 26907 blt 0,0,640,480,0, 2560,640,480:waittimer 26928 blt 0,0,640,480,0, 2562,640,480:waittimer 26949 blt 0,0,640,480,0, 2564,640,480:waittimer 26970 blt 0,0,640,480,0, 2566,640,480:waittimer 26991 blt 0,0,640,480,0, 2568,640,480:waittimer 27012 blt 0,0,640,480,0, 2570,640,480:waittimer 27033 blt 0,0,640,480,0, 2572,640,480:waittimer 27054 blt 0,0,640,480,0, 2574,640,480:waittimer 27075 blt 0,0,640,480,0, 2576,640,480:waittimer 27096 blt 0,0,640,480,0, 2578,640,480:waittimer 27117 blt 0,0,640,480,0, 2580,640,480:waittimer 27138 blt 0,0,640,480,0, 2582,640,480:waittimer 27159 blt 0,0,640,480,0, 2584,640,480:waittimer 27180 blt 0,0,640,480,0, 2586,640,480:waittimer 27201 blt 0,0,640,480,0, 2588,640,480:waittimer 27223 blt 0,0,640,480,0, 2590,640,480:waittimer 27244 blt 0,0,640,480,0, 2592,640,480:waittimer 27265 blt 0,0,640,480,0, 2594,640,480:waittimer 27286 blt 0,0,640,480,0, 2596,640,480:waittimer 27307 blt 0,0,640,480,0, 2598,640,480:waittimer 27328 blt 0,0,640,480,0, 2600,640,480:waittimer 27349 blt 0,0,640,480,0, 2602,640,480:waittimer 27370 blt 0,0,640,480,0, 2604,640,480:waittimer 27391 blt 0,0,640,480,0, 2606,640,480:waittimer 27412 blt 0,0,640,480,0, 2608,640,480:waittimer 27433 blt 0,0,640,480,0, 2610,640,480:waittimer 27454 blt 0,0,640,480,0, 2612,640,480:waittimer 27475 blt 0,0,640,480,0, 2614,640,480:waittimer 27496 blt 0,0,640,480,0, 2616,640,480:waittimer 27517 blt 0,0,640,480,0, 2618,640,480:waittimer 27538 blt 0,0,640,480,0, 2620,640,480:waittimer 27559 blt 0,0,640,480,0, 2622,640,480:waittimer 27580 blt 0,0,640,480,0, 2624,640,480:waittimer 27601 blt 0,0,640,480,0, 2626,640,480:waittimer 27622 blt 0,0,640,480,0, 2628,640,480:waittimer 27643 blt 0,0,640,480,0, 2630,640,480:waittimer 27664 blt 0,0,640,480,0, 2632,640,480:waittimer 27685 blt 0,0,640,480,0, 2634,640,480:waittimer 27706 blt 0,0,640,480,0, 2636,640,480:waittimer 27727 blt 0,0,640,480,0, 2638,640,480:waittimer 27748 blt 0,0,640,480,0, 2640,640,480:waittimer 27769 blt 0,0,640,480,0, 2642,640,480:waittimer 27791 blt 0,0,640,480,0, 2644,640,480:waittimer 27812 blt 0,0,640,480,0, 2646,640,480:waittimer 27833 blt 0,0,640,480,0, 2648,640,480:waittimer 27854 blt 0,0,640,480,0, 2650,640,480:waittimer 27875 blt 0,0,640,480,0, 2652,640,480:waittimer 27896 blt 0,0,640,480,0, 2654,640,480:waittimer 27917 blt 0,0,640,480,0, 2656,640,480:waittimer 27938 blt 0,0,640,480,0, 2658,640,480:waittimer 27959 blt 0,0,640,480,0, 2660,640,480:waittimer 27980 blt 0,0,640,480,0, 2662,640,480:waittimer 28001 blt 0,0,640,480,0, 2664,640,480:waittimer 28022 blt 0,0,640,480,0, 2666,640,480:waittimer 28043 blt 0,0,640,480,0, 2668,640,480:waittimer 28064 blt 0,0,640,480,0, 2670,640,480:waittimer 28085 blt 0,0,640,480,0, 2672,640,480:waittimer 28106 blt 0,0,640,480,0, 2674,640,480:waittimer 28127 blt 0,0,640,480,0, 2676,640,480:waittimer 28148 blt 0,0,640,480,0, 2678,640,480:waittimer 28169 blt 0,0,640,480,0, 2680,640,480:waittimer 28190 blt 0,0,640,480,0, 2682,640,480:waittimer 28211 blt 0,0,640,480,0, 2684,640,480:waittimer 28232 blt 0,0,640,480,0, 2686,640,480:waittimer 28253 blt 0,0,640,480,0, 2688,640,480:waittimer 28274 blt 0,0,640,480,0, 2690,640,480:waittimer 28295 blt 0,0,640,480,0, 2692,640,480:waittimer 28316 blt 0,0,640,480,0, 2694,640,480:waittimer 28338 blt 0,0,640,480,0, 2696,640,480:waittimer 28359 blt 0,0,640,480,0, 2698,640,480:waittimer 28380 blt 0,0,640,480,0, 2700,640,480:waittimer 28401 blt 0,0,640,480,0, 2702,640,480:waittimer 28422 blt 0,0,640,480,0, 2704,640,480:waittimer 28443 blt 0,0,640,480,0, 2706,640,480:waittimer 28464 blt 0,0,640,480,0, 2708,640,480:waittimer 28485 blt 0,0,640,480,0, 2710,640,480:waittimer 28506 blt 0,0,640,480,0, 2712,640,480:waittimer 28527 blt 0,0,640,480,0, 2714,640,480:waittimer 28548 blt 0,0,640,480,0, 2716,640,480:waittimer 28569 blt 0,0,640,480,0, 2718,640,480:waittimer 28590 blt 0,0,640,480,0, 2720,640,480:waittimer 28611 blt 0,0,640,480,0, 2722,640,480:waittimer 28632 blt 0,0,640,480,0, 2724,640,480:waittimer 28653 blt 0,0,640,480,0, 2726,640,480:waittimer 28674 blt 0,0,640,480,0, 2728,640,480:waittimer 28695 blt 0,0,640,480,0, 2730,640,480:waittimer 28716 blt 0,0,640,480,0, 2732,640,480:waittimer 28737 blt 0,0,640,480,0, 2734,640,480:waittimer 28758 blt 0,0,640,480,0, 2736,640,480:waittimer 28779 blt 0,0,640,480,0, 2738,640,480:waittimer 28800 blt 0,0,640,480,0, 2740,640,480:waittimer 28821 blt 0,0,640,480,0, 2742,640,480:waittimer 28842 blt 0,0,640,480,0, 2744,640,480:waittimer 28863 blt 0,0,640,480,0, 2746,640,480:waittimer 28884 blt 0,0,640,480,0, 2748,640,480:waittimer 28906 blt 0,0,640,480,0, 2750,640,480:waittimer 28927 blt 0,0,640,480,0, 2752,640,480:waittimer 28948 blt 0,0,640,480,0, 2754,640,480:waittimer 28969 blt 0,0,640,480,0, 2756,640,480:waittimer 28990 blt 0,0,640,480,0, 2758,640,480:waittimer 29011 blt 0,0,640,480,0, 2760,640,480:waittimer 29032 blt 0,0,640,480,0, 2762,640,480:waittimer 29053 blt 0,0,640,480,0, 2764,640,480:waittimer 29074 blt 0,0,640,480,0, 2766,640,480:waittimer 29095 blt 0,0,640,480,0, 2768,640,480:waittimer 29116 blt 0,0,640,480,0, 2770,640,480:waittimer 29137 blt 0,0,640,480,0, 2772,640,480:waittimer 29158 blt 0,0,640,480,0, 2774,640,480:waittimer 29179 blt 0,0,640,480,0, 2776,640,480:waittimer 29200 blt 0,0,640,480,0, 2778,640,480:waittimer 29221 blt 0,0,640,480,0, 2780,640,480:waittimer 29242 blt 0,0,640,480,0, 2782,640,480:waittimer 29263 blt 0,0,640,480,0, 2784,640,480:waittimer 29284 blt 0,0,640,480,0, 2786,640,480:waittimer 29305 blt 0,0,640,480,0, 2788,640,480:waittimer 29326 blt 0,0,640,480,0, 2790,640,480:waittimer 29347 blt 0,0,640,480,0, 2792,640,480:waittimer 29368 blt 0,0,640,480,0, 2794,640,480:waittimer 29389 blt 0,0,640,480,0, 2796,640,480:waittimer 29410 blt 0,0,640,480,0, 2798,640,480:waittimer 29431 blt 0,0,640,480,0, 2800,640,480:waittimer 29453 blt 0,0,640,480,0, 2802,640,480:waittimer 29474 blt 0,0,640,480,0, 2804,640,480:waittimer 29495 blt 0,0,640,480,0, 2806,640,480:waittimer 29516 blt 0,0,640,480,0, 2808,640,480:waittimer 29537 blt 0,0,640,480,0, 2810,640,480:waittimer 29558 blt 0,0,640,480,0, 2812,640,480:waittimer 29579 blt 0,0,640,480,0, 2814,640,480:waittimer 29600 blt 0,0,640,480,0, 2816,640,480:waittimer 29621 blt 0,0,640,480,0, 2818,640,480:waittimer 29642 blt 0,0,640,480,0, 2820,640,480:waittimer 29663 blt 0,0,640,480,0, 2822,640,480:waittimer 29684 blt 0,0,640,480,0, 2824,640,480:waittimer 29705 blt 0,0,640,480,0, 2826,640,480:waittimer 29726 blt 0,0,640,480,0, 2828,640,480:waittimer 29747 blt 0,0,640,480,0, 2830,640,480:waittimer 29768 blt 0,0,640,480,0, 2832,640,480:waittimer 29789 blt 0,0,640,480,0, 2834,640,480:waittimer 29810 blt 0,0,640,480,0, 2836,640,480:waittimer 29831 blt 0,0,640,480,0, 2838,640,480:waittimer 29852 blt 0,0,640,480,0, 2840,640,480:waittimer 29873 blt 0,0,640,480,0, 2842,640,480:waittimer 29894 blt 0,0,640,480,0, 2844,640,480:waittimer 29915 blt 0,0,640,480,0, 2846,640,480:waittimer 29936 blt 0,0,640,480,0, 2848,640,480:waittimer 29957 blt 0,0,640,480,0, 2850,640,480:waittimer 29978 blt 0,0,640,480,0, 2852,640,480:waittimer 30000 blt 0,0,640,480,0, 2854,640,480:waittimer 30021 blt 0,0,640,480,0, 2856,640,480:waittimer 30042 blt 0,0,640,480,0, 2858,640,480:waittimer 30063 blt 0,0,640,480,0, 2860,640,480:waittimer 30084 blt 0,0,640,480,0, 2862,640,480:waittimer 30105 blt 0,0,640,480,0, 2864,640,480:waittimer 30126 blt 0,0,640,480,0, 2866,640,480:waittimer 30147 blt 0,0,640,480,0, 2868,640,480:waittimer 30168 blt 0,0,640,480,0, 2870,640,480:waittimer 30189 blt 0,0,640,480,0, 2872,640,480:waittimer 30210 blt 0,0,640,480,0, 2874,640,480:waittimer 30231 blt 0,0,640,480,0, 2876,640,480:waittimer 30252 blt 0,0,640,480,0, 2878,640,480:waittimer 30273 blt 0,0,640,480,0, 2880,640,480:waittimer 30294 blt 0,0,640,480,0, 2882,640,480:waittimer 30315 blt 0,0,640,480,0, 2884,640,480:waittimer 30336 blt 0,0,640,480,0, 2886,640,480:waittimer 30357 blt 0,0,640,480,0, 2888,640,480:waittimer 30378 blt 0,0,640,480,0, 2890,640,480:waittimer 30399 blt 0,0,640,480,0, 2892,640,480:waittimer 30420 blt 0,0,640,480,0, 2894,640,480:waittimer 30441 blt 0,0,640,480,0, 2896,640,480:waittimer 30462 blt 0,0,640,480,0, 2898,640,480:waittimer 30483 blt 0,0,640,480,0, 2900,640,480:waittimer 30504 blt 0,0,640,480,0, 2902,640,480:waittimer 30525 blt 0,0,640,480,0, 2904,640,480:waittimer 30546 blt 0,0,640,480,0, 2906,640,480:waittimer 30568 blt 0,0,640,480,0, 2908,640,480:waittimer 30589 blt 0,0,640,480,0, 2910,640,480:waittimer 30610 blt 0,0,640,480,0, 2912,640,480:waittimer 30631 blt 0,0,640,480,0, 2914,640,480:waittimer 30652 blt 0,0,640,480,0, 2916,640,480:waittimer 30673 blt 0,0,640,480,0, 2918,640,480:waittimer 30694 blt 0,0,640,480,0, 2920,640,480:waittimer 30715 blt 0,0,640,480,0, 2922,640,480:waittimer 30736 blt 0,0,640,480,0, 2924,640,480:waittimer 30757 blt 0,0,640,480,0, 2926,640,480:waittimer 30778 blt 0,0,640,480,0, 2928,640,480:waittimer 30799 blt 0,0,640,480,0, 2930,640,480:waittimer 30820 blt 0,0,640,480,0, 2932,640,480:waittimer 30841 blt 0,0,640,480,0, 2934,640,480:waittimer 30862 blt 0,0,640,480,0, 2936,640,480:waittimer 30883 blt 0,0,640,480,0, 2938,640,480:waittimer 30904 blt 0,0,640,480,0, 2940,640,480:waittimer 30925 blt 0,0,640,480,0, 2942,640,480:waittimer 30946 blt 0,0,640,480,0, 2944,640,480:waittimer 30967 blt 0,0,640,480,0, 2946,640,480:waittimer 30988 blt 0,0,640,480,0, 2948,640,480:waittimer 31009 blt 0,0,640,480,0, 2950,640,480:waittimer 31030 blt 0,0,640,480,0, 2952,640,480:waittimer 31051 blt 0,0,640,480,0, 2954,640,480:waittimer 31072 blt 0,0,640,480,0, 2956,640,480:waittimer 31093 blt 0,0,640,480,0, 2958,640,480:waittimer 31115 blt 0,0,640,480,0, 2960,640,480:waittimer 31136 blt 0,0,640,480,0, 2962,640,480:waittimer 31157 blt 0,0,640,480,0, 2964,640,480:waittimer 31178 blt 0,0,640,480,0, 2966,640,480:waittimer 31199 blt 0,0,640,480,0, 2968,640,480:waittimer 31220 blt 0,0,640,480,0, 2970,640,480:waittimer 31241 blt 0,0,640,480,0, 2972,640,480:waittimer 31262 blt 0,0,640,480,0, 2974,640,480:waittimer 31283 blt 0,0,640,480,0, 2976,640,480:waittimer 31304 blt 0,0,640,480,0, 2978,640,480:waittimer 31325 blt 0,0,640,480,0, 2980,640,480:waittimer 31346 blt 0,0,640,480,0, 2982,640,480:waittimer 31367 blt 0,0,640,480,0, 2984,640,480:waittimer 31388 blt 0,0,640,480,0, 2986,640,480:waittimer 31409 blt 0,0,640,480,0, 2988,640,480:waittimer 31430 blt 0,0,640,480,0, 2990,640,480:waittimer 31451 blt 0,0,640,480,0, 2992,640,480:waittimer 31472 blt 0,0,640,480,0, 2994,640,480:waittimer 31493 blt 0,0,640,480,0, 2996,640,480:waittimer 31514 blt 0,0,640,480,0, 2998,640,480:waittimer 31535 blt 0,0,640,480,0, 3000,640,480:waittimer 31556 blt 0,0,640,480,0, 3002,640,480:waittimer 31577 blt 0,0,640,480,0, 3004,640,480:waittimer 31598 blt 0,0,640,480,0, 3006,640,480:waittimer 31619 blt 0,0,640,480,0, 3008,640,480:waittimer 31640 blt 0,0,640,480,0, 3010,640,480:waittimer 31661 blt 0,0,640,480,0, 3012,640,480:waittimer 31683 blt 0,0,640,480,0, 3014,640,480:waittimer 31704 blt 0,0,640,480,0, 3016,640,480:waittimer 31725 blt 0,0,640,480,0, 3018,640,480:waittimer 31746 blt 0,0,640,480,0, 3020,640,480:waittimer 31767 blt 0,0,640,480,0, 3022,640,480:waittimer 31788 blt 0,0,640,480,0, 3024,640,480:waittimer 31809 blt 0,0,640,480,0, 3026,640,480:waittimer 31830 blt 0,0,640,480,0, 3028,640,480:waittimer 31851 blt 0,0,640,480,0, 3030,640,480:waittimer 31872 blt 0,0,640,480,0, 3032,640,480:waittimer 31893 blt 0,0,640,480,0, 3034,640,480:waittimer 31914 blt 0,0,640,480,0, 3036,640,480:waittimer 31935 blt 0,0,640,480,0, 3038,640,480:waittimer 31956 blt 0,0,640,480,0, 3040,640,480:waittimer 31977 blt 0,0,640,480,0, 3042,640,480:waittimer 31998 blt 0,0,640,480,0, 3044,640,480:waittimer 32019 blt 0,0,640,480,0, 3046,640,480:waittimer 32040 blt 0,0,640,480,0, 3048,640,480:waittimer 32061 blt 0,0,640,480,0, 3050,640,480:waittimer 32082 blt 0,0,640,480,0, 3052,640,480:waittimer 32103 blt 0,0,640,480,0, 3054,640,480:waittimer 32124 blt 0,0,640,480,0, 3056,640,480:waittimer 32145 blt 0,0,640,480,0, 3058,640,480:waittimer 32166 blt 0,0,640,480,0, 3060,640,480:waittimer 32187 blt 0,0,640,480,0, 3062,640,480:waittimer 32208 blt 0,0,640,480,0, 3064,640,480:waittimer 32230 blt 0,0,640,480,0, 3066,640,480:waittimer 32251 blt 0,0,640,480,0, 3068,640,480:waittimer 32272 blt 0,0,640,480,0, 3070,640,480:waittimer 32293 blt 0,0,640,480,0, 3072,640,480:waittimer 32314 blt 0,0,640,480,0, 3074,640,480:waittimer 32335 blt 0,0,640,480,0, 3076,640,480:waittimer 32356 blt 0,0,640,480,0, 3078,640,480:waittimer 32377 blt 0,0,640,480,0, 3080,640,480:waittimer 32398 blt 0,0,640,480,0, 3082,640,480:waittimer 32419 blt 0,0,640,480,0, 3084,640,480:waittimer 32440 blt 0,0,640,480,0, 3086,640,480:waittimer 32461 blt 0,0,640,480,0, 3088,640,480:waittimer 32482 blt 0,0,640,480,0, 3090,640,480:waittimer 32503 blt 0,0,640,480,0, 3092,640,480:waittimer 32524 blt 0,0,640,480,0, 3094,640,480:waittimer 32545 blt 0,0,640,480,0, 3096,640,480:waittimer 32566 blt 0,0,640,480,0, 3098,640,480:waittimer 32587 blt 0,0,640,480,0, 3100,640,480:waittimer 32608 blt 0,0,640,480,0, 3102,640,480:waittimer 32629 blt 0,0,640,480,0, 3104,640,480:waittimer 32650 blt 0,0,640,480,0, 3106,640,480:waittimer 32671 blt 0,0,640,480,0, 3108,640,480:waittimer 32692 blt 0,0,640,480,0, 3110,640,480:waittimer 32713 blt 0,0,640,480,0, 3112,640,480:waittimer 32734 blt 0,0,640,480,0, 3114,640,480:waittimer 32755 blt 0,0,640,480,0, 3116,640,480:waittimer 32776 blt 0,0,640,480,0, 3118,640,480:waittimer 32798 blt 0,0,640,480,0, 3120,640,480:waittimer 32819 blt 0,0,640,480,0, 3122,640,480:waittimer 32840 blt 0,0,640,480,0, 3124,640,480:waittimer 32861 blt 0,0,640,480,0, 3126,640,480:waittimer 32882 blt 0,0,640,480,0, 3128,640,480:waittimer 32903 blt 0,0,640,480,0, 3130,640,480:waittimer 32924 blt 0,0,640,480,0, 3132,640,480:waittimer 32945 blt 0,0,640,480,0, 3134,640,480:waittimer 32966 blt 0,0,640,480,0, 3136,640,480:waittimer 32987 blt 0,0,640,480,0, 3138,640,480:waittimer 33008 blt 0,0,640,480,0, 3140,640,480:waittimer 33029 blt 0,0,640,480,0, 3142,640,480:waittimer 33050 blt 0,0,640,480,0, 3144,640,480:waittimer 33071 blt 0,0,640,480,0, 3146,640,480:waittimer 33092 blt 0,0,640,480,0, 3148,640,480:waittimer 33113 blt 0,0,640,480,0, 3150,640,480:waittimer 33134 blt 0,0,640,480,0, 3152,640,480:waittimer 33155 blt 0,0,640,480,0, 3154,640,480:waittimer 33176 blt 0,0,640,480,0, 3156,640,480:waittimer 33197 blt 0,0,640,480,0, 3158,640,480:waittimer 33218 blt 0,0,640,480,0, 3160,640,480:waittimer 33239 blt 0,0,640,480,0, 3162,640,480:waittimer 33260 blt 0,0,640,480,0, 3164,640,480:waittimer 33281 blt 0,0,640,480,0, 3166,640,480:waittimer 33302 blt 0,0,640,480,0, 3168,640,480:waittimer 33323 blt 0,0,640,480,0, 3170,640,480:waittimer 33345 blt 0,0,640,480,0, 3172,640,480:waittimer 33366 blt 0,0,640,480,0, 3174,640,480:waittimer 33387 blt 0,0,640,480,0, 3176,640,480:waittimer 33408 blt 0,0,640,480,0, 3178,640,480:waittimer 33429 blt 0,0,640,480,0, 3180,640,480:waittimer 33450 blt 0,0,640,480,0, 3182,640,480:waittimer 33471 blt 0,0,640,480,0, 3184,640,480:waittimer 33492 blt 0,0,640,480,0, 3186,640,480:waittimer 33513 blt 0,0,640,480,0, 3188,640,480:waittimer 33534 blt 0,0,640,480,0, 3190,640,480:waittimer 33555 blt 0,0,640,480,0, 3192,640,480:waittimer 33576 blt 0,0,640,480,0, 3194,640,480:waittimer 33597 blt 0,0,640,480,0, 3196,640,480:waittimer 33618 blt 0,0,640,480,0, 3198,640,480:waittimer 33639 blt 0,0,640,480,0, 3200,640,480:waittimer 33660 blt 0,0,640,480,0, 3202,640,480:waittimer 33681 blt 0,0,640,480,0, 3204,640,480:waittimer 33702 blt 0,0,640,480,0, 3206,640,480:waittimer 33723 blt 0,0,640,480,0, 3208,640,480:waittimer 33744 blt 0,0,640,480,0, 3210,640,480:waittimer 33765 blt 0,0,640,480,0, 3212,640,480:waittimer 33786 blt 0,0,640,480,0, 3214,640,480:waittimer 33807 blt 0,0,640,480,0, 3216,640,480:waittimer 33828 blt 0,0,640,480,0, 3218,640,480:waittimer 33849 blt 0,0,640,480,0, 3220,640,480:waittimer 33870 blt 0,0,640,480,0, 3222,640,480:waittimer 33892 blt 0,0,640,480,0, 3224,640,480:waittimer 33913 blt 0,0,640,480,0, 3226,640,480:waittimer 33934 blt 0,0,640,480,0, 3228,640,480:waittimer 33955 blt 0,0,640,480,0, 3230,640,480:waittimer 33976 blt 0,0,640,480,0, 3232,640,480:waittimer 33997 blt 0,0,640,480,0, 3234,640,480:waittimer 34018 blt 0,0,640,480,0, 3236,640,480:waittimer 34039 blt 0,0,640,480,0, 3238,640,480:waittimer 34060 blt 0,0,640,480,0, 3240,640,480:waittimer 34081 blt 0,0,640,480,0, 3242,640,480:waittimer 34102 blt 0,0,640,480,0, 3244,640,480:waittimer 34123 blt 0,0,640,480,0, 3246,640,480:waittimer 34144 blt 0,0,640,480,0, 3248,640,480:waittimer 34165 blt 0,0,640,480,0, 3250,640,480:waittimer 34186 blt 0,0,640,480,0, 3252,640,480:waittimer 34207 blt 0,0,640,480,0, 3254,640,480:waittimer 34228 blt 0,0,640,480,0, 3256,640,480:waittimer 34249 blt 0,0,640,480,0, 3258,640,480:waittimer 34270 blt 0,0,640,480,0, 3260,640,480:waittimer 34291 blt 0,0,640,480,0, 3262,640,480:waittimer 34312 blt 0,0,640,480,0, 3264,640,480:waittimer 34333 blt 0,0,640,480,0, 3266,640,480:waittimer 34354 blt 0,0,640,480,0, 3268,640,480:waittimer 34375 blt 0,0,640,480,0, 3270,640,480:waittimer 34396 blt 0,0,640,480,0, 3272,640,480:waittimer 34417 blt 0,0,640,480,0, 3274,640,480:waittimer 34438 blt 0,0,640,480,0, 3276,640,480:waittimer 34460 blt 0,0,640,480,0, 3278,640,480:waittimer 34481 blt 0,0,640,480,0, 3280,640,480:waittimer 34502 blt 0,0,640,480,0, 3282,640,480:waittimer 34523 blt 0,0,640,480,0, 3284,640,480:waittimer 34544 blt 0,0,640,480,0, 3286,640,480:waittimer 34565 blt 0,0,640,480,0, 3288,640,480:waittimer 34586 blt 0,0,640,480,0, 3290,640,480:waittimer 34607 blt 0,0,640,480,0, 3292,640,480:waittimer 34628 blt 0,0,640,480,0, 3294,640,480:waittimer 34649 blt 0,0,640,480,0, 3296,640,480:waittimer 34670 blt 0,0,640,480,0, 3298,640,480:waittimer 34691 blt 0,0,640,480,0, 3300,640,480:waittimer 34712 blt 0,0,640,480,0, 3302,640,480:waittimer 34733 blt 0,0,640,480,0, 3304,640,480:waittimer 34754 blt 0,0,640,480,0, 3306,640,480:waittimer 34775 blt 0,0,640,480,0, 3308,640,480:waittimer 34796 blt 0,0,640,480,0, 3310,640,480:waittimer 34817 blt 0,0,640,480,0, 3312,640,480:waittimer 34838 blt 0,0,640,480,0, 3314,640,480:waittimer 34859 blt 0,0,640,480,0, 3316,640,480:waittimer 34880 blt 0,0,640,480,0, 3318,640,480:waittimer 34901 blt 0,0,640,480,0, 3320,640,480:waittimer 34922 blt 0,0,640,480,0, 3322,640,480:waittimer 34943 blt 0,0,640,480,0, 3324,640,480:waittimer 34964 blt 0,0,640,480,0, 3326,640,480:waittimer 34985 blt 0,0,640,480,0, 3328,640,480:waittimer 35007 blt 0,0,640,480,0, 3330,640,480:waittimer 35028 blt 0,0,640,480,0, 3332,640,480:waittimer 35049 blt 0,0,640,480,0, 3334,640,480:waittimer 35070 blt 0,0,640,480,0, 3336,640,480:waittimer 35091 blt 0,0,640,480,0, 3338,640,480:waittimer 35112 blt 0,0,640,480,0, 3340,640,480:waittimer 35133 blt 0,0,640,480,0, 3342,640,480:waittimer 35154 blt 0,0,640,480,0, 3344,640,480:waittimer 35175 blt 0,0,640,480,0, 3346,640,480:waittimer 35196 blt 0,0,640,480,0, 3348,640,480:waittimer 35217 blt 0,0,640,480,0, 3350,640,480:waittimer 35238 blt 0,0,640,480,0, 3352,640,480:waittimer 35259 blt 0,0,640,480,0, 3354,640,480:waittimer 35280 blt 0,0,640,480,0, 3356,640,480:waittimer 35301 blt 0,0,640,480,0, 3358,640,480:waittimer 35322 blt 0,0,640,480,0, 3360,640,480:waittimer 35343 blt 0,0,640,480,0, 3362,640,480:waittimer 35364 blt 0,0,640,480,0, 3364,640,480:waittimer 35385 blt 0,0,640,480,0, 3366,640,480:waittimer 35406 blt 0,0,640,480,0, 3368,640,480:waittimer 35427 blt 0,0,640,480,0, 3370,640,480:waittimer 35448 blt 0,0,640,480,0, 3372,640,480:waittimer 35469 blt 0,0,640,480,0, 3374,640,480:waittimer 35490 blt 0,0,640,480,0, 3376,640,480:waittimer 35511 blt 0,0,640,480,0, 3378,640,480:waittimer 35532 blt 0,0,640,480,0, 3380,640,480:waittimer 35553 blt 0,0,640,480,0, 3382,640,480:waittimer 35575 blt 0,0,640,480,0, 3384,640,480:waittimer 35596 blt 0,0,640,480,0, 3386,640,480:waittimer 35617 blt 0,0,640,480,0, 3388,640,480:waittimer 35638 blt 0,0,640,480,0, 3390,640,480:waittimer 35659 blt 0,0,640,480,0, 3392,640,480:waittimer 35680 blt 0,0,640,480,0, 3394,640,480:waittimer 35701 blt 0,0,640,480,0, 3396,640,480:waittimer 35722 blt 0,0,640,480,0, 3398,640,480:waittimer 35743 blt 0,0,640,480,0, 3400,640,480:waittimer 35764 blt 0,0,640,480,0, 3402,640,480:waittimer 35785 blt 0,0,640,480,0, 3404,640,480:waittimer 35806 blt 0,0,640,480,0, 3406,640,480:waittimer 35827 blt 0,0,640,480,0, 3408,640,480:waittimer 35848 blt 0,0,640,480,0, 3410,640,480:waittimer 35869 blt 0,0,640,480,0, 3412,640,480:waittimer 35890 blt 0,0,640,480,0, 3414,640,480:waittimer 35911 blt 0,0,640,480,0, 3416,640,480:waittimer 35932 blt 0,0,640,480,0, 3418,640,480:waittimer 35953 blt 0,0,640,480,0, 3420,640,480:waittimer 35974 blt 0,0,640,480,0, 3422,640,480:waittimer 35995 blt 0,0,640,480,0, 3424,640,480:waittimer 36016 blt 0,0,640,480,0, 3426,640,480:waittimer 36037 blt 0,0,640,480,0, 3428,640,480:waittimer 36058 blt 0,0,640,480,0, 3430,640,480:waittimer 36079 blt 0,0,640,480,0, 3432,640,480:waittimer 36100 blt 0,0,640,480,0, 3434,640,480:waittimer 36122 blt 0,0,640,480,0, 3436,640,480:waittimer 36143 blt 0,0,640,480,0, 3438,640,480:waittimer 36164 blt 0,0,640,480,0, 3440,640,480:waittimer 36185 blt 0,0,640,480,0, 3442,640,480:waittimer 36206 blt 0,0,640,480,0, 3444,640,480:waittimer 36227 blt 0,0,640,480,0, 3446,640,480:waittimer 36248 blt 0,0,640,480,0, 3448,640,480:waittimer 36269 blt 0,0,640,480,0, 3450,640,480:waittimer 36290 blt 0,0,640,480,0, 3452,640,480:waittimer 36311 blt 0,0,640,480,0, 3454,640,480:waittimer 36332 blt 0,0,640,480,0, 3456,640,480:waittimer 36353 blt 0,0,640,480,0, 3458,640,480:waittimer 36374 blt 0,0,640,480,0, 3460,640,480:waittimer 36395 blt 0,0,640,480,0, 3462,640,480:waittimer 36416 blt 0,0,640,480,0, 3464,640,480:waittimer 36437 blt 0,0,640,480,0, 3466,640,480:waittimer 36458 blt 0,0,640,480,0, 3468,640,480:waittimer 36479 blt 0,0,640,480,0, 3470,640,480:waittimer 36500 blt 0,0,640,480,0, 3472,640,480:waittimer 36521 blt 0,0,640,480,0, 3474,640,480:waittimer 36542 blt 0,0,640,480,0, 3476,640,480:waittimer 36563 blt 0,0,640,480,0, 3478,640,480:waittimer 36584 blt 0,0,640,480,0, 3480,640,480:waittimer 36605 blt 0,0,640,480,0, 3482,640,480:waittimer 36626 blt 0,0,640,480,0, 3484,640,480:waittimer 36647 blt 0,0,640,480,0, 3486,640,480:waittimer 36669 blt 0,0,640,480,0, 3488,640,480:waittimer 36690 blt 0,0,640,480,0, 3490,640,480:waittimer 36711 blt 0,0,640,480,0, 3492,640,480:waittimer 36732 blt 0,0,640,480,0, 3494,640,480:waittimer 36753 blt 0,0,640,480,0, 3496,640,480:waittimer 36774 blt 0,0,640,480,0, 3498,640,480:waittimer 36795 blt 0,0,640,480,0, 3500,640,480:waittimer 36816 blt 0,0,640,480,0, 3502,640,480:waittimer 36837 blt 0,0,640,480,0, 3504,640,480:waittimer 36858 blt 0,0,640,480,0, 3506,640,480:waittimer 36879 blt 0,0,640,480,0, 3508,640,480:waittimer 36900 blt 0,0,640,480,0, 3510,640,480:waittimer 36921 blt 0,0,640,480,0, 3512,640,480:waittimer 36942 blt 0,0,640,480,0, 3514,640,480:waittimer 36963 blt 0,0,640,480,0, 3516,640,480:waittimer 36984 blt 0,0,640,480,0, 3518,640,480:waittimer 37005 blt 0,0,640,480,0, 3520,640,480:waittimer 37026 blt 0,0,640,480,0, 3522,640,480:waittimer 37047 blt 0,0,640,480,0, 3524,640,480:waittimer 37068 blt 0,0,640,480,0, 3526,640,480:waittimer 37089 blt 0,0,640,480,0, 3528,640,480:waittimer 37110 blt 0,0,640,480,0, 3530,640,480:waittimer 37131 blt 0,0,640,480,0, 3532,640,480:waittimer 37152 blt 0,0,640,480,0, 3534,640,480:waittimer 37173 blt 0,0,640,480,0, 3536,640,480:waittimer 37194 blt 0,0,640,480,0, 3538,640,480:waittimer 37215 blt 0,0,640,480,0, 3540,640,480:waittimer 37237 blt 0,0,640,480,0, 3542,640,480:waittimer 37258 blt 0,0,640,480,0, 3544,640,480:waittimer 37279 blt 0,0,640,480,0, 3546,640,480:waittimer 37300 blt 0,0,640,480,0, 3548,640,480:waittimer 37321 blt 0,0,640,480,0, 3550,640,480:waittimer 37342 blt 0,0,640,480,0, 3552,640,480:waittimer 37363 blt 0,0,640,480,0, 3554,640,480:waittimer 37384 blt 0,0,640,480,0, 3556,640,480:waittimer 37405 blt 0,0,640,480,0, 3558,640,480:waittimer 37426 blt 0,0,640,480,0, 3560,640,480:waittimer 37447 blt 0,0,640,480,0, 3562,640,480:waittimer 37468 blt 0,0,640,480,0, 3564,640,480:waittimer 37489 blt 0,0,640,480,0, 3566,640,480:waittimer 37510 blt 0,0,640,480,0, 3568,640,480:waittimer 37531 blt 0,0,640,480,0, 3570,640,480:waittimer 37552 blt 0,0,640,480,0, 3572,640,480:waittimer 37573 blt 0,0,640,480,0, 3574,640,480:waittimer 37594 blt 0,0,640,480,0, 3576,640,480:waittimer 37615 blt 0,0,640,480,0, 3578,640,480:waittimer 37636 blt 0,0,640,480,0, 3580,640,480:waittimer 37657 blt 0,0,640,480,0, 3582,640,480:waittimer 37678 blt 0,0,640,480,0, 3584,640,480:waittimer 37699 blt 0,0,640,480,0, 3586,640,480:waittimer 37720 blt 0,0,640,480,0, 3588,640,480:waittimer 37741 blt 0,0,640,480,0, 3590,640,480:waittimer 37762 blt 0,0,640,480,0, 3592,640,480:waittimer 37784 blt 0,0,640,480,0, 3594,640,480:waittimer 37805 blt 0,0,640,480,0, 3596,640,480:waittimer 37826 blt 0,0,640,480,0, 3598,640,480:waittimer 37847 blt 0,0,640,480,0, 3600,640,480:waittimer 37868 blt 0,0,640,480,0, 3602,640,480:waittimer 37889 blt 0,0,640,480,0, 3604,640,480:waittimer 37910 blt 0,0,640,480,0, 3606,640,480:waittimer 37931 blt 0,0,640,480,0, 3608,640,480:waittimer 37952 blt 0,0,640,480,0, 3610,640,480:waittimer 37973 blt 0,0,640,480,0, 3612,640,480:waittimer 37994 blt 0,0,640,480,0, 3614,640,480:waittimer 38015 blt 0,0,640,480,0, 3616,640,480:waittimer 38036 blt 0,0,640,480,0, 3618,640,480:waittimer 38057 blt 0,0,640,480,0, 3620,640,480:waittimer 38078 blt 0,0,640,480,0, 3622,640,480:waittimer 38099 blt 0,0,640,480,0, 3624,640,480:waittimer 38120 blt 0,0,640,480,0, 3626,640,480:waittimer 38141 blt 0,0,640,480,0, 3628,640,480:waittimer 38162 blt 0,0,640,480,0, 3630,640,480:waittimer 38183 blt 0,0,640,480,0, 3632,640,480:waittimer 38204 blt 0,0,640,480,0, 3634,640,480:waittimer 38225 blt 0,0,640,480,0, 3636,640,480:waittimer 38246 blt 0,0,640,480,0, 3638,640,480:waittimer 38267 blt 0,0,640,480,0, 3640,640,480:waittimer 38288 blt 0,0,640,480,0, 3642,640,480:waittimer 38309 blt 0,0,640,480,0, 3644,640,480:waittimer 38330 blt 0,0,640,480,0, 3646,640,480:waittimer 38352 blt 0,0,640,480,0, 3648,640,480:waittimer 38373 blt 0,0,640,480,0, 3650,640,480:waittimer 38394 blt 0,0,640,480,0, 3652,640,480:waittimer 38415 blt 0,0,640,480,0, 3654,640,480:waittimer 38436 blt 0,0,640,480,0, 3656,640,480:waittimer 38457 blt 0,0,640,480,0, 3658,640,480:waittimer 38478 blt 0,0,640,480,0, 3660,640,480:waittimer 38499 blt 0,0,640,480,0, 3662,640,480:waittimer 38520 blt 0,0,640,480,0, 3664,640,480:waittimer 38541 blt 0,0,640,480,0, 3666,640,480:waittimer 38562 blt 0,0,640,480,0, 3668,640,480:waittimer 38583 blt 0,0,640,480,0, 3670,640,480:waittimer 38604 blt 0,0,640,480,0, 3672,640,480:waittimer 38625 blt 0,0,640,480,0, 3674,640,480:waittimer 38646 blt 0,0,640,480,0, 3676,640,480:waittimer 38667 blt 0,0,640,480,0, 3678,640,480:waittimer 38688 blt 0,0,640,480,0, 3680,640,480:waittimer 38709 blt 0,0,640,480,0, 3682,640,480:waittimer 38730 blt 0,0,640,480,0, 3684,640,480:waittimer 38751 blt 0,0,640,480,0, 3686,640,480:waittimer 38772 blt 0,0,640,480,0, 3688,640,480:waittimer 38793 blt 0,0,640,480,0, 3690,640,480:waittimer 38814 blt 0,0,640,480,0, 3692,640,480:waittimer 38835 blt 0,0,640,480,0, 3694,640,480:waittimer 38856 blt 0,0,640,480,0, 3696,640,480:waittimer 38877 blt 0,0,640,480,0, 3698,640,480:waittimer 38899 blt 0,0,640,480,0, 3700,640,480:waittimer 38920 blt 0,0,640,480,0, 3702,640,480:waittimer 38941 blt 0,0,640,480,0, 3704,640,480:waittimer 38962 blt 0,0,640,480,0, 3706,640,480:waittimer 38983 blt 0,0,640,480,0, 3708,640,480:waittimer 39004 blt 0,0,640,480,0, 3710,640,480:waittimer 39025 blt 0,0,640,480,0, 3712,640,480:waittimer 39046 blt 0,0,640,480,0, 3714,640,480:waittimer 39067 blt 0,0,640,480,0, 3716,640,480:waittimer 39088 blt 0,0,640,480,0, 3718,640,480:waittimer 39109 blt 0,0,640,480,0, 3720,640,480:waittimer 39130 blt 0,0,640,480,0, 3722,640,480:waittimer 39151 blt 0,0,640,480,0, 3724,640,480:waittimer 39172 blt 0,0,640,480,0, 3726,640,480:waittimer 39193 blt 0,0,640,480,0, 3728,640,480:waittimer 39214 blt 0,0,640,480,0, 3730,640,480:waittimer 39235 blt 0,0,640,480,0, 3732,640,480:waittimer 39256 blt 0,0,640,480,0, 3734,640,480:waittimer 39277 blt 0,0,640,480,0, 3736,640,480:waittimer 39298 blt 0,0,640,480,0, 3738,640,480:waittimer 39319 blt 0,0,640,480,0, 3740,640,480:waittimer 39340 blt 0,0,640,480,0, 3742,640,480:waittimer 39361 blt 0,0,640,480,0, 3744,640,480:waittimer 39382 blt 0,0,640,480,0, 3746,640,480:waittimer 39403 blt 0,0,640,480,0, 3748,640,480:waittimer 39424 blt 0,0,640,480,0, 3750,640,480:waittimer 39446 blt 0,0,640,480,0, 3752,640,480:waittimer 39467 blt 0,0,640,480,0, 3754,640,480:waittimer 39488 blt 0,0,640,480,0, 3756,640,480:waittimer 39509 blt 0,0,640,480,0, 3758,640,480:waittimer 39530 blt 0,0,640,480,0, 3760,640,480:waittimer 39551 blt 0,0,640,480,0, 3762,640,480:waittimer 39572 blt 0,0,640,480,0, 3764,640,480:waittimer 39593 blt 0,0,640,480,0, 3766,640,480:waittimer 39614 blt 0,0,640,480,0, 3768,640,480:waittimer 39635 blt 0,0,640,480,0, 3770,640,480:waittimer 39656 blt 0,0,640,480,0, 3772,640,480:waittimer 39677 blt 0,0,640,480,0, 3774,640,480:waittimer 39698 blt 0,0,640,480,0, 3776,640,480:waittimer 39719 blt 0,0,640,480,0, 3778,640,480:waittimer 39740 blt 0,0,640,480,0, 3780,640,480:waittimer 39761 blt 0,0,640,480,0, 3782,640,480:waittimer 39782 blt 0,0,640,480,0, 3784,640,480:waittimer 39803 blt 0,0,640,480,0, 3786,640,480:waittimer 39824 blt 0,0,640,480,0, 3788,640,480:waittimer 39845 blt 0,0,640,480,0, 3790,640,480:waittimer 39866 blt 0,0,640,480,0, 3792,640,480:waittimer 39887 blt 0,0,640,480,0, 3794,640,480:waittimer 39908 blt 0,0,640,480,0, 3796,640,480:waittimer 39929 blt 0,0,640,480,0, 3798,640,480:waittimer 39950 blt 0,0,640,480,0, 3800,640,480:waittimer 39971 blt 0,0,640,480,0, 3802,640,480:waittimer 39992 blt 0,0,640,480,0, 3804,640,480:waittimer 40014 blt 0,0,640,480,0, 3806,640,480:waittimer 40035 blt 0,0,640,480,0, 3808,640,480:waittimer 40056 blt 0,0,640,480,0, 3810,640,480:waittimer 40077 blt 0,0,640,480,0, 3812,640,480:waittimer 40098 blt 0,0,640,480,0, 3814,640,480:waittimer 40119 blt 0,0,640,480,0, 3816,640,480:waittimer 40140 blt 0,0,640,480,0, 3818,640,480:waittimer 40161 blt 0,0,640,480,0, 3820,640,480:waittimer 40182 blt 0,0,640,480,0, 3822,640,480:waittimer 40203 blt 0,0,640,480,0, 3824,640,480:waittimer 40224 blt 0,0,640,480,0, 3826,640,480:waittimer 40245 blt 0,0,640,480,0, 3828,640,480:waittimer 40266 blt 0,0,640,480,0, 3830,640,480:waittimer 40287 blt 0,0,640,480,0, 3832,640,480:waittimer 40308 blt 0,0,640,480,0, 3834,640,480:waittimer 40329 blt 0,0,640,480,0, 3836,640,480:waittimer 40350 blt 0,0,640,480,0, 3838,640,480:waittimer 40371 blt 0,0,640,480,0, 3840,640,480:waittimer 40392 blt 0,0,640,480,0, 3842,640,480:waittimer 40413 blt 0,0,640,480,0, 3844,640,480:waittimer 40434 blt 0,0,640,480,0, 3846,640,480:waittimer 40455 blt 0,0,640,480,0, 3848,640,480:waittimer 40476 blt 0,0,640,480,0, 3850,640,480:waittimer 40497 blt 0,0,640,480,0, 3852,640,480:waittimer 40518 blt 0,0,640,480,0, 3854,640,480:waittimer 40539 blt 0,0,640,480,0, 3856,640,480:waittimer 40561 blt 0,0,640,480,0, 3858,640,480:waittimer 40582 blt 0,0,640,480,0, 3860,640,480:waittimer 40603 blt 0,0,640,480,0, 3862,640,480:waittimer 40624 blt 0,0,640,480,0, 3864,640,480:waittimer 40645 blt 0,0,640,480,0, 3866,640,480:waittimer 40666 blt 0,0,640,480,0, 3868,640,480:waittimer 40687 blt 0,0,640,480,0, 3870,640,480:waittimer 40708 blt 0,0,640,480,0, 3872,640,480:waittimer 40729 blt 0,0,640,480,0, 3874,640,480:waittimer 40750 blt 0,0,640,480,0, 3876,640,480:waittimer 40771 blt 0,0,640,480,0, 3878,640,480:waittimer 40792 blt 0,0,640,480,0, 3880,640,480:waittimer 40813 blt 0,0,640,480,0, 3882,640,480:waittimer 40834 blt 0,0,640,480,0, 3884,640,480:waittimer 40855 blt 0,0,640,480,0, 3886,640,480:waittimer 40876 blt 0,0,640,480,0, 3888,640,480:waittimer 40897 blt 0,0,640,480,0, 3890,640,480:waittimer 40918 blt 0,0,640,480,0, 3892,640,480:waittimer 40939 blt 0,0,640,480,0, 3894,640,480:waittimer 40960 blt 0,0,640,480,0, 3896,640,480:waittimer 40981 blt 0,0,640,480,0, 3898,640,480:waittimer 41002 blt 0,0,640,480,0, 3900,640,480:waittimer 41023 blt 0,0,640,480,0, 3902,640,480:waittimer 41044 blt 0,0,640,480,0, 3904,640,480:waittimer 41065 blt 0,0,640,480,0, 3906,640,480:waittimer 41086 blt 0,0,640,480,0, 3908,640,480:waittimer 41107 blt 0,0,640,480,0, 3910,640,480:waittimer 41129 blt 0,0,640,480,0, 3912,640,480:waittimer 41150 blt 0,0,640,480,0, 3914,640,480:waittimer 41171 blt 0,0,640,480,0, 3916,640,480:waittimer 41192 blt 0,0,640,480,0, 3918,640,480:waittimer 41213 blt 0,0,640,480,0, 3920,640,480:waittimer 41234 blt 0,0,640,480,0, 3922,640,480:waittimer 41255 blt 0,0,640,480,0, 3924,640,480:waittimer 41276 blt 0,0,640,480,0, 3926,640,480:waittimer 41297 blt 0,0,640,480,0, 3928,640,480:waittimer 41318 blt 0,0,640,480,0, 3930,640,480:waittimer 41339 blt 0,0,640,480,0, 3932,640,480:waittimer 41360 blt 0,0,640,480,0, 3934,640,480:waittimer 41381 blt 0,0,640,480,0, 3936,640,480:waittimer 41402 blt 0,0,640,480,0, 3938,640,480:waittimer 41423 blt 0,0,640,480,0, 3940,640,480:waittimer 41444 blt 0,0,640,480,0, 3942,640,480:waittimer 41465 blt 0,0,640,480,0, 3944,640,480:waittimer 41486 blt 0,0,640,480,0, 3946,640,480:waittimer 41507 blt 0,0,640,480,0, 3948,640,480:waittimer 41528 blt 0,0,640,480,0, 3950,640,480:waittimer 41549 blt 0,0,640,480,0, 3952,640,480:waittimer 41570 blt 0,0,640,480,0, 3954,640,480:waittimer 41591 blt 0,0,640,480,0, 3956,640,480:waittimer 41612 blt 0,0,640,480,0, 3958,640,480:waittimer 41633 blt 0,0,640,480,0, 3960,640,480:waittimer 41654 blt 0,0,640,480,0, 3962,640,480:waittimer 41676 blt 0,0,640,480,0, 3964,640,480:waittimer 41697 blt 0,0,640,480,0, 3966,640,480:waittimer 41718 blt 0,0,640,480,0, 3968,640,480:waittimer 41739 blt 0,0,640,480,0, 3970,640,480:waittimer 41760 blt 0,0,640,480,0, 3972,640,480:waittimer 41781 blt 0,0,640,480,0, 3974,640,480:waittimer 41802 blt 0,0,640,480,0, 3976,640,480:waittimer 41823 blt 0,0,640,480,0, 3978,640,480:waittimer 41844 blt 0,0,640,480,0, 3980,640,480:waittimer 41865 blt 0,0,640,480,0, 3982,640,480:waittimer 41886 blt 0,0,640,480,0, 3984,640,480:waittimer 41907 blt 0,0,640,480,0, 3986,640,480:waittimer 41928 blt 0,0,640,480,0, 3988,640,480:waittimer 41949 blt 0,0,640,480,0, 3990,640,480:waittimer 41970 blt 0,0,640,480,0, 3992,640,480:waittimer 41991 blt 0,0,640,480,0, 3994,640,480:waittimer 42012 blt 0,0,640,480,0, 3996,640,480:waittimer 42033 blt 0,0,640,480,0, 3998,640,480:waittimer 42054 blt 0,0,640,480,0, 4000,640,480:waittimer 42075 blt 0,0,640,480,0, 4002,640,480:waittimer 42096 blt 0,0,640,480,0, 4004,640,480:waittimer 42117 blt 0,0,640,480,0, 4006,640,480:waittimer 42138 blt 0,0,640,480,0, 4008,640,480:waittimer 42159 blt 0,0,640,480,0, 4010,640,480:waittimer 42180 blt 0,0,640,480,0, 4012,640,480:waittimer 42201 blt 0,0,640,480,0, 4014,640,480:waittimer 42223 blt 0,0,640,480,0, 4016,640,480:waittimer 42244 blt 0,0,640,480,0, 4018,640,480:waittimer 42265 blt 0,0,640,480,0, 4020,640,480:waittimer 42286 blt 0,0,640,480,0, 4022,640,480:waittimer 42307 blt 0,0,640,480,0, 4024,640,480:waittimer 42328 blt 0,0,640,480,0, 4026,640,480:waittimer 42349 blt 0,0,640,480,0, 4028,640,480:waittimer 42370 blt 0,0,640,480,0, 4030,640,480:waittimer 42391 blt 0,0,640,480,0, 4032,640,480:waittimer 42412 blt 0,0,640,480,0, 4034,640,480:waittimer 42433 blt 0,0,640,480,0, 4036,640,480:waittimer 42454 blt 0,0,640,480,0, 4038,640,480:waittimer 42475 blt 0,0,640,480,0, 4040,640,480:waittimer 42496 blt 0,0,640,480,0, 4042,640,480:waittimer 42517 blt 0,0,640,480,0, 4044,640,480:waittimer 42538 blt 0,0,640,480,0, 4046,640,480:waittimer 42559 blt 0,0,640,480,0, 4048,640,480:waittimer 42580 blt 0,0,640,480,0, 4050,640,480:waittimer 42601 blt 0,0,640,480,0, 4052,640,480:waittimer 42622 blt 0,0,640,480,0, 4054,640,480:waittimer 42643 blt 0,0,640,480,0, 4056,640,480:waittimer 42664 blt 0,0,640,480,0, 4058,640,480:waittimer 42685 blt 0,0,640,480,0, 4060,640,480:waittimer 42706 blt 0,0,640,480,0, 4062,640,480:waittimer 42727 blt 0,0,640,480,0, 4064,640,480:waittimer 42748 blt 0,0,640,480,0, 4066,640,480:waittimer 42769 blt 0,0,640,480,0, 4068,640,480:waittimer 42791 blt 0,0,640,480,0, 4070,640,480:waittimer 42812 blt 0,0,640,480,0, 4072,640,480:waittimer 42833 blt 0,0,640,480,0, 4074,640,480:waittimer 42854 blt 0,0,640,480,0, 4076,640,480:waittimer 42875 blt 0,0,640,480,0, 4078,640,480:waittimer 42896 blt 0,0,640,480,0, 4080,640,480:waittimer 42917 blt 0,0,640,480,0, 4082,640,480:waittimer 42938 blt 0,0,640,480,0, 4084,640,480:waittimer 42959 blt 0,0,640,480,0, 4086,640,480:waittimer 42980 blt 0,0,640,480,0, 4088,640,480:waittimer 43001 blt 0,0,640,480,0, 4090,640,480:waittimer 43022 blt 0,0,640,480,0, 4092,640,480:waittimer 43043 blt 0,0,640,480,0, 4094,640,480:waittimer 43064 blt 0,0,640,480,0, 4096,640,480:waittimer 43085 blt 0,0,640,480,0, 4098,640,480:waittimer 43106 blt 0,0,640,480,0, 4100,640,480:waittimer 43127 blt 0,0,640,480,0, 4102,640,480:waittimer 43148 blt 0,0,640,480,0, 4104,640,480:waittimer 43169 blt 0,0,640,480,0, 4106,640,480:waittimer 43190 blt 0,0,640,480,0, 4108,640,480:waittimer 43211 blt 0,0,640,480,0, 4110,640,480:waittimer 43232 blt 0,0,640,480,0, 4112,640,480:waittimer 43253 blt 0,0,640,480,0, 4114,640,480:waittimer 43274 blt 0,0,640,480,0, 4116,640,480:waittimer 43295 blt 0,0,640,480,0, 4118,640,480:waittimer 43316 blt 0,0,640,480,0, 4120,640,480:waittimer 43338 blt 0,0,640,480,0, 4122,640,480:waittimer 43359 blt 0,0,640,480,0, 4124,640,480:waittimer 43380 blt 0,0,640,480,0, 4126,640,480:waittimer 43401 blt 0,0,640,480,0, 4128,640,480:waittimer 43422 blt 0,0,640,480,0, 4130,640,480:waittimer 43443 blt 0,0,640,480,0, 4132,640,480:waittimer 43464 blt 0,0,640,480,0, 4134,640,480:waittimer 43485 blt 0,0,640,480,0, 4136,640,480:waittimer 43506 blt 0,0,640,480,0, 4138,640,480:waittimer 43527 blt 0,0,640,480,0, 4140,640,480:waittimer 43548 blt 0,0,640,480,0, 4142,640,480:waittimer 43569 blt 0,0,640,480,0, 4144,640,480:waittimer 43590 blt 0,0,640,480,0, 4146,640,480:waittimer 43611 blt 0,0,640,480,0, 4148,640,480:waittimer 43632 blt 0,0,640,480,0, 4150,640,480:waittimer 43653 blt 0,0,640,480,0, 4152,640,480:waittimer 43674 blt 0,0,640,480,0, 4154,640,480:waittimer 43695 blt 0,0,640,480,0, 4156,640,480:waittimer 43716 blt 0,0,640,480,0, 4158,640,480:waittimer 43737 blt 0,0,640,480,0, 4160,640,480:waittimer 43758 blt 0,0,640,480,0, 4162,640,480:waittimer 43779 blt 0,0,640,480,0, 4164,640,480:waittimer 43800 blt 0,0,640,480,0, 4166,640,480:waittimer 43821 blt 0,0,640,480,0, 4168,640,480:waittimer 43842 blt 0,0,640,480,0, 4170,640,480:waittimer 43863 blt 0,0,640,480,0, 4172,640,480:waittimer 43884 blt 0,0,640,480,0, 4174,640,480:waittimer 43906 blt 0,0,640,480,0, 4176,640,480:waittimer 43927 blt 0,0,640,480,0, 4178,640,480:waittimer 43948 blt 0,0,640,480,0, 4180,640,480:waittimer 43969 blt 0,0,640,480,0, 4182,640,480:waittimer 43990 blt 0,0,640,480,0, 4184,640,480:waittimer 44011 blt 0,0,640,480,0, 4186,640,480:waittimer 44032 blt 0,0,640,480,0, 4188,640,480:waittimer 44053 blt 0,0,640,480,0, 4190,640,480:waittimer 44074 blt 0,0,640,480,0, 4192,640,480:waittimer 44095 blt 0,0,640,480,0, 4194,640,480:waittimer 44116 blt 0,0,640,480,0, 4196,640,480:waittimer 44137 blt 0,0,640,480,0, 4198,640,480:waittimer 44158 blt 0,0,640,480,0, 4200,640,480:waittimer 44179 blt 0,0,640,480,0, 4202,640,480:waittimer 44200 blt 0,0,640,480,0, 4204,640,480:waittimer 44221 blt 0,0,640,480,0, 4206,640,480:waittimer 44242 blt 0,0,640,480,0, 4208,640,480:waittimer 44263 blt 0,0,640,480,0, 4210,640,480:waittimer 44284 blt 0,0,640,480,0, 4212,640,480:waittimer 44305 blt 0,0,640,480,0, 4214,640,480:waittimer 44326 blt 0,0,640,480,0, 4216,640,480:waittimer 44347 blt 0,0,640,480,0, 4218,640,480:waittimer 44368 blt 0,0,640,480,0, 4220,640,480:waittimer 44389 blt 0,0,640,480,0, 4222,640,480:waittimer 44410 blt 0,0,640,480,0, 4224,640,480:waittimer 44431 blt 0,0,640,480,0, 4226,640,480:waittimer 44453 blt 0,0,640,480,0, 4228,640,480:waittimer 44474 blt 0,0,640,480,0, 4230,640,480:waittimer 44495 blt 0,0,640,480,0, 4232,640,480:waittimer 44516 blt 0,0,640,480,0, 4234,640,480:waittimer 44537 blt 0,0,640,480,0, 4236,640,480:waittimer 44558 blt 0,0,640,480,0, 4238,640,480:waittimer 44579 blt 0,0,640,480,0, 4240,640,480:waittimer 44600 blt 0,0,640,480,0, 4242,640,480:waittimer 44621 blt 0,0,640,480,0, 4244,640,480:waittimer 44642 blt 0,0,640,480,0, 4246,640,480:waittimer 44663 blt 0,0,640,480,0, 4248,640,480:waittimer 44684 blt 0,0,640,480,0, 4250,640,480:waittimer 44705 blt 0,0,640,480,0, 4252,640,480:waittimer 44726 blt 0,0,640,480,0, 4254,640,480:waittimer 44747 blt 0,0,640,480,0, 4256,640,480:waittimer 44768 blt 0,0,640,480,0, 4258,640,480:waittimer 44789 blt 0,0,640,480,0, 4260,640,480:waittimer 44810 blt 0,0,640,480,0, 4262,640,480:waittimer 44831 blt 0,0,640,480,0, 4264,640,480:waittimer 44852 blt 0,0,640,480,0, 4266,640,480:waittimer 44873 blt 0,0,640,480,0, 4268,640,480:waittimer 44894 blt 0,0,640,480,0, 4270,640,480:waittimer 44915 blt 0,0,640,480,0, 4272,640,480:waittimer 44936 blt 0,0,640,480,0, 4274,640,480:waittimer 44957 blt 0,0,640,480,0, 4276,640,480:waittimer 44978 blt 0,0,640,480,0, 4278,640,480:waittimer 45000 blt 0,0,640,480,0, 4280,640,480:waittimer 45021 blt 0,0,640,480,0, 4282,640,480:waittimer 45042 blt 0,0,640,480,0, 4284,640,480:waittimer 45063 blt 0,0,640,480,0, 4286,640,480:waittimer 45084 blt 0,0,640,480,0, 4288,640,480:waittimer 45105 blt 0,0,640,480,0, 4290,640,480:waittimer 45126 blt 0,0,640,480,0, 4292,640,480:waittimer 45147 blt 0,0,640,480,0, 4294,640,480:waittimer 45168 blt 0,0,640,480,0, 4296,640,480:waittimer 45189 blt 0,0,640,480,0, 4298,640,480:waittimer 45210 blt 0,0,640,480,0, 4300,640,480:waittimer 45231 blt 0,0,640,480,0, 4302,640,480:waittimer 45252 blt 0,0,640,480,0, 4304,640,480:waittimer 45273 blt 0,0,640,480,0, 4306,640,480:waittimer 45294 blt 0,0,640,480,0, 4308,640,480:waittimer 45315 blt 0,0,640,480,0, 4310,640,480:waittimer 45336 blt 0,0,640,480,0, 4312,640,480:waittimer 45357 blt 0,0,640,480,0, 4314,640,480:waittimer 45378 blt 0,0,640,480,0, 4316,640,480:waittimer 45399 blt 0,0,640,480,0, 4318,640,480:waittimer 45420 blt 0,0,640,480,0, 4320,640,480:waittimer 45441 blt 0,0,640,480,0, 4322,640,480:waittimer 45462 blt 0,0,640,480,0, 4324,640,480:waittimer 45483 blt 0,0,640,480,0, 4326,640,480:waittimer 45504 blt 0,0,640,480,0, 4328,640,480:waittimer 45525 blt 0,0,640,480,0, 4330,640,480:waittimer 45546 blt 0,0,640,480,0, 4332,640,480:waittimer 45568 blt 0,0,640,480,0, 4334,640,480:waittimer 45589 blt 0,0,640,480,0, 4336,640,480:waittimer 45610 blt 0,0,640,480,0, 4338,640,480:waittimer 45631 blt 0,0,640,480,0, 4340,640,480:waittimer 45652 blt 0,0,640,480,0, 4342,640,480:waittimer 45673 blt 0,0,640,480,0, 4344,640,480:waittimer 45694 blt 0,0,640,480,0, 4346,640,480:waittimer 45715 blt 0,0,640,480,0, 4348,640,480:waittimer 45736 blt 0,0,640,480,0, 4350,640,480:waittimer 45757 blt 0,0,640,480,0, 4352,640,480:waittimer 45778 blt 0,0,640,480,0, 4354,640,480:waittimer 45799 blt 0,0,640,480,0, 4356,640,480:waittimer 45820 blt 0,0,640,480,0, 4358,640,480:waittimer 45841 blt 0,0,640,480,0, 4360,640,480:waittimer 45862 blt 0,0,640,480,0, 4362,640,480:waittimer 45883 blt 0,0,640,480,0, 4364,640,480:waittimer 45904 blt 0,0,640,480,0, 4366,640,480:waittimer 45925 blt 0,0,640,480,0, 4368,640,480:waittimer 45946 blt 0,0,640,480,0, 4370,640,480:waittimer 45967 blt 0,0,640,480,0, 4372,640,480:waittimer 45988 blt 0,0,640,480,0, 4374,640,480:waittimer 46009 blt 0,0,640,480,0, 4376,640,480:waittimer 46030 blt 0,0,640,480,0, 4378,640,480:waittimer 46051 blt 0,0,640,480,0, 4380,640,480:waittimer 46072 blt 0,0,640,480,0, 4382,640,480:waittimer 46093 blt 0,0,640,480,0, 4384,640,480:waittimer 46115 blt 0,0,640,480,0, 4386,640,480:waittimer 46136 blt 0,0,640,480,0, 4388,640,480:waittimer 46157 blt 0,0,640,480,0, 4390,640,480:waittimer 46178 blt 0,0,640,480,0, 4392,640,480:waittimer 46199 blt 0,0,640,480,0, 4394,640,480:waittimer 46220 blt 0,0,640,480,0, 4396,640,480:waittimer 46241 blt 0,0,640,480,0, 4398,640,480:waittimer 46262 blt 0,0,640,480,0, 4400,640,480:waittimer 46283 blt 0,0,640,480,0, 4402,640,480:waittimer 46304 blt 0,0,640,480,0, 4404,640,480:waittimer 46325 blt 0,0,640,480,0, 4406,640,480:waittimer 46346 blt 0,0,640,480,0, 4408,640,480:waittimer 46367 blt 0,0,640,480,0, 4410,640,480:waittimer 46388 blt 0,0,640,480,0, 4412,640,480:waittimer 46409 blt 0,0,640,480,0, 4414,640,480:waittimer 46430 blt 0,0,640,480,0, 4416,640,480:waittimer 46451 blt 0,0,640,480,0, 4418,640,480:waittimer 46472 blt 0,0,640,480,0, 4420,640,480:waittimer 46493 blt 0,0,640,480,0, 4422,640,480:waittimer 46514 blt 0,0,640,480,0, 4424,640,480:waittimer 46535 blt 0,0,640,480,0, 4426,640,480:waittimer 46556 blt 0,0,640,480,0, 4428,640,480:waittimer 46577 blt 0,0,640,480,0, 4430,640,480:waittimer 46598 blt 0,0,640,480,0, 4432,640,480:waittimer 46619 blt 0,0,640,480,0, 4434,640,480:waittimer 46640 blt 0,0,640,480,0, 4436,640,480:waittimer 46661 blt 0,0,640,480,0, 4438,640,480:waittimer 46683 blt 0,0,640,480,0, 4440,640,480:waittimer 46704 blt 0,0,640,480,0, 4442,640,480:waittimer 46725 blt 0,0,640,480,0, 4444,640,480:waittimer 46746 blt 0,0,640,480,0, 4446,640,480:waittimer 46767 blt 0,0,640,480,0, 4448,640,480:waittimer 46788 blt 0,0,640,480,0, 4450,640,480:waittimer 46809 blt 0,0,640,480,0, 4452,640,480:waittimer 46830 blt 0,0,640,480,0, 4454,640,480:waittimer 46851 blt 0,0,640,480,0, 4456,640,480:waittimer 46872 blt 0,0,640,480,0, 4458,640,480:waittimer 46893 blt 0,0,640,480,0, 4460,640,480:waittimer 46914 blt 0,0,640,480,0, 4462,640,480:waittimer 46935 blt 0,0,640,480,0, 4464,640,480:waittimer 46956 blt 0,0,640,480,0, 4466,640,480:waittimer 46977 blt 0,0,640,480,0, 4468,640,480:waittimer 46998 blt 0,0,640,480,0, 4470,640,480:waittimer 47019 blt 0,0,640,480,0, 4472,640,480:waittimer 47040 blt 0,0,640,480,0, 4474,640,480:waittimer 47061 blt 0,0,640,480,0, 4476,640,480:waittimer 47082 blt 0,0,640,480,0, 4478,640,480:waittimer 47103 blt 0,0,640,480,0, 4480,640,480:waittimer 47124 blt 0,0,640,480,0, 4482,640,480:waittimer 47145 blt 0,0,640,480,0, 4484,640,480:waittimer 47166 blt 0,0,640,480,0, 4486,640,480:waittimer 47187 blt 0,0,640,480,0, 4488,640,480:waittimer 47208 blt 0,0,640,480,0, 4490,640,480:waittimer 47230 blt 0,0,640,480,0, 4492,640,480:waittimer 47251 blt 0,0,640,480,0, 4494,640,480:waittimer 47272 blt 0,0,640,480,0, 4496,640,480:waittimer 47293 blt 0,0,640,480,0, 4498,640,480:waittimer 47314 blt 0,0,640,480,0, 4500,640,480:waittimer 47335 blt 0,0,640,480,0, 4502,640,480:waittimer 47356 blt 0,0,640,480,0, 4504,640,480:waittimer 47377 blt 0,0,640,480,0, 4506,640,480:waittimer 47398 blt 0,0,640,480,0, 4508,640,480:waittimer 47419 blt 0,0,640,480,0, 4510,640,480:waittimer 47440 blt 0,0,640,480,0, 4512,640,480:waittimer 47461 blt 0,0,640,480,0, 4514,640,480:waittimer 47482 blt 0,0,640,480,0, 4516,640,480:waittimer 47503 blt 0,0,640,480,0, 4518,640,480:waittimer 47524 blt 0,0,640,480,0, 4520,640,480:waittimer 47545 blt 0,0,640,480,0, 4522,640,480:waittimer 47566 blt 0,0,640,480,0, 4524,640,480:waittimer 47587 blt 0,0,640,480,0, 4526,640,480:waittimer 47608 blt 0,0,640,480,0, 4528,640,480:waittimer 47629 blt 0,0,640,480,0, 4530,640,480:waittimer 47650 blt 0,0,640,480,0, 4532,640,480:waittimer 47671 blt 0,0,640,480,0, 4534,640,480:waittimer 47692 blt 0,0,640,480,0, 4536,640,480:waittimer 47713 blt 0,0,640,480,0, 4538,640,480:waittimer 47734 blt 0,0,640,480,0, 4540,640,480:waittimer 47755 blt 0,0,640,480,0, 4542,640,480:waittimer 47776 blt 0,0,640,480,0, 4544,640,480:waittimer 47798 blt 0,0,640,480,0, 4546,640,480:waittimer 47819 blt 0,0,640,480,0, 4548,640,480:waittimer 47840 blt 0,0,640,480,0, 4550,640,480:waittimer 47861 blt 0,0,640,480,0, 4552,640,480:waittimer 47882 blt 0,0,640,480,0, 4554,640,480:waittimer 47903 blt 0,0,640,480,0, 4556,640,480:waittimer 47924 blt 0,0,640,480,0, 4558,640,480:waittimer 47945 blt 0,0,640,480,0, 4560,640,480:waittimer 47966 blt 0,0,640,480,0, 4562,640,480:waittimer 47987 blt 0,0,640,480,0, 4564,640,480:waittimer 48008 blt 0,0,640,480,0, 4566,640,480:waittimer 48029 blt 0,0,640,480,0, 4568,640,480:waittimer 48050 blt 0,0,640,480,0, 4570,640,480:waittimer 48071 blt 0,0,640,480,0, 4572,640,480:waittimer 48092 blt 0,0,640,480,0, 4574,640,480:waittimer 48113 blt 0,0,640,480,0, 4576,640,480:waittimer 48134 blt 0,0,640,480,0, 4578,640,480:waittimer 48155 blt 0,0,640,480,0, 4580,640,480:waittimer 48176 blt 0,0,640,480,0, 4582,640,480:waittimer 48197 blt 0,0,640,480,0, 4584,640,480:waittimer 48218 blt 0,0,640,480,0, 4586,640,480:waittimer 48239 blt 0,0,640,480,0, 4588,640,480:waittimer 48260 blt 0,0,640,480,0, 4590,640,480:waittimer 48281 blt 0,0,640,480,0, 4592,640,480:waittimer 48302 blt 0,0,640,480,0, 4594,640,480:waittimer 48323 blt 0,0,640,480,0, 4596,640,480:waittimer 48345 blt 0,0,640,480,0, 4598,640,480:waittimer 48366 blt 0,0,640,480,0, 4600,640,480:waittimer 48387 blt 0,0,640,480,0, 4602,640,480:waittimer 48408 blt 0,0,640,480,0, 4604,640,480:waittimer 48429 blt 0,0,640,480,0, 4606,640,480:waittimer 48450 blt 0,0,640,480,0, 4608,640,480:waittimer 48471 blt 0,0,640,480,0, 4610,640,480:waittimer 48492 blt 0,0,640,480,0, 4612,640,480:waittimer 48513 blt 0,0,640,480,0, 4614,640,480:waittimer 48534 blt 0,0,640,480,0, 4616,640,480:waittimer 48555 blt 0,0,640,480,0, 4618,640,480:waittimer 48576 blt 0,0,640,480,0, 4620,640,480:waittimer 48597 blt 0,0,640,480,0, 4622,640,480:waittimer 48618 blt 0,0,640,480,0, 4624,640,480:waittimer 48639 blt 0,0,640,480,0, 4626,640,480:waittimer 48660 blt 0,0,640,480,0, 4628,640,480:waittimer 48681 blt 0,0,640,480,0, 4630,640,480:waittimer 48702 blt 0,0,640,480,0, 4632,640,480:waittimer 48723 blt 0,0,640,480,0, 4634,640,480:waittimer 48744 blt 0,0,640,480,0, 4636,640,480:waittimer 48765 blt 0,0,640,480,0, 4638,640,480:waittimer 48786 blt 0,0,640,480,0, 4640,640,480:waittimer 48807 blt 0,0,640,480,0, 4642,640,480:waittimer 48828 blt 0,0,640,480,0, 4644,640,480:waittimer 48849 blt 0,0,640,480,0, 4646,640,480:waittimer 48870 blt 0,0,640,480,0, 4648,640,480:waittimer 48892 blt 0,0,640,480,0, 4650,640,480:waittimer 48913 blt 0,0,640,480,0, 4652,640,480:waittimer 48934 blt 0,0,640,480,0, 4654,640,480:waittimer 48955 blt 0,0,640,480,0, 4656,640,480:waittimer 48976 blt 0,0,640,480,0, 4658,640,480:waittimer 48997 blt 0,0,640,480,0, 4660,640,480:waittimer 49018 blt 0,0,640,480,0, 4662,640,480:waittimer 49039 blt 0,0,640,480,0, 4664,640,480:waittimer 49060 blt 0,0,640,480,0, 4666,640,480:waittimer 49081 blt 0,0,640,480,0, 4668,640,480:waittimer 49102 blt 0,0,640,480,0, 4670,640,480:waittimer 49123 blt 0,0,640,480,0, 4672,640,480:waittimer 49144 blt 0,0,640,480,0, 4674,640,480:waittimer 49165 blt 0,0,640,480,0, 4676,640,480:waittimer 49186 blt 0,0,640,480,0, 4678,640,480:waittimer 49207 blt 0,0,640,480,0, 4680,640,480:waittimer 49228 blt 0,0,640,480,0, 4682,640,480:waittimer 49249 blt 0,0,640,480,0, 4684,640,480:waittimer 49270 blt 0,0,640,480,0, 4686,640,480:waittimer 49291 blt 0,0,640,480,0, 4688,640,480:waittimer 49312 blt 0,0,640,480,0, 4690,640,480:waittimer 49333 blt 0,0,640,480,0, 4692,640,480:waittimer 49354 blt 0,0,640,480,0, 4694,640,480:waittimer 49375 blt 0,0,640,480,0, 4696,640,480:waittimer 49396 blt 0,0,640,480,0, 4698,640,480:waittimer 49417 blt 0,0,640,480,0, 4700,640,480:waittimer 49438 blt 0,0,640,480,0, 4702,640,480:waittimer 49460 blt 0,0,640,480,0, 4704,640,480:waittimer 49481 blt 0,0,640,480,0, 4706,640,480:waittimer 49502 blt 0,0,640,480,0, 4708,640,480:waittimer 49523 blt 0,0,640,480,0, 4710,640,480:waittimer 49544 blt 0,0,640,480,0, 4712,640,480:waittimer 49565 blt 0,0,640,480,0, 4714,640,480:waittimer 49586 blt 0,0,640,480,0, 4716,640,480:waittimer 49607 blt 0,0,640,480,0, 4718,640,480:waittimer 49628 blt 0,0,640,480,0, 4720,640,480:waittimer 49649 blt 0,0,640,480,0, 4722,640,480:waittimer 49670 blt 0,0,640,480,0, 4724,640,480:waittimer 49691 blt 0,0,640,480,0, 4726,640,480:waittimer 49712 blt 0,0,640,480,0, 4728,640,480:waittimer 49733 blt 0,0,640,480,0, 4730,640,480:waittimer 49754 blt 0,0,640,480,0, 4732,640,480:waittimer 49775 blt 0,0,640,480,0, 4734,640,480:waittimer 49796 blt 0,0,640,480,0, 4736,640,480:waittimer 49817 blt 0,0,640,480,0, 4738,640,480:waittimer 49838 blt 0,0,640,480,0, 4740,640,480:waittimer 49859 blt 0,0,640,480,0, 4742,640,480:waittimer 49880 blt 0,0,640,480,0, 4744,640,480:waittimer 49901 blt 0,0,640,480,0, 4746,640,480:waittimer 49922 blt 0,0,640,480,0, 4748,640,480:waittimer 49943 blt 0,0,640,480,0, 4750,640,480:waittimer 49964 blt 0,0,640,480,0, 4752,640,480:waittimer 49985 blt 0,0,640,480,0, 4754,640,480:waittimer 50007 blt 0,0,640,480,0, 4756,640,480:waittimer 50028 blt 0,0,640,480,0, 4758,640,480:waittimer 50049 blt 0,0,640,480,0, 4760,640,480:waittimer 50070 blt 0,0,640,480,0, 4762,640,480:waittimer 50091 blt 0,0,640,480,0, 4764,640,480:waittimer 50112 blt 0,0,640,480,0, 4766,640,480:waittimer 50133 blt 0,0,640,480,0, 4768,640,480:waittimer 50154 blt 0,0,640,480,0, 4770,640,480:waittimer 50175 blt 0,0,640,480,0, 4772,640,480:waittimer 50196 blt 0,0,640,480,0, 4774,640,480:waittimer 50217 blt 0,0,640,480,0, 4776,640,480:waittimer 50238 blt 0,0,640,480,0, 4778,640,480:waittimer 50259 blt 0,0,640,480,0, 4780,640,480:waittimer 50280 blt 0,0,640,480,0, 4782,640,480:waittimer 50301 blt 0,0,640,480,0, 4784,640,480:waittimer 50322 blt 0,0,640,480,0, 4786,640,480:waittimer 50343 blt 0,0,640,480,0, 4788,640,480:waittimer 50364 blt 0,0,640,480,0, 4790,640,480:waittimer 50385 blt 0,0,640,480,0, 4792,640,480:waittimer 50406 blt 0,0,640,480,0, 4794,640,480:waittimer 50427 blt 0,0,640,480,0, 4796,640,480:waittimer 50448 blt 0,0,640,480,0, 4798,640,480:waittimer 50469 blt 0,0,640,480,0, 4800,640,480:waittimer 50490 blt 0,0,640,480,0, 4802,640,480:waittimer 50511 blt 0,0,640,480,0, 4804,640,480:waittimer 50532 blt 0,0,640,480,0, 4806,640,480:waittimer 50553 blt 0,0,640,480,0, 4808,640,480:waittimer 50575 blt 0,0,640,480,0, 4810,640,480:waittimer 50596 blt 0,0,640,480,0, 4812,640,480:waittimer 50617 blt 0,0,640,480,0, 4814,640,480:waittimer 50638 blt 0,0,640,480,0, 4816,640,480:waittimer 50659 blt 0,0,640,480,0, 4818,640,480:waittimer 50680 blt 0,0,640,480,0, 4820,640,480:waittimer 50701 blt 0,0,640,480,0, 4822,640,480:waittimer 50722 blt 0,0,640,480,0, 4824,640,480:waittimer 50743 blt 0,0,640,480,0, 4826,640,480:waittimer 50764 blt 0,0,640,480,0, 4828,640,480:waittimer 50785 blt 0,0,640,480,0, 4830,640,480:waittimer 50806 blt 0,0,640,480,0, 4832,640,480:waittimer 50827 blt 0,0,640,480,0, 4834,640,480:waittimer 50848 blt 0,0,640,480,0, 4836,640,480:waittimer 50869 blt 0,0,640,480,0, 4838,640,480:waittimer 50890 blt 0,0,640,480,0, 4840,640,480:waittimer 50911 blt 0,0,640,480,0, 4842,640,480:waittimer 50932 blt 0,0,640,480,0, 4844,640,480:waittimer 50953 blt 0,0,640,480,0, 4846,640,480:waittimer 50974 blt 0,0,640,480,0, 4848,640,480:waittimer 50995 blt 0,0,640,480,0, 4850,640,480:waittimer 51016 blt 0,0,640,480,0, 4852,640,480:waittimer 51037 blt 0,0,640,480,0, 4854,640,480:waittimer 51058 blt 0,0,640,480,0, 4856,640,480:waittimer 51079 blt 0,0,640,480,0, 4858,640,480:waittimer 51100 blt 0,0,640,480,0, 4860,640,480:waittimer 51122 blt 0,0,640,480,0, 4862,640,480:waittimer 51143 blt 0,0,640,480,0, 4864,640,480:waittimer 51164 blt 0,0,640,480,0, 4866,640,480:waittimer 51185 blt 0,0,640,480,0, 4868,640,480:waittimer 51206 blt 0,0,640,480,0, 4870,640,480:waittimer 51227 blt 0,0,640,480,0, 4872,640,480:waittimer 51248 blt 0,0,640,480,0, 4874,640,480:waittimer 51269 blt 0,0,640,480,0, 4876,640,480:waittimer 51290 blt 0,0,640,480,0, 4878,640,480:waittimer 51311 blt 0,0,640,480,0, 4880,640,480:waittimer 51332 blt 0,0,640,480,0, 4882,640,480:waittimer 51353 blt 0,0,640,480,0, 4884,640,480:waittimer 51374 blt 0,0,640,480,0, 4886,640,480:waittimer 51395 blt 0,0,640,480,0, 4888,640,480:waittimer 51416 blt 0,0,640,480,0, 4890,640,480:waittimer 51437 blt 0,0,640,480,0, 4892,640,480:waittimer 51458 blt 0,0,640,480,0, 4894,640,480:waittimer 51479 blt 0,0,640,480,0, 4896,640,480:waittimer 51500 blt 0,0,640,480,0, 4898,640,480:waittimer 51521 blt 0,0,640,480,0, 4900,640,480:waittimer 51542 blt 0,0,640,480,0, 4902,640,480:waittimer 51563 blt 0,0,640,480,0, 4904,640,480:waittimer 51584 blt 0,0,640,480,0, 4906,640,480:waittimer 51605 blt 0,0,640,480,0, 4908,640,480:waittimer 51626 blt 0,0,640,480,0, 4910,640,480:waittimer 51647 blt 0,0,640,480,0, 4912,640,480:waittimer 51669 blt 0,0,640,480,0, 4914,640,480:waittimer 51690 blt 0,0,640,480,0, 4916,640,480:waittimer 51711 blt 0,0,640,480,0, 4918,640,480:waittimer 51732 blt 0,0,640,480,0, 4920,640,480:waittimer 51753 blt 0,0,640,480,0, 4922,640,480:waittimer 51774 blt 0,0,640,480,0, 4924,640,480:waittimer 51795 blt 0,0,640,480,0, 4926,640,480:waittimer 51816 blt 0,0,640,480,0, 4928,640,480:waittimer 51837 blt 0,0,640,480,0, 4930,640,480:waittimer 51858 blt 0,0,640,480,0, 4932,640,480:waittimer 51879 blt 0,0,640,480,0, 4934,640,480:waittimer 51900 blt 0,0,640,480,0, 4936,640,480:waittimer 51921 blt 0,0,640,480,0, 4938,640,480:waittimer 51942 blt 0,0,640,480,0, 4940,640,480:waittimer 51963 blt 0,0,640,480,0, 4942,640,480:waittimer 51984 blt 0,0,640,480,0, 4944,640,480:waittimer 52005 blt 0,0,640,480,0, 4946,640,480:waittimer 52026 blt 0,0,640,480,0, 4948,640,480:waittimer 52047 blt 0,0,640,480,0, 4950,640,480:waittimer 52068 blt 0,0,640,480,0, 4952,640,480:waittimer 52089 blt 0,0,640,480,0, 4954,640,480:waittimer 52110 blt 0,0,640,480,0, 4956,640,480:waittimer 52131 blt 0,0,640,480,0, 4958,640,480:waittimer 52152 blt 0,0,640,480,0, 4960,640,480:waittimer 52173 blt 0,0,640,480,0, 4962,640,480:waittimer 52194 blt 0,0,640,480,0, 4964,640,480:waittimer 52215 blt 0,0,640,480,0, 4966,640,480:waittimer 52237 blt 0,0,640,480,0, 4968,640,480:waittimer 52258 blt 0,0,640,480,0, 4970,640,480:waittimer 52279 blt 0,0,640,480,0, 4972,640,480:waittimer 52300 blt 0,0,640,480,0, 4974,640,480:waittimer 52321 blt 0,0,640,480,0, 4976,640,480:waittimer 52342 blt 0,0,640,480,0, 4978,640,480:waittimer 52363 blt 0,0,640,480,0, 4980,640,480:waittimer 52384 blt 0,0,640,480,0, 4982,640,480:waittimer 52405 blt 0,0,640,480,0, 4984,640,480:waittimer 52426 blt 0,0,640,480,0, 4986,640,480:waittimer 52447 blt 0,0,640,480,0, 4988,640,480:waittimer 52468 blt 0,0,640,480,0, 4990,640,480:waittimer 52489 blt 0,0,640,480,0, 4992,640,480:waittimer 52510 blt 0,0,640,480,0, 4994,640,480:waittimer 52531 blt 0,0,640,480,0, 4996,640,480:waittimer 52552 blt 0,0,640,480,0, 4998,640,480:waittimer 52573 blt 0,0,640,480,0, 5000,640,480:waittimer 52594 blt 0,0,640,480,0, 5002,640,480:waittimer 52615 blt 0,0,640,480,0, 5004,640,480:waittimer 52636 blt 0,0,640,480,0, 5006,640,480:waittimer 52657 blt 0,0,640,480,0, 5008,640,480:waittimer 52678 blt 0,0,640,480,0, 5010,640,480:waittimer 52699 blt 0,0,640,480,0, 5012,640,480:waittimer 52720 blt 0,0,640,480,0, 5014,640,480:waittimer 52741 blt 0,0,640,480,0, 5016,640,480:waittimer 52762 blt 0,0,640,480,0, 5018,640,480:waittimer 52784 blt 0,0,640,480,0, 5020,640,480:waittimer 52805 blt 0,0,640,480,0, 5022,640,480:waittimer 52826 blt 0,0,640,480,0, 5024,640,480:waittimer 52847 blt 0,0,640,480,0, 5026,640,480:waittimer 52868 blt 0,0,640,480,0, 5028,640,480:waittimer 52889 blt 0,0,640,480,0, 5030,640,480:waittimer 52910 blt 0,0,640,480,0, 5032,640,480:waittimer 52931 blt 0,0,640,480,0, 5034,640,480:waittimer 52952 blt 0,0,640,480,0, 5036,640,480:waittimer 52973 blt 0,0,640,480,0, 5038,640,480:waittimer 52994 blt 0,0,640,480,0, 5040,640,480:waittimer 53015 blt 0,0,640,480,0, 5042,640,480:waittimer 53036 blt 0,0,640,480,0, 5044,640,480:waittimer 53057 blt 0,0,640,480,0, 5046,640,480:waittimer 53078 blt 0,0,640,480,0, 5048,640,480:waittimer 53099 blt 0,0,640,480,0, 5050,640,480:waittimer 53120 blt 0,0,640,480,0, 5052,640,480:waittimer 53141 blt 0,0,640,480,0, 5054,640,480:waittimer 53162 blt 0,0,640,480,0, 5056,640,480:waittimer 53183 blt 0,0,640,480,0, 5058,640,480:waittimer 53204 blt 0,0,640,480,0, 5060,640,480:waittimer 53225 blt 0,0,640,480,0, 5062,640,480:waittimer 53246 blt 0,0,640,480,0, 5064,640,480:waittimer 53267 blt 0,0,640,480,0, 5066,640,480:waittimer 53288 blt 0,0,640,480,0, 5068,640,480:waittimer 53309 blt 0,0,640,480,0, 5070,640,480:waittimer 53330 blt 0,0,640,480,0, 5072,640,480:waittimer 53352 blt 0,0,640,480,0, 5074,640,480:waittimer 53373 blt 0,0,640,480,0, 5076,640,480:waittimer 53394 blt 0,0,640,480,0, 5078,640,480:waittimer 53415 blt 0,0,640,480,0, 5080,640,480:waittimer 53436 blt 0,0,640,480,0, 5082,640,480:waittimer 53457 blt 0,0,640,480,0, 5084,640,480:waittimer 53478 blt 0,0,640,480,0, 5086,640,480:waittimer 53499 blt 0,0,640,480,0, 5088,640,480:waittimer 53520 blt 0,0,640,480,0, 5090,640,480:waittimer 53541 blt 0,0,640,480,0, 5092,640,480:waittimer 53562 blt 0,0,640,480,0, 5094,640,480:waittimer 53583 blt 0,0,640,480,0, 5096,640,480:waittimer 53604 blt 0,0,640,480,0, 5098,640,480:waittimer 53625 blt 0,0,640,480,0, 5100,640,480:waittimer 53646 blt 0,0,640,480,0, 5102,640,480:waittimer 53667 blt 0,0,640,480,0, 5104,640,480:waittimer 53688 blt 0,0,640,480,0, 5106,640,480:waittimer 53709 blt 0,0,640,480,0, 5108,640,480:waittimer 53730 blt 0,0,640,480,0, 5110,640,480:waittimer 53751 blt 0,0,640,480,0, 5112,640,480:waittimer 53772 blt 0,0,640,480,0, 5114,640,480:waittimer 53793 blt 0,0,640,480,0, 5116,640,480:waittimer 53814 blt 0,0,640,480,0, 5118,640,480:waittimer 53835 blt 0,0,640,480,0, 5120,640,480:waittimer 53856 blt 0,0,640,480,0, 5122,640,480:waittimer 53877 blt 0,0,640,480,0, 5124,640,480:waittimer 53899 blt 0,0,640,480,0, 5126,640,480:waittimer 53920 blt 0,0,640,480,0, 5128,640,480:waittimer 53941 blt 0,0,640,480,0, 5130,640,480:waittimer 53962 blt 0,0,640,480,0, 5132,640,480:waittimer 53983 blt 0,0,640,480,0, 5134,640,480:waittimer 54004 blt 0,0,640,480,0, 5136,640,480:waittimer 54025 blt 0,0,640,480,0, 5138,640,480:waittimer 54046 blt 0,0,640,480,0, 5140,640,480:waittimer 54067 blt 0,0,640,480,0, 5142,640,480:waittimer 54088 blt 0,0,640,480,0, 5144,640,480:waittimer 54109 blt 0,0,640,480,0, 5146,640,480:waittimer 54130 blt 0,0,640,480,0, 5148,640,480:waittimer 54151 blt 0,0,640,480,0, 5150,640,480:waittimer 54172 blt 0,0,640,480,0, 5152,640,480:waittimer 54193 blt 0,0,640,480,0, 5154,640,480:waittimer 54214 blt 0,0,640,480,0, 5156,640,480:waittimer 54235 blt 0,0,640,480,0, 5158,640,480:waittimer 54256 blt 0,0,640,480,0, 5160,640,480:waittimer 54277 blt 0,0,640,480,0, 5162,640,480:waittimer 54298 blt 0,0,640,480,0, 5164,640,480:waittimer 54319 blt 0,0,640,480,0, 5166,640,480:waittimer 54340 blt 0,0,640,480,0, 5168,640,480:waittimer 54361 blt 0,0,640,480,0, 5170,640,480:waittimer 54382 blt 0,0,640,480,0, 5172,640,480:waittimer 54403 blt 0,0,640,480,0, 5174,640,480:waittimer 54424 blt 0,0,640,480,0, 5176,640,480:waittimer 54446 blt 0,0,640,480,0, 5178,640,480:waittimer 54467 blt 0,0,640,480,0, 5180,640,480:waittimer 54488 blt 0,0,640,480,0, 5182,640,480:waittimer 54509 blt 0,0,640,480,0, 5184,640,480:waittimer 54530 blt 0,0,640,480,0, 5186,640,480:waittimer 54551 blt 0,0,640,480,0, 5188,640,480:waittimer 54572 blt 0,0,640,480,0, 5190,640,480:waittimer 54593 blt 0,0,640,480,0, 5192,640,480:waittimer 54614 blt 0,0,640,480,0, 5194,640,480:waittimer 54635 blt 0,0,640,480,0, 5196,640,480:waittimer 54656 blt 0,0,640,480,0, 5198,640,480:waittimer 54677 blt 0,0,640,480,0, 5200,640,480:waittimer 54698 blt 0,0,640,480,0, 5202,640,480:waittimer 54719 blt 0,0,640,480,0, 5204,640,480:waittimer 54740 blt 0,0,640,480,0, 5206,640,480:waittimer 54761 blt 0,0,640,480,0, 5208,640,480:waittimer 54782 blt 0,0,640,480,0, 5210,640,480:waittimer 54803 blt 0,0,640,480,0, 5212,640,480:waittimer 54824 blt 0,0,640,480,0, 5214,640,480:waittimer 54845 blt 0,0,640,480,0, 5216,640,480:waittimer 54866 blt 0,0,640,480,0, 5218,640,480:waittimer 54887 blt 0,0,640,480,0, 5220,640,480:waittimer 54908 blt 0,0,640,480,0, 5222,640,480:waittimer 54929 blt 0,0,640,480,0, 5224,640,480:waittimer 54950 blt 0,0,640,480,0, 5226,640,480:waittimer 54971 blt 0,0,640,480,0, 5228,640,480:waittimer 54992 blt 0,0,640,480,0, 5230,640,480:waittimer 55014 blt 0,0,640,480,0, 5232,640,480:waittimer 55035 blt 0,0,640,480,0, 5234,640,480:waittimer 55056 blt 0,0,640,480,0, 5236,640,480:waittimer 55077 blt 0,0,640,480,0, 5238,640,480:waittimer 55098 blt 0,0,640,480,0, 5240,640,480:waittimer 55119 blt 0,0,640,480,0, 5242,640,480:waittimer 55140 blt 0,0,640,480,0, 5244,640,480:waittimer 55161 blt 0,0,640,480,0, 5246,640,480:waittimer 55182 blt 0,0,640,480,0, 5248,640,480:waittimer 55203 blt 0,0,640,480,0, 5250,640,480:waittimer 55224 blt 0,0,640,480,0, 5252,640,480:waittimer 55245 blt 0,0,640,480,0, 5254,640,480:waittimer 55266 blt 0,0,640,480,0, 5256,640,480:waittimer 55287 blt 0,0,640,480,0, 5258,640,480:waittimer 55308 blt 0,0,640,480,0, 5260,640,480:waittimer 55329 blt 0,0,640,480,0, 5262,640,480:waittimer 55350 blt 0,0,640,480,0, 5264,640,480:waittimer 55371 blt 0,0,640,480,0, 5266,640,480:waittimer 55392 blt 0,0,640,480,0, 5268,640,480:waittimer 55413 blt 0,0,640,480,0, 5270,640,480:waittimer 55434 blt 0,0,640,480,0, 5272,640,480:waittimer 55455 blt 0,0,640,480,0, 5274,640,480:waittimer 55476 blt 0,0,640,480,0, 5276,640,480:waittimer 55497 blt 0,0,640,480,0, 5278,640,480:waittimer 55518 blt 0,0,640,480,0, 5280,640,480:waittimer 55539 blt 0,0,640,480,0, 5282,640,480:waittimer 55561 blt 0,0,640,480,0, 5284,640,480:waittimer 55582 blt 0,0,640,480,0, 5286,640,480:waittimer 55603 blt 0,0,640,480,0, 5288,640,480:waittimer 55624 blt 0,0,640,480,0, 5290,640,480:waittimer 55645 blt 0,0,640,480,0, 5292,640,480:waittimer 55666 blt 0,0,640,480,0, 5294,640,480:waittimer 55687 blt 0,0,640,480,0, 5296,640,480:waittimer 55708 blt 0,0,640,480,0, 5298,640,480:waittimer 55729 blt 0,0,640,480,0, 5300,640,480:waittimer 55750 blt 0,0,640,480,0, 5302,640,480:waittimer 55771 blt 0,0,640,480,0, 5304,640,480:waittimer 55792 blt 0,0,640,480,0, 5306,640,480:waittimer 55813 blt 0,0,640,480,0, 5308,640,480:waittimer 55834 blt 0,0,640,480,0, 5310,640,480:waittimer 55855 blt 0,0,640,480,0, 5312,640,480:waittimer 55876 blt 0,0,640,480,0, 5314,640,480:waittimer 55897 blt 0,0,640,480,0, 5316,640,480:waittimer 55918 blt 0,0,640,480,0, 5318,640,480:waittimer 55939 blt 0,0,640,480,0, 5320,640,480:waittimer 55960 blt 0,0,640,480,0, 5322,640,480:waittimer 55981 blt 0,0,640,480,0, 5324,640,480:waittimer 56002 blt 0,0,640,480,0, 5326,640,480:waittimer 56023 blt 0,0,640,480,0, 5328,640,480:waittimer 56044 blt 0,0,640,480,0, 5330,640,480:waittimer 56065 blt 0,0,640,480,0, 5332,640,480:waittimer 56086 blt 0,0,640,480,0, 5334,640,480:waittimer 56107 blt 0,0,640,480,0, 5336,640,480:waittimer 56129 blt 0,0,640,480,0, 5338,640,480:waittimer 56150 blt 0,0,640,480,0, 5340,640,480:waittimer 56171 blt 0,0,640,480,0, 5342,640,480:waittimer 56192 blt 0,0,640,480,0, 5344,640,480:waittimer 56213 blt 0,0,640,480,0, 5346,640,480:waittimer 56234 blt 0,0,640,480,0, 5348,640,480:waittimer 56255 blt 0,0,640,480,0, 5350,640,480:waittimer 56276 blt 0,0,640,480,0, 5352,640,480:waittimer 56297 blt 0,0,640,480,0, 5354,640,480:waittimer 56318 blt 0,0,640,480,0, 5356,640,480:waittimer 56339 blt 0,0,640,480,0, 5358,640,480:waittimer 56360 blt 0,0,640,480,0, 5360,640,480:waittimer 56381 blt 0,0,640,480,0, 5362,640,480:waittimer 56402 blt 0,0,640,480,0, 5364,640,480:waittimer 56423 blt 0,0,640,480,0, 5366,640,480:waittimer 56444 blt 0,0,640,480,0, 5368,640,480:waittimer 56465 blt 0,0,640,480,0, 5370,640,480:waittimer 56486 blt 0,0,640,480,0, 5372,640,480:waittimer 56507 blt 0,0,640,480,0, 5374,640,480:waittimer 56528 blt 0,0,640,480,0, 5376,640,480:waittimer 56549 blt 0,0,640,480,0, 5378,640,480:waittimer 56570 blt 0,0,640,480,0, 5380,640,480:waittimer 56591 blt 0,0,640,480,0, 5382,640,480:waittimer 56612 blt 0,0,640,480,0, 5384,640,480:waittimer 56633 blt 0,0,640,480,0, 5386,640,480:waittimer 56654 blt 0,0,640,480,0, 5388,640,480:waittimer 56676 blt 0,0,640,480,0, 5390,640,480:waittimer 56697 blt 0,0,640,480,0, 5392,640,480:waittimer 56718 blt 0,0,640,480,0, 5394,640,480:waittimer 56739 blt 0,0,640,480,0, 5396,640,480:waittimer 56760 blt 0,0,640,480,0, 5398,640,480:waittimer 56781 blt 0,0,640,480,0, 5400,640,480:waittimer 56802 blt 0,0,640,480,0, 5402,640,480:waittimer 56823 blt 0,0,640,480,0, 5404,640,480:waittimer 56844 blt 0,0,640,480,0, 5406,640,480:waittimer 56865 blt 0,0,640,480,0, 5408,640,480:waittimer 56886 blt 0,0,640,480,0, 5410,640,480:waittimer 56907 blt 0,0,640,480,0, 5412,640,480:waittimer 56928 blt 0,0,640,480,0, 5414,640,480:waittimer 56949 blt 0,0,640,480,0, 5416,640,480:waittimer 56970 blt 0,0,640,480,0, 5418,640,480:waittimer 56991 blt 0,0,640,480,0, 5420,640,480:waittimer 57012 blt 0,0,640,480,0, 5422,640,480:waittimer 57033 blt 0,0,640,480,0, 5424,640,480:waittimer 57054 blt 0,0,640,480,0, 5426,640,480:waittimer 57075 blt 0,0,640,480,0, 5428,640,480:waittimer 57096 blt 0,0,640,480,0, 5430,640,480:waittimer 57117 blt 0,0,640,480,0, 5432,640,480:waittimer 57138 blt 0,0,640,480,0, 5434,640,480:waittimer 57159 blt 0,0,640,480,0, 5436,640,480:waittimer 57180 blt 0,0,640,480,0, 5438,640,480:waittimer 57201 blt 0,0,640,480,0, 5440,640,480:waittimer 57223 blt 0,0,640,480,0, 5442,640,480:waittimer 57244 blt 0,0,640,480,0, 5444,640,480:waittimer 57265 blt 0,0,640,480,0, 5446,640,480:waittimer 57286 blt 0,0,640,480,0, 5448,640,480:waittimer 57307 blt 0,0,640,480,0, 5450,640,480:waittimer 57328 blt 0,0,640,480,0, 5452,640,480:waittimer 57349 blt 0,0,640,480,0, 5454,640,480:waittimer 57370 blt 0,0,640,480,0, 5456,640,480:waittimer 57391 blt 0,0,640,480,0, 5458,640,480:waittimer 57412 blt 0,0,640,480,0, 5460,640,480:waittimer 57433 blt 0,0,640,480,0, 5462,640,480:waittimer 57454 blt 0,0,640,480,0, 5464,640,480:waittimer 57475 blt 0,0,640,480,0, 5466,640,480:waittimer 57496 blt 0,0,640,480,0, 5468,640,480:waittimer 57517 blt 0,0,640,480,0, 5470,640,480:waittimer 57538 blt 0,0,640,480,0, 5472,640,480:waittimer 57559 blt 0,0,640,480,0, 5474,640,480:waittimer 57580 blt 0,0,640,480,0, 5476,640,480:waittimer 57601 blt 0,0,640,480,0, 5478,640,480:waittimer 57622 blt 0,0,640,480,0, 5480,640,480:waittimer 57643 blt 0,0,640,480,0, 5482,640,480:waittimer 57664 blt 0,0,640,480,0, 5484,640,480:waittimer 57685 blt 0,0,640,480,0, 5486,640,480:waittimer 57706 blt 0,0,640,480,0, 5488,640,480:waittimer 57727 blt 0,0,640,480,0, 5490,640,480:waittimer 57748 blt 0,0,640,480,0, 5492,640,480:waittimer 57769 blt 0,0,640,480,0, 5494,640,480:waittimer 57791 blt 0,0,640,480,0, 5496,640,480:waittimer 57812 blt 0,0,640,480,0, 5498,640,480:waittimer 57833 blt 0,0,640,480,0, 5500,640,480:waittimer 57854 blt 0,0,640,480,0, 5502,640,480:waittimer 57875 blt 0,0,640,480,0, 5504,640,480:waittimer 57896 blt 0,0,640,480,0, 5506,640,480:waittimer 57917 blt 0,0,640,480,0, 5508,640,480:waittimer 57938 blt 0,0,640,480,0, 5510,640,480:waittimer 57959 blt 0,0,640,480,0, 5512,640,480:waittimer 57980 blt 0,0,640,480,0, 5514,640,480:waittimer 58001 blt 0,0,640,480,0, 5516,640,480:waittimer 58022 blt 0,0,640,480,0, 5518,640,480:waittimer 58043 blt 0,0,640,480,0, 5520,640,480:waittimer 58064 blt 0,0,640,480,0, 5522,640,480:waittimer 58085 blt 0,0,640,480,0, 5524,640,480:waittimer 58106 blt 0,0,640,480,0, 5526,640,480:waittimer 58127 blt 0,0,640,480,0, 5528,640,480:waittimer 58148 blt 0,0,640,480,0, 5530,640,480:waittimer 58169 blt 0,0,640,480,0, 5532,640,480:waittimer 58190 blt 0,0,640,480,0, 5534,640,480:waittimer 58211 blt 0,0,640,480,0, 5536,640,480:waittimer 58232 blt 0,0,640,480,0, 5538,640,480:waittimer 58253 blt 0,0,640,480,0, 5540,640,480:waittimer 58274 blt 0,0,640,480,0, 5542,640,480:waittimer 58295 blt 0,0,640,480,0, 5544,640,480:waittimer 58316 blt 0,0,640,480,0, 5546,640,480:waittimer 58338 blt 0,0,640,480,0, 5548,640,480:waittimer 58359 blt 0,0,640,480,0, 5550,640,480:waittimer 58380 blt 0,0,640,480,0, 5552,640,480:waittimer 58401 blt 0,0,640,480,0, 5554,640,480:waittimer 58422 blt 0,0,640,480,0, 5556,640,480:waittimer 58443 blt 0,0,640,480,0, 5558,640,480:waittimer 58464 blt 0,0,640,480,0, 5560,640,480:waittimer 58485 blt 0,0,640,480,0, 5562,640,480:waittimer 58506 blt 0,0,640,480,0, 5564,640,480:waittimer 58527 blt 0,0,640,480,0, 5566,640,480:waittimer 58548 blt 0,0,640,480,0, 5568,640,480:waittimer 58569 blt 0,0,640,480,0, 5570,640,480:waittimer 58590 blt 0,0,640,480,0, 5572,640,480:waittimer 58611 blt 0,0,640,480,0, 5574,640,480:waittimer 58632 blt 0,0,640,480,0, 5576,640,480:waittimer 58653 blt 0,0,640,480,0, 5578,640,480:waittimer 58674 blt 0,0,640,480,0, 5580,640,480:waittimer 58695 blt 0,0,640,480,0, 5582,640,480:waittimer 58716 blt 0,0,640,480,0, 5584,640,480:waittimer 58737 blt 0,0,640,480,0, 5586,640,480:waittimer 58758 blt 0,0,640,480,0, 5588,640,480:waittimer 58779 blt 0,0,640,480,0, 5590,640,480:waittimer 58800 blt 0,0,640,480,0, 5592,640,480:waittimer 58821 blt 0,0,640,480,0, 5594,640,480:waittimer 58842 blt 0,0,640,480,0, 5596,640,480:waittimer 58863 blt 0,0,640,480,0, 5598,640,480:waittimer 58884 blt 0,0,640,480,0, 5600,640,480:waittimer 58906 blt 0,0,640,480,0, 5602,640,480:waittimer 58927 blt 0,0,640,480,0, 5604,640,480:waittimer 58948 blt 0,0,640,480,0, 5606,640,480:waittimer 58969 blt 0,0,640,480,0, 5608,640,480:waittimer 58990 blt 0,0,640,480,0, 5610,640,480:waittimer 59011 blt 0,0,640,480,0, 5612,640,480:waittimer 59032 blt 0,0,640,480,0, 5614,640,480:waittimer 59053 blt 0,0,640,480,0, 5616,640,480:waittimer 59074 blt 0,0,640,480,0, 5618,640,480:waittimer 59095 blt 0,0,640,480,0, 5620,640,480:waittimer 59116 blt 0,0,640,480,0, 5622,640,480:waittimer 59137 blt 0,0,640,480,0, 5624,640,480:waittimer 59158 blt 0,0,640,480,0, 5626,640,480:waittimer 59179 blt 0,0,640,480,0, 5628,640,480:waittimer 59200 blt 0,0,640,480,0, 5630,640,480:waittimer 59221 blt 0,0,640,480,0, 5632,640,480:waittimer 59242 blt 0,0,640,480,0, 5634,640,480:waittimer 59263 blt 0,0,640,480,0, 5636,640,480:waittimer 59284 blt 0,0,640,480,0, 5638,640,480:waittimer 59305 blt 0,0,640,480,0, 5640,640,480:waittimer 59326 blt 0,0,640,480,0, 5642,640,480:waittimer 59347 blt 0,0,640,480,0, 5644,640,480:waittimer 59368 blt 0,0,640,480,0, 5646,640,480:waittimer 59389 blt 0,0,640,480,0, 5648,640,480:waittimer 59410 blt 0,0,640,480,0, 5650,640,480:waittimer 59431 blt 0,0,640,480,0, 5652,640,480:waittimer 59453 blt 0,0,640,480,0, 5654,640,480:waittimer 59474 blt 0,0,640,480,0, 5656,640,480:waittimer 59495 blt 0,0,640,480,0, 5658,640,480:waittimer 59516 blt 0,0,640,480,0, 5660,640,480:waittimer 59537 blt 0,0,640,480,0, 5662,640,480:waittimer 59558 blt 0,0,640,480,0, 5664,640,480:waittimer 59579 blt 0,0,640,480,0, 5666,640,480:waittimer 59600 blt 0,0,640,480,0, 5668,640,480:waittimer 59621 blt 0,0,640,480,0, 5670,640,480:waittimer 59642 blt 0,0,640,480,0, 5672,640,480:waittimer 59663 blt 0,0,640,480,0, 5674,640,480:waittimer 59684 blt 0,0,640,480,0, 5676,640,480:waittimer 59705 blt 0,0,640,480,0, 5678,640,480:waittimer 59726 blt 0,0,640,480,0, 5680,640,480:waittimer 59747 blt 0,0,640,480,0, 5682,640,480:waittimer 59768 blt 0,0,640,480,0, 5684,640,480:waittimer 59789 blt 0,0,640,480,0, 5686,640,480:waittimer 59810 blt 0,0,640,480,0, 5688,640,480:waittimer 59831 blt 0,0,640,480,0, 5690,640,480:waittimer 59852 blt 0,0,640,480,0, 5692,640,480:waittimer 59873 blt 0,0,640,480,0, 5694,640,480:waittimer 59894 blt 0,0,640,480,0, 5696,640,480:waittimer 59915 blt 0,0,640,480,0, 5698,640,480:waittimer 59936 blt 0,0,640,480,0, 5700,640,480:waittimer 59957 blt 0,0,640,480,0, 5702,640,480:waittimer 59978 blt 0,0,640,480,0, 5704,640,480:waittimer 60000 saveon return ;************************************************* ; BT用 変数指定用ルーチン ; (グローバル変数 3500~4000を使用可能) ; (非グローバル変数 2300~2499) ;************************************************* *BT_DEF ;音楽室用 ; BGMモード、変数エリアの宣言(変数3600、3700、3800番台使用) numalias bgmm,50 ;配列番号の指定 numalias bm_n,0 ;曲名 numalias bm_t,1 ;曲の時間指定(ミリ秒) numalias bm_c,2 ;曲の割り当て番号(チャンネル) numalias bm_r,3 ;ランダムモード用、チェック変数 numalias bm_k,4 ;怖い曲かどうかのチェック用変数 numalias bm_pa,5 ;ファイルパスを入力した変数番号を入力 ;numalias bgm_max,28 ;曲数 dim ?bgmm[200][10] mov %0,3700 numalias bm_m,%0 : inc %0 ;演奏モードの保持(0は一曲、1は順次、2はランダム、10以上は特殊な切替) numalias bm_one,0 numalias bm_junnji,1 numalias bm_rnd,2 numalias bm_p,%0 : inc %0 ;演奏曲の曲番保持 numalias bm_pt,%0 : inc %0 ;演奏中の時間保持 numalias bm_pt2,%0 : inc %0 ;演奏中の時間保持 numalias bm_rnd_kazu,%0 : inc %0 ;ランダムモード用、演奏曲数 numalias bm_rpi,%0 : inc %0 ;リピートのオン、オフ numalias bm_stop,%0 : inc %0 ;演奏のオン、オフ numalias bgm_max1,%0 : inc %0 ;BGMの曲数 numalias bgm_max2,%0 : inc %0 ;アンビエントが始まる番号 numalias bgm_furagu,%0 : inc %0 ;bgmモード、フラグ numalias bgm_kowai_skip,%0 : inc %0 ;bgmモード、怖い曲をスキップするかどうかのチェック numalias bgm_mood_kaijo,%0 : inc %0 ;BGMモード解除フラグ(1で解除) numalias bgm_mood_1min,%0 : inc %0 ;1分モードのフラグ numalias bgm_mood_demo,%0 : inc %0 ;デモモードのフラグ numalias bgm_mood_demo_kaisuu,%0 : inc %0 ;デモモード、オープニングを一定回数毎に再生する為のチェック変数 numalias bgm_mood_turu_pettan_no,%0 : inc %0 ;ツルペッタンの曲番号 numalias bgm_mood_op_no,%0 : inc %0 ;OPムービーの番号(デモモードで使用) numalias bgm_mood_op2_no,%0 : inc %0 ;OPムービー2の番号(デモモードで使用) numalias bgm_mood_op3_no,%0 : inc %0 ;OPムービー3の番号(デモモードで使用) numalias bgm_mood_ed_no,%0 : inc %0 ;EDの番号(デモモードで使用) numalias bgm_mood_me1_no,%0 : inc %0 ;ME1 numalias bgm_mood_me1_btn_no,%0 : inc %0 ;ME1 numalias bgm_mood_me2_no,%0 : inc %0 ;ME2 numalias bgm_mood_me2_btn_no,%0 : inc %0 ;ME2 numalias bgm_mood_file_path_flg,%0 : inc %0 ;ファイルパスを取得するフラグ numalias bgm_mood_sha_hoe_flg,%0 : inc %0 ;1だと紗音がほえ~するようになる。 numalias bgm_mood_tyokuzenn_op_fede_skip,%0 : inc %0 ;直前がOPだったかどうかを判断するフラグ変数。 numalias bgm_mood_demo_zennkai_nagasita_op,%0 : inc %0 ;直前のOPがどれだったかを判断するフラグ変数。 numalias bgm_mood_page,%0 : inc %0 ;曲一覧ページ数。 numalias UMINEKOEND_MUSIC_FLG,%0 : inc %0 ;音楽室追加告知フラグ ;numalias keikoku_flg,%0 : inc %0 ;音楽室は関係ありません。※タイトルの警告文フラグ ;システムボタン用割付 ;mov %1,154 mov %1,184 numalias bgm_btn_ennsou,%1 : inc %1 ;演奏 numalias bgm_btn_stop,%1 : inc %1 ;停止 numalias bgm_btn_zennkyoku,%1 : inc %1 ;全曲演奏 numalias bgm_btn_ranndamu,%1 : inc %1 ;ランダム演奏 numalias bgm_btn_rpi,%1 : inc %1 ;繰り返し演奏 numalias bgm_btn_kowai_skip,%1 : inc %1 ;怖い曲を飛ばす numalias bgm_btn_kowai_only,%1 : inc %1 ;怖い曲だけ演奏 numalias bgm_btn_min,%1 : inc %1 ;1分モード numalias bgm_btn_demo,%1 : inc %1 ;デモモード numalias bgm_btn_title,%1 : inc %1 ;タイトルへ戻る numalias bgm_btn_next,%1 : inc %1 ;曲一覧、ネクストページ numalias bgm_btn_back,%1 : inc %1 ;曲一覧、バックページ mov %0,2100 numalias witchh1,%0 : inc %0 ;作業用変数 numalias witchh2,%0 : inc %0 ;作業用変数 numalias witchh3,%0 : inc %0 ;作業用変数 numalias witchh4,%0 : inc %0 ;作業用変数 numalias witchh5,%0 : inc %0 ;作業用変数 numalias witchh6,%0 : inc %0 ;作業用変数 numalias witchh7,%0 : inc %0 ;作業用変数 numalias witchh8,%0 : inc %0 ;作業用変数 numalias witchh9,%0 : inc %0 ;作業用変数 numalias witchh10,%0 : inc %0 ;作業用変数 numalias witchh11,%0 : inc %0 ;作業用変数 numalias witchh12,%0 : inc %0 ;作業用変数 numalias witchh13,%0 : inc %0 ;作業用変数 numalias witchh14,%0 : inc %0 ;作業用変数 numalias witchh15,%0 : inc %0 ;作業用変数 numalias witchh16,%0 : inc %0 ;作業用変数 numalias witchh17,%0 : inc %0 ;作業用変数 numalias witchh18,%0 : inc %0 ;作業用変数 numalias witchh19,%0 : inc %0 ;作業用変数 numalias witchh20,%0 : inc %0 ;作業用変数 numalias varlsp1,%0 : inc %0 ;作業用変数 numalias varlspx1,%0 : inc %0 ;作業用変数 numalias varlspy1,%0 : inc %0 ;作業用変数 numalias varlspnx1,%0 : inc %0 ;作業用変数 numalias varlspny1,%0 : inc %0 ;作業用変数 numalias varlsptrans1,%0 : inc %0 ;作業用変数 numalias varlspcenter1,%0 : inc %0 ;作業用変数 numalias varlsp2,%0 : inc %0 ;作業用変数 numalias varlspx2,%0 : inc %0 ;作業用変数 numalias varlspy2,%0 : inc %0 ;作業用変数 numalias varlspnx2,%0 : inc %0 ;作業用変数 numalias varlspny2,%0 : inc %0 ;作業用変数 numalias varlsptrans2,%0 : inc %0 ;作業用変数 numalias varlspcenter2,%0 : inc %0 ;作業用変数 numalias varlsp3,%0 : inc %0 ;作業用変数 numalias varlspx3,%0 : inc %0 ;作業用変数 numalias varlspy3,%0 : inc %0 ;作業用変数 numalias varlspnx3,%0 : inc %0 ;作業用変数 numalias varlspny3,%0 : inc %0 ;作業用変数 numalias varlsptrans3,%0 : inc %0 ;作業用変数 numalias varlspcenter3,%0 : inc %0 ;作業用変数 numalias varlsp4,%0 : inc %0 ;作業用変数 numalias varlspx4,%0 : inc %0 ;作業用変数 numalias varlspy4,%0 : inc %0 ;作業用変数 numalias varlspnx4,%0 : inc %0 ;作業用変数 numalias varlspny4,%0 : inc %0 ;作業用変数 numalias varlsptrans4,%0 : inc %0 ;作業用変数 numalias varlspcenter4,%0 : inc %0 ;作業用変数 numalias varlsp5,%0 : inc %0 ;作業用変数 numalias varlspx5,%0 : inc %0 ;作業用変数 numalias varlspy5,%0 : inc %0 ;作業用変数 numalias varlspnx5,%0 : inc %0 ;作業用変数 numalias varlspny5,%0 : inc %0 ;作業用変数 numalias varlsptrans5,%0 : inc %0 ;作業用変数 numalias varlspcenter5,%0 : inc %0 ;作業用変数 numalias varlsp6,%0 : inc %0 ;作業用変数 numalias varlspx6,%0 : inc %0 ;作業用変数 numalias varlspy6,%0 : inc %0 ;作業用変数 numalias varlspnx6,%0 : inc %0 ;作業用変数 numalias varlspny6,%0 : inc %0 ;作業用変数 numalias varlsptrans6,%0 : inc %0 ;作業用変数 numalias varlspcenter6,%0 : inc %0 ;作業用変数 numalias varlsp111,%0 : inc %0 ;作業用変数 numalias varlspx111,%0 : inc %0 ;作業用変数 numalias varlspy111,%0 : inc %0 ;作業用変数 numalias varlspnx111,%0 : inc %0 ;作業用変数 numalias varlspny111,%0 : inc %0 ;作業用変数 numalias varlsptrans111,%0 : inc %0 ;作業用変数 numalias varlspcenter111,%0 : inc %0 ;作業用変数 numalias varlsp112,%0 : inc %0 ;作業用変数 numalias varlspx112,%0 : inc %0 ;作業用変数 numalias varlspy112,%0 : inc %0 ;作業用変数 numalias varlspnx112,%0 : inc %0 ;作業用変数 numalias varlspny112,%0 : inc %0 ;作業用変数 numalias varlsptrans112,%0 : inc %0 ;作業用変数 numalias varlspcenter112,%0 : inc %0 ;作業用変数 numalias varlsp113,%0 : inc %0 ;作業用変数 numalias varlspx113,%0 : inc %0 ;作業用変数 numalias varlspy113,%0 : inc %0 ;作業用変数 numalias varlspnx113,%0 : inc %0 ;作業用変数 numalias varlspny113,%0 : inc %0 ;作業用変数 numalias varlsptrans113,%0 : inc %0 ;作業用変数 numalias varlspcenter113,%0 : inc %0 ;作業用変数 numalias varlsp114,%0 : inc %0 ;作業用変数 numalias varlspx114,%0 : inc %0 ;作業用変数 numalias varlspy114,%0 : inc %0 ;作業用変数 numalias varlspnx114,%0 : inc %0 ;作業用変数 numalias varlspny114,%0 : inc %0 ;作業用変数 numalias varlsptrans114,%0 : inc %0 ;作業用変数 numalias varlspcenter114,%0 : inc %0 ;作業用変数 numalias varlsp115,%0 : inc %0 ;作業用変数 numalias varlspx115,%0 : inc %0 ;作業用変数 numalias varlspy115,%0 : inc %0 ;作業用変数 numalias varlspnx115,%0 : inc %0 ;作業用変数 numalias varlspny115,%0 : inc %0 ;作業用変数 numalias varlsptrans115,%0 : inc %0 ;作業用変数 numalias varlspcenter115,%0 : inc %0 ;作業用変数 numalias varlsp116,%0 : inc %0 ;作業用変数 numalias varlspx116,%0 : inc %0 ;作業用変数 numalias varlspy116,%0 : inc %0 ;作業用変数 numalias varlspnx116,%0 : inc %0 ;作業用変数 numalias varlspny116,%0 : inc %0 ;作業用変数 numalias varlsptrans116,%0 : inc %0 ;作業用変数 numalias varlspcenter116,%0 : inc %0 ;作業用変数 numalias text_bg,%0 : inc %0 ;作業用変数 numalias text_mbg,%0 : inc %0 ;作業用変数 numalias oldnew_bg,%0 : inc %0 ;作業用変数 numalias oldnew_bg_old,%0 : inc %0 ;作業用変数 numalias hide_new_sprites,%0 : inc %0 ;作業用変数 numalias show_cgs,%0 : inc %0 ;作業用変数 numalias show_cg_test,%0 : inc %0 ;作業用変数 numalias hide_bgsp2_cg,%0 : inc %0 ;作業用変数 numalias last_l,%0 : inc %0 ;作業用変数 numalias last_c,%0 : inc %0 ;作業用変数 numalias last_r,%0 : inc %0 ;作業用変数 numalias last_meta_l,%0 : inc %0 ;作業用変数 numalias last_meta_c,%0 : inc %0 ;作業用変数 numalias last_meta_r,%0 : inc %0 ;作業用変数 numalias last_meta_l_trans,%0 : inc %0 ;作業用変数 numalias last_meta_c_trans,%0 : inc %0 ;作業用変数 numalias last_meta_r_trans,%0 : inc %0 ;作業用変数 numalias meta_l_on,%0 : inc %0 ;作業用変数 numalias meta_c_on,%0 : inc %0 ;作業用変数 numalias meta_r_on,%0 : inc %0 ;作業用変数 numalias metab_l_on,%0 : inc %0 ;作業用変数 numalias metab_c_on,%0 : inc %0 ;作業用変数 numalias metab_r_on,%0 : inc %0 ;作業用変数 numalias bgm_x,%1 : inc %1 ;座標指定用変数 numalias bgm_y1,%1 : inc %1 ;座標指定用変数 numalias bgm_y2,%1 : inc %1 ;座標指定用変数 numalias bgm_y3,%1 : inc %1 ;座標指定用変数 numalias bgm_x,%1 : inc %1 ;座標指定用変数 numalias bgm_y1,%1 : inc %1 ;座標指定用変数 numalias bgm_y2,%1 : inc %1 ;座標指定用変数 numalias bgm_y3,%1 : inc %1 ;座標指定用変数 mov %1,40 numalias bgm_window_lsp,%1 : dec %1 ;ウィンドウ枠用のスプライト番号 numalias bgm_btn_ennsou_lsp,%1 : dec %1 ;演奏 numalias bgm_ennsou_lsp,%1 : dec %1 ;演奏 numalias bgm_btn_zennkyoku_lsp,%1 : dec %1 ;全曲演奏 numalias bgm_zennkyoku_lsp,%1 : dec %1 ;全曲演奏 numalias bgm_btn_ranndamu_lsp,%1 : dec %1 ;ランダム演奏 numalias bgm_ranndamu_lsp,%1 : dec %1 ;ランダム演奏 numalias bgm_btn_stop_lsp,%1 : dec %1 ;停止 numalias bgm_btn_rpi_lsp,%1 : dec %1 ;繰り返し演奏 numalias bgm_rpi_lsp,%1 : dec %1 ;繰り返し演奏 numalias bgm_btn_min_lsp,%1 : dec %1 ;1分モード numalias bgm_min_lsp,%1 : dec %1 ;1分モード numalias bgm_btn_demo_lsp,%1 : dec %1 ;デモモード numalias bgm_demo_lsp,%1 : dec %1 ;デモモード numalias bgm_btn_kowai_skip_lsp,%1 : dec %1 ;怖い曲を飛ばす numalias bgm_kowai_skip_lsp,%1 : dec %1 ;怖い曲を飛ばす numalias bgm_btn_kowai_only_lsp,%1 : dec %1 ;怖い曲だけ演奏 numalias bgm_kowai_only_lsp,%1 : dec %1 ;怖い曲だけ演奏 numalias bgm_btn_title_lsp,%1 : dec %1 ;タイトルへ戻る numalias bgm_btn_next_lsp,%1 : dec %1 ;曲一覧、ネクストページ numalias bgm_btn_back_lsp,%1 : dec %1 ;曲一覧、バックページ ;曲情報表示用スプライト numalias bgm_title_lsp,%1 : dec %1 ;タイトル名 numalias bgm_sakka_lsp,%1 : dec %1 ;作家名 numalias bgm_time_lsp,%1 : dec %1 ;曲の長さ ;----------------------------------------------------------- ;時計処理用 mov %0,3900 numalias tmp,%0 : inc %0 ;作業用変数 numalias tmp1,%0 : inc %0 ;作業用変数 numalias tmp2,%0 : inc %0 ;作業用変数 numalias tmp3,%0 : inc %0 ;作業用変数 numalias tmp4,%0 : inc %0 ;作業用変数 numalias tmp5,%0 : inc %0 ;作業用変数 numalias tmp6,%0 : inc %0 ;作業用変数 numalias tmp7,%0 : inc %0 ;作業用変数 numalias tmp8,%0 : inc %0 ;作業用変数 numalias tmp9,%0 : inc %0 ;作業用変数 numalias tmp10,%0 : inc %0 ;作業用変数 numalias tmp11,%0 : inc %0 ;作業用変数 numalias tmp12,%0 : inc %0 ;作業用変数 numalias tmp13,%0 : inc %0 ;作業用変数 numalias tmp14,%0 : inc %0 ;作業用変数 numalias tmp15,%0 : inc %0 ;作業用変数 numalias ran,%0 : inc %0 ;作業用変数 numalias ran1,%0 : inc %0 ;作業用変数 numalias ran2,%0 : inc %0 ;作業用変数 numalias ran3,%0 : inc %0 ;作業用変数 numalias ran4,%0 : inc %0 ;作業用変数 numalias ran5,%0 : inc %0 ;作業用変数 numalias meta_tmp1,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp2,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp3,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp4,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp5,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp6,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp7,%0 : inc %0 ;メタ視処理用_作業用変数 numalias meta_tmp8,%0 : inc %0 ;メタ視処理用_作業用変数 numalias efe_spd1,%0 : inc %0 ;エフェクトスピード用変数 numalias efe_spd2,%0 : inc %0 ;エフェクトスピード用変数 numalias debag_save_no,%0 : inc %0 ;デバッグ用セーブ変数 numalias debag_save_count,%0 : inc %0 ;カウント mov %debag_save_count,0 numalias debag_load_no,%0 : inc %0 ;デバッグロード用の変数を保持 numalias msp_l,883 ;メタ世界、左立ち絵スプライト番号 numalias msp_c,884 ;メタ世界、真ん中立ち絵スプライト番号 numalias msp_r,885 ;メタ世界、右立ち絵スプライト番号 numalias msp_bg,886 ;メタ世界、背景スプライト番号 numalias msp_hana_d,887 ;メタ世界、華模様下 スプライト番号 numalias msp_hana_u,888 ;メタ世界、華模様上 スプライト番号 numalias msp_kuro,889 ;メタ世界、黒幕 スプライト番号 numalias x_get_tmp1,%0 : inc %0 ;作業用変数 numalias x_get_tmp2,%0 : inc %0 ;作業用変数 numalias x_get_tmp3,%0 : inc %0 ;作業用変数 numalias x_get_tmp4,%0 : inc %0 ;作業用変数 numalias x_get_tmp5,%0 : inc %0 ;作業用変数 numalias is_old_sprites,%0 : inc %0 ;作業用変数 numalias cur_language,%0 : inc %0 ;作業用変数 numalias cur_read_lang,%0 : inc %0 ;作業用変数 numalias shownlangselect,%0 : inc %0 ;作業用変数 numalias fry_tmp1,%0 : inc %0 ;バタフライ処理用_作業用変数 numalias fry_tmp2,%0 : inc %0 numalias l,1 ;メタ視処理用_作業用変数 numalias c,2 ;メタ視処理用_作業用変数 ;numalias r,3 ;メタ視処理用_作業用変数 numalias a,4 ;メタ視処理用_作業用変数 stralias white,"white" ;メタ視処理用_作業用変数 stralias black,"black" ;メタ視処理用_作業用変数 ;時計用の変数指定ルーチン numalias clock_moto_h,%0 : inc %0 ;進む前の 時 numalias clock_moto_m,%0 : inc %0 ;進む前の 分 numalias clock_h,%0 : inc %0 ;現在の時 numalias clock_m,%0 : inc %0 ;現在の分 ;日をまたぐ時の処理、元々の進む時間をここに代入する。 numalias clock_tmp_h,%0 : inc %0 numalias clock_tmp_m,%0 : inc %0 ;1回の処理あたりに進む角度 numalias clock_kakudo_h,%0 : inc %0 numalias clock_kakudo_m,%0 : inc %0 numalias clock_x,%0 : inc %0 ;時計の針、中心座標X numalias clock_y,%0 : inc %0 ;時計の針、中心座標Y numalias clock_kakudai,%0 : inc %0 ;時計の拡大率 numalias clock_reverse,%0 : inc %0 ;時計の進む方向、0は順方向、1は逆方向 numalias clock_iti_flg,%0 : inc %0 ;時計の位置確認、テストルーチンフラグ ;作業用 numalias tmp_h,%0 : inc %0 ;短針表示角度等 numalias tmp_m,%0 : inc %0 ;長針表示角度等 numalias tmp_sub,%0 : inc %0 ;元の時間と進む時間との差分(分数) numalias clock_lsp,100 ;時計表示用スプライト番号 numalias clock_h_lsp,99 ;時計表示用スプライト番号 numalias clock_m_lsp,98 ;時計表示用スプライト番号 numalias clock_c_lsp,97 ;時計表示用スプライト番号 ;時計の進む速さ(基本は1分 1000ミリ秒? 倍数を入力) numalias clock_speed,%0 : inc %0 ;画像パス stralias clock,":a;bmp\clock\clock.bmp" ;時計 stralias clock_h,":a;bmp\clock\clock_h.bmp" ;時計_短針 stralias clock_m,":a;bmp\clock\clock_m.bmp" ;時計_長針 stralias clock_c,":a;bmp\clock\clock_c.bmp" ;時計_中心 ;SEへのパス stralias clock_kane,"bmp\clock\kane.wav" ;時計_鐘 stralias clock_byou,"bmp\clock\byou.wav" ;時計_短針 ;黒と白のエフェクト stralias r_black,":c;bmp\r_click\black.bmp" stralias r_white,":c;bmp\r_click\white.bmp" numalias debagu,%0 : inc %0 ;デバック用変数 ;タイトル画面表示関連 numalias title_skip,%0 : inc %0 numalias UMINEKOEND_FLG,%0 : inc %0 ;新要素追加の告知、チェック変数 numalias UMINEKOEND_TIPS_FLG,%0 : inc %0 ;Tips新要素追加告知、チェック変数 ;セーブ時コメントのルビを正常に表示する為の変数 numalias ru1,%0 : inc %0 numalias ru2,%0 : inc %0 numalias ru3,%0 : inc %0 numalias m_temp1,%0 : inc %0 numalias m_temp2,%0 : inc %0 ;---------------------------------- ;右クリックシステム用変数宣言 ;システムボタン ;tipsボタンのパスの入力(1シナリオ1番目のtipsは tips1_1.bmp と表記) ;ep5 stralias r_tips_5_1,":a/2,0,3;bmp\r_click\tips_btn\tips5_1.bmp" stralias r_tips_5_2,":a/2,0,3;bmp\r_click\tips_btn\tips5_2.bmp" stralias r_tips_5_3,":a/2,0,3;bmp\r_click\tips_btn\tips5_3.bmp" stralias r_tips_5_4,":a/2,0,3;bmp\r_click\tips_btn\tips5_4.bmp" stralias r_tips_6_1,":a/2,0,3;bmp\r_click\tips_btn\tips6_1.bmp" stralias r_tips_6_2,":a/2,0,3;bmp\r_click\tips_btn\tips6_2.bmp" stralias r_tips_7_1,":a/2,0,3;bmp\r_click\tips_btn\tips7_1.bmp" ;tips、ページ送りボタンのパス入力 stralias tips_next,":c/2,0,3;bmp\r_click\tips_btn\tips_next.bmp" stralias tips_back,":c/2,0,3;bmp\r_click\tips_btn\tips_back.bmp" ;タイトルバック、確認メッセージ用 stralias title_back_yes,":a/2,0,3;bmp\r_click\sys_btn\yes.bmp" stralias title_back_no,":a/2,0,3;bmp\r_click\sys_btn\no.bmp" stralias title_back_bg,":c/2,0,3;bmp\r_click\sys_btn\title_bg.bmp" numalias tips,60 ;ティップス用の配列を設定 dim ?tips[10][5] ;一次元はティップス番号 二次元は各種フラグなど mov %0,0 numalias tips_page_max,%0 : inc %0 ;そのtipsのページ数 numalias tips_flg,%0 : inc %0 ;tipsを手に入れたかどうかのチェック変数 mov %0,100 numalias title_back_yes_lsp,%0 : inc %0 numalias title_back_no_lsp,%0 : inc %0 numalias title_back_bg_lsp,%0 : inc %0 numalias tips1_lsp,%0 : inc %0 numalias tips2_lsp,%0 : inc %0 numalias tips3_lsp,%0 : inc %0 numalias tips4_lsp,%0 : inc %0 numalias tips5_lsp,%0 : inc %0 numalias tips6_lsp,%0 : inc %0 numalias tips7_lsp,%0 : inc %0 numalias tips_next_lsp,%0 : inc %0 numalias tips_back_lsp,%0 : inc %0 numalias cha_page_mode,%0 : inc %0 numalias cha_text_page,%0 : inc %0 ;現在表示しているキャラテキストページ numalias cha_next_lsp,%0 : inc %0 numalias cha_back_lsp,%0 : inc %0 numalias cha_page_flg,%0 : inc %0 ;システムボタンのパスの入力 stralias r_char,":a/2,0,3;bmp\r_click\sys_btn\cha.bmp" ;キャラクター一覧表示 stralias r_tips,":a/2,0,3;bmp\r_click\sys_btn\tips.bmp" ;Tipsの一覧表示 stralias r_save,":a/2,0,3;bmp\r_click\sys_btn\save.bmp" ;セーブ&ロード stralias r_load,":a/2,0,3;bmp\r_click\sys_btn\load.bmp" ;セーブ&ロード stralias r_blog,":a/2,0,3;bmp\r_click\sys_btn\log.bmp" ;バックログ stralias r_exec,":a/2,0,3;bmp\r_click\sys_btn\execute.bmp" ;死亡 stralias r_resu,":a/2,0,3;bmp\r_click\sys_btn\resur.bmp" ;復活 stralias r_title,":a/2,0,3;bmp\r_click\sys_btn\title.bmp" ;ウィンドクリアー stralias r_exit,":a/2,0,3;bmp\r_click\sys_btn\exit.bmp" stralias r_next,":a/2,0,3;bmp\r_click\sys_btn\cha_next.bmp" ;キャラ一覧_切替 stralias r_next2,":a/2,0,3;bmp\r_click\sys_btn\cha_next2.bmp" stralias r_change,":c/2,0,3;bmp\r_click\sys_btn\change.bmp" ;衣装切替ボタン stralias r_ep5,":c/2,0,3;bmp\r_click\sys_btn\ep5.bmp" ;ep1のTipsへ stralias r_ep6,":c/2,0,3;bmp\r_click\sys_btn\ep6.bmp" ;ep2のTipsへ stralias r_ep7,":c/2,0,3;bmp\r_click\sys_btn\ep7.bmp" ;ep3のTipsへ stralias r_ep8,":c/2,0,3;bmp\r_click\sys_btn\ep8.bmp" ;ep4のTipsへ numalias r_char_lsp,%0 : inc %0 numalias r_tips_lsp,%0 : inc %0 numalias r_save_lsp,%0 : inc %0 numalias r_load_lsp,%0 : inc %0 numalias r_blog_lsp,%0 : inc %0 numalias r_exec_lsp,%0 : inc %0 numalias r_resu_lsp,%0 : inc %0 numalias r_title_lsp,%0 : inc %0 numalias r_exit_lsp,%0 : inc %0 numalias r_next_lsp,%0 : inc %0 numalias r_next2_lsp,%0 : inc %0 numalias r_change_lsp,%0 : inc %0 numalias r_ep5_lsp,%0 : inc %0 numalias r_ep6_lsp,%0 : inc %0 numalias r_ep7_lsp,%0 : inc %0 numalias r_ep8_lsp,%0 : inc %0 ;キャラ一覧のボタン用スプライト番号 mov %tmp10,%0 ;魔女空間とでスプライト番号を共用する。 numalias kin_btn_lsp,%0 : inc %0 numalias kla_btn_lsp,%0 : inc %0 numalias nat_btn_lsp,%0 : inc %0 numalias jes_btn_lsp,%0 : inc %0 numalias eva_btn_lsp,%0 : inc %0 numalias hid_btn_lsp,%0 : inc %0 numalias geo_btn_lsp,%0 : inc %0 numalias rud_btn_lsp,%0 : inc %0 numalias kir_btn_lsp,%0 : inc %0 numalias but_btn_lsp,%0 : inc %0 numalias ros_btn_lsp,%0 : inc %0 numalias mar_btn_lsp,%0 : inc %0 numalias nan_btn_lsp,%0 : inc %0 numalias gen_btn_lsp,%0 : inc %0 numalias kum_btn_lsp,%0 : inc %0 numalias goh_btn_lsp,%0 : inc %0 numalias sha_btn_lsp,%0 : inc %0 numalias kan_btn_lsp,%0 : inc %0 numalias eri_btn_lsp,%0 : inc %0 numalias rio_btn_lsp,%0 : inc %0 numalias bea_btn_lsp,%0 : inc %0 ;numalias ber_btn_lsp,%0 : inc %0 ;numalias lam_btn_lsp,%0 : inc %0 numalias EN2_btn_lsp,%0 : inc %0 numalias si_btn_lsp,%0 : inc %0 ;numalias eri_btn_lsp,%0 : inc %0 mov %tmp11,%0 mov %0,%tmp10 numalias ma5_lam_btn_lsp,%0 : inc %0 numalias ma5_ber_btn_lsp,%0 : inc %0 numalias ma5_bea_btn_lsp,%0 : inc %0 numalias ma5_be2_btn_lsp,%0 : inc %0 numalias ma5_wal_btn_lsp,%0 : inc %0 numalias ma5_ron_btn_lsp,%0 : inc %0 numalias ma5_gap_btn_lsp,%0 : inc %0 numalias ma5_s_btn_lsp,%0 : inc %0 numalias ma5_rg_btn_lsp,%0 : inc %0 numalias ma5_eri_btn_lsp,%0 : inc %0 numalias ma5_but_btn_lsp,%0 : inc %0 numalias ma5_dla_btn_lsp,%0 : inc %0 numalias ma5_ger_btn_lsp,%0 : inc %0 numalias ma5_cor_btn_lsp,%0 : inc %0 numalias ma5_k_btn_lsp,%0 : inc %0 numalias ma5_goa_btn_lsp,%0 : inc %0 numalias ma5_FEA_btn_lsp,%0 : inc %0 numalias ma5_AMA_btn_lsp,%0 : inc %0 numalias ma5_zf_btn_lsp,%0 : inc %0 numalias ma5_ZEP_btn_lsp,%0 : inc %0 numalias ma5_FUR_btn_lsp,%0 : inc %0 numalias ma5_w_btn_lsp,%0 : inc %0 numalias ma5_mar_btn_lsp,%0 : inc %0 numalias ma5_enj_btn_lsp,%0 : inc %0 numalias ma5_WIL_btn_lsp,%0 : inc %0 numalias ma5_CUR_btn_lsp,%0 : inc %0 numalias ma5_EN2_btn_lsp,%0 : inc %0 numalias ma5_bb_btn_lsp,%0 : inc %0 numalias ma5_wr_btn_lsp,%0 : inc %0 numalias ma5_ev2_btn_lsp,%0 : inc %0 ;mov %0,%tmp11 add %0,5 numalias r_cha_txt_lsp,%0 : inc %0 ;キャラコメントテキスト用 ;立ち絵用、スプライト番号 numalias cha_lsp,%0 : inc %0 ;飾り背景 stralias r_hana,":a;bmp\r_click\hana_back.bmp" ;右下、花模様 ;stralias r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラ一覧表示の黒背景 stralias r_tips1_back,":c;bmp\r_click\tips_btn\tips1_back.bmp" ;tips用黒背景 numalias r_tips_txt_lsp,%0 : inc %0 numalias r_hana_lsp,%0 : inc %0 numalias r_txt_lsp,%0 : inc %0 numalias r_cha_back_lsp,%0 : inc %0 numalias r_cha_back,%0 : inc %0 ;キャラ一覧表示、背景画像へのパス numalias r_tips1_back_lsp,%0 : inc %0 ;右クリック入る前のボタンメニュー表示用 numalias r_system_lsp,%0 : inc %0 numalias r_clear_lsp,%0 : inc %0 numalias r_full_window_check,%0 : inc %0 numalias r_full_window_lsp,%0 : inc %0 numalias r_auto_lsp,%0 : inc %0 numalias r_message_lsp,%0 : inc %0 numalias r_skip_lsp,%0 : inc %0 numalias r_mes_low,%0 : inc %0 numalias r_mes_nom,%0 : inc %0 numalias r_mes_high,%0 : inc %0 numalias r_mes_back,%0 : inc %0 numalias r_used_lsp,%0 ;何番までスプライトを使用したかを保持する(背景画像は除く、保持しない) numalias r_black_lsp,%0 : inc %0 ;明るさを落す処理 numalias r_bg_lsp,%0 ;背景用スプライト番号 ;キャラの状態、管理変数 numalias r,61 dim ?r[8][50][15] numalias r_cha_text,62 dim ?r_cha_text[8][50][5] ;numalias r2,59 ;dim ?r2[8][50][15] ;numalias cha_hyouji_keep,58 ;dim ?cha_hyouji_keep[8][10] mov %1,6 ;0~5までは状態をいれる為の変数 numalias tati_x,%1 : inc %1 ;立ち絵の表示位置、X座標 numalias itiran_x,%1 : inc %1 ;一覧表示アイコン位置、X座標 numalias itiran_y,%1 : inc %1 ;一覧表示アイコン位置、Y座標 numalias condition,%1 : inc %1 ;キャラの状態 numalias condition_end,%1 : inc %1 ;キャラのコンディションの末端数(0から始るので実質+1) numalias r_ishou,%1 : inc %1 ;キャラの衣装フラグ(0~) ;numalias coment,%1 : inc %1 ;表示コメント ;システム用変数 mov %0,2300 ;------------------------ ;右クリック関連 numalias clear_flg,%0 : inc %0 ;シナリオクリアのフラグ(後で変更する可能性大) numalias r_for_title,%0 : inc %0 ;タイトルメニューから入って来たかどうかを判断 numalias r_hyouji,%0 : inc %0 ;メニュー表示(0はキャラ一覧、1はtips) numalias r_tea_bea,%0 : inc %0 ;表お茶会用のフラグ numalias r_u_tea_flg,%0 : inc %0 ;Uお茶フラグ numalias r_ber_flg,%0 : inc %0 ;Uお茶、Ber登場フラグ numalias r_hyouji_cha,%0 : inc %0 ;表示キャラをキャラ番号で保持 numalias r_hyouji_cha_ma,%0 : inc %0 ;表示キャラをキャラ番号で保持(魔女サイド) numalias r_hyouji_cha_enj,%0 : inc %0 ;表示キャラをキャラ番号で保持(縁寿編) numalias r_hyouji_tips,%0 : inc %0 ;表示tips番号を保持 numalias r_txt_path,%0 : inc %0 ;テキスト文画像へのパス numalias r_tips_txt_path,%0 : inc %0 ;チップステキスト文画像へのパス numalias r_cha_tati_path,%0 : inc %0 ;表示キャラ、キャラの画像パス numalias r_bg_path,%0 : inc %0 ;背景画像のパス numalias tips_page,%0 : inc %0 ;各Tipsの表示ページ数を記録 numalias tips_kazu,%0 : inc %0 ;各Tipsの数を記録 ;エピソード2よりの追加変数 numalias play_ep,%0 : inc %0 ;プレイ中のエピソードの番号を保持 numalias play_scene,%0 : inc %0 ;シーン番号の保持、シーンに応じて右クリックのメニューを変更する。 mov %play_scene,0 numalias r_side,%0 : inc %0 ;表示サイドのチェック(0、人間、1、魔女) numalias r_side_change,%0 : inc %0 ;表示サイドの切替チェック、表示切替の処理を入れる。 mov %r_side_change,0 numalias r_hyouji_side,%0 : inc %0 ;表示サイドの保持(0人間 1魔女 2縁寿編) mov %r_hyouji_side,0 numalias cha_count_flg,%0 : inc %0 ;特殊表示キャラの管理フラグ numalias scene_tea,200 ;シーン定数(表お茶会) numalias scene_back_tea,300 ;シーン定数(裏お茶会) ;キャラ一覧用変数(主に文字変数にパスを入力) numalias kin_btn,%0 : inc %0 numalias kla_btn,%0 : inc %0 numalias nat_btn,%0 : inc %0 numalias jes_btn,%0 : inc %0 numalias eva_btn,%0 : inc %0 numalias hid_btn,%0 : inc %0 numalias geo_btn,%0 : inc %0 numalias rud_btn,%0 : inc %0 numalias kir_btn,%0 : inc %0 numalias but_btn,%0 : inc %0 numalias ros_btn,%0 : inc %0 numalias mar_btn,%0 : inc %0 numalias nan_btn,%0 : inc %0 numalias gen_btn,%0 : inc %0 numalias kum_btn,%0 : inc %0 numalias goh_btn,%0 : inc %0 numalias sha_btn,%0 : inc %0 numalias kan_btn,%0 : inc %0 numalias eri_btn,%0 : inc %0 numalias RIO_btn,%0 : inc %0 ;EP5 人間サイド_キャラの総数 numalias cha_kazu_ep5,20 numalias cha_kazu_ep6,30 ;-------------------- ;EP5_魔女サイドは別途変数を入れていく numalias r_ma_side_ep5,%0 : inc %0 ;人間と魔女サイドの切替 numalias r_s_hyouji,%0 : inc %0 ;表示シエスタを保持する変数 numalias r_s556_flg,%0 : inc %0 ;シエスタ556、状態変化フラグ mov %r_s556_flg,0 ;魔女サイドは別途変数を入れていく(EP5用) ;魔女サイドは別途変数を入れていく mov %1,1 numalias ma5_lam,%1 : inc %1 numalias ma5_ber,%1 : inc %1 numalias ma5_bea,%1 : inc %1 numalias ma5_be2,%1 : inc %1 numalias ma5_eri,%1 : inc %1 numalias ma5_wal,%1 : inc %1 numalias ma5_ron,%1 : inc %1 numalias ma5_gap,%1 : inc %1 numalias ma5_w,%1 : inc %1 numalias ma5_dla,%1 : inc %1 numalias ma5_s,%1 : inc %1 numalias ma5_rg,%1 : inc %1 numalias ma5_but,%1 : inc %1 numalias ma5_GOA,%1 : inc %1 numalias ma5_k,%1 : inc %1 numalias ma5_ger,%1 : inc %1 numalias ma5_cor,%1 : inc %1 ;特殊枠外計算 numalias ma5_zf,%1 : inc %1 numalias ma5_ZEP,%1 : inc %1 numalias ma5_FUR,%1 : inc %1 numalias ma5_FEA,%1 : inc %1 numalias ma5_AMA,%1 : inc %1 numalias ma5_MAR,%1 : inc %1 numalias ma5_WIL,%1 : inc %1 numalias ma5_CUR,%1 : inc %1 numalias ma5_EN2,%1 : inc %1 numalias ma5_wr,%1 : inc %1 numalias ma5_bb,%1 : inc %1 numalias ma5_ev2,%1 : inc %1 numalias ma5_pie,%1 : inc %1 numalias ma5_wel,%1 : inc %1 numalias ma5_ENJ,%1 ;EP5 魔女サイド キャラの総数 numalias cha_kazu_ep5_2,%1 : inc %1 ;-------------------- ;右クリックシステム、上余白 numalias r_top_y,30 ;右クリックシステムボタン、Y座標(上余白+228ピクセル) mov %tmp,r_top_y : add %tmp,228 numalias r_menu_top_y,%tmp ;------------------------ ;---------------------------------------- ;セーブロードシステム用 ;mov %0,100 ;numalias b_save,%0 : inc %0 ;numalias b_load,%0 : inc %0 ;numalias b_rmenu_btn_Exit,%0 : inc %0 numalias load_for_title,%0 : inc %0 ;タイトルからロードに入ったかのチェック mov %load_for_title,0 numalias black_toukaritu,150 ;黒幕透過率 numalias debag_save_flg,%0 : inc %0 ;自動セーブ用のフラグ変数(デフォは0にする) ;---------------------------------------- ;タイトル画面ようスプライト番号 mov %0,100 numalias t_bg_lsp,%0 : dec %0 ;100 numalias t_hane_lsp,%0 : dec %0 ;99 numalias t_logo_lsp,%0 : dec %0 ;98 numalias t_btn_start_lsp,%0 : dec %0 ;97 numalias t_btn_controls_lsp,%0 : dec %0 ;96 numalias t_btn_web_lsp,%0 : dec %0 ;96 numalias t_btn_exit_lsp,%0 : dec %0 ;95 numalias t_btn_web_lsp,%0 : dec %0 ;94 numalias t_btn_tips_lsp,%0 : dec %0 ;93 numalias t_btn_music_lsp,%0 : dec %0 ;92 ;numalias t_btn_ura_tea_lsp,%0 : dec %0 ;91 numalias t_btn_load_lsp,%0 : dec %0 ;90 numalias t_txt_bg_lsp,%0 : dec %0 ;89 dec %0 ;88 numalias t_txt_load_lsp,%0 : dec %0 ;87 numalias t_txt_web_lsp,%0 : dec %0 ;86 numalias t_txt_tea_lsp,%0 : dec %0 ;85 numalias t_txt_tips_lsp,%0 : dec %0 ;84 numalias t_txt_music_lsp,%0 : dec %0 ;83 numalias t_txt_start_lsp,%0 : dec %0 ;82 numalias t_txt_exit_lsp,%0 : dec %0 ;81 numalias t_txt_ura_tea_lsp,%0 : dec %0 ;80 dec %0 numalias t_txt_lock_lsp,%0 : dec %0 ;78 numalias t_txt_unlock_lsp,%0 : dec %0 ;77 mov %0,70 numalias t_btn_ep5_lsp,%0 : dec %0 ;70 numalias t_btn_ep6_lsp,%0 : dec %0 ;69 numalias t_btn_ep7_lsp,%0 : dec %0 ;68 numalias t_btn_ep8_lsp,%0 : dec %0 ;67 mov %0,65 numalias t_txt_ep5_lsp,%0 : dec %0 ;65 numalias t_txt_ep6_lsp,%0 : dec %0 ;64 numalias t_txt_ep7_lsp,%0 : dec %0 ;63 numalias t_txt_ep8_lsp,%0 : dec %0 ;62 mov %0,60 numalias t_btn_ep5_lock_lsp,%0 : dec %0 ;60 numalias t_btn_ep6_lock_lsp,%0 : dec %0 ;59 numalias t_btn_ep7_lock_lsp,%0 : dec %0 ;58 numalias t_btn_ep8_lock_lsp,%0 : dec %0 ;57 mov %0,55 numalias t_btn_ep5_unlock_lsp,%0 : dec %0 ;55 numalias t_btn_ep6_unlock_lsp,%0 : dec %0 ;54 numalias t_btn_ep7_unlock_lsp,%0 : dec %0 ;53 numalias t_btn_ep8_unlock_lsp,%0 : dec %0 ;52 mov %0,50 numalias t_btn_ep5_tea_lsp,%0 : dec %0 ;50 numalias t_btn_ep6_tea_lsp,%0 : dec %0 ;49 numalias t_btn_ep7_tea_lsp,%0 : dec %0 ;48 numalias t_btn_ep8_tea_lsp,%0 : dec %0 ;47 mov %0,45 numalias t_txt_ep5_tea_lsp,%0 : dec %0 ;65 numalias t_txt_ep6_tea_lsp,%0 : dec %0 ;64 numalias t_txt_ep7_tea_lsp,%0 : dec %0 ;63 numalias t_txt_ep8_tea_lsp,%0 : dec %0 ;62 mov %0,40 numalias t_btn_ep5_tips_lsp,%0 : dec %0 ;60 numalias t_btn_ep6_tips_lsp,%0 : dec %0 ;59 numalias t_btn_ep7_tips_lsp,%0 : dec %0 ;58 numalias t_btn_ep8_tips_lsp,%0 : dec %0 ;58 mov %0,35 numalias t_txt_ep5_tips_lsp,%0 : dec %0 ;60 numalias t_txt_ep6_tips_lsp,%0 : dec %0 ;59 numalias t_txt_ep7_tips_lsp,%0 : dec %0 ;59 numalias t_txt_ep8_tips_lsp,%0 : dec %0 ;59 mov %0,30 numalias t_btn_ep5_ura_tea_lsp,%0 : dec %0 ;60 numalias t_btn_ep6_ura_tea_lsp,%0 : dec %0 ;59 numalias t_btn_ep7_ura_tea_lsp,%0 : dec %0 ;59 numalias t_btn_ep8_ura_tea_lsp,%0 : dec %0 ;59 mov %0,25 numalias t_txt_ep5_ura_tea_lsp,%0 : dec %0 ;60 numalias t_txt_ep6_ura_tea_lsp,%0 : dec %0 ;59 numalias t_txt_ep7_ura_tea_lsp,%0 : dec %0 ;59 numalias t_txt_ep8_ura_tea_lsp,%0 : dec %0 ;59 mov %0,10 numalias unlock_bg_lsp,%0 : dec %0 ;10 numalias unlock_yes_lsp,%0 : dec %0 ;9 numalias unlock_no_lsp,%0 : dec %0 ;8 ;---------------------------------------- return ;************************************************* ;************************************************* ; ゲーム開始時、変数の初期化 ;************************************************* *bt_def2 return ;************************************************* ;************************************************* ; ep5用、変数の初期化 ;************************************************* *bt_ep5_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5 for %tmp12 = 0 to 6 mov ?r[5][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[5][kin][condition_end],1 mov $?r[5][kin][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][kin][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kin_l.bmp" mov ?r[5][kla][condition_end],3 mov $?r[5][kla][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][kla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kla_l.bmp" mov $?r[5][kla][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\kla_m.bmp" mov $?r[5][kla][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\kla_d.bmp" mov ?r[5][nat][condition_end],1 mov $?r[5][nat][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][nat][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nat_l.bmp" mov ?r[5][jes][condition_end],2 mov $?r[5][jes][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][jes][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\jes_l.bmp" mov $?r[5][jes][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\jes_d.bmp" mov ?r[5][eva][condition_end],1 mov $?r[5][eva][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][eva][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eva_l.bmp" mov ?r[5][hid][condition_end],2 mov $?r[5][hid][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][hid][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\hid_l.bmp" mov $?r[5][hid][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\hid_d.bmp" mov ?r[5][geo][condition_end],2 mov $?r[5][geo][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][geo][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l.bmp" mov $?r[5][geo][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_d.bmp" mov ?r[5][rud][condition_end],1 mov $?r[5][rud][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][rud][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\rud_l.bmp" mov ?r[5][kir][condition_end],1 mov $?r[5][kir][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][kir][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kir_l.bmp" mov ?r[5][but][condition_end],1 mov $?r[5][but][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][but][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\but_l.bmp" mov ?r[5][ros][condition_end],2 mov $?r[5][ros][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][ros][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\ros_l.bmp" mov $?r[5][ros][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\ros_d.bmp" mov ?r[5][mar][condition_end],2 mov $?r[5][mar][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][mar][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\mar_l.bmp" mov $?r[5][mar][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\mar_d.bmp" mov ?r[5][nan][condition_end],1 mov $?r[5][nan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][nan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nan_l.bmp" mov ?r[5][gen][condition_end],2 mov $?r[5][gen][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][gen][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\gen_l.bmp" mov $?r[5][gen][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\gen_d.bmp" mov ?r[5][kum][condition_end],1 mov $?r[5][kum][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][kum][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kum_l.bmp" mov ?r[5][goh][condition_end],1 mov $?r[5][goh][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][goh][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\goh_l.bmp" mov ?r[5][sha][condition_end],1 mov $?r[5][sha][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][sha][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l.bmp" mov ?r[5][kan][condition_end],1 mov $?r[5][kan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][kan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l.bmp" mov ?r[5][eri][condition_end],1 mov $?r[5][eri][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[5][eri][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eri_l.bmp" ;0.txtに numalias ERI,26 を加える ;一覧表示の座標を入力 mov ?r[5][kin][itiran_x],17 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[5][kin][itiran_y],%tmp mov ?r[5][kla][itiran_x],62 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[5][kla][itiran_y],%tmp mov ?r[5][nat][itiran_x],106 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[5][nat][itiran_y],%tmp mov ?r[5][jes][itiran_x],150 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[5][jes][itiran_y],%tmp mov ?r[5][nan][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[5][nan][itiran_y],%tmp mov ?r[5][eva][itiran_x],62 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[5][eva][itiran_y],%tmp mov ?r[5][hid][itiran_x],106 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[5][hid][itiran_y],%tmp mov ?r[5][geo][itiran_x],150 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[5][geo][itiran_y],%tmp mov ?r[5][rud][itiran_x],62 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][rud][itiran_y],%tmp mov ?r[5][kir][itiran_x],106 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][kir][itiran_y],%tmp mov ?r[5][but][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][but][itiran_y],%tmp mov ?r[5][gen][itiran_x],17 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[5][gen][itiran_y],%tmp mov ?r[5][ros][itiran_x],62 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[5][ros][itiran_y],%tmp mov ?r[5][mar][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[5][mar][itiran_y],%tmp mov ?r[5][sha][itiran_x],17 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[5][sha][itiran_y],%tmp mov ?r[5][kan][itiran_x],62 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[5][kan][itiran_y],%tmp mov ?r[5][goh][itiran_x],106 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[5][goh][itiran_y],%tmp mov ?r[5][kum][itiran_x],150 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[5][kum][itiran_y],%tmp mov ?r[5][eri][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][eri][itiran_y],%tmp mov ?r[5][pie][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][pie][itiran_y],%tmp mov ?r[5][wel][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][wel][itiran_y],%tmp ;キャラの立ち位置、X座標を入力 mov ?r[5][kin][tati_x],380 mov ?r[5][kla][tati_x],425 mov ?r[5][nat][tati_x],430 mov ?r[5][jes][tati_x],420 mov ?r[5][nan][tati_x],425 mov ?r[5][eva][tati_x],440 mov ?r[5][hid][tati_x],415 mov ?r[5][geo][tati_x],435 mov ?r[5][rud][tati_x],455 mov ?r[5][kir][tati_x],455 mov ?r[5][but][tati_x],455 mov ?r[5][gen][tati_x],455 mov ?r[5][ros][tati_x],445 mov ?r[5][mar][tati_x],390 mov ?r[5][sha][tati_x],430 mov ?r[5][kan][tati_x],460 mov ?r[5][goh][tati_x],450 mov ?r[5][kum][tati_x],440 mov ?r[5][bea][tati_x],380 mov ?r[5][ber][tati_x],430 mov ?r[5][lam][tati_x],420 mov ?r[5][enj][tati_x],440 mov ?r[5][eri][tati_x],400 mov ?r[5][pie][tati_x],455 mov ?r[5][wel][tati_x],455 mov ?tips[1][tips_page_max],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_page_max],3 ;赤鍵(セキケン) mov ?tips[3][tips_page_max],2 ;青鍵(セイケン) mov ?tips[4][tips_page_max],1 ;ノックス十戒 mov %tips_kazu,4 return ;************************************************* ;************************************************* ; ep5_2(魔女サイドメニュー、変数の初期化) ;************************************************* *bt_ep5_2_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5_2 for %tmp12 = 0 to 6 mov ?r[5][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[5][ma5_lam][condition_end],1 mov $?r[5][ma5_lam][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\lam_l.bmp" mov ?r[5][ma5_ber][condition_end],1 mov $?r[5][ma5_ber][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ber_l.bmp" mov ?r[5][ma5_bea][condition_end],2 mov $?r[5][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_l.bmp" mov $?r[5][ma5_bea][2],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_d.bmp" mov ?r[5][ma5_wal][condition_end],1 mov $?r[5][ma5_wal][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\wal_l.bmp" mov ?r[5][ma5_ron][condition_end],1 mov $?r[5][ma5_ron][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ron_l.bmp" mov ?r[5][ma5_gap][condition_end],1 mov $?r[5][ma5_gap][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\gap_l.bmp" mov ?r[5][ma5_but][condition_end],1 mov $?r[5][ma5_but][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\but_l.bmp" mov ?r[5][ma5_eri][condition_end],1 mov $?r[5][ma5_eri][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\eri_l.bmp" mov ?r[5][ma5_dla][condition_end],1 mov $?r[5][ma5_dla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\dla_l.bmp" mov ?r[5][ma5_s][condition_end],1 mov $?r[5][ma5_s][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\s_l.bmp" mov ?r[5][ma5_rg][condition_end],1 mov $?r[5][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\rg_l.bmp" mov ?r[5][ma5_ger][condition_end],1 mov $?r[5][ma5_ger][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ger_l.bmp" mov ?r[5][ma5_cor][condition_end],1 mov $?r[5][ma5_cor][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\cor_l.bmp" mov ?r[5][ma5_k][condition_end],1 mov $?r[5][ma5_k][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\k_l.bmp" ;一覧表示の座標を入力 mov ?r[5][ma5_bea][itiran_x],17 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[5][ma5_bea][itiran_y],%tmp mov ?r[5][ma5_wal][itiran_x],17 : mov %tmp,48 : add %tmp,r_top_y : mov ?r[5][ma5_wal][itiran_y],%tmp mov ?r[5][ma5_ron][itiran_x],17 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[5][ma5_ron][itiran_y],%tmp mov ?r[5][ma5_gap][itiran_x],17 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[5][ma5_gap][itiran_y],%tmp mov ?r[5][ma5_rg][itiran_x],17 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[5][ma5_rg][itiran_y],%tmp mov ?r[5][ma5_but][itiran_x],62 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[5][ma5_but][itiran_y],%tmp mov ?r[5][ma5_lam][itiran_x],106 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[5][ma5_lam][itiran_y],%tmp mov ?r[5][ma5_ber][itiran_x],150 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[5][ma5_ber][itiran_y],%tmp mov ?r[5][ma5_eri][itiran_x],150 : mov %tmp,48 : add %tmp,r_top_y : mov ?r[5][ma5_eri][itiran_y],%tmp mov ?r[5][ma5_dla][itiran_x],150 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[5][ma5_dla][itiran_y],%tmp mov ?r[5][ma5_ger][itiran_x],150 : mov %tmp,538 : add %tmp,r_top_y : mov ?r[5][ma5_ger][itiran_y],%tmp mov ?r[5][ma5_cor][itiran_x],150 : mov %tmp,585 : add %tmp,r_top_y : mov ?r[5][ma5_cor][itiran_y],%tmp mov ?r[5][ma5_k][itiran_x],150 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[5][ma5_k][itiran_y],%tmp mov ?r[5][ma5_s][itiran_x],150 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[5][ma5_s][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラの立ち位置、X座標を入力 mov ?r[5][ma5_lam][tati_x],410 mov ?r[5][ma5_ber][tati_x],430 mov ?r[5][ma5_bea][tati_x],380 mov ?r[5][ma5_eri][tati_x],400 mov ?r[5][ma5_wal][tati_x],360 mov ?r[5][ma5_ron][tati_x],420 mov ?r[5][ma5_gap][tati_x],415 mov ?r[5][ma5_s][tati_x],420 mov ?r[5][ma5_rg][tati_x],420 mov ?r[5][ma5_but][tati_x],455 mov ?r[5][ma5_dla][tati_x],405 mov ?r[5][ma5_ger][tati_x],395 mov ?r[5][ma5_cor][tati_x],403 mov ?tips[1][tips_page_max],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_page_max],3 ;赤鍵(セキケン) mov ?tips[3][tips_page_max],2 ;青鍵(セイケン) mov ?tips[4][tips_page_max],1 ;ノックス十戒 mov %tips_kazu,4 return ;************************************************* ;*********************************************************************** ; ;うみねこのなく頃に ;BGM、試聴モード(変数3700、3800番台使用) ; ;*********************************************************************** *bgm_mode texton ;%bgm_mood_op_no\\ textoff ;***【初期化処理】****************************************************** mov %cur_language,1 ;日本語で始める E_A ;現在演奏中の曲をストップする setwindow 31,16,22,16,22,22,0,2,20,1,1,#ffffff,0,0,639,479 textclear rmode 0 ;右クリックメニューの無効化 erasetextwindow 0 csp -1 ;スプライト画像の、メモリからの消去 btndef "" ;ボタン画像の、メモリからの消去 gosub *DATA_set ;観音フェードで seplay 9,17 bg white,18,1200,":c;Bmp\Efe\1.bmp" wait 500 ;print 1 mov %bm_m,0 mov %bm_p,7 ;最初に流れる曲の番号54 mov %bm_rnd_kazu,0 mov %bm_rpi,1 mov %bm_stop,0 mov %bgm_kowai_skip,0 mov %bgm_mood_1min,0 mov %bgm_mood_demo,0 mov %bgm_mood_file_path_flg,0 mov %bgm_mood_tyokuzenn_op_fede_skip,0 mov %bgm_mood_page,1 ;曲一覧ページ数 if %UMINEKOEND_MUSIC_FLG = 1 mov %UMINEKOEND_MUSIC_FLG,0 ;新規追加告知フラグ mov %bgm_mood_demo_zennkai_nagasita_op,1 bgmvol 70 : mov %BGM_v_Ch,70 ;148 mov %bgm_max2,50 mov %3801,0 mov %bgm_mood_turu_pettan_no,94 ;ツルペッタンの番号(重複欠番の94を当てる) ; mov %bgm_mood_op_no,%3801 : inc %3801 ;ムービーの番号(デモモードで使用) mov %bgm_mood_op_no,%3801 : inc %3801 ;音楽室のバグが生じるため固定値にしてみる ; mov %bgm_mood_op2_no,3717 : inc %3801 ;ムービーの番号(デモモードで使用) ; mov %bgm_mood_op2_no,%3801 : inc %3801 ;ムービーの番号(デモモードで使用) ; mov %bgm_mood_op3_no,%3801 : inc %3801 ;ムービーの番号(デモモードで使用) mov %bgm_mood_ed_no,%3801 : inc %3801 ;EDの番号(デモモードでは演奏を行わない) mov %bgm_mood_me1_no,%3801 : inc %3801 ;MEの番号 mov %bgm_mood_me2_no,%3801 : inc %3801 ;MEの番号 mov %bgm_mood_me1_btn_no,0 ;MEの(ファイルパス数字変数) mov %bgm_mood_me2_btn_no,0 ;MEの(ファイルパス数字変数) mov %bgm_mood_demo_kaisuu,0 ;デモモード時、一定回数毎にOPを流す為の変数。 ;ランダムチェック変数の初期化 for %3801 = 0 to %bgm_max2 mov ?bgmm[%3801][bm_r],0 next ;***【データ入力】****************************************************** ;データを順番に入力、曲の順番を入れ替える時は、順序をそのまま入れ替える gosub *bgm_mode_bgm_date_input ;製作中一時変更点 mov %bm_pt,?bgmm[%bm_p][bm_t] bgm $?bgmm[%bm_p][bm_pa] ;delay 500 mov $tmp,":c;" : add $tmp,Different_space_2d lsp 510,$tmp,0,0 ;bg black,22 ;18,1000,":c;Bmp\Efe\1.bmp" lsp 499,":c;bmp\r_click\black.bmp",0,0,120 ;print 22 ;setwindow 40,16,22,16,26,26,0,2,20,1,1,#ffffff,0,0,639,479 ;lsp 50,":s#FFFFFF演奏したい曲を選んでクリックして下さい",60,11 print 22 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;文字スプライトを作成してボタン化する ;4列、15行で固定 mov %3805,301 mov %3807,101 mov %3806,15 ;曲一覧の、一番上の高さ mov %3801,25 : mov %3802,%3806 : mov %3803,0 : mov %3804,19 lsp %3805,":s#B7B7B7#FF1D1D$4001",%3801,%3802 : lsph %3807,":s#FFFFFF$4001",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4002",%3801,%3802 : lsph %3807,":s#FFFFFF$4002",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4003",%3801,%3802 : lsph %3807,":s#FFFFFF$4003",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4004",%3801,%3802 : lsph %3807,":s#FFFFFF$4004",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4005",%3801,%3802 : lsph %3807,":s#FFFFFF$4005",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4006",%3801,%3802 : lsph %3807,":s#FFFFFF$4006",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4007",%3801,%3802 : lsph %3807,":s#FFFFFF$4007",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4008",%3801,%3802 : lsph %3807,":s#FFFFFF$4008",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4009",%3801,%3802 : lsph %3807,":s#FFFFFF$4009",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4010",%3801,%3802 : lsph %3807,":s#FFFFFF$4010",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4011",%3801,%3802 : lsph %3807,":s#FFFFFF$4011",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4012",%3801,%3802 : lsph %3807,":s#FFFFFF$4012",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4013",%3801,%3802 : lsph %3807,":s#FFFFFF$4013",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4014",%3801,%3802 : lsph %3807,":s#FFFFFF$4014",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4015",%3801,%3802 : lsph %3807,":s#FFFFFF$4015",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 mov %3801,175 : mov %3802,%3806 : mov %3803,0 lsp %3805,":s#B7B7B7#FF1D1D$4016",%3801,%3802 : lsph %3807,":s#FFFFFF$4016",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4017",%3801,%3802 : lsph %3807,":s#FFFFFF$4017",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4018",%3801,%3802 : lsph %3807,":s#FFFFFF$4018",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4019",%3801,%3802 : lsph %3807,":s#FFFFFF$4019",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4020",%3801,%3802 : lsph %3807,":s#FFFFFF$4020",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4021",%3801,%3802 : lsph %3807,":s#FFFFFF$4021",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4022",%3801,%3802 : lsph %3807,":s#FFFFFF$4022",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4023",%3801,%3802 : lsph %3807,":s#FFFFFF$4023",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4024",%3801,%3802 : lsph %3807,":s#FFFFFF$4024",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4025",%3801,%3802 : lsph %3807,":s#FFFFFF$4025",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4026",%3801,%3802 : lsph %3807,":s#FFFFFF$4026",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4027",%3801,%3802 : lsph %3807,":s#FFFFFF$4027",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4028",%3801,%3802 : lsph %3807,":s#FFFFFF$4028",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4029",%3801,%3802 : lsph %3807,":s#FFFFFF$4029",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4030",%3801,%3802 : lsph %3807,":s#FFFFFF$4030",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 mov %3801,330 : mov %3802,%3806 : mov %3803,0 lsp %3805,":s#B7B7B7#FF1D1D$4031",%3801,%3802 : lsph %3807,":s#FFFFFF$4031",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4032",%3801,%3802 : lsph %3807,":s#FFFFFF$4032",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4033",%3801,%3802 : lsph %3807,":s#FFFFFF$4033",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4034",%3801,%3802 : lsph %3807,":s#FFFFFF$4034",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4035",%3801,%3802 : lsph %3807,":s#FFFFFF$4035",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4036",%3801,%3802 : lsph %3807,":s#FFFFFF$4036",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4037",%3801,%3802 : lsph %3807,":s#FFFFFF$4037",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4038",%3801,%3802 : lsph %3807,":s#FFFFFF$4038",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4039",%3801,%3802 : lsph %3807,":s#FFFFFF$4039",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4040",%3801,%3802 : lsph %3807,":s#FFFFFF$4040",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4041",%3801,%3802 : lsph %3807,":s#FFFFFF$4041",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4042",%3801,%3802 : lsph %3807,":s#FFFFFF$4042",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4043",%3801,%3802 : lsph %3807,":s#FFFFFF$4043",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4044",%3801,%3802 : lsph %3807,":s#FFFFFF$4044",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4045",%3801,%3802 : lsph %3807,":s#FFFFFF$4045",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 mov %3801,480 : mov %3802,%3806 : mov %3803,0 lsp %3805,":s#B7B7B7#FF1D1D$4046",%3801,%3802 : lsph %3807,":s#FFFFFF$4046",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4047",%3801,%3802 : lsph %3807,":s#FFFFFF$4047",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4048",%3801,%3802 : lsph %3807,":s#FFFFFF$4048",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4049",%3801,%3802 : lsph %3807,":s#FFFFFF$4049",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 lsp %3805,":s#B7B7B7#FF1D1D$4050",%3801,%3802 : lsph %3807,":s#FFFFFF$4050",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4051",%3801,%3802 : lsph %3807,":s#FFFFFF$4051",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4052",%3801,%3802 : lsph %3807,":s#FFFFFF$4052",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4053",%3801,%3802 : lsph %3807,":s#FFFFFF$4053",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4054",%3801,%3802 : lsph %3807,":s#FFFFFF$4054",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4055",%3801,%3802 : lsph %3807,":s#FFFFFF$4055",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4056",%3801,%3802 : lsph %3807,":s#FFFFFF$4056",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4057",%3801,%3802 : lsph %3807,":s#FFFFFF$4057",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4058",%3801,%3802 : lsph %3807,":s#FFFFFF$4058",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4059",%3801,%3802 : lsph %3807,":s#FFFFFF$4059",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4060",%3801,%3802 : lsph %3807,":s#FFFFFF$4060",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;2ページ目に移行 ;mov %3801,25 : mov %3802,%3806 : mov %3803,0 ;lsph %3805,":s#B7B7B7#FF1D1D$4061",%3801,%3802 : lsph %3807,":s#FFFFFF$4061",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4062",%3801,%3802 : lsph %3807,":s#FFFFFF$4062",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4063",%3801,%3802 : lsph %3807,":s#FFFFFF$4063",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4064",%3801,%3802 : lsph %3807,":s#FFFFFF$4064",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4065",%3801,%3802 : lsph %3807,":s#FFFFFF$4065",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4066",%3801,%3802 : lsph %3807,":s#FFFFFF$4066",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4067",%3801,%3802 : lsph %3807,":s#FFFFFF$4067",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4068",%3801,%3802 : lsph %3807,":s#FFFFFF$4068",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4069",%3801,%3802 : lsph %3807,":s#FFFFFF$4069",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4070",%3801,%3802 : lsph %3807,":s#FFFFFF$4070",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4071",%3801,%3802 : lsph %3807,":s#FFFFFF$4071",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4072",%3801,%3802 : lsph %3807,":s#FFFFFF$4072",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4073",%3801,%3802 : lsph %3807,":s#FFFFFF$4073",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4074",%3801,%3802 : lsph %3807,":s#FFFFFF$4074",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4075",%3801,%3802 : lsph %3807,":s#FFFFFF$4075",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;mov %3801,175 : mov %3802,%3806 : mov %3803,0 ;lsph %3805,":s#B7B7B7#FF1D1D$4076",%3801,%3802 : lsph %3807,":s#FFFFFF$4076",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4077",%3801,%3802 : lsph %3807,":s#FFFFFF$4077",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4078",%3801,%3802 : lsph %3807,":s#FFFFFF$4078",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4079",%3801,%3802 : lsph %3807,":s#FFFFFF$4079",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4080",%3801,%3802 : lsph %3807,":s#FFFFFF$4080",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4081",%3801,%3802 : lsph %3807,":s#FFFFFF$4081",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4082",%3801,%3802 : lsph %3807,":s#FFFFFF$4082",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4083",%3801,%3802 : lsph %3807,":s#FFFFFF$4083",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4084",%3801,%3802 : lsph %3807,":s#FFFFFF$4084",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4085",%3801,%3802 : lsph %3807,":s#FFFFFF$4085",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4086",%3801,%3802 : lsph %3807,":s#FFFFFF$4086",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4087",%3801,%3802 : lsph %3807,":s#FFFFFF$4087",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4088",%3801,%3802 : lsph %3807,":s#FFFFFF$4088",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4089",%3801,%3802 : lsph %3807,":s#FFFFFF$4089",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4090",%3801,%3802 : lsph %3807,":s#FFFFFF$4090",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;mov %3801,330 : mov %3802,%3806 : mov %3803,0 ;lsp %3805,":s#B7B7B7#FF1D1D$4091",%3801,%3802 : lsph %3807,":s#FFFFFF$4091",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4092",%3801,%3802 : lsph %3807,":s#FFFFFF$4092",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4093",%3801,%3802 : lsph %3807,":s#FFFFFF$4093",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4094",%3801,%3802 : lsph %3807,":s#FFFFFF$4094",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4095",%3801,%3802 : lsph %3807,":s#FFFFFF$4095",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4096",%3801,%3802 : lsph %3807,":s#FFFFFF$4096",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4097",%3801,%3802 : lsph %3807,":s#FFFFFF$4097",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4098",%3801,%3802 : lsph %3807,":s#FFFFFF$4098",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4099",%3801,%3802 : lsph %3807,":s#FFFFFF$4099",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4100",%3801,%3802 : lsph %3807,":s#FFFFFF$4100",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4101",%3801,%3802 : lsph %3807,":s#FFFFFF$4101",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4102",%3801,%3802 : lsph %3807,":s#FFFFFF$4102",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4103",%3801,%3802 : lsph %3807,":s#FFFFFF$4103",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4104",%3801,%3802 : lsph %3807,":s#FFFFFF$4104",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4105",%3801,%3802 : lsph %3807,":s#FFFFFF$4105",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;mov %3801,485 : mov %3802,%3806 : mov %3803,0 ;lsp %3805,":s#B7B7B7#FF1D1D$4106",%3801,%3802 : lsph %3807,":s#FFFFFF$4106",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4107",%3801,%3802 : lsph %3807,":s#FFFFFF$4107",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4108",%3801,%3802 : lsph %3807,":s#FFFFFF$4108",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4109",%3801,%3802 : lsph %3807,":s#FFFFFF$4109",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4110",%3801,%3802 : lsph %3807,":s#FFFFFF$4110",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4111",%3801,%3802 : lsph %3807,":s#FFFFFF$4111",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4112",%3801,%3802 : lsph %3807,":s#FFFFFF$4112",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4113",%3801,%3802 : lsph %3807,":s#FFFFFF$4113",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4114",%3801,%3802 : lsph %3807,":s#FFFFFF$4114",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4115",%3801,%3802 : lsph %3807,":s#FFFFFF$4115",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsp %3805,":s#B7B7B7#FF1D1D$4116",%3801,%3802 : lsph %3807,":s#FFFFFF$4116",%38;01,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4117",%3801,%3802 : lsph %3807,":s#FFFFFF$4117",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4118",%3801,%3802 : lsph %3807,":s#FFFFFF$4118",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4119",%3801,%3802 : lsph %3807,":s#FFFFFF$4119",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4120",%3801,%3802 : lsph %3807,":s#FFFFFF$4120",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;3ページ目に移行 ;mov %3801,25 : mov %3802,%3806 : mov %3803,0 ;lsph %3805,":s#B7B7B7#FF1D1D$4121",%3801,%3802 : lsph %3807,":s#FFFFFF$4121",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4122",%3801,%3802 : lsph %3807,":s#FFFFFF$4122",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4123",%3801,%3802 : lsph %3807,":s#FFFFFF$4123",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;ここからEP7(テストで20曲分+ムービー1曲登録。採用曲が出次第順次登録 ;lsph %3805,":s#B7B7B7#FF1D1D$4124",%3801,%3802 : lsph %3807,":s#FFFFFF$4124",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4125",%3801,%3802 : lsph %3807,":s#FFFFFF$4125",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4126",%3801,%3802 : lsph %3807,":s#FFFFFF$4126",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4127",%3801,%3802 : lsph %3807,":s#FFFFFF$4127",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4128",%3801,%3802 : lsph %3807,":s#FFFFFF$4128",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4129",%3801,%3802 : lsph %3807,":s#FFFFFF$4129",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4130",%3801,%3802 : lsph %3807,":s#FFFFFF$4130",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4131",%3801,%3802 : lsph %3807,":s#FFFFFF$4131",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4132",%3801,%3802 : lsph %3807,":s#FFFFFF$4132",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4133",%3801,%3802 : lsph %3807,":s#FFFFFF$4133",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4134",%3801,%3802 : lsph %3807,":s#FFFFFF$4134",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4135",%3801,%3802 : lsph %3807,":s#FFFFFF$4135",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;行変更 ;mov %3801,175 : mov %3802,%3806 : mov %3803,0 ;lsph %3805,":s#B7B7B7#FF1D1D$4136",%3801,%3802 : lsph %3807,":s#FFFFFF$4136",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4137",%3801,%3802 : lsph %3807,":s#FFFFFF$4137",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4138",%3801,%3802 : lsph %3807,":s#FFFFFF$4138",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4139",%3801,%3802 : lsph %3807,":s#FFFFFF$4139",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4140",%3801,%3802 : lsph %3807,":s#FFFFFF$4140",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4141",%3801,%3802 : lsph %3807,":s#FFFFFF$4141",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4142",%3801,%3802 : lsph %3807,":s#FFFFFF$4142",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4143",%3801,%3802 : lsph %3807,":s#FFFFFF$4143",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4144",%3801,%3802 : lsph %3807,":s#FFFFFF$4144",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$4145",%3801,%3802 : lsph %3807,":s#FFFFFF$4145",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;ここで、変数4145まで使いきっています。 ;4146からは使用できないため、ここからは開いている...と思われる3950番からを使います。 ;lsph %3805,":s#B7B7B7#FF1D1D$3950",%3801,%3802 : lsph %3807,":s#FFFFFF$3950",%3801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3951",%3801,%3802 : lsph %3807,":s#FFFFFF$3951",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3952",%3801,%3802 : lsph %3807,":s#FFFFFF$3952",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3953",%3801,%3802 : lsph %3807,":s#FFFFFF$3953",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3954",%3801,%3802 : lsph %3807,":s#FFFFFF$3954",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;行変更 ;mov %3801,330 : mov %3802,%3806 : mov %3803,0 ;lsph %3805,":s#B7B7B7#FF1D1D$3955",%3801,%3802 : lsph %3807,":s#FFFFFF$3955",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;451 ;lsph %3805,":s#B7B7B7#FF1D1D$3956",%3801,%3802 : lsph %3807,":s#FFFFFF$3956",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;452 ;lsph %3805,":s#B7B7B7#FF1D1D$3957",%3801,%3802 : lsph %3807,":s#FFFFFF$3957",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;453;; ;lsph %3805,":s#B7B7B7#FF1D1D$3958",%3801,%3802 : lsph %3807,":s#FFFFFF$3958",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;454 ;lsph %3805,":s#B7B7B7#FF1D1D$3959",%3801,%3802 : lsph %3807,":s#FFFFFF$3959",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3960",%3801,%3802 : lsph %3807,":s#FFFFFF$3960",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3961",%3801,%3802 : lsph %3807,":s#FFFFFF$3961",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3962",%3801,%3802 : lsph %3807,":s#FFFFFF$3962",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3963",%3801,%3802 : lsph %3807,":s#FFFFFF$3963",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3964",%3801,%3802 : lsph %3807,":s#FFFFFF$3964",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3965",%3801,%3802 : lsph %3807,":s#FFFFFF$3965",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3966",%3801,%3802 : lsph %3807,":s#FFFFFF$3966",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3967",%3801,%3802 : lsph %3807,":s#FFFFFF$3967",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3968",%3801,%3802 : lsph %3807,":s#FFFFFF$3968",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;lsph %3805,":s#B7B7B7#FF1D1D$3969",%3801,%3802 : lsph %3807,":s#FFFFFF$3969",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 ;mov %3801,485 : mov %3802,%3806 : mov %3803,0 ;lsph %3805,":s#B7B7B7#FF1D1D$3970",%3801,%3802 : lsph %3807,":s#FFFFFF$3970",%3;801,%3802 : add %3802,%3804 : inc %3805 : inc %3807 *bgm_mode_mini_skip ;各システムボタンの配置 ;mov %3801,30 mov %3802,442 mov %3803,422 mov %3804,444 setLangPrefix lsp bgm_ennsou_lsp,":ba;"+$witchh4+"bgm_mode\ensou_w.png",40,%3802 lsph bgm_btn_ennsou_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\ensou_btn.png",40,%3802 lsph bgm_zennkyoku_lsp,":ba;"+$witchh4+"bgm_mode\all_w.png",90,%3803 lsp bgm_btn_zennkyoku_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\all_btn.png",90,%3803 lsph bgm_ranndamu_lsp,":ba;"+$witchh4+"bgm_mode\rnd_w.png",90,%3804 lsp bgm_btn_ranndamu_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\rnd_btn.png",90,%3804 lsp bgm_btn_stop_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\stop_btn.png",198,%3802 lsp bgm_rpi_lsp,":ba;"+$witchh4+"bgm_mode\r_1_w.png",240,%3802 lsph bgm_btn_rpi_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\r_1.png",240,%3802 lsph bgm_min_lsp,":ba;"+$witchh4+"bgm_mode\min_w.png",343,%3804 lsp bgm_btn_min_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\min_btn.png",343,%3804 ;lsph bgm_demo_lsp,":ba;"+$witchh4+"bgm_mode\demo_w.png",343,%3804 ;lsp bgm_btn_demo_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\demo_btn.png",343,%3804 ;lsph bgm_kowai_skip_lsp,":ba;"+$witchh4+"bgm_mode\ko_skip_w.png",425,%3803 ;lsp bgm_btn_kowai_skip_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\ko_skip_btn.png",425,%3803 ;lsph bgm_kowai_only_lsp,":ba;"+$witchh4+"bgm_mode\kowai_w.png",425,%3804 ;lsp bgm_btn_kowai_only_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\kowai_btn.png",425,%3804 lsp bgm_btn_title_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\modoru_btn.png",570,%3802 ;タイトルに戻る ;lsp bgm_btn_next_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\next_btn.png",510,304 ;曲一覧ネクストボタン ;lsph bgm_btn_back_lsp,":ba/2,0,3;"+$witchh4+"bgm_mode\back_btn.png",15,304 ;曲一覧バックボタン ;ウィンドウの表示 lsp bgm_window_lsp,":ba;bgm_mode\window.png",2,325,230 ;スプライト40 ;print 22 ;** ボタンの設定と処理ルーチン*************************************** *bgm_mode_botann_teigi btndef "" notif %bgm_mood_page = 1 goto *bgm_mode_botann_teigi_100 ;ボタン、表示と非表示の調整 for %tmp = 301 to 360 : vsp %tmp,1 : next for %tmp = 361 to 420 : vsp %tmp,0 : next for %tmp = 421 to 480 : vsp %tmp,0 : next vsp bgm_btn_next_lsp,1 vsp bgm_btn_back_lsp,0 print 22 ;1ページ目 1〜60曲まで表示、NEXTボタンを表示 exbtn_d "P301,0P302,0P303,0P304,0P305,0P306,0P307,0P308,0P309,0P310,0P311,0P312,0P313,0P314,0P315,0P316,0P317,0P318,0P319,0P320,0P321,0P322,0P323,0P324,0P325,0P326,0P327,0P328,0P329,0P330,0P331,0P332,0P333,0P334,0P335,0P336,0P337,0P338,0P339,0P340,0P341,0P342,0P343,0P344,0P345,0P346,0P347,0P348,0P349,0P350,0P351,0P352,0P353,0P354,0P355,0P356,0P357,0P358,0P359,0P360,0" mov %3801,301 : mov %3802,1 exbtn %3801,%3802,"P301,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P302,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P303,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P304,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P305,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P306,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P307,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P308,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P309,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P310,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P311,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P312,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P313,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P314,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P315,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P316,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P317,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P318,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P319,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P320,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P321,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P322,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P323,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P324,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P325,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P326,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P327,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P328,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P329,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P330,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P331,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P332,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P333,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P334,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P335,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P336,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P337,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P338,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P339,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P340,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P341,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P342,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P343,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P344,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P345,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P346,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P347,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P348,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P349,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P350,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P351,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P352,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P353,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P354,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P355,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P356,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P357,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P358,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P359,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P360,1" : inc %3801 : inc %3802 exbtn bgm_btn_next_lsp,bgm_btn_next,"P21,1" *bgm_mode_botann_teigi_100 notif %bgm_mood_page = 2 goto *bgm_mode_botann_teigi_200 ;ボタン、表示と非表示の調整 for %tmp = 301 to 360 : vsp %tmp,0 : next for %tmp = 361 to 420 : vsp %tmp,1 : next for %tmp = 421 to 480 : vsp %tmp,0 : next vsp bgm_btn_next_lsp,1 vsp bgm_btn_back_lsp,1 print 22 ;2ページ目 61〜120曲まで表示、BACKボタンを表示 exbtn_d "P361,0P362,0P363,0P364,0P365,0P366,0P367,0P368,0P369,0P370,0P371,0P372,0P373,0P374,0P375,0P376,0P377,0P378,0P379,0P380,0P381,0P382,0P383,0P384,0P385,0P386,0P387,0P388,0P389,0P390,0P391,0P392,0P393,0P394,0P395,0P396,0P397,0P398,0P399,0P400,0P401,0P402,0P403,0P404,0P405,0P406,0P407,0P408,0P409,0P410,0P411,0P412,0P413,0P414,0P415,0P416,0P417,0P418,0P419,0P420,0";,0" mov %3801,361 : mov %3802,61 exbtn %3801,%3802,"P361,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P362,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P363,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P364,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P365,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P366,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P367,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P368,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P369,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P370,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P371,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P372,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P373,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P374,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P375,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P376,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P377,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P378,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P379,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P380,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P381,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P382,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P383,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P384,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P385,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P386,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P387,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P388,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P389,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P390,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P391,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P392,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P393,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P394,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P395,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P396,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P397,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P398,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P399,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P400,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P401,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P402,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P403,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P404,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P405,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P406,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P407,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P408,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P409,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P410,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P411,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P412,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P413,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P414,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P415,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P416,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P417,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P418,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P419,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P420,1" : inc %3801 : inc %3802 exbtn bgm_btn_back_lsp,bgm_btn_back,"P20,1" exbtn bgm_btn_next_lsp,bgm_btn_next,"P21,1" ; exbtn bgm_btn_next_lsp,bgm_btn_next,"P21_2,1" *bgm_mode_botann_teigi_200 notif %bgm_mood_page = 3 goto *bgm_mode_botann_teigi_300 ;ボタン、表示と非表示の調整 for %tmp = 301 to 360 : vsp %tmp,0 : next for %tmp = 361 to 420 : vsp %tmp,0 : next for %tmp = 421 to 480 : vsp %tmp,1 : next vsp bgm_btn_next_lsp,0 vsp bgm_btn_back_lsp,1 print 22 ;3ページ目 121〜180曲まで表示、BACKボタンを表示 exbtn_d "P421,0P422,0P423,0P424,0P425,0P426,0P427,0P428,0P429,0P430,0P431,0P432,0P433,0P434,0P434,0P435,0P436,0P437,0P438,0P439,0P440,0P441,0P442,0P443,0P444,0P445,0P446,0P447,0P448,0P449,0P450,0P451,0P452,0P453,0P454,0P455,0P456,0P457,0P458,0P459,0P460,0P461,0P462,0P463,0P464,0P465,0P466,0";0P467,0P468,0P469,0P470,0P471,OP472,0P473,0P474,0P475,0P476,OP477,0P478,0P479,0P480,0" mov %3801,421 : mov %3802,121 exbtn %3801,%3802,"P421,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P422,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P423,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P424,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P425,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P426,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P427,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P428,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P429,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P430,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P431,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P432,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P433,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P434,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P435,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P436,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P437,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P438,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P439,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P440,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P441,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P442,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P443,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P444,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P445,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P446,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P447,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P448,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P449,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P450,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P451,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P452,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P453,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P454,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P455,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P456,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P457,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P458,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P459,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P460,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P461,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P462,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P463,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P464,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P465,1" : inc %3801 : inc %3802 exbtn %3801,%3802,"P466,1" : inc %3801 : inc %3802 ; exbtn %3801,%3802,"P467,1" : inc %3801 : inc %3802 ; exbtn %3801,%3802,"P468,1" : inc %3801 : inc %3802 ; exbtn %3801,%3802,"P469,1" : inc %3801 : inc %3802 ; exbtn %3801,%3802,"P470,1" : inc %3801 : inc %3802 ; exbtn %3801,%3802,"P471,1" : inc %3801 : inc %3802 ; exbtn %3801,%3802,"P472,1" : inc %3801 : inc %3802 exbtn bgm_btn_back_lsp,bgm_btn_back,"P20,1" ; exbtn bgm_btn_back_lsp,bgm_btn_back,"P21,1" ; exbtn bgm_btn_next_lsp,bgm_btn_next,"P22,1" *bgm_mode_botann_teigi_300 exbtn bgm_btn_ranndamu_lsp,bgm_btn_ranndamu,"P35,1" ;気まぐれ演奏 exbtn bgm_btn_ennsou_lsp,bgm_btn_ennsou,"P39,1" ;演奏 ;ボタンのオン、オフで、定義を切り替える exbtn bgm_btn_zennkyoku_lsp,bgm_btn_zennkyoku,"P37,1" ;全曲演奏 exbtn bgm_btn_stop_lsp,bgm_btn_stop,"P33,1" ;ストップ exbtn bgm_btn_rpi_lsp,bgm_btn_rpi,"P32,1" ;繰り返し演奏 exbtn bgm_btn_min_lsp,bgm_btn_min,"P30,1" ;1分モード ;exbtn bgm_btn_demo_lsp,bgm_btn_demo,"P28,1" ;デモモード exbtn bgm_btn_kowai_skip_lsp,bgm_btn_kowai_skip,"P26,1" ;怖い曲を飛ばす exbtn bgm_btn_kowai_only_lsp,bgm_btn_kowai_only,"P24,1" ;怖い曲だけ演奏 exbtn bgm_btn_title_lsp,bgm_btn_title,"P22,1" ;タイトルへ戻る ;ED演奏時はスキップ if %bm_p = %bgm_mood_ed_no goto *bgm_mode_btn_lp *bgm_mode_btn_lp_0 ;ランダム、順番演奏の為に時間制限ボタンを使用する。 getbtntimer %bm_pt2 sub %bm_pt,%bm_pt2 *bgm_mode_btn_lp if %bm_pt < 0 mov %bm_pt,0 notif %bm_p = 0 btntime %bm_pt if %bm_stop = 0 btntime 10000 if %bm_p = 0 btntime 10000 btnwait2 %BtnRes ;時間切れの処理、ループ、次の曲に、ランダム notif %BtnRes <= -5 goto *bgm_mode_100 ;演奏停止中は、ボタン待ち if %bm_stop = 0 goto *bgm_mode_btn_lp ;【演奏+リピート】 一曲リピート if %bm_rpi=1 if %bm_m = 0 mov %bm_pt,?bgmm[%bm_p][bm_t]: goto *bgm_mode_btn_lp ;演奏のみなら、停止処理 if %bm_rpi=0 if %bm_m = 0 mov %BtnRes,bgm_btn_stop : goto *bgm_mode_100 ;順演奏 notif %bm_m = 1 goto *bgm_mode_10 ;演奏している曲が一番最後なら、リピートのオンオフを確認 if %bm_rpi = 1 && %bm_p >= %bgm_max2 mov %BtnRes,1 : goto *bgm_mode_play_kyokunitobu if %bm_p < %bgm_max2 mov %BtnRes,%bm_p : inc %BtnRes : goto *bgm_mode_play_kyokunitobu ;リピートがオフならストップを入力する。 mov %BtnRes,bgm_btn_stop : goto *bgm_mode_100 *bgm_mode_10 ;ランダム演奏 notif %bm_m = 2 goto *bgm_mode_20 ;ランダムに次の曲へ ;テスト ;notif %bgm_mood_demo = 1 jumpf ; if %bm_p = %bgm_max2 mov %BtnRes,1 : goto *bgm_mode_play_kyokunitobu ; mov %BtnRes,%bm_p : inc %BtnRes : goto *bgm_mode_play_kyokunitobu ; ;~ ;曲数がマックスなら、リピートのオン、オフを確認 notif %bm_rnd_kazu >= %bgm_max2 goto *bgm_mode_25 if %bm_rpi = 1 gosub *bgm_r_shokika if %bm_rpi = 0 mov %BtnRes,bgm_btn_stop : goto *bgm_mode_100 *bgm_mode_25 ;演奏されていない曲数から、ランダムで何番目を演奏するか算出 mov %3803,%bgm_max2 : sub %3803,%bm_rnd_kazu rnd2 %3802,1,%3803 notif %bgm_mood_demo = 1 goto *bgm_mode_26 ;デモモード時は決まった回数に一度、オープニングを流す。 notif %bgm_mood_demo_kaisuu >= 8 jumpf mov %bgm_mood_demo_kaisuu,0 ;交互に曲を流す if %bgm_mood_demo_zennkai_nagasita_op = 1 mov %bgm_mood_demo_zennkai_nagasita_op,2 : mov %BtnRes,2 : goto *bgm_mode_play_kyokunitobu if %bgm_mood_demo_zennkai_nagasita_op = 2 mov %bgm_mood_demo_zennkai_nagasita_op,1 : mov %BtnRes,1 : goto *bgm_mode_play_kyokunitobu ; if %bgm_mood_demo_zennkai_nagasita_op = 3 mov %bgm_mood_demo_zennkai_nagasita_op,1 : mov %BtnRes,1 : goto *bgm_mode_play_kyokunitobu ~ inc %bgm_mood_demo_kaisuu *bgm_mode_26 ;何番目かを決定したら、1からチェックして、演奏されていない曲を演奏する for %3803 = 1 to %bgm_max2 if ?bgmm[%3803][bm_r] = 0 dec %3802 : if %3802 = 0 mov %BtnRes,%3803 :break next goto *bgm_mode_play_kyokunitobu *bgm_mode_20 ;リピート演奏への切り替え notif %bm_m = 10 goto *bgm_mode_50 mov %bm_m,0 bgm $?bgmm[%bm_p][bm_pa] mov %bm_pt,?bgmm[%bm_p][bm_t] ;曲の時間を入力 goto *bgm_mode_btn_lp *bgm_mode_50 *bgm_mode_100 ;0と-1、デモモードの時はデモモードを抜ける処理を行う。 notif %bgm_mood_demo = 1 goto *bgm_mode_demo_kaijo_skip notif %BtnRes = -1 jumpf csp 0 csp 1 vsp bgm_demo_lsp,0 print 1 mov %bgm_mood_demo,0 mov %BtnRes,bgm_btn_stop goto *bgm_mode_100 ~ notif %BtnRes = 0 jumpf csp 0 csp 1 vsp bgm_demo_lsp,0 print 1 mov %bgm_mood_demo,0 mov %BtnRes,bgm_btn_stop goto *bgm_mode_100 ~ *bgm_mode_demo_kaijo_skip if %BtnRes = -1 goto *bgm_mode_end ;エンド処理 if %BtnRes = 0 goto *bgm_mode_btn_lp_0 ;ページ数、次のページを押されたら曲一覧を次のページに移動 notif %BtnRes = bgm_btn_next goto *bgm_mode_next_100 if %bgm_mood_page = 1 mov %bgm_mood_page,2 : for %tmp = 101 to 280 : vsp %tmp,0 : next : goto *bgm_mode_botann_teigi if %bgm_mood_page = 2 mov %bgm_mood_page,3 : for %tmp = 101 to 280 : vsp %tmp,0 : next : goto *bgm_mode_botann_teigi *bgm_mode_next_100 ;ページ数、前のページを押されたら曲一覧を前のページに移動 notif %BtnRes = bgm_btn_back goto *bgm_mode_back_100 if %bgm_mood_page = 3 mov %bgm_mood_page,2 : for %tmp = 101 to 280 : vsp %tmp,0 : next : goto *bgm_mode_botann_teigi if %bgm_mood_page = 2 mov %bgm_mood_page,1 : for %tmp = 101 to 280 : vsp %tmp,0 : next : goto *bgm_mode_botann_teigi *bgm_mode_back_100 ;演奏 notif %BtnRes = bgm_btn_ennsou goto *bgm_mode_150 ;他のパネルの設定に従って演奏を行う ;ランダムモードがONの時は、曲番号をランダムに変化 if %bm_m = 2 rnd2 %bm_p,1,%bgm_max2 ;曲番号を入力して、演奏ルーチンに飛ぶ if %bm_p <= 0 mov %bm_p,1 mov %BtnRes,%bm_p goto *bgm_mode_play_kyokunitobu_0 *bgm_mode_150 ;リピートのオン、オフ notif %BtnRes = bgm_btn_rpi goto *bgm_mode_200 ;リピートがオンになっているのならオフ、オフならオンに notif %bm_rpi=1 goto *bgm_mode_210 ;一曲、全曲、リピートボタンの変更 if %bm_m = 0 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_1.png",240,442 if %bm_m = 1 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_a.png",240,442 if %bm_m = 2 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_a.png",240,442 mov %bm_rpi,0 vsp bgm_rpi_lsp,0 print 1 goto *bgm_mode_btn_lp_0 *bgm_mode_210 ;一曲、全曲、リピートボタンの変更 if %bm_m = 0 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_1_w.png",240,442 if %bm_m = 1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_a_w.png",240,442 if %bm_m = 2 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_a_w.png",240,442 mov %bm_rpi,1 vsp bgm_rpi_lsp,1 : print 1 ;通常演奏なら、%bm_mを10に設定(BGMONCEで演奏されていた物を、BGMに変える為) if %bm_m = 0 mov %bm_m,10 goto *bgm_mode_btn_lp_0 *bgm_mode_200 ;順次演奏のオン、オフ notif %BtnRes = bgm_btn_zennkyoku goto *bgm_mode_300 ;オンならオフに、オフならオンにする notif %bm_m = 1 goto *bgm_mode_350 mov %bm_m,0 ;リピートボタンの変更 if %bm_rpi=1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_1_w.png",240,442 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_1.png",240,442 if %bm_rpi=0 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_1.png",240,442 vsp bgm_zennkyoku_lsp,0: print 1 ;リピートがオンなら10を入力(BGMONCEで演奏されていた物を、BGMに変える為) if %bm_rpi = 1 mov %bm_m,10 goto *bgm_mode_btn_lp_0 *bgm_mode_350 ;リピートボタンの変更 ; if %bm_rpi=1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":a;bgm_mode\r_a_w.bmp",240,442 ;xcode if %bm_rpi=1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_a_w.png",240,442 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_a.png",240,442 if %bm_rpi=0 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_a.png",240,442 mov %bm_m,1 vsp bgm_zennkyoku_lsp,1 vsp bgm_ranndamu_lsp,0 print 1 ;演奏されていないのなら、自動的に演奏をスタートする。 notif %bm_stop = 0 jumpf mov %BtnRes,bgm_btn_ennsou goto *bgm_mode_100 ~ goto *bgm_mode_btn_lp_0 *bgm_mode_300 ;ランダム演奏のオン、オフ notif %BtnRes = bgm_btn_ranndamu goto *bgm_mode_400 notif %bm_m = 2 goto *bgm_mode_450 mov %bm_m,0 ;リピートボタンの変更 if %bm_rpi=1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_1_w.png",240,442 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_1.png",240,442 if %bm_rpi=0 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_1.png",240,442 vsp bgm_ranndamu_lsp,0 : print 1 ;リピートがオンなら10を入力(BGMONCEで演奏されていた物を、BGMに変える為) if %bm_rpi = 1 mov %bm_m,10 goto *bgm_mode_btn_lp_0 *bgm_mode_450 mov %bm_m,2 ;リピートボタンの変更 ; if %bm_rpi=1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":a;bgm_mode\r_a_w.bmp",240,442 ;xcode if %bm_rpi=1 vsp bgm_btn_rpi_lsp,0 : lsp bgm_rpi_lsp,":ba;bgm_mode\r_a_w.png",240,442 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_a.png",240,442 if %bm_rpi=0 vsp bgm_rpi_lsp,0 : lsp bgm_btn_rpi_lsp,":ba/2,0,3;bgm_mode\r_a.png",240,442 vsp bgm_zennkyoku_lsp,0 vsp bgm_ranndamu_lsp,1 print 1 gosub *bgm_r_shokika ;演奏中なら現在の曲をチェック変数に入力 notif %bm_stop = 0 mov ?bgmm[%bm_p][bm_r],1 : mov %bm_rnd_kazu,0 : goto *bgm_mode_btn_lp_0 ;演奏されていないのなら、自動的に演奏をスタートする。 if %bm_stop = 0 mov %BtnRes,bgm_btn_ennsou : goto *bgm_mode_100 *bgm_mode_400 ;メドレーボタンのオン、オフ notif %BtnRes = bgm_btn_min goto *bgm_mode_1min_skip ;ON notif %bgm_mood_1min = 0 goto *bgm_mode_1min_10 mov %bgm_mood_1min,1 vsp bgm_min_lsp,1 print 1 ;曲が演奏されていなければ演奏を行う(この時、単曲再生なら、全曲再生にする。 notif %bm_stop = 0 jumpf if %bm_m <> 0 mov %BtnRes,bgm_btn_ennsou : goto *bgm_mode_100 if %bm_m = 0 mov %BtnRes,bgm_btn_zennkyoku : goto *bgm_mode_100 ~ ;曲が演奏中ならば、その曲はすぐにフェードアウトさせてしまう。 ;単曲演奏中ならば、順次演奏に変更 if %bm_m = 0 vsp bgm_zennkyoku_lsp,1 : vsp bgm_ranndamu_lsp,0 : print 1 : mov %bm_m,1 mov %bm_pt,0 : goto *bgm_mode_btn_lp goto *bgm_mode_btn_lp_0 *bgm_mode_1min_10 ;OFF if %bgm_mood_1min = 1 mov %bgm_mood_1min,0 : vsp bgm_min_lsp,0 :print 1 :goto *bgm_mode_btn_lp *bgm_mode_1min_skip ;デモモードのオン、オフ notif %BtnRes = bgm_btn_demo goto *bgm_mode_demo_skip ;ON notif %bgm_mood_demo = 0 goto *bgm_mode_demo_10 mov %bgm_mood_demo,1 vsp bgm_demo_lsp,1 print 1 ;デモ演奏用のルーチンに飛ぶ ;goto *bgm_demo_play ;演奏、ランダム、繰り返しを自動的にONに vsp bgm_rpi_lsp,1 : mov %bm_rpi,1 vsp bgm_min_lsp,0 : mov %bgm_mood_1min,0 ;vsp bgm_kowai_skip_lsp,0 : ;vsp bgm_kowai_only_lsp,0 : mov %bgm_kowai_skip,0 print 1 ;曲が演奏されていなければ演奏を行う(この時、気まぐれ再生にする) notif %bm_stop = 0 jumpf if %bm_m = 2 mov %BtnRes,bgm_btn_ennsou : goto *bgm_mode_100 if %bm_m <> 2 mov %BtnRes,bgm_btn_ranndamu : goto *bgm_mode_100 ~ ;曲が演奏されていればランダム演奏を行う mov %bm_pt,0 if %bm_m <> 2 vsp bgm_zennkyoku_lsp,0 : vsp bgm_ranndamu_lsp,1 : print 1 : mov %bm_m,2 mov %bm_pt,0 : goto *bgm_mode_btn_lp goto *bgm_mode_btn_lp_0 *bgm_mode_demo_10 notif %bgm_mood_demo = 1 goto *bgm_mode_demo_20 csp 0 vsp bgm_demo_lsp,0 print 1 mov %bgm_mood_demo,0 mov %BtnRes,bgm_btn_stop goto *bgm_mode_100 *bgm_mode_demo_20 *bgm_mode_demo_skip ;演奏停止処理 notif %BtnRes = bgm_btn_stop goto *bgm_mode_stop *bgm_mode_stop100 ;fede 0,2000 E_A textclear csp bgm_title_lsp : csp bgm_sakka_lsp : csp bgm_time_lsp : vsp bgm_ennsou_lsp,0 mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,0 print 1 mov %bm_stop,0 vsp bgm_ennsou_lsp,0 ;vsp bgm_zennkyoku_lsp,0 ;vsp bgm_ranndamu_lsp,0 print 1 gosub *bgm_r_shokika mov %bm_rnd_kazu,0 goto *bgm_mode_btn_lp *bgm_mode_stop ;怖い曲は飛ばすのチェック notif %BtnRes = bgm_btn_kowai_skip goto *bgm_mode500 notif %bgm_kowai_skip <= 0 goto *bgm_mode550 mov %bgm_kowai_skip,1 vsp bgm_kowai_skip_lsp,1 vsp bgm_kowai_only_lsp,0 print 1 goto *bgm_mode_btn_lp_0 *bgm_mode550 mov %bgm_kowai_skip,0 vsp bgm_kowai_skip_lsp,0 vsp bgm_kowai_only_lsp,0 : print 1 gosub *bgm_r_shokika goto *bgm_mode_btn_lp_0 *bgm_mode500 ;怖い曲だけ演奏のチェック notif %BtnRes = bgm_btn_kowai_only goto *bgm_mode600 notif %bgm_kowai_skip >= 0 goto *bgm_mode650 mov %bgm_kowai_skip,-1 vsp bgm_kowai_skip_lsp,0 vsp bgm_kowai_only_lsp,1 print 1 goto *bgm_mode_btn_lp_0 *bgm_mode650 mov %bgm_kowai_skip,0 vsp bgm_kowai_only_lsp,0 vsp bgm_kowai_skip_lsp,0 : print 1 gosub *bgm_r_shokika goto *bgm_mode_btn_lp_0 *bgm_mode600 ;タイトルへ戻る if %BtnRes = bgm_btn_title goto *bgm_mode_end ;------------------------------- ;上記該当以外は、曲の選択扱い *bgm_mode_play_kyokunitobu_0 vsp bgm_ennsou_lsp,1 :print 1 ;演奏ボタンをONにする。 ;曲を選択して、尚且つランダムモードの場合は初期化を行う if %bm_m = 2 gosub *bgm_r_shokika *bgm_mode_play_kyokunitobu ;演奏する曲の曲番号を保持する mov %bm_p,%BtnRes ;ボタン番号を曲番号に変換 mov %BtnRes,?bgmm[%BtnRes][bm_c] ;怖い曲はスキップにチェックが入っている場合、怖い曲は演奏した事にする notif %bgm_kowai_skip = 1 goto *bgm_mode_kowai_skip if ?bgmm[%bm_p][bm_k] = 0 goto *bgm_mode_kowai_skip ;スキップ処理 ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu ;通常演奏で、リピートがオンになっている時、演奏の停止処理 if %bm_m = 0 if %bm_rpi = 1 goto *bgm_mode_stop100 ;曲の時間に0を入れて、演奏中のフラグ変数をONにして次の処理に移る mov %bm_pt,0 mov %bm_stop,1 goto *bgm_mode_btn_lp *bgm_mode_kowai_skip ;怖い曲だけ演奏にチェック(-1が代入)されている場合、怖くない曲は演奏した事 notif %bgm_kowai_skip = -1 goto *bgm_mode_kowakunai_skip if ?bgmm[%bm_p][bm_k] = 1 goto *bgm_mode_kowakunai_skip ;スキップ処理 ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu ;通常演奏で、リピートがオンになっている時、演奏の停止処理 if %bm_m = 0 if %bm_rpi = 1 goto *bgm_mode_stop100 ;曲の時間に0を入れて、演奏中のフラグ変数をONにして次の処理に移る mov %bm_pt,0 mov %bm_stop,1 goto *bgm_mode_btn_lp *bgm_mode_kowakunai_skip ;デモモードでは、ED、アンビエントを流さない notif %bgm_mood_demo = 1 goto *bgm_mode_ed_skip notif %BtnRes = %bgm_mood_ed_no goto *bgm_mode_ed_skip_100 ;スキップ処理 ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu ;通常演奏で、リピートがオンになっている時、演奏の停止処理 if %bm_m = 0 if %bm_rpi = 1 goto *bgm_mode_stop100 ;曲の時間に0を入れて、次の処理に移る mov %bm_pt,0 goto *bgm_mode_btn_lp *bgm_mode_ed_skip_100 notif %BtnRes = %bgm_mood_me1_no goto *bgm_mode_ed_skip ;スキップ処理 ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu ;通常演奏で、リピートがオンになっている時、演奏の停止処理 if %bm_m = 0 if %bm_rpi = 1 goto *bgm_mode_stop100 ;曲の時間に0を入れて、演奏中のフラグ変数をONにして次の処理に移る mov %bm_pt,0 mov %bm_stop,1 goto *bgm_mode_btn_lp *bgm_mode_ed_skip ;作曲者名などの情報を表示する座標を変数に格 mov %bgm_x,30 ;X座標 mov %bgm_y1,334 ;Y座標(曲タイトル) mov %bgm_y2,359 ;Y座標(曲タイトル) mov %bgm_y3,384 ;Y座標(曲タイトル) ;101〜450までのスプライト(ブリンクさせている曲のタイトルのブリンクを外す) for %tmp = 101 to 280 vsp %tmp,0 next ;直前の曲がOPムービーだった場合はフェードをスキップする。 if %bgm_mood_tyokuzenn_op_fede_skip >= 1 jumpf ;メドレーモードでストップからの演奏でなければここでフェードアウト命令を入れる。 if %bgm_mood_1min = 1 notif %bm_stop = 0 fede 0,3000 : wait 1000 ;デモモードでストップからの演奏でなければここでフェードアウト命令を入れる。 if %bgm_mood_demo = 1 notif %bm_stop = 0 fede 0,2000 : wait 1000 ~ mov %bgm_mood_tyokuzenn_op_fede_skip,0 ;演奏のオン、オフ変数をオンに mov %bm_stop,1 ;それぞれの曲のルーチンに飛ぶ if %BtnRes = 1 goto *bgm_mode_sample_u3 if %BtnRes = 2 goto *bgm_mode_natunotobira if %BtnRes = 3 goto *bgm_mode_hane if %BtnRes = 4 goto *bgm_mode_ride_on if %BtnRes = 5 goto *bgm_mode_sea if %BtnRes = 6 goto *bgm_mode_kurayaminotoki if %BtnRes = 7 goto *bgm_mode_q_Sample17 if %BtnRes = 8 goto *bgm_mode_hope if %BtnRes = 9 goto *bgm_mode_siroikage if %BtnRes = 10 goto *bgm_mode_u_Sample21 if %BtnRes = 11 goto *bgm_mode_towering if %BtnRes = 12 goto *bgm_mode_t_Sample20 if %BtnRes = 13 goto *bgm_mode_sample_u4 if %BtnRes = 14 goto *bgm_mode_rinnsi if %BtnRes = 15 goto *bgm_mode_rennsakairou if %BtnRes = 16 goto *bgm_mode_fortitude if %BtnRes = 17 goto *bgm_mode_witch_cenba if %BtnRes = 18 goto *bgm_mode_sasoi if %BtnRes = 19 goto *bgm_mode_yomiage if %BtnRes = 20 goto *bgm_mode_stupefaction if %BtnRes = 21 goto *bgm_mode_h_sample8 if %BtnRes = 22 goto *bgm_mode_c_sample3 if %BtnRes = 23 goto *bgm_mode_sample_u5 if %BtnRes = 24 goto *bgm_mode_goldenslaughterer if %BtnRes = 25 goto *bgm_mode_worldend_solo if %BtnRes = 26 goto *bgm_mode_eganomajo if %BtnRes = 27 goto *bgm_mode_suspicion if %BtnRes = 28 goto *bgm_mode_kizuoto if %BtnRes = 29 goto *bgm_mode_toitume if %BtnRes = 30 goto *bgm_mode_m_darkness if %BtnRes = 31 goto *bgm_mode_m_u2_tipica1 if %BtnRes = 32 goto *bgm_mode_closed_My_Heart if %BtnRes = 33 goto *bgm_mode_requiem if %BtnRes = 34 goto *bgm_mode_mind_2 if %BtnRes = 35 goto *bgm_mode_worldend if %BtnRes = 36 goto *bgm_mode_play if %BtnRes = 37 goto *bgm_mode_system0 if %BtnRes = 38 goto *bgm_mode_voiceless if %BtnRes = 39 goto *bgm_mode_deadangle if %BtnRes = 40 goto *bgm_mode_orugann if %BtnRes = 41 goto *bgm_mode_rougoku if %BtnRes = 42 goto *bgm_mode_gc_01 ;EP2追加分 if %BtnRes = 43 goto *bgm_mode_cage if %BtnRes = 44 goto *bgm_mode_ougon_no_kage if %BtnRes = 45 goto *bgm_mode_sasorinoharawata if %BtnRes = 46 goto *bgm_mode_sy if %BtnRes = 47 goto *bgm_mode_Answer if %BtnRes = 48 goto *bgm_mode_Answer_short if %BtnRes = 49 goto *bgm_mode_sirabe_oche if %BtnRes = 50 goto *bgm_mode_Read_Dread if %BtnRes = 51 goto *bgm_mode_moon if %BtnRes = 52 goto *bgm_mode_where if %BtnRes = 53 goto *bgm_mode_Dread_grave if %BtnRes = 54 goto *bgm_mode_Worldenddominator if %BtnRes = 55 goto *bgm_mode_ririana if %BtnRes = 56 goto *bgm_mode_kiyuusoku if %BtnRes = 57 goto *bgm_mode_hakujitunohate if %BtnRes = 58 goto *bgm_mode_sirabe_vocal if %BtnRes = 59 goto *bgm_mode_Over_the_sky ;EP3追加分 if %BtnRes = 60 goto *bgm_mode_hidamari if %BtnRes = 61 goto *bgm_mode_org_remake if %BtnRes = 62 goto *bgm_mode_Haruka if %BtnRes = 63 goto *bgm_mode_psy_chorus_mx if %BtnRes = 64 goto *bgm_mode_far if %BtnRes = 65 goto *bgm_mode_akaikutu2 if %BtnRes = 66 goto *bgm_mode_mother if %BtnRes = 67 goto *bgm_mode_haze if %BtnRes = 68 goto *bgm_mode_ennkan if %BtnRes = 69 goto *bgm_mode_dread_grave2 if %BtnRes = 70 goto *bgm_mode_orugan_2okuban if %BtnRes = 71 goto *bgm_mode_rhythm_changer_mx if %BtnRes = 72 goto *bgm_mode_happiness_omake if %BtnRes = 73 goto *bgm_mode_happiness if %BtnRes = 74 goto *bgm_mode_tuki_usagi if %BtnRes = 75 goto *bgm_mode_melting_away if %BtnRes = 76 goto *bgm_mode_soul_of_soul if %BtnRes = 77 goto *bgm_mode_miragecoordinator if %BtnRes = 78 goto *bgm_mode_prison if %BtnRes = 79 goto *bgm_mode_umare if %BtnRes = 80 goto *bgm_mode_tubasa if %BtnRes = 81 goto *bgm_mode_siturakuenn if %BtnRes = 82 goto *bgm_mode_wingless if %BtnRes = 83 goto *bgm_mode_activepain if %BtnRes = 84 goto *bgm_mode_Dread_grave_rhythm ;EP4追加分 if %BtnRes = 85 goto *bgm_mode_kuonn if %BtnRes = 86 goto *bgm_mode_over if %BtnRes = 87 goto *bgm_mode_gc19 if %BtnRes = 88 goto *bgm_mode_f1_02 if %BtnRes = 89 goto *bgm_mode_mclock if %BtnRes = 90 goto *bgm_mode_apathy if %BtnRes = 91 goto *bgm_mode_forest if %BtnRes = 92 goto *bgm_mode_mdoramu if %BtnRes = 93 goto *bgm_mode_fs2 if %BtnRes = 95 goto *bgm_mode_org_kui if %BtnRes = 96 goto *bgm_mode_happy_maria if %BtnRes = 97 goto *bgm_mode_Surrounding if %BtnRes = 98 goto *bgm_mode_hibuta if %BtnRes = 99 goto *bgm_mode_death if %BtnRes = 100 goto *bgm_mode_mortal if %BtnRes = 101 goto *bgm_mode_Victima if %BtnRes = 102 goto *bgm_mode_Revolt if %BtnRes = 103 goto *bgm_mode_renngoku_kyousou if %BtnRes = 104 goto *bgm_mode_h_maria_uta if %BtnRes = 105 goto *bgm_mode_dive_to_emergency if %BtnRes = 106 goto *bgm_mode_dir if %BtnRes = 107 goto *bgm_mode_e_nain if %BtnRes = 108 goto *bgm_mode_dreamenddischarger if %BtnRes = 109 goto *bgm_mode_discode if %BtnRes = 110 goto *bgm_mode_kururi ;EP5追加分 if %BtnRes = 111 goto *bgm_mode_Future if %BtnRes = 112 goto *bgm_mode_aoironoreishou if %BtnRes = 113 goto *bgm_mode_meitanteihasitteiru if %BtnRes = 114 goto *bgm_mode_eminakisoware if %BtnRes = 115 goto *bgm_mode_one if %BtnRes = 116 goto *bgm_mode_rasen if %BtnRes = 117 goto *bgm_mode_6oku_slow if %BtnRes = 118 goto *bgm_mode_tortenblume if %BtnRes = 119 goto *bgm_mode_Justice if %BtnRes = 120 goto *bgm_mode_Aci if %BtnRes = 121 goto *bgm_mode_kuina if %BtnRes = 122 goto *bgm_mode_prouddust if %BtnRes = 123 goto *bgm_mode_helloyourdream if %BtnRes = 124 goto *bgm_mode_kodokunasinkaigyo if %BtnRes = 125 goto *bgm_mode_shouzyotatinomazyogari if %BtnRes = 126 goto *bgm_mode_keisetukimera if %BtnRes = 127 goto *bgm_mode_discolor if %BtnRes = 128 goto *bgm_mode_resurrectedreplayer if %BtnRes = 129 goto *bgm_mode_FinalAnswer if %BtnRes = 130 goto *bgm_mode_hikari if %BtnRes = 131 goto *bgm_mode_inotinopan if %BtnRes = 132 goto *bgm_mode_Yakusoku if %BtnRes = 133 goto *bgm_mode_Tomorrow if %BtnRes = 134 goto *bgm_mode_TSUBASA_VOC ;EP6追加分 if %BtnRes = 135 goto *bgm_mode_lg_ep6_04b if %BtnRes = 136 goto *bgm_mode_eb if %BtnRes = 137 goto *bgm_mode_LoveExamination if %BtnRes = 138 goto *bgm_mode_setsuna if %BtnRes = 139 goto *bgm_mode_Look if %BtnRes = 140 goto *bgm_mode_blue if %BtnRes = 141 goto *bgm_mode_hope_BD if %BtnRes = 142 goto *bgm_mode_kigeki if %BtnRes = 143 goto *bgm_mode_rog_limitation if %BtnRes = 144 goto *bgm_mode_gc28 if %BtnRes = 145 goto *bgm_mode_ALIVE if %BtnRes = 146 goto *bgm_mode_birth_of_new_withch_inst if %BtnRes = 147 goto *bgm_mode_gc29 if %BtnRes = 148 goto *bgm_mode_engage_of_marionette if %BtnRes = 149 goto *bgm_mode_Life_or_death if %BtnRes = 150 goto *bgm_mode_Loreley if %BtnRes = 151 goto *bgm_mode_tumi if %BtnRes = 152 goto *bgm_mode_The_first_and_The_last if %BtnRes = 153 goto *bgm_mode_gc30 if %BtnRes = 154 goto *bgm_mode_SF09 if %BtnRes = 155 goto *bgm_mode_Reborn if %BtnRes = 156 goto *bgm_mode_dai_002 if %BtnRes = 157 goto *bgm_mode_ll if %BtnRes = 158 goto *bgm_mode_thanks_for_all_people if %BtnRes = 159 goto *bgm_mode_eiji_quinbee if %BtnRes = 160 goto *bgm_mode_birth_of_new_withch if %BtnRes = 161 goto *bgm_mode_usan_uta ;EP7追加分 if %BtnRes = 162 goto *bgm_mode_le4 if %BtnRes = 163 goto *bgm_mode_ld_circulation if %BtnRes = 164 goto *bgm_mode_reflection if %BtnRes = 165 goto *bgm_mode_rain if %BtnRes = 166 goto *bgm_mode_7_weights if %BtnRes = 167 goto *bgm_mode_fall if %BtnRes = 168 goto *bgm_mode_bore_ral if %BtnRes = 169 goto *bgm_mode_ballade if %BtnRes = 170 goto *bgm_mode_namaenonaiuta_Ver2_oche if %BtnRes = 171 goto *bgm_mode_lie_alaia if %BtnRes = 172 goto *bgm_mode_Golden_Nocturne_inst if %BtnRes = 173 goto *bgm_mode_far_piano if %BtnRes = 174 goto *bgm_mode_omotyabako if %BtnRes = 175 goto *bgm_mode_terminal_entrance if %BtnRes = 176 goto *bgm_mode_ningyougeki if %BtnRes = 177 goto *bgm_mode_she_end if %BtnRes = 178 goto *bgm_mode_Bring_the_fate if %BtnRes = 179 goto *bgm_mode_namaenonaiuta_inst if %BtnRes = 180 goto *bgm_mode_the_end_of_the_world_inst if %BtnRes = 181 goto *bgm_mode_goddess_gardena if %BtnRes = 183 goto *bgm_mode_ridicule if %BtnRes = 184 goto *bgm_mode_hirasaka if %BtnRes = 185 goto *bgm_mode_excuter if %BtnRes = 186 goto *bgm_mode_namaenonaiuta ;ep8追加分 if %BtnRes = 187 goto *bgm_mode_nuigurumi if %BtnRes = 188 goto *bgm_mode_kikai if %BtnRes = 189 goto *bgm_mode_More_fear if %BtnRes = 190 goto *bgm_mode_en_counse if %BtnRes = 191 goto *bgm_mode_lixaxil if %BtnRes = 192 goto *bgm_mode_Revelations_oche ;if %BtnRes = 193 goto *bgm_mode_Revelations if %BtnRes = 193 goto *bgm_mode_hishou if %BtnRes = 194 goto *bgm_mode_lastend if %BtnRes = 195 goto *bgm_mode_Revelations if %BtnRes = 196 goto *bgm_mode_busy_d if %BtnRes = 197 goto *bgm_mode_asumu if %BtnRes = 198 goto *bgm_mode_nugerjagmig if %BtnRes = 240 goto *bgm_mode_byakumu if %BtnRes = 241 goto *bgm_mode_umineko if %BtnRes = 243 goto *bgm_mode_the_end_of_the_world_inst if %BtnRes = 244 goto *bgm_mode_busy_d ;if %BtnRes = %bgm_mood_turu_pettan_no goto *bgm_mode_turu_pettan if %BtnRes = %bgm_mood_op_no goto *bgm_mode_op if %BtnRes = %bgm_mood_op2_no goto *bgm_mode_op2 ;if %BtnRes = %bgm_mood_op3_no goto *bgm_mode_op3 ;if %BtnRes = %bgm_mood_ed_no goto *bgm_mode_ed ;if %BtnRes = %bgm_mood_me1_no goto *bgm_mode_me_arasi ;if %BtnRes = %bgm_mood_me2_no goto *bgm_mode_me_tokei goto *bgm_mode_btn_lp ;*********************************************************************** ; 曲の一覧を消すルーチン *bgm_mode_k layermessage 0,"x" bg black,0 ;101〜450までのスプライトを隠す。 for %tmp = 101 to 480 vsp %tmp,0 next for %tmp = 40 to 20 step -1 vsp %tmp,0 next vsp 499,0 mono 0 csp 0 : csp 1 csp 10 : csp 11 : csp 12 : csp 13 : csp 14 : csp 15 : csp 16 csp 500 : csp 501 : csp 502 : csp 503 : csp 504 : csp 505 : csp 506: csp 507: csp 508: csp 509: csp 510 csp bgm_title_lsp : csp bgm_sakka_lsp : csp bgm_time_lsp textclear setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 return ;----------------------------------- *bgm_mode_k2 bg black,0 csp 0 : csp 1 csp 10 : csp 11 : csp 12 : csp 13 : csp 14 : csp 15 : csp 16 csp 500 : csp 501 : csp 502 : csp 503 : csp 504 : csp 505 : csp 506: csp 507: csp 508: csp 509: csp 510 csp bgm_title_lsp : csp bgm_sakka_lsp : csp bgm_time_lsp textclear setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 return ;----------------------------------- ; 曲の一覧を表示するルーチン *bgm_mode_h2 ;演奏されている曲に、曲の一覧ページを合わせる。 ;if %bm_p >= 1 && %bm_p <=52 mov %bgm_mood_page,1 ;if %bm_p >= 53 mov %bgm_mood_page,2 if %bgm_mood_page = 1 for %tmp = 301 to 360 : vsp %tmp,1 : next : vsp bgm_btn_next_lsp,1 if %bgm_mood_page = 2 for %tmp = 361 to 420 : vsp %tmp,1 : next : vsp bgm_btn_back_lsp,1 : vsp bgm_btn_next_lsp,1 if %bgm_mood_page = 3 for %tmp =421 to 480 : vsp %tmp,1 : next : vsp bgm_btn_back_lsp,1 vsp 499,1 ;演奏中の曲は白くブリンクさせる if %bgm_mood_page = 1 && %bm_p <= 60 mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,1 if %bgm_mood_page = 2 && %bm_p >= 61 && 120 >= %bm_p mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,1 if %bgm_mood_page = 3 && %bm_p >= 121 mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,1 for %tmp = 40 to 22 step -1 vsp %tmp,1 next ;フラグの立っていないメニューはOFF(白いブリンクを解除する) if %bm_m = 0 vsp bgm_zennkyoku_lsp,0 : vsp bgm_ranndamu_lsp,0 if %bm_m = 1 vsp bgm_zennkyoku_lsp,1 : vsp bgm_ranndamu_lsp,0 if %bm_m = 2 vsp bgm_zennkyoku_lsp,0 : vsp bgm_ranndamu_lsp,1 if %bm_rpi = 0 vsp bgm_rpi_lsp,0 if %bgm_mood_1min = 0 vsp bgm_min_lsp,0 if %bgm_mood_demo = 0 vsp bgm_demo_lsp,0 if %bgm_kowai_skip = 0 vsp bgm_kowai_skip_lsp,0 : vsp bgm_kowai_only_lsp,0 if %bgm_kowai_skip = 1 vsp bgm_kowai_skip_lsp,1 : vsp bgm_kowai_only_lsp,0 if %bgm_kowai_skip = -1 vsp bgm_kowai_skip_lsp,0 : vsp bgm_kowai_only_lsp,1 ;演奏中の曲は白くブリンクさせる if %bgm_mood_page = 1 && %bm_p <= 60 mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,1 if %bgm_mood_page = 2 && %bm_p >= 61 && 120 >= %bm_p mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,1 if %bgm_mood_page = 3 && %bm_p >= 121 mov %tmp,100 : add %tmp,%bm_p : vsp %tmp,1 return ;--------------------------------- ;ランダムモード、演奏曲チェック初期化ルーチン *bgm_r_shokika ;現在演奏している以外の曲のチェック変数を初期化する。 for %3810 = 1 to %bgm_max2 mov ?bgmm[%3810][bm_r],0 next mov %bm_rnd_kazu,0 return ;----------------------------------- ;** 各BGMを演奏、情報を表示*************************************** ;演奏ルーチン *bgm_play if %bm_m = 10 mov %bm_m,0 E_A ;曲の時間を入力 mov %bm_pt,?bgmm[%bm_p][bm_t] ;ボリュームを70に固定 bgmvol 70 : mov %BGM_v_Ch,70 ;メドレーモードがONの場合は56000-3000ミリ秒を入力 if %bgm_mood_1min = 1 if ?bgmm[%bm_p][bm_t] > 60000 mov %bm_pt,53000 ;デモモードがONの場合は15000-4000ミリ秒を入力 if %bgm_mood_demo = 1 mov %bm_pt,11000 ;環境音なら演奏はなし演奏処理をスキップ if %bm_p = %bgm_mood_me1_btn_no jumpf ;通常演奏で、リピートがオンになっている時のみ、一曲リピート if %bm_m = 0 if %bm_rpi = 1 bgm $?bgmm[%bm_p][bm_pa] : resettimer : return ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu bgmonce $?bgmm[%bm_p][bm_pa] ~ resettimer add %bm_pt,4000 ;無音状態の時間 return ;*********************************************************************** ;デモモード用、CGの表示 *demo_hyouji mono 0 ;ゲームロゴを常に表示 ;bgm_mode\ss\demo_pvの画像をランダムで選択して表示する。 rnd2 %tmp,1,266 mov $tmp,":c;bgm_mode\demo_pv\" itoa $tmp2,%tmp add $tmp,$tmp2 add $tmp,".jpg" gosub *bgm_play ;環境音はここで改めて演奏する。 if %bm_p = %bgm_mood_me1_btn_no meplay2 1,13,70 lsp 0,":b;bmp\efe\Cinema_logo2.png",310,350 lsp 1,$tmp,0,0 : print 22 goto *bgm_mode_btn_lp ;*********************************************************************** ;ランダム表情システム ;ランダムにキャラ、表情を配置するシステム ;------------------------- *bgm_mode_rnd_tati1 ;真里亞、ジェシカ、譲治、バトラを配置 ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) ;------------------------------------------- ;立ち位置 for %tmp15 = 1 to 3 ~ rnd2 %ran,1,4 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;バトラ notif %ran = 1 goto *bgm_mode_tati1_100 ;表情の決定 ~ rnd2 %tmp,BUT_DefA1,BUT_FutekiA1 ld_p %tmp mov $tmp,$ld_pass if %tmp = BUT_kuyasigaruA1 jumpb if %tmp = BUT_NakuA1 jumpb if %tmp = BUT_NiramuA1 jumpb if %tmp = BUT_NiramuA2 jumpb if %tmp = BUT_NiramuA3 jumpb if %tmp15 = 1 mov %tmp11,but :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,but :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,but :mov $tmp3,$tmp *bgm_mode_tati1_100 ;ジェシカ notif %ran = 2 goto *bgm_mode_tati1_200 ;表情の決定 ~ rnd2 %tmp,JES_DefA1,JES_AtyaA3 ld_p %tmp mov $tmp,$ld_pass if %tmp = JES_NakuA1 jumpb if %tmp = JES_IkariA2 jumpb if %tmp = JES_NakuA2 jumpb if %tmp = JES_NakuA3 jumpb if %tmp15 = 1 mov %tmp11,jes :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,jes :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,jes :mov $tmp3,$tmp *bgm_mode_tati1_200 ;譲治 notif %ran = 3 goto *bgm_mode_tati1_300 ;表情の決定 ~ rnd2 %tmp,GEO_DefA1,GEO_KomaruA5k ld_p %tmp mov $tmp,$ld_pass if %tmp = GEO_nakuA1 jumpb if %tmp = GEO_nakuA1k jumpb if %tmp = GEO_nakuA2 jumpb if %tmp = GEO_nakuA2k jumpb if %tmp15 = 1 mov %tmp11,geo :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,geo :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,geo :mov $tmp3,$tmp *bgm_mode_tati1_300 ;マリア notif %ran = 4 goto *bgm_mode_tati1_400 ;表情の決定 ~ rnd2 %tmp,1,8 if %tmp = 1 mov $tmp4,"bmp\TATI\MAR\1\MAR_DefA1" if %tmp = 2 mov $tmp4,"bmp\TATI\MAR\1\MAR_WaraiA1" if %tmp = 3 mov $tmp4,"bmp\TATI\MAR\1\MAR_WaraiA2" if %tmp = 4 mov $tmp4,"bmp\TATI\MAR\1\MAR_niyariA1" if %tmp = 5 mov $tmp4,"bmp\TATI\MAR\1\MAR_niyariA2" if %tmp = 6 mov $tmp4,"bmp\TATI\MAR\1\MAR_OdorokiA1" if %tmp = 7 mov $tmp4,"bmp\TATI\MAR\1\MAR_fukigenA1" if %tmp = 8 mov $tmp4,"bmp\TATI\MAR\1\MAR_fukigenA2" if %tmp15 = 1 mov %tmp11,mar :mov $tmp1,$tmp4 if %tmp15 = 2 mov %tmp12,mar :mov $tmp2,$tmp4 if %tmp15 = 3 mov %tmp13,mar :mov $tmp3,$tmp4 *bgm_mode_tati1_400 next return ;*********************************************************************** *bgm_mode_rnd_tati_siyounin ;キャラのランダム配置(使用人) ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) for %tmp15 = 1 to 3 ~ rnd2 %ran,1,5 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;源次 notif %ran = 1 goto *bgm_mode_rnd_tati_siyounin_100 ;表情の決定 ~ rnd2 %tmp,GEN_DefA1,GEN_MajimeA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,gen :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,gen :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,gen :mov $tmp3,$tmp *bgm_mode_rnd_tati_siyounin_100 ;紗音 notif %ran = 2 goto *bgm_mode_rnd_tati_siyounin_200 ;表情の決定 ~ rnd2 %tmp,SHA_DefA1,SHA_OdorokiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,sha :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,sha :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,sha :mov $tmp3,$tmp *bgm_mode_rnd_tati_siyounin_200 ;嘉音 notif %ran = 3 goto *bgm_mode_rnd_tati_siyounin_300 ;表情の決定 ~ rnd2 %tmp,KAN_DefA1,KAN_NayamuA1 ld_p %tmp mov $tmp,$ld_pass if %tmp = KAN_IkariA1 jumpb if %tmp15 = 1 mov %tmp11,kan :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,kan :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,kan :mov $tmp3,$tmp *bgm_mode_rnd_tati_siyounin_300 ;郷田 notif %ran = 4 goto *bgm_mode_rnd_tati_siyounin_400 ;表情の決定 ~ rnd2 %tmp,GOH_DefA1,GOH_KomaruA3 ld_p %tmp mov $tmp,$ld_pass if %tmp = GOH_IkariA2 jumpb if %tmp15 = 1 mov %tmp11,goh :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,goh :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,goh :mov $tmp3,$tmp *bgm_mode_rnd_tati_siyounin_400 ;熊沢 notif %ran = 5 goto *bgm_mode_rnd_tati_siyounin_500 ;表情の決定 ~ rnd2 %tmp,KUM_DefA1,KUM_WaraiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,goh :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,goh :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,goh :mov $tmp3,$tmp *bgm_mode_rnd_tati_siyounin_500 next return ;-------------------------------------------------- *bgm_mode_rnd_tati_4kyoudai ;キャラのランダム配置(4人兄弟) ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) for %tmp15 = 1 to 3 ~ rnd2 %ran,1,4 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;蔵臼 notif %ran = 1 goto *bgm_mode_rnd_tati_4kyoudai_100 ;表情の決定 ~ rnd2 %tmp,KLA_DefA1,KLA_NayamuA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,kla :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,kla :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,kla :mov $tmp3,$tmp *bgm_mode_rnd_tati_4kyoudai_100 ;絵羽 notif %ran = 2 goto *bgm_mode_rnd_tati_4kyoudai_200 ;表情の決定 ~ rnd2 %tmp,EVA_DefA1,EVA_futekiA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,eva :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,eva :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,eva :mov $tmp3,$tmp *bgm_mode_rnd_tati_4kyoudai_200 ;留弗夫 notif %ran = 3 goto *bgm_mode_rnd_tati_4kyoudai_300 ;表情の決定 ~ rnd2 %tmp,RUD_DefA1,RUD_WaraiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,rud :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,rud :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,rud :mov $tmp3,$tmp *bgm_mode_rnd_tati_4kyoudai_300 ;楼座 notif %ran = 4 goto *bgm_mode_rnd_tati_4kyoudai_400 ;表情の決定 ~ rnd2 %tmp,ROS_DefA1,ROS_AseruA1 ld_p %tmp mov $tmp,$ld_pass if %tmp = ros_waraiA1 jumpb if %tmp = ros_waraiA2 jumpb if %tmp15 = 1 mov %tmp11,ros :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,ros :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,ros :mov $tmp3,$tmp *bgm_mode_rnd_tati_4kyoudai_400 next return ;---------------------------------- *bgm_mode_rnd_yomiage ;キャラのランダム配置(真里亞、使用人と金蔵を除く全員)) ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) for %tmp15 = 1 to 3 ~ rnd2 %ran,1,12 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;バトラ notif %ran = 1 goto *bgm_mode_rnd_yomiage_100 ;表情の決定 ~ rnd2 %tmp,BUT_DefA1,BUT_FutekiA1 ld_p %tmp mov $tmp,$ld_pass if %tmp = BUT_kuyasigaruA1 jumpb if %tmp = BUT_NakuA1 jumpb if %tmp = BUT_NiramuA1 jumpb if %tmp = BUT_NiramuA2 jumpb if %tmp = BUT_NiramuA3 jumpb if %tmp15 = 1 mov %tmp11,but :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,but :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,but :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_100 ;ジェシカ notif %ran = 2 goto *bgm_mode_rnd_yomiage_200 ;表情の決定 ~ rnd2 %tmp,JES_DefA1,JES_AtyaA3 ld_p %tmp mov $tmp,$ld_pass if %tmp = JES_NakuA1 jumpb if %tmp = JES_IkariA2 jumpb if %tmp = JES_NakuA2 jumpb if %tmp = JES_NakuA3 jumpb if %tmp15 = 1 mov %tmp11,jes :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,jes :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,jes :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_200 ;譲治 notif %ran = 3 goto *bgm_mode_rnd_yomiage_300 ;表情の決定 ~ rnd2 %tmp,GEO_DefA1,GEO_KomaruA5k ld_p %tmp mov $tmp,$ld_pass if %tmp = GEO_nakuA1 jumpb if %tmp = GEO_nakuA1k jumpb if %tmp = GEO_nakuA2 jumpb if %tmp = GEO_nakuA2k jumpb if %tmp15 = 1 mov %tmp11,geo :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,geo :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,geo :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_300 ;マリア notif %ran = 4 goto *bgm_mode_tati1_350 ;表情の決定 ~ rnd2 %tmp,1,8 if %tmp = 1 mov $tmp4,"bmp\TATI\MAR\1\MAR_DefA1" if %tmp = 2 mov $tmp4,"bmp\TATI\MAR\1\MAR_WaraiA1" if %tmp = 3 mov $tmp4,"bmp\TATI\MAR\1\MAR_WaraiA2" if %tmp = 4 mov $tmp4,"bmp\TATI\MAR\1\MAR_niyariA1" if %tmp = 5 mov $tmp4,"bmp\TATI\MAR\1\MAR_niyariA2" if %tmp = 6 mov $tmp4,"bmp\TATI\MAR\1\MAR_OdorokiA1" if %tmp = 7 mov $tmp4,"bmp\TATI\MAR\1\MAR_fukigenA1" if %tmp = 8 mov $tmp4,"bmp\TATI\MAR\1\MAR_fukigenA2" if %tmp15 = 1 mov %tmp11,mar :mov $tmp1,$tmp4 if %tmp15 = 2 mov %tmp12,mar :mov $tmp2,$tmp4 if %tmp15 = 3 mov %tmp13,mar :mov $tmp3,$tmp4 *bgm_mode_tati1_350 ;蔵臼 notif %ran = 5 goto *bgm_mode_rnd_yomiage_400 ;表情の決定 ~ rnd2 %tmp,KLA_DefA1,KLA_NayamuA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,kla :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,kla :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,kla :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_400 ;夏妃 notif %ran = 6 goto *bgm_mode_rnd_yomiage_500 ;表情の決定 ~ rnd2 %tmp,NAT_DefA1,NAT_TukareA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,nat :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,nat :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,nat :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_500 ;絵羽 notif %ran = 7 goto *bgm_mode_rnd_yomiage_600 ;表情の決定 ~ rnd2 %tmp,EVA_DefA1,EVA_futekiA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,eva :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,eva :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,eva :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_600 ;秀吉 notif %ran = 8 goto *bgm_mode_rnd_yomiage_700 ;表情の決定 ~ rnd2 %tmp,HID_DefA1,HID_WaraiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,hid :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,hid :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,hid :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_700 ;留弗夫 notif %ran = 9 goto *bgm_mode_rnd_yomiage_800 ;表情の決定 ~ rnd2 %tmp,RUD_DefA1,RUD_WaraiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,rud :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,rud :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,rud :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_800 ;霧江 notif %ran = 10 goto *bgm_mode_rnd_yomiage_900 ;表情の決定 ~ rnd2 %tmp,KIR_DefA1,KIR_WaraiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,kir :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,kir :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,kir :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_900 ;楼座 notif %ran = 11 goto *bgm_mode_rnd_yomiage_1000 ;表情の決定 ~ rnd2 %tmp,ROS_DefA1,ROS_AseruA1 ld_p %tmp mov $tmp,$ld_pass if %tmp = ROS_IkariA2 jumpb if %tmp = ROS_IkariA3 jumpb if %tmp = ROS_IkariA4 jumpb if %tmp15 = 1 mov %tmp11,ros :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,ros :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,ros :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_1000 ;南條 notif %ran = 12 goto *bgm_mode_rnd_yomiage_1100 ;表情の決定 ~ rnd2 %tmp,NAN_DefA1,NAN_fumuA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov %tmp11,nan :mov $tmp1,$tmp if %tmp15 = 2 mov %tmp12,nan :mov $tmp2,$tmp if %tmp15 = 3 mov %tmp13,nan :mov $tmp3,$tmp *bgm_mode_rnd_yomiage_1100 next return ;*********************************************************************** *bgm_mode_rnd_yomiage2 ;キャラのランダム配置(戦人、ベアト、ロノウェ) ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) for %tmp15 = 1 to 3 ~ rnd2 %ran,1,3 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;バトラ notif %ran = 1 goto *bgm_mode_rnd_yomiage2_100 ;表情の決定 rnd2 %tmp,BUT_DefA1,BUT_NayamuA3 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_yomiage2_100 ;ベアト notif %ran = 2 goto *bgm_mode_rnd_yomiage2_200 ;表情の決定 rnd2 %tmp,BEA_DefA1,BEA_WaraiA4 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_yomiage2_200 ;ロノウェ notif %ran = 3 goto *bgm_mode_rnd_yomiage2_300 ;表情の決定 rnd2 %tmp,RON_DefA1,RON_OdorokiA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_yomiage2_300 next return ;*********************************************************************** *bgm_mode_rnd_yomiage3 ;キャラのランダム配置(ベアト、ベルン、ラムダ) ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) for %tmp15 = 1 to 3 ~ rnd2 %ran,1,3 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;ベルン notif %ran = 1 goto *bgm_mode_rnd_yomiage3_100 ;表情の決定 rnd2 %tmp,BER_DefA1,BER_DefA2 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_yomiage3_100 ;ベアト notif %ran = 2 goto *bgm_mode_rnd_yomiage3_200 ;表情の決定 rnd2 %tmp,BEA_DefA1,BEA_WaraiA4 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_yomiage3_200 ;ラムダ notif %ran = 3 goto *bgm_mode_rnd_yomiage3_300 ;表情の決定 rnd2 %tmp,LAM_AkuwaraiA1,LAM_OdorokiA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_yomiage3_300 next return ;*********************************************************************** ;*********************************************************************** *bgm_mode_rnd_siesuta ;キャラのランダム配置(シエスタ姉妹) ;(%tmp1 l %tmp2 c %tmp3 r %tmp10 キャラ番号) for %tmp15 = 1 to 3 ~ rnd2 %ran,1,3 if %tmp15 = 2 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp1 jumpb if %tmp15 = 3 if %ran = %tmp2 jumpb if %tmp15 = 1 mov %tmp1,%ran if %tmp15 = 2 mov %tmp2,%ran ;00 notif %ran = 1 goto *bgm_mode_rnd_siesuta_100 ;表情の決定 rnd2 %tmp,S00_DefA1,S00_KomaruA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_siesuta_100 ;45 notif %ran = 2 goto *bgm_mode_rnd_siesuta_200 ;表情の決定 rnd2 %tmp,S45_DefA1,S45_MajimeA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_siesuta_200 ;410 notif %ran = 3 goto *bgm_mode_rnd_siesuta_300 ;表情の決定 rnd2 %tmp,S41_DefA1,S41_OdorokiA1 ld_p %tmp mov $tmp,$ld_pass if %tmp15 = 1 mov $tmp1,$tmp if %tmp15 = 2 mov $tmp2,$tmp if %tmp15 = 3 mov $tmp3,$tmp *bgm_mode_rnd_siesuta_300 next return ;*********************************************************************** ;背景をランダムで配置 *bgm_mode_rnd_bg1 mov $tmp,":c;" rnd2 %tmp,1,14 if %tmp = 1 add $tmp,ship_P1a if %tmp = 2 add $tmp,ship_P1b if %tmp = 3 add $tmp,ship_S2a if %tmp = 4 add $tmp,ship_S2b if %tmp = 5 add $tmp,rose_1a if %tmp = 6 add $tmp,rose_1b if %tmp = 7 add $tmp,rose_G1d if %tmp = 8 add $tmp,G1F_S2a if %tmp = 9 add $tmp,G2F_R1a if %tmp = 10 add $tmp,G2F_R1c if %tmp = 11 add $tmp,Sky_2a if %tmp = 12 add $tmp,Sky_1a if %tmp = 13 add $tmp,beach_2a if %tmp = 14 add $tmp,beach_2b return ;--------------------------------------------- ;背景をランダムで表示(メインホール) *bgm_mode_rnd_bg_mhal mov $tmp,":c;" rnd2 %ran,1,6 if %ran = 1 add $tmp,Mhal_1c if %ran = 2 add $tmp,Mhal_2a if %ran = 3 add $tmp,Mdin_1e if %ran = 4 add $tmp,Mlib_1e if %ran = 5 add $tmp,MNAT_1c if %ran = 6 add $tmp,M2F_P1c return ;--------------------------------------------- ;背景をランダムで表示(メインホール) *bgm_mode_rnd_bg_mhal2 mov $tmp,":c;" rnd2 %ran,1,13 if %ran = 1 add $tmp,Mhal_1c if %ran = 2 add $tmp,Mhal_2a if %ran = 3 add $tmp,Mdin_1e if %ran = 4 add $tmp,Mlib_1e if %ran = 5 add $tmp,MNAT_1c if %ran = 6 add $tmp,M2F_P1c if %ran = 7 add $tmp,M1F_P2b if %ran = 8 add $tmp,M1F_S1a if %ran = 9 add $tmp,M1F_S1c if %ran = 10 add $tmp,M1F_S2a if %ran = 11 add $tmp,Mlib_1b if %ran = 12 add $tmp,Mlib_1c if %ran = 13 add $tmp,Mlib_1d return ;--------------------------------------------- ;背景を魔女の空間をランダムで表示 *bgm_mode_rnd_bg_majo mov $tmp,":c;" rnd2 %ran,1,4 ;if %ran = 1 add $tmp,butterfly_1a ;if %ran = 2 add $tmp,butterfly_3a if %ran = 1 add $tmp,Different_spiral_1a if %ran = 2 add $tmp,Different_space_1a if %ran = 3 add $tmp,Different_space_1b if %ran = 4 add $tmp,Different_space_1c ;if %ran = 7 add $tmp,butterfly_3a ;if %ran = 7 add $tmp,butterfly_4a return ;*********************************************************************** ;背景をランダムに1998年編にする。 *bgm_mode_rnd_bg_1998 mov $tmp,":c;" rnd2 %ran,1,8 if %ran = 1 add $tmp,HOT_R1a if %ran = 2 add $tmp,HOT_R1b if %ran = 3 add $tmp,HOT_R1c if %ran = 4 add $tmp,BUI_R1a if %ran = 5 add $tmp,BUI_R1b if %ran = 6 add $tmp,BUI_R1c if %ran = 7 add $tmp,BUI_R1d if %ran = 8 add $tmp,BUI_o1a return ;*********************************************************************** *bgm_mode_end ;エンド処理 ;各設定の初期化 csp -1 ;スプライト画像の、メモリからの消去 btndef "" ;ボタン画像の、メモリからの消去 ;立ち絵の消去 setwindow 31,16,25,20,23,23,0,2,20,1,1,#999999,0,0,639,479 erasetextwindow 1 rmode 1 mono 0 ;右クリックメニューの有効化 E_A seplay 9,1006 print 1 cl a,1 texton if %monoflg = 1 mono 1 if %monoflg = 2 mono 2 wait 1000 mov %title_skip,1 reset ;goto *b_title ;*********************************************************************** ;*********************************************************************** ;BGM、曲のデータ入力サブルーチン ;*********************************************************************** *bgm_mode_bgm_date_input mov %3801,0 inc %3801 ; OPムービー mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],%bgm_mood_op_no ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],100000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"白金のエンピレオ" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック add %3802,400 mov ?bgmm[%3801][bm_pa],%3802 mov $%3802,"movie\umineko_op.mpg" ;inc %3801 ;OPムービー2(EP7) ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],%bgm_mood_op2_no ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],100000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"霧のピトス" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; add %3802,400 ; mov ?bgmm[%3801][bm_pa],%3802 ; mov $%3802,"movie\umineko_op7.mpg" ; 1 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],77 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],435000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"miragecoordinator" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],38 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],250000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"voiceless" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],49 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],485000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"旋律(inst.ver)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],106 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],115000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"dir" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],39 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],397000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"dead_angle" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],1 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],289000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"透百合" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],13 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],521000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"薔薇" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],14 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],193000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆隣死" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],96 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],169000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Happy_Maria!(Inst)" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],10 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],260000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"てくてく" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],25 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],172000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"worldend(bp)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],35 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],336000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"worldend" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],64 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],190000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"far" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],43 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],238000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"cage" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],9 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],83000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"白い影" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],70 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],94000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"オルガン小曲〜" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],17 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],161000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"w_in_gold(cembalo)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],12 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],206000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"月夜" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],8 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],179000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"hope" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],110 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],106000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"くるり" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],85 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],144000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"久遠" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],7 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],332000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Novelette" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],19 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],193000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"胡散の香り" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],18 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],99000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆誘い" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],2 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],117000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"夏の扉" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],44 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],350000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"金色の嘲笑" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],30 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],132000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"minute_darkness" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],88 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],169000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"F_Style" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],63 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],466000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"psy-chorus" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],36 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],149000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆play" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; ; mov ?bgmm[%3801][bm_c],72 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],120000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"h_of_m_omake" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],20 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],289000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆stupefaction" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],15 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],180000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆煉沙回廊" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],55 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],319000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"黒のリリアナ" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],3 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],94000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"HANE" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],40 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],93000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"オルガン〜6億番" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],26 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],148000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆絵画の魔女" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],27 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],308000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"suspicion" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],34 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],57000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"mind" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],99 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],478000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"death" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],66 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],137000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"mother" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],52 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],235000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"where" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],107 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],336000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"エンドレスナイン" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],69 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],367000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"D_g_-More_fear-" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],60 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],211000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ひだまり" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],32 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],176000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Closed_My_Heart" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],62 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],194000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Haruka" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],108 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],568000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"dreamenddischarger" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],4 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],187000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Ride on" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],5 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],45000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Sea" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],11 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],108000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"T_c_in_summer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],22 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],282000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Pass" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],23 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],92000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ageha" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;環境音 ; inc %3801 ; mov %bgm_mood_me2_btn_no,%3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],%bgm_mood_me2_no ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],32000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆Me:時計" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],37 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],206000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"システム零" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;環境音 ; inc %3801 ; mov %bgm_mood_me1_btn_no,%3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],%bgm_mood_me1_no ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],40000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆Me:嵐" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ;gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],42 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],124000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"弦楽〜 第1番〜" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;EP2 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],94 ;%bgm_mood_turu_pettan_no ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],114000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"つるぺったん" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; add %3802,400 ; mov ?bgmm[%3801][bm_pa],%3802 : mov $%3802,"bgm\tsurupeta-128.ogg" ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],45 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],202000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"サソリのハラワタ" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],46 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],159000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"終焉_VerC" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],51 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],172000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"moon" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],48 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],62000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Answer_short" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],53 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],364000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"D_of_the_grave" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],50 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],163000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Red_Dread" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],56 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],90000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"休息" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],57 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],102000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"白日夢の果て" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],58 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],485000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"旋律(シラベ)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;EP3追加分 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],74 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],154000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"月うさぎの舞踏" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],75 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],181000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Melting_away" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],80 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],162000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"翼" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],81 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],240000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"失楽園" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],84 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],226000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"D_g-rhythm-" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],83 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],131000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"activepain" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;EP4追加分 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],87 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],234000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Like_the_gale" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],86 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],142000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"over" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],92 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],116000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"さくたろうの〜" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],98 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],149000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"HIBUTA" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],101 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],296000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Victima_P" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],102 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],192000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Revolt" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],103 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],216000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"煉獄凶狂葬曲" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],104 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],169000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Happy_Maria!" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],109 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],168000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"discode" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;ここから↓EP5新規追加のもの ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],111 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],183000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Future" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],112 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],234000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"蒼色の冷笑" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],113 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],118000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"名探偵" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],115 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],213000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"one" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],116 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],183000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"螺旋" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],117 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],223000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"弦楽〜 嬰ヘ短調" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],118 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],277000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"トーテンブルーメ" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],119 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],345000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"JUSTICE" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],120 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],145000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Aci-L" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],122 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],324000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Proud-dust" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],123 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],216000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"hello_your_dream" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],125 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],269000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"少女たちの魔女狩り" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],114 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],203000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"笑み亡きソワレ" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],121 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],281000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"喰那" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],126 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],368000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"継接キメラ" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],128 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],507000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"r_replayer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],129 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],204000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Final Answer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],130 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],121000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"hikari" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],127 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],344000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"discolor" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],124 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],220000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"孤独な深海魚" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],131 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],143000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"命のパン" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],132 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],214000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"約束" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],133 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],207000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Tomorrow" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],134 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],88000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"TSUBASA(Ver hope)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;ここからEP6復活曲 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],59 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],211000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Over_the_sky" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],61 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],211000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ロウソクたちが踊る" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],97 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],157000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Surrounding" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],16 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],305000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Fortitude" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],91 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],165000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"神秘の森" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],28 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],168000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"痕音" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],93 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],251000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Parallel" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],90 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],256000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"apathy" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],31 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],182000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"nighteyes" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],21 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],146000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Praise" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],24 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],422000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"goldenslaughterer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],82 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],221000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"wingless" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],79 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],190000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"生まれてきて" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],33 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],171000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Requiem" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],47 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],101000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Answer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],54 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],457000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Worldenddominator" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],100 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],239000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"m_stampede" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],41 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],257000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"牢獄STRIP" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],65 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],244000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"あかいくつ偽" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],95 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],92000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"599_million_ruins" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],71 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],233000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"rhythm-changer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],76 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],130000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"soul_of_soul" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],29 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],108000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Core" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;ここからEP6新規 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],135 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],150000 ;曲の長さ2:30 add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"鈍色の空笑" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],136 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],94000 ;曲の長さ1:34 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"永遠の鎖" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],137 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],184000 ;曲の長さ3:04 add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Love_Examination" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],138 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],117000 ;曲の長さ1:57 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"刹那" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],139 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],154000 ;曲の長さ2:34 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Look_back" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],140 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],159000 ;曲の長さ2:39 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"青い蝶" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],141 ; ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],350000 ;曲の長さ5:50 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"my_dear" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],142 ;曲の割り当て番号(チ;ャンネル); ; mov ?bgmm[%3801][bm_t],259000 ;曲の長さ4:19 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"キ・ナの香り" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],159 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],277000 ;曲の長さ4:37 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"嬰児クインビー" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],143 ;曲の割り当て番号(チ;ャンネル) mov ?bgmm[%3801][bm_t],178000 ;曲の長さ2:58 add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"rog-limittation" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],144 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],130000 ;曲の長さ2:10 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ワルツOp.34" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],145 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],226000 ;曲の長さ3:46 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ALIVE" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],146 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],296000 ;曲の長さ4:56 add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"b_o_n_witch_inst" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],147 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],274000 ;曲の長さ4:34 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ruriair" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],149 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],161000 ;曲の長さ2:41 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Life" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],150 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],122000 ;曲の長さ2:02 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Loreley" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],151 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],298000 ;曲の長さ4:58 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"罪" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],152 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],205000 ;曲の長さ3:25 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"T_f_a_T_last" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],153 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],288000 ;曲の長さ4:48 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"反魔セクエンツィア" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],154 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],272000 ;曲の長さ4:32 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"battle_field" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],155 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],180000 ;曲の長さ3:00 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Rebirth" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],156 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],133000 ;曲の長さ3:11 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"道" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],157 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],451000 ;曲の長さ7:31 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"liberatedliberater" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],148 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],142000 ;曲の長さ2:22 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"e_o_marionette" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],160 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],162000 ;曲の長さ2:42 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"b_o_n_witch(short)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],158 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],133000 ;曲の長さ2:47 ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"t_f_a_people" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],161 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],197000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ウサンノカオリ" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ここからEP7 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],178 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],279000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Bring_The_Fate" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],162 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],487000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"le4-octobre" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],163 ; ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],264000 ;曲の長さ ;; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"l&d-circulation" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],164 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],291000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"reflection-call" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],165 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],303000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"rain" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],166 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],376000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"7-weights" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],167 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],279000 ;曲の長さ ; add %3802,400 ;; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"fall" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],168 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],421000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"bore-ral" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],169 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],295000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ballde-continuer" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],170 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],311000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"なまえ〜_ver.2007" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],171 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],255000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"lie-alaia" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],173 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],182000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"far(flat)" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],174 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],131000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"おもちゃ箱" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],175 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],289000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"terminal entrance" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],176 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],85000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"人形劇" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],177 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],334000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"s/he-end" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],179 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],389000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"なまえ〜_f_inst" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;178番はBring the fateです。 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],180 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],296000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Last World" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],172 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],245000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Golden_N(inst)" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],181 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],315000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"goddess-gardena" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],183 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],222000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ridicule" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;ここまでで、*bgm_mode_path_shutokuにて使用されている変数、 ;mov $%3802,$BGM_Playで使われる、$%3802の変数が4145で限界。 ;応急処置として、以下ではあいている変数番号を使用することにする。 ;ここでは3900番台を使用。3950から変数使用可能。3950-3746=-204 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],184 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],172000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"黄泉津比良坂_C" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],185 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],172000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"the_executioner" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],186 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],172000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"なまえのないうた" ;曲名 ;; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;ここからEP8復活曲 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],89 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],120000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"M_Clock" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],105 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],352000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"dive_to_emergency" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],73 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],139000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"h_of_m" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],78 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],176000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"prison" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],68 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],245000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"踊る煙管" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],6 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],76000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"◆暗闇の刻" ;曲名 ; mov ?bgmm[%3801][bm_k],1 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],67 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],328000 ;曲の長さ ; add %3802,400 ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"haze" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ;%380=153 ;%3802=4357 ;ここからEP8曲 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],187 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],202000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ぬいぐるみ" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],188 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],351000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"怪奇〜" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],189 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],380000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"More_fear" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],190 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],331000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"en_counse" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],191 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],285000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"lixAxil" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],192 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],310000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Revelations_inst" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],195 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],314000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Revelations" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 ; inc %3801 ; mov %3802,3600 : add %3802,%3801 ; mov ?bgmm[%3801][bm_c],193 ;曲の割り当て番号(チャンネル) ; mov ?bgmm[%3801][bm_t],156000 ;曲の長さ ; add %3802,400 ;3746+204=3950番(3999まで使用可能??) ; mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"飛翔" ;曲名 ; mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック ; gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],244 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],206000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"ビジ一デビルズ" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],197 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],188000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"明日の夢inst" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],198 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],302000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"百合の泪 -E_l_t" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],16 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],164000 ;曲の長さ add %3802,400 mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"^Dead_of_Dawn" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 inc %3801 mov %3802,3600 : add %3802,%3801 mov ?bgmm[%3801][bm_c],243 ;曲の割り当て番号(チャンネル) mov ?bgmm[%3801][bm_t],296000 ;曲の長さ add %3802,400 ;3746+204=3950番(3999まで使用可能??) mov ?bgmm[%3801][bm_n],%3802 : mov $%3802,"Last_World" ;曲名 mov ?bgmm[%3801][bm_k],0 ;怖い曲かどうかのチェック gosub *bgm_mode_path_shutoku ;ファイルへのパスの取得 return ;------------------- ;OP等は変数にパスを入れる ;ファイルへのパスの取得サブルーチン *bgm_mode_path_shutoku add %3802,400 mov ?bgmm[%3801][bm_pa],%3802 mov %BGM_s_Ch,?bgmm[%3801][bm_c] mov %bgm_mood_file_path_flg,1 gosub *BGM_List mov $%3802,$BGM_Play return ;------------------- ;------------------- ;*********************************************************************** ;BGMモード、各曲ごとの処理、表示ルーチン ;*********************************************************************** ;if %BtnRes = 196 goto *bgm_mode_busy_d ;if %BtnRes = 197 goto *bgm_mode_asumu ;if %BtnRes = 198 goto *bgm_mode_nugerjagmig ;咲追加分 *bgm_mode_nugerjagmig if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,3 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\nugerjagmig01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\nugerjagmig02.bmp" if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\nugerjagmig03.bmp" trap *bgm_mode_nugerjagmig_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_nugerjagmig_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 百合の泪 -En liljas tårar-^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Patrik Leonheart ^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:02^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_asumu if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,3 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\asitanoyume01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\asitanoyume02.bmp" if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\asitanoyume03.bmp" trap *bgm_mode_asumu_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_asumu_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 明日の夢inst[Dream for tomorrow]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:08^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_busy_d if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,4 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\busy_devils01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\busy_devils01.bmp" if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\busy_devils01.bmp" if %ran2 = 4 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\busy_devils04.bmp" trap *bgm_mode_busy_d_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_busy_d_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ビジ一デビルズ[Busy Devils]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:24^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_umineko if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\umineko1.bmp" lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_umineko_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\umineko1.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: うみねこのなく頃に [When the Seagulls Cry]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 志方あきこ [Shikata Akiko]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:28^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_byakumu if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_white,0,0 : print 22 trap *bgm_mode_byakumu_1000 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_01.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_02.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_03.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_04.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_05.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_06.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_07.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_08.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_09.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_10.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_11.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_12.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_13.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"BMP\background\efe\EP8ED_14.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 2 delay 1500 mov $tmp,":bc;" add $tmp,"BMP\background\efe\end_3d.png" lsp 510,$tmp,0,0 print 2 delay 3000 lsp 510,r_white,0,0 : print 2 *bgm_mode_byakumu_1000 trap off mov $tmp,":c;" add $tmp,"bmp\background\efe\last1.png" lsp 510,$tmp,0,0 print 2 mov $tmp,":c;" add $tmp,"bmp\background\efe\last2.png" lsp 510,$tmp,0,0 ;tttttttt ; lsp bgm_title_lsp,":s#FFFFFF^ Title: 白夢の繭 [White Dream Cocoon] -Ricordando_il_passato-^",%bgm_x,%bgm_y1 ; lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 志方あきこ [Shikata Akiko]^",%bgm_x,%bgm_y2 ; lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:50^",%bgm_x,%bgm_y3 lsp bgm_title_lsp,":s#FFFFFF^ Title: 白夢の繭 [White Dream Cocoon]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ -Ricordando_il_passato-^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: 志方あきこ [Shikata Akiko] Time: 2:50^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_lastend if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bmp\background\efe\cats_eye2c.bmp" trap *bgm_mode_lastend_1000 lsp 510,r_black,0,0 : print 22 monocro #ADFF2F lsp 510,$tmp,0,0 print 28 bgcopy mono 0 lsp 510,r_white,0,0 : print 8 wait 500 mono 2 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1a.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1b.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1c.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1d.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1e.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mono 0 monocro #ADFF2F mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1a.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1b.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1c.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1d.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 mov $tmp,":bc;" add $tmp,"bmp\background\efe\bullet_1e.png" lsp 510,$tmp,0,0 print 1 quakey 2,100 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bmp\background\efe\efe1.bmp" lsp 510,$tmp,0,0 print 22 lsp 510,r_white,0,0 : print 1 lsp 510,r_black,0,0 : print 8 delay 500 *bgm_mode_lastend_1000 trap off mono 0 mov $tmp,":c;" add $tmp,"bgm_mode\ss\le_z1.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: lastendconductor^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 10:44^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hishou if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_white,0,0 : print 1 trap *bgm_mode_hishou_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hishou1.bmp" lsp 510,$tmp,0,0 print 8 delay 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hishou2.bmp" lsp 510,$tmp,0,0 print 2 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hishou3.bmp" lsp 510,$tmp,0,0 print 5 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hishou4.bmp" lsp 510,$tmp,0,0 print 80 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hishou5.bmp" lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_hishou_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\hishou5.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 飛翔 [Soar]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:35^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_nuigurumi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" add $tmp,"bgm_mode\ss\nuigurmui01.bmp" trap *bgm_mode_nuigurumi_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\nuigurumi2.bmp" lsp 510,$tmp,0,0 print 22 delay 1500 *bgm_mode_nuigurumi_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\nuigurmui01.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ぬいぐるみ [Stuffed Animal]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:22^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_More_fear if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\More_fear1.bmp" lsp 510,$tmp,0,0 print 22 trap *bgm_mode_More_fear_1000 delay 500 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\More_fear2.bmp" lsp 510,$tmp,0,0 print 22 wait 500 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\More_fear3.bmp" lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_More_fear_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\More_fear3.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Dread_of_the_grave_-more_fear-(remake)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: SB YUNE^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 6:20^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_lixaxil if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil1.bmp" lsp 510,$tmp,0,0 print 22 trap *bgm_mode_lixaxil_1000 wait 1000 lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil2.bmp" lsp 510,$tmp,0,0 print 22 delay 500 lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil3.bmp" lsp 510,$tmp,0,0 print 22 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil4.bmp" lsp 510,$tmp,0,0 print 24 delay 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil5.bmp" lsp 510,$tmp,0,0 print 24 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil6.bmp" lsp 510,$tmp,0,0 print 80 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil7.bmp" lsp 510,$tmp,0,0 print 80 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil8.bmp" lsp 510,$tmp,0,0 print 80 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil9.bmp" lsp 510,$tmp,0,0 print 80 wait 500 lsp 510,r_black,0,0 : print 22 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil10.bmp" lsp 510,$tmp,0,0 print 80 delay 500 *bgm_mode_lixaxil_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\lixaxil10.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: lixAxil^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 北大路瑞希(グラサンねこ) [Kitaouji Mizuki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:45^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kikai if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\kaiki1.bmp" lsp 510,$tmp,0,0 print 24 trap *bgm_mode_kikai_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kaiki2.bmp" lsp 510,$tmp,0,0 print 80 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kaiki3.bmp" lsp 510,$tmp,0,0 print 26 lsp 510,r_black,0,0 : print 26 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kaiki4.bmp" lsp 510,$tmp,0,0 print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kaiki5.bmp" lsp 510,$tmp,0,0 print 99,800,"breakup.dll/urb" delay 500 *bgm_mode_kikai_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\kaiki5.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 怪奇ディヴェルティメント [Bizarre Divertimento]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 北大路瑞希(グラサンねこ) [Kitaouji Mizuki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:51^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_en_counse if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 5 mov $tmp,":c;" add $tmp,"bgm_mode\ss\en-counse01.bmp" trap *bgm_mode_encounse_1000 lsp 510,$tmp,0,0 print 22 wait 1500 lsp 510,r_black,0,0 : print 3 mov $tmp,":c;" add $tmp,"bgm_mode\ss\en-counse02.bmp" lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_encounse_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\en-counse02.bmp" lsp 510,$tmp,0,0 print 80 lsp bgm_title_lsp,":s#FFFFFF^ Title: en-counse^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:31^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Revelations_oche if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,3 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\revelations01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\revelations02.bmp" if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\revelations03.bmp" trap *bgm_mode_Revelations_oche_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_Revelations_oche_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Revelations(inst)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:09^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Revelations if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\eb3.bmp" rnd2 %ran2,1,2 trap *bgm_mode_Revelations_mx_1000 lsp 510,r_black,0,0 : print 22 if %ran2 = 1 : monocro #00ff00 : mov $tmp,":c;" : add $tmp,"bmp\background\efe\cats_eye2a.bmp" : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bmp\background\efe\cats_eye2b.bmp" : lsp 510,$tmp,0,0 : print 1 : wait 100 : mov $tmp,":c;" : add $tmp,"bmp\background\efe\cats_eye2c.bmp" : lsp 510,$tmp,0,0 : print 1 : wait 100 : mov $tmp,":c;" : add $tmp,"bmp\background\efe\cats_mouth.bmp" : lsp 510,$tmp,0,0 : print 1 : quakey 4,600 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rev_1.bmp" : lsp 510,$tmp,0,0 : print 22 : delay 500 delay 500 *bgm_mode_Revelations_mx_1000 trap off if %ran2 = 1 : lsp 510,r_black,0,0 : print 22 : mono off : mov $tmp,":c;" : add $tmp,"bmp\background\fea\FEA_L4.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rev_2.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Revelations^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki, amiry, IZNA^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: IZNA^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;ここから上がEP8 ;-------------------------------------------------------------------------- *bgm_mode_hirasaka if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\hirasaka_3.bmp" ; rnd2 %ran2,1,2 trap *bgm_mode_hirasaka_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 ; lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hirasaka_4.bmp" lsp 510,$tmp,0,0 print 3 wait 1500 lsp 510,r_white,0,0 : print 1 lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hirasaka_5.bmp" lsp 510,$tmp,0,0 print 2 wait 1500 lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hirasaka_6.bmp" lsp 510,$tmp,0,0 print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\hirasaka_7.bmp" lsp 510,$tmp,0,0 print 2 delay 500 *bgm_mode_hirasaka_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\hirasaka_7.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 黄泉津比良坂Corruption^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [Yomitsu Hirasaka Corruption]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: -45 Time: 4:52^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_excuter if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\exe_5.bmp" trap *bgm_mode_excuter_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 300 mov $tmp,":c;" add $tmp,"bgm_mode\ss\exe_6.bmp" lsp 510,$tmp,0,0 print 22 wait 1000 lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" add $tmp,"bgm_mode\ss\exe_7.bmp" lsp 510,$tmp,0,0 print 24 wait 2000 lsp 510,r_black,0,0 : print 22 ;ここから猫目などのエフェクト ;ブレードなど lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye1a.bmp" lsp 510,$tmp,0,0 print 22 wait 300 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye1b.bmp" lsp 510,$tmp,0,0 print 22 wait 300 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye1c.bmp" lsp 510,$tmp,0,0 print 22 wait 200 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye1d.bmp" lsp 510,$tmp,0,0 print 22 wait 200 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye2a.bmp" lsp 510,$tmp,0,0 print 1 wait 200 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye2b.bmp" lsp 510,$tmp,0,0 print 1 wait 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_eye2c.bmp" lsp 510,$tmp,0,0 print 1 wait 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\cats_mouth.bmp" lsp 510,$tmp,0,0 print 1 quakey 4,600 lsp 510,r_white,0,0 : print 1 wait 500 lsp 510,r_black,0,0 : print 22 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\BLADE3W.bmp" lsp 510,$tmp,0,0 print 80 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\BLADE6_2W.bmp" lsp 510,$tmp,0,0 print 80 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\BLADE8_1W.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" add $tmp,"bgm_mode\ss\namae_ed_4.bmp" lsp 510,$tmp,0,0 print 99,2000,"breakup.dll/ulB" delay 500 *bgm_mode_excuter_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\namae_ed_4.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: the executioner^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:25^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ridicule if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\rid_1.bmp" ; rnd2 %ran2,1,2 trap *bgm_mode_ridicule_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 1000 lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\rid_2.bmp" lsp 510,$tmp,0,0 print 22 wait 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\rid_3.bmp" lsp 510,r_black,0,0 : print 24 lsp 510,$tmp,0,0 print 4 delay 500 *bgm_mode_ridicule_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ridicule^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:48^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_namaenonaiuta if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\namae_ed_1.bmp" trap *bgm_mode_namaenonaiuta_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 2 wait 2000 lsp 510,r_white,0,0 : print 2 mov $tmp,":c;" add $tmp,"bgm_mode\ss\namae_ed_2.bmp" lsp 510,$tmp,0,0 print 6 wait 2000 lsp 510,r_black,0,0 : print 2 wait 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\namae_ed_3.bmp" lsp 510,$tmp,0,0 print 2 wait 4000 lsp 510,r_white,0,0 : print 2 wait 1000 delay 500 *bgm_mode_namaenonaiuta_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\namae_ed_4.bmp" lsp 510,$tmp,0,0 ; lsp bgm_title_lsp,":s#FFFFFF^ Title: なまえのないうた ver.sakura ED size^",%bgm_x,%bgm_y1 ; lsp bgm_sakka_lsp,":s#FFFFFF^ [Song Without a Name] Artist: sunny, xaki, cap, pyon^",%bgm_x,%bgm_y2 ; lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 佐倉かなえ [Sakura Kanae], xaki(choir)^",%bgm_x,%bgm_y3 lsp bgm_title_lsp,":s#FFFFFF^ Title: Song Without a Name ver.sakura ED size^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: sunny, xaki, cap, pyon^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 佐倉かなえ [Sakura Kanae], xaki(choir)^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_TSUBASA_inst if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\eb3.bmp" rnd2 %ran2,1,2 trap *bgm_mode_TSUBASA_inst_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 lsp 510,r_black,0,0 : print 5 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eb1.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eb2.bmp" lsp 510,$tmp,0,0 print 5 if %ran2 = 2 : quakex 3,300 delay 500 *bgm_mode_TSUBASA_inst_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 ツバサ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:42",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_goddess_gardena if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,2 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\godess01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\godess02.bmp" trap *bgm_mode_goddess_gardena_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_goddess_gardena_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: goddess-gardena^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: xaki^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_the_end_of_the_world_inst if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\teow_2.bmp" rnd2 %ran2,1,5 trap *bgm_mode_the_end_of_the_world_inst_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 1000 lsp 510,r_black,0,0 : print 5 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\The_end_of_world_inst01.bmp" : lsp 510,$tmp,0,0 : print 22 : delay 1000 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\The_end_of_world_inst04.bmp" : lsp 510,$tmp,0,0 : print 22 : delay 2000 : if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\The_end_of_world_inst02.bmp" : lsp 510,$tmp,0,0 : print 22 : delay 1000 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\The_end_of_world_inst04.bmp" : lsp 510,$tmp,0,0 : print 22 : delay 2000 : delay 500 *bgm_mode_the_end_of_the_world_inst_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\The_end_of_world_inst05.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Last World^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 本木咲黒 [Motoki zakuro]^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Bring_the_fate if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\btf_3.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\btf_10.bmp" trap *bgm_mode_Bring_the_fate_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 wait 1000 if %ran2 = 1 :wait 1000 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\btf_4.bmp" : lsp 510,$tmp,0,0 : print 24 : wait 2000 : lsp 510,r_black,0,0 : print 22 : lsp 510,r_white,0,0 : print 50 : wait 1000 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\btf_8.bmp" : lsp 510,$tmp,0,0 : print 2 if %ran2 = 2 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\btf_9.bmp" : lsp 510,$tmp,0,0 : print 6 delay 500 *bgm_mode_Bring_the_fate_1000 trap off if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bring_the_fate01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bring_the_fate02.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Bring The Fate^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 土井宏紀 [Doi Hironori]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:39",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_she_end if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\sheend01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\sheend01.bmp" trap *bgm_mode_she_end_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 if %ran2 = 1 : print 22 if %ran2 = 2 : wait 500 : print 6 *bgm_mode_she_end_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: s/he-end^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: xaki^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Golden_Nocturne_inst if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Golden Nocturne01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Golden Nocturne02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Golden Nocturne03.bmp" trap *bgm_mode_Golden_Nocturne_inst_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 if %ran2 = 1 : print 22 if %ran2 = 2 : print 22 if %ran2 = 3 : print 22 delay 500 *bgm_mode_Golden_Nocturne_inst_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Golden Nocturne (inst)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:05^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ningyougeki if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,3 mov $tmp,":c;" if %ran2 = 1 : add $tmp,"bgm_mode\ss\ningyou_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\ningyou_3.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\ningyou_7.bmp" trap *bgm_mode_ningyougeki_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 mov $tmp,":c;" if %ran2 = 2 : add $tmp,"bgm_mode\ss\ningyou_4.bmp" : lsp 510,$tmp,0,0 : print 6 : wait 1000 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ningyou_6.bmp" : lsp 510,$tmp,0,0 : print 26 : quakey 2,300 if %ran2 = 3 : add $tmp,"bgm_mode\ss\ningyou_8.bmp" : lsp 510,$tmp,0,0 : print 3 delay 500 *bgm_mode_ningyougeki_1000 trap off mov $tmp,":c;" if %ran2 = 1 : add $tmp,"bgm_mode\ss\ningyou_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\ningyou_6.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\ningyou_8.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 人形劇 [Puppet Show]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:25^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_terminal_entrance if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,3 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\t_entrance01.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\t_entrance02.bmp" if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\t_entrance03.bmp" trap *bgm_mode_terminal_entrance_1000 if %ran2 = 2 : lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_terminal_entrance_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: terminal_entrance^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:49^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_omotyabako if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\omocha_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\omocha_4.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\omocha_6.bmp" trap *bgm_mode_omotyabako_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 if %ran2 = 1 : print 22 : wait 500 if %ran2 = 2 : print 22 : wait 200 if %ran2 = 3 : print 24 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\omocha_2.bmp" : lsp 510,$tmp,0,0 : print 26 : wait 800 if %ran2 = 1 : lsp 510,r_white,0,0 : print 1 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\omocha_3.bmp" : lsp 510,$tmp,0,0 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\omocha_5.bmp" if %ran2 = 1 : lsp 510,$tmp,0,0 : print 2 if %ran2 = 2 : lsp 510,$tmp,0,0 : print 6 delay 500 *bgm_mode_omotyabako_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: おもちゃ箱 [Toy Box]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:11^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_far_piano if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,6 if %ran2 = 1 : add $tmp,"bgm_mode\ss\far_f_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\far_f_2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\far_f_3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\far_f_4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\far_f_5.bmp" if %ran2 = 6 : add $tmp,"bgm_mode\ss\far_f_6.bmp" trap *bgm_mode_far_piano_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 24 delay 500 *bgm_mode_far_piano_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: far(flat)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:02^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_namaenonaiuta_inst if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\namae_i_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\namae_i_6.bmp" trap *bgm_mode_namaenonaiuta_inst_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 if %ran2 = 1 : wait 1000 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_i_3.bmp" : lsp 510,$tmp,0,0 : print 4 : wait 2000 : lsp 510,r_black,0,0 : print 2 : wait 1000 : lsp 510,r_white,0,0 : print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_i_5.bmp" : lsp 510,$tmp,0,0 : print 2 if %ran2 = 2 : wait 500 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_i_7.bmp" : lsp 510,$tmp,0,0 : print 2 delay 500 *bgm_mode_namaenonaiuta_inst_1000 trap off if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_i_5.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_i_7.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: なまえのないうた full-inst^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [Song Without a Name]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: sunny, xaki, cap, pyon Time: 6:29^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_lie_alaia if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play rnd2 %ran2,1,1 mov $tmp,":c;" if %ran2 = 1 : add $tmp,"bgm_mode\ss\lie01.bmp" trap *bgm_mode_lie_alaia_1000 lsp 510,r_black,0,0 : print 28 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_lie_alaia_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: lie-alaia^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:15^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_namaenonaiuta_Ver2_oche if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\namae_2007_3.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\namae_2007_5.bmp" trap *bgm_mode_namaenonaiuta_Ver2_oche_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_2007_2.bmp" if %ran2 = 1 : lsp 510,$tmp,0,0 if %ran2 = 1 : print 2 : wait 500 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_2007_4.bmp" if %ran2 = 2 : lsp 510,r_white,0,0 : print 2 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\namae_2007_1.bmp" lsp 510,$tmp,0,0 print 2 delay 500 *bgm_mode_namaenonaiuta_Ver2_oche_1000 trap off lsp 510,$tmp,0,0 ; lsp bgm_title_lsp,":s#FFFFFF^ Title: なまえのないうた [Song Without a Name] ver.2007 inst^",%bgm_x,%bgm_y1 ; lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: sunny, xaki, cap, pyon^",%bgm_x,%bgm_y2 ; lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:11^",%bgm_x,%bgm_y3 lsp bgm_title_lsp,":s#FFFFFF^ Title: なまえのないうた ver.2007 inst^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [Song Without a Name]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: sunny, xaki, cap, pyon Time: 5:11^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_bore_ral if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\bore_1.bmp" trap *bgm_mode_bore_ral_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 lsp 510,r_black,0,0 : print 5 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bore_2.bmp" lsp 510,$tmp,0,0 print 5 delay 500 *bgm_mode_bore_ral_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: bore-ral^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: xaki^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_fall if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\fall_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\fall_5.bmp" trap *bgm_mode_fall_1000 lsp 510,$tmp,0,0 print 22 wait 1000 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\fall_2.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\fall_6.bmp" lsp 510,$tmp,0,0 if %ran2 = 1 : print 2 if %ran2 = 2 : print 4 wait 2000 if %ran2 = 1 : lsp 510,r_black,0,0 : print 24 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\fall_4.bmp" if %ran2 = 1 : lsp 510,$tmp,0,0 if %ran2 = 1 : print 24 delay 500 *bgm_mode_fall_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: fall^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:39^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ld_circulation if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" rnd2 %ran2,1,8 if %ran2 = 1 : add $tmp,"bgm_mode\ss\ld_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\ld_2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\ld_3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\ld_4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\ld_5.bmp" if %ran2 = 6 : add $tmp,"bgm_mode\ss\ld_7.bmp" if %ran2 = 7 : add $tmp,"bgm_mode\ss\ld_9.bmp" if %ran2 = 8 : add $tmp,"bgm_mode\ss\ld_11.bmp" trap *bgm_mode_ld_circulation_1000 lsp 510,$tmp,0,0 print 22 wait 500 if %ran2 = 8 : lsp 510,r_black,0,0 : print 24 if %ran2 = 6 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ld_8.bmp" : lsp 510,$tmp,0,0 : print 29 if %ran2 = 7 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ld_10.bmp" : lsp 510,$tmp,0,0 : print 22 if %ran2 = 8 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ld_12.bmp" : lsp 510,$tmp,0,0 : print 22 delay 500 *bgm_mode_ld_circulation_1000 trap off if %ran2 = 6 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ld_8.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: l&d-circulation^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:24^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_7_weights if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\7weights01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\7weights02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\7weights03.bmp" trap *bgm_mode_7_weights_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 if %ran2 = 1 : print 22 if %ran2 = 2 : print 22 if %ran2 = 3 : print 22 *bgm_mode_7_weights_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 7-weights^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 6:16^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rain if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\rain_4.bmp" trap *bgm_mode_rain_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 2 wait 1000 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rain_5.bmp" lsp 510,$tmp,0,0 print 5 wait 2000 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rain_6.bmp" lsp 510,$tmp,0,0 print 99,1000,"trvswave.dll/" delay 500 *bgm_mode_rain_1000 trap off mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rain_6.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: rain^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:03^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_reflection if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\reflection_1.bmp" trap *bgm_mode_reflection_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 1000 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\reflection_e.bmp" lsp 510,$tmp,0,0 print 2 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\reflection_2.bmp" lsp 510,$tmp,0,0 print 22 wait 1000 lsp 510,r_black,0,0 : print 2 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\reflection_4.bmp" lsp 510,$tmp,0,0 print 2 delay 500 *bgm_mode_reflection_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: reflection-call^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:51^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ballade if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\ballde_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\ballde_5.bmp" trap *bgm_mode_ballade_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ballde_2.bmp" if %ran2 = 2 : lsp 510,r_white,0,0 : print 22 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\ballde_4.bmp" lsp 510,$tmp,0,0 if %ran2 = 1 : print 5 if %ran2 = 2 : print 9 delay 500 *bgm_mode_ballade_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ballade-continuer^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:55^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_le4 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\l4_1.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\l4_2.bmp" if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\l4_3.bmp" if %ran2 = 4 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\l4_4.bmp" if %ran2 = 5 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\l4_5.bmp" trap *bgm_mode_le4_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 24 wait 500 delay 500 *bgm_mode_le4_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: le4-octobre^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 8:07^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;ここから上がEP7 *bgm_mode_usan_uta if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\usan_5.bmp" trap *bgm_mode_usan_uta_1000 ; lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 8 : wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\usan_6.bmp" lsp 510,$tmp,0,0 print 2 : wait 1000 lsp 510,r_white,0,0 : print 6 lsp 510,r_black,0,0 : print 26 mov $tmp,":c;" add $tmp,"bgm_mode\ss\usan_6.bmp" lsp 510,$tmp,0,0 print 2 : wait 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\usan_7.bmp" lsp 510,$tmp,0,0 print 1 : quakey 6,800 lsp 510,r_black,0,0 : print 2 *bgm_mode_usan_uta_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\end_3d.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ウサンノカオリ [Fishy Aroma]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki], E. Kida^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 木野寧 [Kino Nei]^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_eb if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\eb3.bmp" rnd2 %ran2,1,2 trap *bgm_mode_eb_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 lsp 510,r_black,0,0 : print 5 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eb1.bmp" if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eb2.bmp" lsp 510,$tmp,0,0 print 5 if %ran2 = 2 : quakex 3,300 delay 500 *bgm_mode_eb_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 永遠の鎖 [Eternal Chains]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:33^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_thanks_for_all_people if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tfap2.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tfap3.bmp" trap *bgm_mode_thanks_for_all_people_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 wait 500 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfap1.bmp" : lsp 510,$tmp,0,0 : print 2 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfap4.bmp" : lsp 510,$tmp,0,0 : print 2 delay 500 lsp 510,r_black,0,0 : print 2 : wait 1000 *bgm_mode_thanks_for_all_people_1000 trap off if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\end_3d.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Thanks for all People^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:47^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ll if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\ll1.bmp" trap *bgm_mode_ll_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\ll2.bmp" lsp 510,$tmp,0,0 : print 1 : wait 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\ll3.bmp" lsp 510,$tmp,0,0 : print 1 : wait 800 lsp 510,r_black,0,0 : print 2 : wait 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\ll4.bmp" lsp 510,$tmp,0,0 : print 23 : wait 500 lsp 510,r_white,0,0 : print 1 : wait 800 mov $tmp,":c;" add $tmp,"bgm_mode\ss\ll5.bmp" lsp 510,$tmp,0,0 : print 99,1000,"breakup.dll/urB" : wait 500 ; mov $tmp,":c;" ; add $tmp,"bgm_mode\ss\ll6.bmp" ; lsp 510,$tmp,0,0 : print 8 ; mov $tmp,":c;" ; add $tmp,"bgm_mode\ss\ll7.bmp" ; lsp 510,$tmp,0,0 : print 2 : wait 500 ; lsp 510,r_white,0,0 : print 28 ; lsp 510,r_white,0,0 : print 1 : wait 100 ; mov $tmp,":c;" ; add $tmp,"bgm_mode\ss\ll9.bmp" ; lsp 510,$tmp,0,0 : print 28 ; wait 200 ; quakex 3,300 delay 500 *bgm_mode_ll_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: liberatedliberater^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:31^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_setsuna if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\setsuna1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\LoveExamination3.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\setsuna4.bmp" trap *bgm_mode_setsuna_1000 lsp 510,r_black,0,0 : print 23 lsp 510,$tmp,0,0 print 22 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\setsuna5.bmp" : lsp 510,$tmp,0,0 : print 99,2000,"trvswave.dll/" delay 1000 *bgm_mode_setsuna_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 刹那 [A Single Moment]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:57^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Look if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : add $tmp,"bgm_mode\ss\look1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\look2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\look3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\look4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\look5.bmp" trap *bgm_mode_Look_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_Look_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Look Back^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:34^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_birth_of_new_withch if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\bonw9.bmp" trap *bgm_mode_birth_of_new_withch_1000 lsp 510,r_black,0,0 : print 28 lsp 510,$tmp,0,0 print 22 wait 800 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bonw7.bmp" lsp 510,$tmp,0,0 : print 2 : wait 500 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bonw8.bmp" lsp 510,$tmp,0,0 : print 22 : wait 1000 lsp 510,r_white,0,0 : print 22 lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bonw10.bmp" lsp 510,$tmp,0,0 : print 24 : wait 400 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bonw11.bmp" lsp 510,$tmp,0,0 : print 22 : wait 800 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\bonw12.bmp" lsp 510,$tmp,0,0 : print 22 : wait 1000 lsp 510,r_black,0,0 : print 2 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life8.bmp" lsp 510,$tmp,0,0 : print 22 : wait 950 lsp 510,r_white,0,0 : print 1 : wait 1400 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\end_3d.bmp" lsp 510,$tmp,0,0 : print 22 delay 500 *bgm_mode_birth_of_new_withch_1000 trap off mov $tmp,":c;" : add $tmp,"bgm_mode\ss\end_3d.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: birth_of_new_witch(Short Ver)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力, E.Kida, Pre-holder(mix)^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 本木咲黒(猫招き歌劇団)[Motoki Zakuro]^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_birth_of_new_withch_inst if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" trap *bgm_mode_birth_of_new_withch_inst_1000 rnd2 %ran2,1,4 lsp 510,r_white,0,0 : print 22 rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\birth_of_a_new_witch01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\birth_of_a_new_witch02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\birth_of_a_new_witch03.bmp" lsp 510,$tmp,0,0 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_birth_of_new_withch_inst_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: birth_of_new_witch(inst)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:56^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_dai_002 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\002_2.bmp" trap *bgm_mode_dai_002_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\002_3.bmp" lsp 510,$tmp,0,0 : print 22 : wait 700 lsp 510,r_white,0,0 : print 1 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\002_4.bmp" lsp 510,$tmp,0,0 : print 1 : quakey 3,300 lsp 510,r_black,0,0 : print 23 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\002_1.bmp" lsp 510,$tmp,0,0 : print 23 delay 500 *bgm_mode_dai_002_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 道 [Pathway]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:11^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Reborn if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rebirth1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rebirth5.bmp" trap *bgm_mode_Reborn_1000 lsp 510,r_white,0,0 : print 1 lsp 510,$tmp,0,0 print 22 if %ran2 = 1 : wait 600 : lsp 510,r_white,0,0 : print 28 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rebirth3.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rebirth2.bmp" : lsp 510,$tmp,0,0 : wait 100 : print 99,700,"breakup.dll/urb" if %ran2 = 2 : wait 250 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rebirth6.bmp" : lsp 510,$tmp,0,0 : print 22 : lsp 510,r_black,0,0 : print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life8.bmp" : lsp 510,$tmp,0,0 : print 1 : wait 300 : lsp 510,r_white,0,0 : print 1 : lsp 510,r_black,0,0 : print 22 : lsp 510,r_black,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rebirth4.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 100 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\rebirth7.bmp" : lsp 510,$tmp,0,0 : wait 100 : print 22 delay 500 *bgm_mode_Reborn_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Rebirth",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:00^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_SF09 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\sf2.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\sf2.bmp" trap *bgm_mode_SF09_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 if %ran2 = 1 : wait 430 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf3.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf4.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf5.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf6.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf7.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf8.bmp" : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf9.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : lsp 510,r_black,0,0 : print 2 : wait 1000 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf13.bmp" : lsp 510,$tmp,0,0 : print 23 : wait 300 if %ran2 = 2 : wait 430 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf3.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf4.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf5.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf6.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf7.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf8.bmp" : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf9.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : lsp 510,r_black,0,0 : print 2 : wait 1000 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\sf13.bmp" : lsp 510,$tmp,0,0 : print 23 : wait 300 delay 1000 *bgm_mode_SF09_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: battle field^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: さゆり(Silver Forest) [Sayuri]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:32^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_The_first_and_The_last if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tfal1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tfal5.bmp" trap *bgm_mode_The_first_and_The_last_1000 lsp 510,r_black,0,0 : print 26 lsp 510,$tmp,0,0 print 22 if %ran2 = 1 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfal2.bmp" : lsp 510,$tmp,0,0 : print 22 : lsp 510,r_black,0,0 : print 2 lsp 510,r_white,0,0 : print 5 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfal3.bmp" : lsp 510,$tmp,0,0 : print 25 : wait 1000 : lsp 510,r_black,0,0 : print 23 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfal5.bmp" : lsp 510,$tmp,0,0 : print 3 if %ran2 = 2 : wait 500 : lsp 510,r_black,0,0 : print 6 : wait 1000 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfal6.bmp" : lsp 510,$tmp,0,0 : print 26 : lsp 510,r_white,0,0 : wait 1000 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tfal7.bmp" : lsp 510,$tmp,0,0 : wait 500 print 22 delay 500 *bgm_mode_The_first_and_The_last_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: The first and The last^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:25^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_gc30 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\gc30_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\gc30_8.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\gc30_5.bmp" trap *bgm_mode_gc30_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_2.bmp" lsp 510,$tmp,0,0 : print 99,2000,"whirl.dll/" : wait 500 : lsp 510,r_black,0,0 : print 25 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_3.bmp" : lsp 510,$tmp,0,0 : print 5 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_9.bmp" : lsp 510,$tmp,0,0 : print 99,700,"breakup.dll/urb" : wait 500 : wait 500 : lsp 510,r_black,0,0 : print 23 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_10.bmp" : lsp 510,$tmp,0,0 : print 23 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_11.bmp" : lsp 510,$tmp,0,0 : print 22 if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_6.bmp" : lsp 510,$tmp,0,0 : print 66 : wait 500 : wait 500 : lsp 510,r_black,0,0 : print 23 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_12.bmp" : lsp 510,$tmp,0,0 : print 23 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_13.bmp" : lsp 510,$tmp,0,0 : print 24 :lsp 510,r_white,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc30_14.bmp" : lsp 510,$tmp,0,0 : print 99,400,"breakup.dll/llB" : quakey 3,300 delay 1000 *bgm_mode_gc30_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 反魔セクエンツィア [Anti-Demon Sequentia]",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 北大路瑞希(グラサンねこ) [Kitaouji Mizuki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:48^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_tumi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tumi1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tumi3.bmp" if %ran2 = 2 : mono 1 if %ran2 = 3 : add $tmp,"bgm_mode\ss\tumi3.bmp" if %ran2 = 3 : mono 1 trap *bgm_mode_tumi_1000 lsp 510,r_white,0,0 : print 26 lsp 510,$tmp,0,0 print 26 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi4.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 300 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi5.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi6.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi7.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 100 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi8.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 100 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi9.bmp" : lsp 510,$tmp,0,0 : print 1 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi10.bmp" : lsp 510,$tmp,0,0 : print 22 wait 700 : mono 0 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi11.bmp" : lsp 510,$tmp,0,0 : print 2 : lsp 510,r_white,0,0 : print 1 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi12.bmp" : lsp 510,$tmp,0,0 : print 1 : quakey 3,300 if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi4.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 300 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi5.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 200 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi6.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi7.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 100 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi8.bmp" : lsp 510,$tmp,0,0 : print 1 :wait 100 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi9.bmp" : lsp 510,$tmp,0,0 : print 1 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi10.bmp" : lsp 510,$tmp,0,0 : print 22 wait 700 : mono 0 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi11.bmp" : lsp 510,$tmp,0,0 : print 2 : lsp 510,r_white,0,0 : print 1 : lsp 510,r_black,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\tumi12.bmp" : lsp 510,$tmp,0,0 : print 1 : quakey 3,300 delay 500 *bgm_mode_tumi_1000 mono 0 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 罪 [The Sin]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:58^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Loreley if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Loreley2.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Loreley4.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Loreley2.bmp" trap *bgm_mode_Loreley_1000 lsp 510,r_black,0,0 : print 24 lsp 510,$tmp,0,0 print 24 if %ran2 = 1 : lsp 510,r_white,0,0 : print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\Loreley3.bmp" : lsp 510,$tmp,0,0 : print 2 if %ran2 = 3 : lsp 510,r_white,0,0 : print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\Loreley3.bmp" : lsp 510,$tmp,0,0 : print 2 delay 1000 *bgm_mode_Loreley_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Loreley^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:02^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ALIVE if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,6 if %ran2 = 1 : add $tmp,"bgm_mode\ss\alive12.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\alive9.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\alive9.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\alive8.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\alive15.bmp" if %ran2 = 6 : add $tmp,"bgm_mode\ss\alive15.bmp" trap *bgm_mode_ALIVE_1000 if %ran2 = 1 : lsp 510,r_white,0,0 : print 1 if %ran2 = 2 : lsp 510,r_black,0,0 : print 1 if %ran2 = 3 : lsp 510,r_black,0,0 : print 1 if %ran2 = 4 : lsp 510,r_white,0,0 : print 29 lsp 510,$tmp,0,0 if %ran2 = 1 print 22 : wait 200 : mono 2 : print 6 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive13.bmp" : lsp 510,$tmp,0,0 : mono 0 : print 22 if %ran2 = 2 print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive11.bmp" : lsp 510,$tmp,0,0 : mono 0 : print 2 if %ran2 = 3 print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive10.bmp" : lsp 510,$tmp,0,0 : mono 0 : print 2 if %ran2 = 4 print 2 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive3.bmp" : lsp 510,$tmp,0,0 : print 5 if %ran2 = 5 print 2 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive16.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive17.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive18.bmp" : lsp 510,$tmp,0,0 : print 22 if %ran2 = 6 print 2 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive16.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive17.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive18.bmp" : lsp 510,$tmp,0,0 : print 22 delay 500 *bgm_mode_ALIVE_1000 mono 0 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ALIVE^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:46^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hope_BD if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\my_dear1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\my_dear3.bmp" trap *bgm_mode_hope_BD_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 if %ran2 = 2 : mov $tmp,":c;" if %ran2 = 2 : add $tmp,"bgm_mode\ss\my_dear4.bmp" if %ran2 = 2 : lsp 510,$tmp,0,0 if %ran2 = 2 : print 99,800,"breakup.dll/urB" delay 500 *bgm_mode_hope_BD_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: my dear^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:50^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Life_or_death if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\life8.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\life2.bmp" trap *bgm_mode_Life_or_death_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life4.bmp" : lsp 510,$tmp,0,0 : print 23 : lsp 510,r_white,0,0 : print 23 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life7.bmp" : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life5.bmp" : lsp 510,$tmp,0,0 : print 24 : lsp 510,r_white,0,0 : print 1 : quakex 3,300 : wait 500 : lsp 510,r_black,0,0 : print 22 : wait 500 : mono 0 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\yaku6.bmp" : lsp 510,$tmp,0,0 : wait 500 : mono 0 : print 2 if %ran2 = 2 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life3.bmp" : lsp 510,$tmp,0,0 : print 2 : lsp 510,r_black,0,0 : print 23 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\life8.bmp" : lsp 510,$tmp,0,0 : print 23 : wait 1000 : lsp 510,r_white,0,0 : print 1 : lsp 510,r_black,0,0 : print 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\alive3.bmp" : lsp 510,$tmp,0,0 : print 2 delay 500 *bgm_mode_Life_or_death_1000 mono 0 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Life^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:41^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_lg_ep6_04b if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\nibiiro_no_kuushou01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\nibiiro_no_kuushou02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\nibiiro_no_kuushou03.bmp" trap *bgm_mode_lg_ep6_04b_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_lg_ep6_04b_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 鈍色の空笑 [Gray Empty Smile]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:30^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_gc29 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\gc29_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\gc29_1.bmp" trap *bgm_mode_gc29_1000 lsp 510,r_white,0,0 : print 1 lsp 510,$tmp,0,0 print 22 lsp 510,r_white,0,0 : print 1 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc29_2.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 500 : lsp 510,r_black,0,0 : print 8 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc29_3.bmp" : lsp 510,$tmp,0,0 : print 24 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc29_2.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 500 : lsp 510,r_white,0,0 : print 5 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc29_4.bmp" : lsp 510,$tmp,0,0 :print 29 delay 500 *bgm_mode_gc29_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ruriair^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 北大路瑞希(グラサンねこ) [Kitaouji Mizuki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:34^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_engage_of_marionette if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\eom1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\eom4.bmp" trap *bgm_mode_engage_of_marionette_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 if %ran2 = 1 : wait 800 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eom3.bmp" : lsp 510,$tmp,0,0 : print 2 if %ran2 = 2 : wait 400 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eom6.bmp" : lsp 510,$tmp,0,0 : print 2 : wait 500 : lsp 510,r_black,0,0 : print 22 : wait 500 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eom12.bmp" : lsp 510,$tmp,0,0 : print 1 : wait 50 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eom13.bmp" : lsp 510,$tmp,0,0 : print 22 delay 500 *bgm_mode_engage_of_marionette_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Engage of marionette^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:22^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_eiji_quinbee if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\eizi1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\eizi5.bmp" trap *bgm_mode_eiji_quinbee_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 1 if %ran2 = 1 : wait 800 :mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eizi8.bmp" : lsp 510,$tmp,0,0 : print 1 : quakex 3,300 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eizi9.bmp" : lsp 510,$tmp,0,0 : print 22 if %ran2 = 2 : wait 600 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eizi6.bmp" : lsp 510,$tmp,0,0 : print 22 : wait 200 : lsp 510,r_black,0,0 : print 3 : lsp 510,r_white,0,0 : print 63 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\eizi7.bmp" : lsp 510,$tmp,0,0 : print 1 : quakex 4,800 delay 500 *bgm_mode_eiji_quinbee_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 嬰児クインビー [Infant Queen Bee]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:37^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_LoveExamination if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Love_E01.bmp" trap *bgm_mode_LoveExamination_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 if %ran2 = 1 : print 22 delay 1000 *bgm_mode_LoveExamination_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Love Examination^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: M. Zakky^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:04^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kigeki if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kigeki1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kigeki5.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\kigeki7.bmp" trap *bgm_mode_kigeki_1000 lsp 510,r_black,0,0 : print 22 if %ran2 = 1 : lsp 510,$tmp,0,0 : print 22 if %ran2 = 2 : lsp 510,$tmp,0,0 : print 11 if %ran2 = 3 : lsp 510,r_black,0,0 : print 62 : lsp 510,r_white,0,0 : print 1 : lsp 510,$tmp,0,0 : print 22 if %ran2 = 1 : mov $tmp,":c;" if %ran2 = 1 : add $tmp,"bgm_mode\ss\kigeki2.bmp" if %ran2 = 1 : lsp 510,$tmp,0,0 if %ran2 = 1 : print 1 if %ran2 = 1 : mov $tmp,":c;" if %ran2 = 1 : add $tmp,"bgm_mode\ss\kigeki4.bmp" if %ran2 = 1 : lsp 510,$tmp,0,0 if %ran2 = 1 : print 22 if %ran2 = 2 : mov $tmp,":c;" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kigeki6.bmp" if %ran2 = 2 : lsp 510,r_white,0,0 : print 28 if %ran2 = 2 : lsp 510,$tmp,0,0 if %ran2 = 2 : print 28 if %ran2 = 3 : wait 200 if %ran2 = 3 : lsp 510,r_white,0,0 : print 1 if %ran2 = 3 : mov $tmp,":c;" if %ran2 = 3 : add $tmp,"bgm_mode\ss\kigeki8.bmp" if %ran2 = 3 : lsp 510,$tmp,0,0 : print 22 if %ran2 = 3 : wait 200 if %ran2 = 3 : lsp 510,r_white,0,0 : print 1 if %ran2 = 3 : mov $tmp,":c;" if %ran2 = 3 : add $tmp,"bgm_mode\ss\kigeki9.bmp" if %ran2 = 3 : lsp 510,$tmp,0,0 : print 22 *bgm_mode_kigeki_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: キ・ナの香り [Kina no Kaori]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:19^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_gc28 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\gc28_7.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\gc28_2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\gc28_4.bmp" trap *bgm_mode_gc28_1000 lsp 510,r_black,0,0 : print 6 lsp 510,$tmp,0,0 if %ran2 = 1 print 23 if %ran2 = 2 print 23 if %ran2 = 3 print 22 if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc28_5.bmp" : wait 500 : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc28_4.bmp" : wait 400 : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc28_5.bmp" : wait 300 : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc28_4.bmp" : wait 100 : lsp 510,$tmp,0,0 : print 22 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc28_5.bmp" : wait 50 : lsp 510,$tmp,0,0 : print 22 : wait 2000 : lsp 510,r_white,0,0 : print 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\gc28_6.bmp" : wait 100 : lsp 510,$tmp,0,0 : print 22 delay 1000 *bgm_mode_gc28_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ワルツ [Waltz] Op. 34^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 北大路瑞希(グラサンねこ) [Kitaouji Mizuki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:10^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rog_limitation if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\log_imitation01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\log_imitation02.bmp" trap *bgm_mode_rog_limitation_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_rog_limitation_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: rog-limitation^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:57^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_blue if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 add $tmp,"bgm_mode\ss\blue6.bmp" trap *bgm_mode_blue_1000 trap off lsp 510,$tmp,0,0 print 8 lsp 510,r_white,0,0 : print 28 wait 500 if %ran2 = 1 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\blue3.bmp" : lsp 510,$tmp,0,0 : print 28 if %ran2 = 2 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\blue5.bmp" : lsp 510,$tmp,0,0 : print 23 if %ran2 = 3 : mov $tmp,":c;" : add $tmp,"bgm_mode\ss\blue5.bmp" : lsp 510,$tmp,0,0 : print 29 lsp 510,$tmp,0,0 print 22 *bgm_mode_blue_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 青い蝶 [Blue Butterfly]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:39^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;EP6はここから上 *bgm_mode_TSUBASA_VOC if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\dreamenddischarger1.bmp" lsp 510,$tmp,0,0 print 1 wait 1000 trap *bgm_mode_TSUBASA_VOC_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dreamenddischarger2.bmp" lsp 510,$tmp,0,0 print 8 delay 150 lsp 510,r_white,0,0 : print 22 delay 100 lsp 510,r_black,0,0 : print 22 delay 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dreamenddischarger3.bmp" lsp 510,$tmp,0,0 print 1 wait 2000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dreamenddischarger4.bmp" lsp 510,$tmp,0,0 print 5 wait 150 lsp 510,r_white,0,0 : print 22 delay 2500 *bgm_mode_TSUBASA_VOC_1000 trap off lsp 510,r_black,0,0 : print 1 delay 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\TSUBASA.bmp" lsp 510,$tmp,0,0 print 2 wait 100 lsp bgm_title_lsp,":s#FFFFFF^ Title: TSUBASA(Ver hope) [Wings]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai, pino, ラック眼力 [Luck Ganriki], E.Kida^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 片霧烈火 [Katakiri Rekka]^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Tomorrow if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\Tomorrow7.bmp" trap *bgm_mode_Tomorrow_1000 lsp 510,$tmp,0,0 print 1 delay 1000 *bgm_mode_Tomorrow_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\Tomorrow5.bmp" lsp 510,$tmp,0,0 print 2 lsp bgm_title_lsp,":s#FFFFFF^ Title: Tomorrow^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:27^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Yakusoku if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku7.bmp" lsp 510,$tmp,0,0 print 6 wait 500 trap *bgm_mode_Yakusoku_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku1.bmp" lsp 510,$tmp,0,0 print 99,4000,"breakup.dll/urb" wait 400 mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku2.bmp" lsp 510,$tmp,0,0 print 22 wait 400 mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku3.bmp" lsp 510,$tmp,0,0 print 22 wait 420 mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku4.bmp" lsp 510,$tmp,0,0 print 22 wait 800 mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku5.bmp" lsp 510,$tmp,0,0 print 22 wait 800 mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku6.bmp" lsp 510,$tmp,0,0 wait 10 lsp 510,r_white,0,0 : print 8 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_Yakusoku_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\yaku6.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 約束 [Promise]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: ゆーだい [Yuudai]^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_FinalAnswer if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\FA3.bmp" trap *bgm_mode_FinalAnswer_1000 lsp 510,$tmp,0,0 print 1 delay 1000 *bgm_mode_FinalAnswer_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\FA3.bmp" lsp 510,$tmp,0,0 print 1 wait 100 lsp bgm_title_lsp,":s#FFFFFF^ Title: Final Answer^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:24^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_inotinopan if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\inotinopan1.bmp" trap *bgm_mode_inotinopan_1000 lsp 510,$tmp,0,0 print 99,2000,"whirl.dll/l" delay 400 *bgm_mode_inotinopan_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 命のパン [Bread of Life]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:23^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hikari if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\hikari1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\hikari2.bmp" trap *bgm_mode_hikari_1000 lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 8 delay 500 *bgm_mode_hikari_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: hikari [Light]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:01^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_resurrectedreplayer if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\resurrectedreplayer1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\resurrectedreplayer2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\resurrectedreplayer3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\resurrectedreplayer4.bmp" trap *bgm_mode_resurrectedreplayer_1000 lsp 510,r_white,0,0 : print 8 lsp 510,$tmp,0,0 print 7 delay 1000 *bgm_mode_resurrectedreplayer_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: resurrectedreplayer^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 8:27^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_discolor if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\discolor3.bmp" lsp 510,$tmp,0,0 print 22 wait 500 trap *bgm_mode_discolor_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\discolor4.bmp" lsp 510,$tmp,0,0 print 3 wait 400 mov $tmp,":c;" add $tmp,"bgm_mode\ss\discolor7.bmp" lsp 510,$tmp,0,0 print 2 wait 200 mov $tmp,":c;" add $tmp,"bgm_mode\ss\discolor6.bmp" lsp 510,$tmp,0,0 print 9 delay 2000 *bgm_mode_discolor_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\discolor6.bmp" lsp 510,$tmp,0,0 print 1 lsp bgm_title_lsp,":s#FFFFFF^ Title: discolor^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:44^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_keisetukimera if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\kime4.bmp" trap *bgm_mode_keisetukimera_1000 lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 27 delay 4000 *bgm_mode_keisetukimera_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\kime3.bmp" lsp 510,$tmp,0,0 print 99,2000,"whirl.dll/l" delay 2000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kime3.bmp" lsp 510,$tmp,0,0 delay 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\ririana2.bmp" lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 1 ; mov $tmp,":c;" ; rnd2 %ran2,1,2 ; if %ran2 = 1 : add $tmp,"bgm_mode\ss\keisetukimera6.bmp" ; if %ran2 = 2 : add $tmp,"bgm_mode\ss\keisetukimera8.bmp" ; trap *bgm_mode_keisetukimera_1000 ; lsp 510,r_black,0,0 : print 7 ; lsp 510,$tmp,0,0 ; print 5 ; delay 500 ; *bgm_mode_keisetukimera_1000 ; trap off ; lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 継接キメラ [Patchwork Chimera]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 6:08^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_shouzyotatinomazyogari if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play lsp 510,r_white,0,0 : print 1 delay 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\bh1.bmp" lsp 510,$tmp,0,0 print 1 wait 200 lsp 510,r_white,0,0 : print 1 delay 100 mov $tmp,":c;" add $tmp,"bgm_mode\ss\bh2.bmp" lsp 510,$tmp,0,0 print 1 wait 200 mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\shouzyotatinomazyogari1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\shouzyotatinomazyogari2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\shouzyotatinomazyogari3.bmp" trap *bgm_mode_shouzyotatinomazyogari_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 100 *bgm_mode_shouzyotatinomazyogari_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 少女たちの魔女狩り [The Girls' Witch Hunt]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:29^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kodokunasinkaigyo if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kodokuna_sinkaigyo01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kodokuna_sinkaigyo02.bmp" trap *bgm_mode_kodokunasinkaigyo_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 27 delay 1500 *bgm_mode_kodokunasinkaigyo_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 孤独な深海魚 [Solitary Deep Sea Fish]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:40^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_helloyourdream if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : add $tmp,"bgm_mode\ss\helloyourdream1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\helloyourdream2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\helloyourdream3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\helloyourdream4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\helloyourdream5.bmp" trap *bgm_mode_helloyourdream_1000 lsp 510,r_white,0,0 : print 1 lsp 510,$tmp,0,0 print 9 delay 1000 *bgm_mode_helloyourdream_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: hello your dream^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:39^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_prouddust if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\proud-dust01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\proud-dust02.bmp" trap *bgm_mode_prouddust_1000 lsp 510,r_black,0,0 : print 10 lsp 510,$tmp,0,0 print 99,2000,"breakup.dll/urb" delay 10 *bgm_mode_prouddust_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Proud-dust^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:23^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kuina if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\kuina2.bmp" trap *bgm_mode_kuina_1000 lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 27 delay 2000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\eminakisoware5.bmp" lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_kuina_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\eminakisoware5.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 喰那 [Kuina]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:41^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Aci if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Aci1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Aci2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Aci3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\Aci4.bmp" trap *bgm_mode_Aci_1000 lsp 510,r_black,0,0 : print 7 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_Aci_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ACI-L^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:25^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Justice if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\JUSTICE1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\JUSTICE2.bmp" trap *bgm_mode_Justice_1000 lsp 510,r_white,0,0 : print 7 lsp 510,$tmp,0,0 print 9 delay 1000 *bgm_mode_Justice_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: JUSTICE^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:45^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_tortenblume if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tortenblume1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tortenblume2.bmp" trap *bgm_mode_tortenblume_1000 lsp 510,r_black,0,0 : print 7 lsp 510,$tmp,0,0 print 9 delay 1000 *bgm_mode_tortenblume_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: トーテンブルーメ [Toten Blume]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:39^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_6oku_slow if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\6oku_slow1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\6oku_slow2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\6oku_slow3.bmp" trap *bgm_mode_6oku_slow_1000 lsp 510,r_black,0,0 : print 1 lsp 510,$tmp,0,0 print 4 delay 1000 *bgm_mode_6oku_slow_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 弦楽三重奏曲 第6億番 嬰ヘ短調^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [String Trio #600 Million in F# Minor]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki] Time: 3:43^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rasen if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rasen1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rasen2.bmp" trap *bgm_mode_rasen_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 8 delay 300 *bgm_mode_rasen_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 螺旋 [Spiral]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:26^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_one if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\one1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\one2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\one3.bmp" trap *bgm_mode_renngoku_one_1000 lsp 510,r_white,0,0 : print 29 lsp 510,$tmp,0,0 print 10 delay 1000 *bgm_mode_renngoku_one_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: one^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:31^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_eminakisoware if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\eminaki_soware01.bmp" trap *bgm_mode_eminakisoware_1000 lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 27 delay 2000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\eminaki_soware02.bmp" lsp 510,r_black,0,0 : print 2 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_eminakisoware_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\eminaki_soware02.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 笑み亡きソワレ [Smile-less Soiree]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:23^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_meitanteihasitteiru if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\meitantei01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\meitantei02.bmp" trap *bgm_mode_renngoku_meitanteihasitteiru_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 4 delay 1000 *bgm_mode_renngoku_meitanteihasitteiru_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 名探偵は知っている [The Great Detective Knows]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:57^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_aoironoreishou if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\aoiro_no_reishou01.bmp" trap *bgm_mode_renngoku_aoironoreishou_1000 lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 29 delay 1000 *bgm_mode_renngoku_aoironoreishou_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 蒼色の冷笑 [Deep Blue Jeer]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:54^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Future if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Future1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Future2.bmp" trap *bgm_mode_renngoku_Future_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_renngoku_Future_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Future^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:06^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;EP5はここから上 *bgm_mode_kururi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k ;: print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kururi01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kururi02.bmp" trap *bgm_mode_renngoku_kururi_1000 lsp 510,$tmp,0,0 print 99,2000,"whirl.dll/l" delay 1000 *bgm_mode_renngoku_kururi_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: くるり [About Face]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:46",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_discode if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bmp\title\bg2.bmp" trap *bgm_mode_discode_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_discode_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 discode",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 sunny 、xaki、cap 、pyon、佐倉かなえ",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Vocal 】 佐倉かなえ",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_dreamenddischarger if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr0.bmp" lsp 510,$tmp,0,0 print 1 wait 1000 trap *bgm_mode_dreamenddischarger_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr1.bmp" lsp 510,$tmp,0,0 print 1 delay 50 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr2.bmp" lsp 510,$tmp,0,0 print 1 delay 50 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr3.bmp" lsp 510,$tmp,0,0 print 1 delay 50 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr4.bmp" lsp 510,$tmp,0,0 print 2 delay 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr5.bmp" lsp 510,$tmp,0,0 print 99,4000,"breakup.dll/urb" delay 1000 *bgm_mode_dreamenddischarger_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\dr6.bmp" lsp 510,$tmp,0,0 print 22 delay 1000 lsp bgm_title_lsp,":s#FFFFFF^ Title: dreamenddischarger^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 9:28^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_e_nain if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\endless_nine01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\endless_nine02.bmp" trap *bgm_mode_e_nain_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_e_nain_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: エンドレスナイン [Endless Nine]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:36^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_dir if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\dir01.bmp" trap *bgm_mode_dir_1000 lsp 510,r_white,0,0 : print 8 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_dir_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: dir^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:56^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_dive_to_emergency if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive1.bmp" lsp 510,$tmp,0,0 print 6 trap *bgm_mode_dive_to_emergency_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive2.bmp" lsp 510,$tmp,0,0 print 2 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive3.bmp" lsp 510,$tmp,0,0 print 4 delay 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive4.bmp" lsp 510,$tmp,0,0 print 4 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive5.bmp" lsp 510,$tmp,0,0 print 4 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive6.bmp" lsp 510,$tmp,0,0 print 3 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive7.bmp" lsp 510,$tmp,0,0 print 4 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive8.bmp" lsp 510,$tmp,0,0 print 4 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive9.bmp" lsp 510,$tmp,0,0 print 3 delay 1000 *bgm_mode_dive_to_emergency_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\dive9.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: dive_to_emergency^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:52^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_h_maria_uta if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\h_m_uta.bmp" trap *bgm_mode_h_maria_uta_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_h_maria_uta_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】Happy Maria!",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】ラック眼力、E.Kida、ナカオボウシ、ますだ麻美",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Vocal 】木野寧",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_renngoku_kyousou if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kyousou1.bmp" trap *bgm_mode_renngoku_kyousou_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_renngoku_kyousou_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 煉獄凶狂騒曲",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 北大路瑞希(グラサンねこ)",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:36",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Revolt if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\revolt1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\revolt2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\revolt5.bmp" trap *bgm_mode_Revolt_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_Revolt_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Revolt",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:12",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Victima if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,7 if %ran2 = 1 : add $tmp,"bgm_mode\ss\vp1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\vp2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\vp3.bmp" ; if %ran2 = 4 : add $tmp,"bgm_mode\ss\vp4.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\vp5.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\vp6.bmp" if %ran2 = 6 : add $tmp,"bgm_mode\ss\vp7.bmp" if %ran2 = 7 : add $tmp,"bgm_mode\ss\vp8.bmp" trap *bgm_mode_Victima_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_Victima_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Victima_propiciatoria ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 SB YUNE",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 4:56",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_mortal if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : add $tmp,"bgm_mode\ss\mortal_stampede1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\mortal_stampede2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\mortal_stampede3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\mortal_stampede4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\mortal_stampede5.bmp" trap *bgm_mode_mortal_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_mortal_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: mortal_stampede^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:59^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hibuta if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\hibuta01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\hibuta02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\hibuta03.bmp" trap *bgm_mode_hibuta_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_hibuta_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 HIBUTA",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 ラック眼力",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:29",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_death if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\death1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\death2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\death3.bmp" trap *bgm_mode_death_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_death_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: death(from_stupefaction)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:59^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Surrounding if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Surrounding1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Surrounding1.bmp" trap *bgm_mode_Surrounding_1000 lsp 510,r_black,0,0 : print 22 lsp 510,r_white,0,0 : print 1 lsp 510,$tmp,0,0 print 9 delay 1000 *bgm_mode_Surrounding_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Surrounding^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:37^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kuonn if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kuon1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kuon2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\kuon3.bmp" trap *bgm_mode_kuonn_1000 lsp 510,r_black,0,0 : print 22 : delay 500 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_kuonn_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 久遠 [Eternity]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:24^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_over if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\over01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\over02.bmp" trap *bgm_mode_over_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_over_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 over",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:22",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_gc19 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\gc-19_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\gc-19_2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\gc-19_3.bmp" trap *bgm_mode_gc19_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_gc19_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Like_the_gale ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 北大路瑞希(グラサンねこ)",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:54",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_f1_02 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\F_Style01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\F_Style02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\F_Style03.bmp" trap *bgm_mode_f1_02_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 9 delay 1000 *bgm_mode_f1_02_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: F Style^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:49^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_mclock if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\m_clock01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\m_clock02.bmp" trap *bgm_mode_mclock_1000 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_mclock_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Monochrome Clock^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: M. Zakky^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:00^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_apathy if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : add $tmp,"bgm_mode\ss\apathy1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\apathy2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\apathy3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\apathy4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\apathy5.bmp" trap *bgm_mode_apathy_1000 lsp 510,r_white,0,0 : print 22 : delay 1500 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_apathy_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: apathy^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:16^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_forest if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\sinpinomori1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\sinpinomori2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\sinpinomori3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\sinpinomori4.bmp" trap *bgm_mode_forest_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_forest_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 神秘の森 [Mystic Forest]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Ryu-Ga^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:45^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_mdoramu if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,6 if %ran2 = 1 : add $tmp,"bgm_mode\ss\sak1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\sak2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\sak3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\sak4.bmp" if %ran2 = 5 : add $tmp,Sakutaro2a if %ran2 = 6 : add $tmp,Sakutaro2b trap *bgm_mode_mdoramu_1000 lsp 510,r_white,0,0 : print 22 : delay 300 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_mdoramu_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 さくたろうの頑張り物語",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 1:56",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_fs2 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Parallel1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Parallel2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Parallel3.bmp" trap *bgm_mode_fs2_1000 lsp 510,r_white,0,0 : print 22 : delay 1500 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_fs2_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Parallel^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:11^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_org_kui if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\599_01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\599_02.bmp" trap *bgm_mode_org_kui_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_org_kui_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 599_million_ruins^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:32^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_happy_maria if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\happy_maria_inst01.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\happy_maria_inst02.bmp" trap *bgm_mode_happy_maria_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_happy_maria_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Happy_Maria!(Instrumental)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:49^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;胡散の香りに、魔女サイドのランダム立ち絵を入れる(別途サブルーチンを作成) ;ドミネータに追加 *bgm_mode_hidamari if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\hidamari01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\hidamari02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\hidamari03.bmp" trap *bgm_mode_hidamari_1000 lsp 510,r_white,0,0 : print 22 : delay 300 lsp 510,$tmp,0,0 print 8 delay 500 *bgm_mode_hidamari_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ひだまり [In the Sun]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:13^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_org_remake if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rousokutati1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rousokutati2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\rousokutati2.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\rousokutati2.bmp" trap *bgm_mode_org_remake_1000 lsp 510,r_black,0,0 : print 22 : delay 300 lsp 510,$tmp,0,0 print 6 delay 500 *bgm_mode_org_remake_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: ロウソクたちが踊る [The Candles Dance]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:22^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Haruka if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\haruka01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\haruka02.bmp" trap *bgm_mode_haruka_1000 lsp 510,r_black,0,0 : print 7 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_haruka_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Haruka [Distant]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:14^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_psy_chorus_mx if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,text010c if %ran2 <> 1 : mov $tmp,":c;bgm_mode\ss\psyChorus1.bmp" trap *bgm_mode_psy_chorus_mx_1000 notif %ran2 = 1 jumpf lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 2 ~ if %ran2 = 1 jumpf lsp 510,r_black,0,0 : print 22 : delay 1500 lsp 510,$tmp,0,0 print 8 ~ delay 1000 *bgm_mode_psy_chorus_mx_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: psy-chorus^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:46^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_far if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\far2.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\far3.bmp" trap *bgm_mode_far_1000 lsp 510,r_white,0,0 : print 22 : delay 1500 lsp 510,$tmp,0,0 ; lsp 509,$tmp2,0,0 : tati_x_get 509,r : amsp 509,%x,0 print 2 delay 1000 *bgm_mode_far_1000 trap off lsp 510,$tmp,0,0 ; lsp 509,$tmp2,0,0 : tati_x_get 509,r : amsp 509,%x,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: far^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:10^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_akaikutu2 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play rnd2 %ran2,1,5 if %ran2 = 1 : mov $tmp,":c;bgm_mode\ss\akaikutu1.bmp" if %ran2 = 2 : mov $tmp,":c;bgm_mode\ss\akaikutu2.bmp" if %ran2 = 3 : mov $tmp,":c;bgm_mode\ss\akaikutu3.bmp" if %ran2 = 4 : mov $tmp,":c;bgm_mode\ss\akaikutu4.bmp" if %ran2 = 5 : mov $tmp,":c;bgm_mode\ss\akaikutu5.bmp" trap *bgm_mode_akaikutu2_1000 ;lsp 510,r_black,0,0 : print 22 lsp 510,r_white,0,0 : print 1 : delay 10 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_akaikutu2_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: あかいくつ偽 [Fake Red Shoes]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:04^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_mother if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\mother1.bmp" trap *bgm_mode_mother_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 4 delay 1000 *bgm_mode_mother_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: mother^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:17^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_haze if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\haze_1.bmp" trap *bgm_mode_haze_1000 lsp 510,r_white,0,0 : print 22 : delay 1500 lsp 510,$tmp,0,0 print 2 mov $tmp,":c;" add $tmp,"bgm_mode\ss\haze_2.bmp" lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_haze_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\haze_3.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: haze^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:28^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ennkan if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\odorukiseru1.bmp" lsp 510,$tmp,0,0 print 22 trap *bgm_mode_ennkan_1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\odorukiseru2.bmp" lsp 510,$tmp,0,0 print 23 delay 1000 lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" add $tmp,"bgm_mode\ss\odorukiseru3.bmp" lsp 510,$tmp,0,0 print 24 wait 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\odorukiseru4.bmp" lsp 510,$tmp,0,0 print 80 delay 1000 *bgm_mode_ennkan_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 踊る煙管 [Dancing Pipe]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:05^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_dread_grave2 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\d_o_g_more_fear01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\d_o_g_more_fear02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\d_o_g_more_fear03.bmp" trap *bgm_mode_dread_grave2_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_dread_grave2_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Dread_of_the_grave-More_fear-^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: SB_YUNE^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 6:07^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_orugan_2okuban if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\2okuban1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\2okuban2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\2okuban3.bmp" trap *bgm_mode_orugan_2okuban_1000 lsp 510,r_black,0,0 : print 8 : delay 500 lsp 510,$tmp,0,0 ; lsp 509,$tmp2,0,0 : tati_x_get 509,r : amsp 509,%x,0 print 22 delay 500 *bgm_mode_orugan_2okuban_1000 trap off lsp 510,$tmp,0,0 ; lsp 509,$BEA_NayamuA2,0,0 : tati_x_get 509,c : amsp 509,%x,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: オルガン小曲 第2億番 ハ短調^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [Organ Short #200 Million in C Minor]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki] Time: 1:34^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rhythm_changer_mx if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rhythm_changer1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rhythm_changer2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\rhythm_changer3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\rhythm_changer4.bmp" trap *bgm_mode_rhythm_changer_mx_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_rhythm_changer_mx_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: rhythm-changer^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:53^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_happiness_omake if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\happinessofmarionette1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\happinessofmarionette2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\happinessofmarionette3.bmp" trap *bgm_mode_happiness_omake_1000 lsp 510,r_white,0,0 : print 8 : delay 500 lsp 510,$tmp,0,0 print 22 delay 500 *bgm_mode_happiness_omake_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: happiness_of_marionette(short)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:00^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_happiness if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\h_o_marionette01.bmp" trap *bgm_mode_happiness_2000 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_happiness_2000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\h_o_marionette02.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: happiness_of_marionette^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:19^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_tuki_usagi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play rnd2 %ran3,1,10 notif %ran3 <= 2 goto *bgm_mode_tuki_usagi_100 mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : add $tmp,"bgm_mode\ss\usagi1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\usagi2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\usagi3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\usagi4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\usagi5.bmp" trap *bgm_mode_tuki_usagi_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_tuki_usagi_1000 trap off lsp 510,$tmp,0,0 *bgm_mode_tuki_usagi_100 notif %ran3 >= 3 goto *bgm_mode_tuki_usagi_200 gosub *bgm_mode_rnd_siesuta ;立ち絵のランダム配置 mov $tmp,":c;" rnd2 %ran2,1,6 if %ran2 = 1 : add $tmp,system5 if %ran2 = 2 : add $tmp,homing6 if %ran2 = 3 : add $tmp,moon_1a if %ran2 = 4 : add $tmp,Mhal_1aN if %ran2 = 5 : add $tmp,sky_3a if %ran2 = 6 : add $tmp,butterfly_3a trap *bgm_mode_tuki_usagi_2000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,c : amsp 508,%x,0 lsp 507,$tmp3,0,0 : tati_x_get 507,r : amsp 507,%x,0 print 26 delay 1000 *bgm_mode_tuki_usagi_2000 trap off lsp 510,$tmp,0,0 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,c : amsp 508,%x,0 lsp 507,$tmp3,0,0 : tati_x_get 507,r : amsp 507,%x,0 *bgm_mode_tuki_usagi_200 lsp bgm_title_lsp,":s#FFFFFF【Title 】 月うさぎの舞踏",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:34",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_melting_away if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\melting1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\melting2.bmp" trap *bgm_mode_melting_away_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_melting_away_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Melting_away",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:01",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_soul_of_soul if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,butterfly_2a if %ran2 = 2 : add $tmp,"bgm_mode\ss\Soul1.bmp" trap *bgm_mode_soul_of_soul_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_soul_of_soul_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: soul_of_soul^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:10^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_miragecoordinator if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 <= 4 : add $tmp,"bgm_mode\ss\mira1.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\mira2.bmp" trap *bgm_mode_miragecoordinator_1000 lsp 510,r_black,0,0 : print 24 lsp 510,$tmp,0,0 print 11 delay 1000 *bgm_mode_miragecoordinator_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: miragecoordinator^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:15^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_prison if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,"bgm_mode\ss\prison1.bmp" lsp 510,$tmp,0,0 print 42 trap *bgm_mode_prison_1000 lsp 510,r_black,0,0 : print 23 mov $tmp,":c;" add $tmp,"bgm_mode\ss\prison2.bmp" lsp 510,$tmp,0,0 print 23 wait 1500 lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" add $tmp,"bgm_mode\ss\prison3.bmp" lsp 510,$tmp,0,0 print 24 wait 1500 lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\prison4.bmp" lsp 510,$tmp,0,0 print 80 delay 1000 *bgm_mode_prison_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\prison4.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: prison^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:56^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_umare if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\umaretekitekuretearigatou01.bmp" trap *bgm_mode_umare_1000 lsp 510,r_white,0,0 : print 22 : delay 1000 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_umare_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 生まれてきてくれてありがとう^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [Thanks for Being Born]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: dai Time: 3:10^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_tubasa if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Tsubasa01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Tsubasa02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Tsubasa03.bmp" trap *bgm_mode_tubasa_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 2500 *bgm_mode_tubasa_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 翼",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:42",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_siturakuenn if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,9 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tubasa1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tubasa2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\tubasa3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\tubasa4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\tubasa5.bmp" if %ran2 = 6 : add $tmp,"bgm_mode\ss\tubasa6.bmp" if %ran2 = 7 : add $tmp,"bgm_mode\ss\tubasa7.bmp" if %ran2 = 8 : add $tmp,"bgm_mode\ss\situ1.bmp" if %ran2 = 9 : add $tmp,"bgm_mode\ss\situ2.bmp" trap *bgm_mode_siturakuenn_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_siturakuenn_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 失楽園",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 4:00",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_wingless if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\wingless1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\wingless2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\wingless3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\wingless4.bmp" trap *bgm_mode_wingless_1000 lsp 510,r_white,0,0 : print 8 lsp 510,$tmp,0,0 print 29 delay 1000 *bgm_mode_wingless_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: wingless^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:41^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_activepain if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : mov $tmp,cit_2a trap *bgm_mode_activepain_1000 lsp 510,r_white,0,0 : print 1 : delay 200 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_activepain_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 activepain",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 sunny、xaki",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Vocal 】 本木咲黒",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Dread_grave_rhythm if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\d_g_r1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\d_g_r2.bmp" trap *bgm_mode_Dread_grave_rhythm_1000 lsp 510,r_white,0,0 : print 22 : wait 100 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_Dread_grave_rhythm_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Dread_of_the_grave-rhythm_ver-",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 SB YUNE",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:46",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;------------------------ ;EP3追加分 *bgm_mode_me_tokei if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,Mhal_1aN if %ran2 = 2 : add $tmp,Mhal_2aN if %ran2 = 3 : add $tmp,Mhal_2bN if %ran2 = 4 : add $tmp,Mhal_2cN ;ランダムに鳴らす時計の種類を変更する。 rnd2 %ran3,1,3 if %ran3 = 1 : meplay2 1,11,70 : mov ?bgmm[%bm_p][bm_t],32000 if %ran3 = 2 : meplay2 1,15,70 : mov ?bgmm[%bm_p][bm_t],42000 if %ran3 = 3 : meplay2 1,19,70 : mov ?bgmm[%bm_p][bm_t],32000 trap *bgm_mode_me_tokei_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 5000 *bgm_mode_me_tokei_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Me:時計",%bgm_x,%bgm_y1 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;------------------------ ;------------------------ ;オープニングの演奏(ムービーなのでここだけ特殊処理、ムービーの流れている間は操作不可) *bgm_mode_op mono 0 E_A lsp 0,":c;bmp\r_click\black.bmp",0,0 bg black,22 ;通常演奏で、リピートがオンになっている時のみ、一曲リピート if %bm_m = 10 mov %bm_m,0 notif %bm_m = 0 goto *bgm_mode_op_sk notif %bm_rpi = 1 goto *bgm_mode_op_sk movie "movie\umineko_op.mpg",click,loop ;mpegplay "movie\umineko_op.mpg",1 gosub *bgm_mode_k gosub *bgm_mode_h2 bg black,22 mov %BtnRes,bgm_btn_stop goto *bgm_mode_100 *bgm_mode_op_sk ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu ;movie "movie\umineko_op.mpg",click mpegplay "movie\umineko_op.mpg",1 mov %bm_pt,0 gosub *bgm_mode_k gosub *bgm_mode_h2 if %bgm_mood_demo = 1 lsp 0,":c;bmp\r_click\black.bmp",0,0 bg black,22 delay 1500 mov %bgm_mood_tyokuzenn_op_fede_skip,0 gettimer %3801:sub %bm_pt,%3801;曲の残り時間を入力 mov %bm_pt,500 goto *bgm_mode_btn_lp ;------------------------ ;オープニングの演奏(ムービーなのでここだけ特殊処理、ムービーの流れている間は操作不可) *bgm_mode_op2 mono 0 E_A lsp 0,":c;bmp\r_click\black.bmp",0,0 bg black,22 ;通常演奏で、リピートがオンになっている時、一曲リピート if %bm_m = 10 mov %bm_m,0 notif %bm_m = 0 goto *bgm_mode_op2_sk notif %bm_rpi = 1 goto *bgm_mode_op2_sk ;movie "movie\umineko_op7.mpg",click,loop mpegplay "movie\umineko_op7.mpg",1 gosub *bgm_mode_k gosub *bgm_mode_h2 bg black,22 mov %BtnRes,bgm_btn_stop goto *bgm_mode_100 *bgm_mode_op2_sk ;ランダム演奏時の設定、演奏曲にチェック、演奏数をプラス1 if %bm_m = 2 mov ?bgmm[%bm_p][bm_r],1 : inc %bm_rnd_kazu ;movie "movie\umineko_op7.mpg",click mpegplay "movie\umineko_op7.mpg",1 mov %bm_pt,0 gosub *bgm_mode_k gosub *bgm_mode_h2 if %bgm_mood_demo = 1 lsp 0,":c;bmp\r_click\black.bmp",0,0 bg black,22 delay 1500 mov %bgm_mood_tyokuzenn_op_fede_skip,0 gettimer %3801:sub %bm_pt,%3801;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sample_u3 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\sukasiyuri1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\sukasiyuri2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\sukasiyuri3.bmp" trap *bgm_mode_sample_u3_1000 lsp 510,r_black,0,0 : print 22 : delay 500 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_sample_u3_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 透百合 [Sukashiyuri]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: スミイ酸 [Sumiisan]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:49^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp ;----------------------- *bgm_mode_natunotobira if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\natunotorbira1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tukiyo1.bmp" trap *bgm_mode_natunotobira_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 29 delay 1000 *bgm_mode_natunotobira_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 夏の扉 [Doorway of Summer]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:57^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hane if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,3 if %ran = 1 add $tmp,"bgm_mode\ss\hane01.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\hane02.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\hane03.bmp" trap *bgm_mode_hane_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 29 delay 1000 *bgm_mode_hane_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: HANE [Feathers]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:34^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ride_on if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play rnd2 %ran2,1,2 notif %ran2 = 1 goto *bgm_mode_ride_on_2000 trap *bgm_mode_ride_on_1000 mov %free1,8000 lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0101 : lsp 510,$tmp,0,0 : print 2 delay %free1 delay 1000 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0102 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0103 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0104 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0105 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0106 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0107 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0108 : lsp 510,$tmp,0,0 : print 2 delay 1000 bg white,2 *bgm_mode_ride_on_1000 trap off mov $tmp,":c;" : add $tmp,OP0108 : lsp 510,$tmp,0,0 *bgm_mode_ride_on_2000 notif %ran2 = 2 goto *bgm_mode_ride_on_4000 trap *bgm_mode_ride_on_3000 mov %free1,8000 lsp 510,r_black,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0201 : lsp 510,$tmp,0,0 : print 2 delay %free1 delay 1000 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0202 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0203 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0204 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0205 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0206 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0207 : lsp 510,$tmp,0,0 : print 2 delay %free1 lsp 510,r_white,0,0 : print 24 mov $tmp,":c;" : add $tmp,OP0208 : lsp 510,$tmp,0,0 : print 2 delay 1000 bg white,2 *bgm_mode_ride_on_3000 trap off mov $tmp,":c;" : add $tmp,OP0208 : lsp 510,$tmp,0,0 *bgm_mode_ride_on_4000 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Ride on",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:07",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 delay 6000 bg black,2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sea if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play gosub *bgm_mode_rnd_tati1 ;立ち絵のランダム配置 gosub *bgm_mode_rnd_bg1 ;背景をランダムで配置 trap *bgm_mode_sea_1000 lsp 510,r_white,0,0 : print 28 lsp 510,$tmp,0,0 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,c : amsp 508,%x,0 lsp 507,$tmp3,0,0 : tati_x_get 507,r : amsp 507,%x,0 print 6 delay 1000 *bgm_mode_sea_1000 trap off lsp 510,$tmp,0,0 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,c : amsp 508,%x,0 lsp 507,$tmp3,0,0 : tati_x_get 507,r : amsp 507,%x,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 sea",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 0:45",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kurayaminotoki if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play lsp 510,r_black,0,0 : print 22 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami1.bmp" lsp 510,$tmp,0,0 print 22 trap *bgm_mode_kurayaminotoki_1000 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami2.bmp" lsp 510,$tmp,0,0 print 24 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami3.bmp" lsp 510,$tmp,0,0 print 24 delay 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami4.bmp" lsp 510,$tmp,0,0 print 80 wait 500 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami5.bmp" lsp 510,$tmp,0,0 print 80 wait 1000 mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami6.bmp" lsp 510,$tmp,0,0 print 80 delay 500 *bgm_mode_kurayaminotoki_1000 trap off mov $tmp,":c;" add $tmp,"bgm_mode\ss\kurayami6.bmp" lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 暗闇の刻 [Hour of Darkness]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:16^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_q_Sample17 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play gosub *bgm_mode_rnd_bg_mhal ;メインホールの背景をランダムで選択する。 trap *bgm_mode_q_Sample17_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 5000 *bgm_mode_q_Sample17_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Novelette^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: スミイ酸 [Sumiisan]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:32^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hope if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\hope1.bmp" trap *bgm_mode_hope_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 4000 *bgm_mode_hope_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: hope^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:59^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_siroikage if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\siroikage01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\siroikage02.bmp" trap *bgm_mode_siroikage_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 9 delay 1000 *bgm_mode_siroikage_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 白い影 [White Shadow]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:23^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_u_Sample21 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tekuteku1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tekuteku2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\tekuteku3.bmp" trap *bgm_mode_u_Sample21_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 5 delay 1000 *bgm_mode_u_Sample21_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: てくてく [Steady Pace]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: スミイ酸 [Sumiisan]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:20^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_towering if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play ;5回に一回、朱志香と紗音のレアバージョン rnd2 %ran,1,5 ;mov %ran,3 notif %ran > 3 jumpf mov $tmp,":c;" : add $tmp,Sky_2a trap *bgm_mode_towering_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 4000 *bgm_mode_towering_1000 trap off lsp 510,$tmp,0,0 ~ notif %ran = 1 jumpf mov $tmp,":c;" : add $tmp,Sky_1a trap *bgm_mode_towering_2000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 28 ;紗音を中央に、朱志香を右画面外から、左へ抜けさせる、紗音ビックリ!!! lsp 509,$sha_tokuiA1,0,0 : tati_x_get 509,c : amsp 509,%x,0 : print 26 lsp 508,$jes_waraiA2,640,0 setwindow 40,385,30,3,100,100,0,2,20,1,1,#ffffff,0,0,610,495 ;lsp 507,":s#FFFFFFほええーん☆",640,50 for %tmp = 1 to 140 ;msp 507,-10,0 if %tmp <= 10 msp 508,-10,10 if %tmp > 10 && %tmp <= 20 msp 508,-10,-10 if %tmp > 20 && %tmp <= 30 msp 508,-10,10 if %tmp > 30 && %tmp <= 40 msp 508,-10,-10 if %tmp > 40 && %tmp <= 50 msp 508,-10,10 if %tmp > 50 && %tmp <= 60 msp 508,-10,-10 if %tmp > 60 && %tmp <= 70 msp 508,-10,10 if %tmp > 70 && %tmp <= 80 msp 508,-10,-10 if %tmp > 80 && %tmp <= 90 msp 508,-10,10 if %tmp > 90 && %tmp <= 100 msp 508,-10,-10 if %tmp > 100 && %tmp <= 110 msp 508,-10,-10 if %tmp > 110 && %tmp <= 120 msp 508,-10,-10 if %tmp > 120 && %tmp <= 130 msp 508,-10,-10 if %tmp > 130 && %tmp <= 140 msp 508,-10,-10 if %tmp = 20 lsp 509,$sha_odorokiA2,0,0 : tati_x_get 509,c : amsp 509,%x,0 print 1 delay 100 next delay 1000 *bgm_mode_towering_2000 trap off lsp 510,$tmp,0,0 lsp 509,$sha_odorokiA2,0,0 : tati_x_get 509,c : amsp 509,%x,0 setwindow 40,385,30,3,22,22,0,2,20,1,1,#ffffff,0,0,610,495 mov %bgm_mood_sha_hoe_flg,1 ~ notif %ran = 2 jumpf mov $tmp,":c;" : add $tmp,Sky_1a trap *bgm_mode_towering_3000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 28 ;紗音を中央に、譲冶を右画面外から、左へ抜けさせる、紗音ビックリ!!! lsp 509,$sha_defA1,0,0 : tati_x_get 509,c : amsp 509,%x,0 : print 26 lsp 508,$geo_waraiA2k,640,0 setwindow 40,385,30,3,100,100,0,2,20,1,1,#ffffff,0,0,610,495 ;lsp 507,":s#FFFFFFほええーん☆",640,50 for %tmp = 1 to 140 ;msp 507,-10,0 if %tmp <= 10 msp 508,-10,10 if %tmp > 10 && %tmp <= 20 msp 508,-10,-10 if %tmp > 20 && %tmp <= 30 msp 508,-10,10 if %tmp > 30 && %tmp <= 40 msp 508,-10,-10 if %tmp > 40 && %tmp <= 50 msp 508,-10,10 if %tmp > 50 && %tmp <= 60 msp 508,-10,-10 if %tmp > 60 && %tmp <= 70 msp 508,-10,10 if %tmp > 70 && %tmp <= 80 msp 508,-10,-10 if %tmp > 80 && %tmp <= 90 msp 508,-10,10 if %tmp > 90 && %tmp <= 100 msp 508,-10,-10 if %tmp > 100 && %tmp <= 110 msp 508,-10,-10 if %tmp > 110 && %tmp <= 120 msp 508,-10,-10 if %tmp > 120 && %tmp <= 130 msp 508,-10,-10 if %tmp > 130 && %tmp <= 140 msp 508,-10,-10 if %tmp = 20 lsp 509,$sha_odorokiA2,0,0 : tati_x_get 509,c : amsp 509,%x,0 print 1 delay 100 next delay 1000 *bgm_mode_towering_3000 trap off lsp 510,$tmp,0,0 lsp 509,$sha_odorokiA2,0,0 : tati_x_get 509,c : amsp 509,%x,0 setwindow 40,385,30,3,22,22,0,2,20,1,1,#ffffff,0,0,610,495 mov %bgm_mood_sha_hoe_flg,1 ~ notif %ran = 3 jumpf mov $tmp,":c;" : add $tmp,Sky_1a trap *bgm_mode_towering_4000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 28 if %bgm_mood_sha_hoe_flg = 0 goto *bgm_mode_towering_4000 ;紗音を中央に、譲冶を右画面外から、左へ抜けさせる、紗音ビックリ!!! lsp 508,$sha_odorokiA2,0,0 : tati_x_get 508,r : amsp 508,%x,0 : print 26 setwindow 40,385,30,3,100,100,0,2,20,1,1,#ffffff,0,0,610,495 lsp 507,":s#FFFFFF・・・・・・・・・",240,50 print 22 delay 1000 lsp 508,$sha_hajiraiA2,0,0 : tati_x_get 508,r : amsp 508,%x,0 : print 22 lsp 507,":s#FFFFFFほ、ほえぇー☆",200,50 print 22 for %tmp = 1 to 110 msp 507,-10,0 if %tmp <= 10 msp 508,-10,10 if %tmp > 10 && %tmp <= 20 msp 508,-10,-10 if %tmp > 20 && %tmp <= 30 msp 508,-10,10 if %tmp > 30 && %tmp <= 40 msp 508,-10,-10 if %tmp > 40 && %tmp <= 50 msp 508,-10,10 if %tmp > 50 && %tmp <= 60 msp 508,-10,-10 if %tmp > 60 && %tmp <= 70 msp 508,-10,10 if %tmp > 70 && %tmp <= 80 msp 508,-10,-10 if %tmp > 80 && %tmp <= 90 msp 508,-10,10 if %tmp > 90 && %tmp <= 100 msp 508,-10,-10 if %tmp > 100 && %tmp <= 110 msp 508,-10,-10 print 1 delay 100 next *bgm_mode_towering_4000 trap off lsp 510,$tmp,0,0 csp 507 setwindow 40,385,30,3,22,22,0,2,20,1,1,#ffffff,0,0,610,495 ~ lsp bgm_title_lsp,":s#FFFFFF【Title 】 Towering_cloud_in_summer",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 1:48",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_t_Sample20 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\tukiyo01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\tukiyo02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\tukiyo03.bmp" trap *bgm_mode_t_Sample20_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 4000 *bgm_mode_t_Sample20_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 月夜 [Moonlit Night]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: スミイ酸 [Sumiisan]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:26^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sample_u4 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\bara1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\bara2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\bara3.bmp" trap *bgm_mode_sample_u4_2000 lsp 510,r_black,0,0 : print 22 : delay 500 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_sample_u4_2000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 薔薇 [Rose]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: スミイ酸 [Sumiisan]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 8:41^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rinnsi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play trap *bgm_mode_rinnsi_1000 lsp 510,":c;bmp\background\mainbuilding\portrait1.bmp",0,-825 for %Free1 = 1 to 412 msp 510,0,2 print 1 wait 30 next delay 1000 *bgm_mode_rinnsi_1000 trap off lsp 510,":c;bmp\background\mainbuilding\portrait1.bmp",0,-1 ~ lsp bgm_title_lsp,":s#FFFFFF^ Title: 隣死 [At Death's Door]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: プレコ [Pureco]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:13^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rennsakairou if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,6 if %ran = 1 add $tmp,M1F_P1dN if %ran = 2 add $tmp,M2F_P1aN if %ran = 3 add $tmp,M2F_P1bN if %ran = 4 add $tmp,Ment_1aN if %ran = 5 add $tmp,Mhal_2cN if %ran = 6 add $tmp,portrait2 trap *bgm_mode_rennsakairou_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_rennsakairou_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 煉沙回廊 [Corridor of Purgatory's Sands]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: プレコ [Pureco]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:00^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_fortitude if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play gosub *bgm_mode_rnd_bg_mhal2 ;背景をランダムで配置 mov $tmp,":c;" : add $tmp,"bmp\background\efe\rollout.bmp" trap *bgm_mode_fortitude_1000 lsp 510,r_black,0,0 : print 28 lsp 510,$tmp,0,0 print 6 delay 3000 *bgm_mode_fortitude_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Dead of Dawn^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Gin Kreuz ^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:44^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_witch_cenba if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play ;gosub *bgm_mode_rnd_bg_soto_n ;建物外背景をランダムで配置 mov $tmp,":c;" rnd2 %ran2,1,8 if %ran2 = 1 : add $tmp,G_o1bN if %ran2 = 2 : add $tmp,G_o1cN if %ran2 = 3 : add $tmp,M_o1aN if %ran2 = 4 : add $tmp,M_o1bN if %ran2 = 5 : add $tmp,Mlib_1cN if %ran2 = 6 : add $tmp,Mlib_1aN if %ran2 = 7 : add $tmp,Mlib_1bN if %ran2 = 8 : add $tmp,Mlib_1dN ;外の背景は、雨を降らせる。 notif %ran2 <= 4 jumpf meplay2 1,12,70 lsp 509,"*0" layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" layermessage 0,"s|1,30,-9,0,0" ~ trap *bgm_mode_witch_cenba_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 5000 *bgm_mode_witch_cenba_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: witch_in_gold(cembalo)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:41^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sasoi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play ;gosub *bgm_mode_rnd_bg_soto_n ;建物外背景をランダムで配置 mov $tmp,":c;" rnd2 %ran2,1,8 if %ran2 = 1 : add $tmp,G_o1bN if %ran2 = 2 : add $tmp,G_o1cN if %ran2 = 3 : add $tmp,M_o1aN if %ran2 = 4 : add $tmp,M_o1bN if %ran2 = 5 : add $tmp,Mdin_1fN if %ran2 = 6 : add $tmp,M2F_P1bN if %ran2 = 7 : add $tmp,M1F_P1bN if %ran2 = 8 : add $tmp,Mhal_2cN ;外の背景は、雨を降らせ、風も入れる notif %ran2 <= 4 jumpf meplay2 1,12,70 meplay2 2,5,70 lsp 509,"*0" layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" layermessage 0,"s|1,30,-9,0,0" ~ trap *bgm_mode_sasoi_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 5000 *bgm_mode_sasoi_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 誘い [Lure]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:39^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_yomiage if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\usan1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\usan2.bmp" trap *bgm_mode_yomiage_1000 lsp 510,r_black,0,0 : print 22 : delay 500 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_yomiage_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 胡散の香り [Fishy Aroma]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:13^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_stupefaction if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\stupefaction1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\stupefaction2.bmp" trap *bgm_mode_stupefaction_1000 lsp 510,r_black,0,0 : print 22 :wait 500 lsp 510,$tmp,0,0 print 8 delay 500 *bgm_mode_stupefaction_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: stupefaction^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:08^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_h_sample8 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\M4_1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\M4_2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\M4_3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\M4_4.bmp" trap *bgm_mode_h_sample8_1000 lsp 510,r_black,0,0 : print 28 lsp 510,$tmp,0,0 print 6 delay 1000 *bgm_mode_h_sample8_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Praise^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: スミイ酸 [Sumiisan]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:26^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_c_sample3 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,garden_R1bN ;紗音(左)、譲冶を右に表示 mov $tmp1,$sha_hajiraiA1 mov $tmp2,$geo_majimeA1 trap *bgm_mode_c_sample3_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,r : amsp 508,%x,0 print 8 delay 4000 mov $tmp1,$sha_hajiraiA3 mov $tmp2,$geo_hohoemiA1 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,r : amsp 508,%x,0 print 22 delay 1000 *bgm_mode_c_sample3_1000 trap off mov $tmp1,$sha_hajiraiA3 mov $tmp2,$geo_hohoemiA1 lsp 510,$tmp,0,0 lsp 509,$tmp1,0,0 : tati_x_get 509,l : amsp 509,%x,0 lsp 508,$tmp2,0,0 : tati_x_get 508,r : amsp 508,%x,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Pass",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 スミイ酸 ",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 4:42",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sample_u5 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,Mhal_2cN trap *bgm_mode_sample_u5_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 70000 mov $tmp,":c;" add $tmp,portrait2 lsp 510,$tmp,0,0 print 8 delay 18000 *bgm_mode_sample_u5_1000 trap off mov $tmp,":c;" add $tmp,portrait2 lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 ageha",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 スミイ酸",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 1:32",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_goldenslaughterer if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rahu_goldenslaughterer1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rahu_goldenslaughterer2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\rahu_goldenslaughterer3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\rahu_goldenslaughterer4.bmp" trap *bgm_mode_goldenslaughterer_1000 mono 2 lsp 510,r_white,0,0 : print 1 lsp 510,r_black,0,0 : print 99,1000,"breakup.dll/llB" mono 0 lsp 510,$tmp,0,0 lsp 510,r_white,0,0 : print 24 print 24 delay 1000 *bgm_mode_goldenslaughterer_1000 mono 0 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: goldenslaughterer^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:02^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_worldend_solo if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\w_endsolo1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\w_endsolo2.bmp" trap *bgm_mode_worldend_solo_2000 lsp 510,r_white,0,0 : print 9 :wait 500 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_worldend_solo_2000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: worldend(bp)^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:52^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_eganomajo if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kaiga1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kaiga2.bmp" trap *bgm_mode_kaiga_1000 lsp 510,r_black,0,0 : print 8 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_kaiga_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 絵画の魔女 [Witch of the Painting]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:28^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_suspicion if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\suspicion1.bmp" trap *bgm_mode_suspicion_1000 lsp 510,r_black,0,0 : print 28 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_suspicion_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: suspicion^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:24^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kizuoto if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,5 if %ran2 = 1 : add $tmp,"bgm_mode\ss\kizuoto1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\kizuoto2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\kizuoto3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\kizuoto4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\kizuoto5.bmp" trap *bgm_mode_kizuoto_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_kizuoto_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 痕音 [Scar Sound]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:48^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_toitume if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\core01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\core02.bmp" trap *bgm_mode_toitume_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 28 delay 1000 *bgm_mode_toitume_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Core^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:48^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_m_darkness if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\minute1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\minute2.bmp" trap *bgm_mode_m_darkness_1000 lsp 510,r_black,0,0 : print 8 :wait 500 lsp 510,$tmp,0,0 print 27 delay 500 *bgm_mode_m_darkness_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Minute darkness^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: プレコ [Pureco]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:12^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_m_u2_tipica1 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\nighteyes01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\nighteyes02.bmp" trap *bgm_mode_m_u2_tipica1_1000 lsp 510,r_white,0,0 : print 64 lsp 510,r_black,0,0 : print 22 lsp 510,r_white,0,0 : print 1 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_m_u2_tipica1_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: nighteyes^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: あきやまうに [U2 Akiyama]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:02^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_closed_My_Heart if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Closedmyheart1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Closedmyheart2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Closedmyheart3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\Closedmyheart4.bmp" trap *bgm_mode_cmh_1000 lsp 510,r_black,0,0 : print 7 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_cmh_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Closed My Heart^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:56^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_requiem if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Requiem1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Requiem2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Requiem3.bmp" trap *bgm_mode_requiem_1000 lsp 510,r_black,0,0 : print 29 lsp 510,$tmp,0,0 print 5 delay 500 *bgm_mode_requiem_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Requiem^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:51^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_mind_2 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,1 if %ran2 = 1 : add $tmp,"bgm_mode\ss\mind1.bmp" trap *bgm_mode_mind_1000 lsp 510,r_black,0,0 : print 9 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_mind_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: mind^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 0:57^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_worldend if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\w_end1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\w_end2.bmp" trap *bgm_mode_worldend_1000 lsp 510,r_white,0,0 : print 6 :wait 500 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_worldend_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Worldend^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:36^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_play if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\play1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\play2.bmp" trap *bgm_mode_mode_play_1000 lsp 510,r_black,0,0 : print 4 : delay 1000 lsp 510,$tmp,0,0 print 28 delay 8000 *bgm_mode_mode_play_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: play^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 2:29^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_system0 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" add $tmp,Mlib_1aN trap *bgm_mode_system0_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 lsp 509,$NAT_IkariB1G,0,0 : tati_x_get 509,c : amsp 509,%x,0 print 28 delay 10000 *bgm_mode_system0_1000 trap off lsp 510,$tmp,0,0 lsp 509,$NAT_IkariB1G,0,0 : tati_x_get 509,c : amsp 509,%x,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 システム零",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 −45",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:26",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_voiceless if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,3 if %ran = 1 add $tmp,"bgm_mode\ss\Voiceless1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\Voiceless2.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\Voiceless3.bmp" trap *bgm_mode_voiceless_1000 lsp 510,r_black,0,0 : print 9 :wait 10 lsp 510,$tmp,0,0 print 26 delay 1000 *bgm_mode_voiceless_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Voiceless^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:10^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_deadangle if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\deadangle01.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\deadangle02.bmp" trap *bgm_mode_deadangle_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 1500 *bgm_mode_deadangle_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: dead angle^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 6:37^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_orugann if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\6okuban1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\6okuban2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\6okuban3.bmp" trap *bgm_mode_olgan6oku_1000 lsp 510,r_black,0,0 : print 27 lsp 510,$tmp,0,0 print 8 delay 500 *bgm_mode_olgan6oku_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: オルガン小曲 第6億番 ハ短調^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ [Organ Short #600 Million in C Minor]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki] Time: 1:33^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_rougoku if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,4 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rougoku01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rougoku02.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\rougoku03.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\rougoku04.bmp" trap *bgm_mode_rougoku_1000 lsp 510,r_black,0,0 : print 27 lsp 510,$tmp,0,0 print 8 delay 500 *bgm_mode_rougoku_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 牢獄STRIP [Prison Strip]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: -45^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:17^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ed if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\rougoku01.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\rougoku02.bmp" trap *bgm_mode_ed_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 1000 *bgm_mode_ed_1000 trap off lsp 510,end_1b,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Bring the Fate^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: 土井宏紀 [Doi Hironori]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 4:39^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 42 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_botann_teigi *bgm_mode_gc_01 if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play rnd2 %ran,1,1 notif %ran = 1 jumpf ;mov $tmp,":c;bmp\background\efe\Different_space_1a.bmp" mov $tmp,":c;" add $tmp,Different_space_1a trap *bgm_mode_gc_01_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 5000 *bgm_mode_gc_01_1000 trap off lsp 510,$tmp,0,0 ~ lsp bgm_title_lsp,":s#FFFFFF【Title 】 弦楽四重奏曲第1番ト長調 ー I.Allegro ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 北大路瑞希(グラサンねこ)",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:04",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_me_arasi if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,7 if %ran2 = 1 : add $tmp,G_o1bN if %ran2 = 2 : add $tmp,G_o1cN if %ran2 = 3 : add $tmp,M_o1aN if %ran2 = 4 : add $tmp,M_o1bN if %ran2 = 5 : add $tmp,garden_1cN if %ran2 = 6 : add $tmp,garden_1aN if %ran2 = 7 : add $tmp,rose_G1aN meplay2 1,13,70 lsp 509,"*0" layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" layermessage 0,"s|1,30,-9,0,0" trap *bgm_mode_me_arasi_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 22 delay 5000 *bgm_mode_me_arasi_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Me:嵐",%bgm_x,%bgm_y1 lsp bgm_time_lsp,":s#FFFFFF【Time 】 0:40",%bgm_x,%bgm_y2 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_cage if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,3 if %ran = 1 add $tmp,"bgm_mode\ss\Cage1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\Cage2.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\Cage3.bmp" trap *bgm_mode_mode_cage_1000 lsp 510,r_black,0,0 : print 1 :wait 10 lsp 510,$tmp,0,0 print 29 delay 4000 *bgm_mode_mode_cage_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: cage^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:58^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ougon_no_kage if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,3 if %ran = 1 add $tmp,"bgm_mode\ss\2okuban1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\2okuban2.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\aoironoreishou2.bmp" trap *bgm_mode_ougon_no_kage_100 lsp 510,r_black,0,0 : print 1 :wait 10 lsp 510,$tmp,0,0 print 29 delay 4000 *bgm_mode_ougon_no_kage_100 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 金色の嘲笑 [Golden Sneer]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: ラック眼力 [Luck Ganriki]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:50^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sasorinoharawata if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" ;ホールに蝶を重ねた画像 rnd2 %ran,1,1 if %ran = 1 add $tmp,Mhal_1aN trap *bgm_mode_sasorinoharawata_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 lsp 509,butterfly_4sp1R,0,0 print 6 delay 4000 *bgm_mode_sasorinoharawata_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 サソリのハラワタ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 ラック眼力",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 3:22",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sy if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" ;ホールに蝶を重ねた画像 rnd2 %ran,1,1 if %ran = 1 add $tmp,butterfly_3a trap *bgm_mode_sy_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 lsp 509,$GOA_DefA1,0,0 tati_x_get 509,c : amsp 509,%x,0 print 6 delay 6000 *bgm_mode_sy_1000 trap off lsp 510,$tmp,0,0 lsp 509,$GOA_DefA1,0,0 tati_x_get 509,c : amsp 509,%x,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 終焉_VerC ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 pre-holder ",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:39",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_where if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,2 if %ran2 = 1 : add $tmp,"bgm_mode\ss\where1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\where2.bmp" trap *bgm_mode_where_1000 lsp 510,r_black,0,0 : print 7 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_where_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: where^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:55^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Answer if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,3 if %ran2 = 1 : add $tmp,"bgm_mode\ss\Answer2.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\Answer2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\Answer3.bmp" trap *bgm_mode_Answer_1000 lsp 510,r_white,0,0 : print 29 lsp 510,$tmp,0,0 print 8 delay 1000 *bgm_mode_Answer_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Answer^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: dai^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 1:41^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Answer_short if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" ;ホールに蝶を重ねた画像 rnd2 %ran,1,1 if %ran = 1 add $tmp,chess1 trap *bgm_mode_Answer_short_1000 lsp 510,r_white,0,0 : print 1 :wait 10 lsp 510,$tmp,0,0 ;lsp 508,$BEA_AkuwaraiA1,0,0 ;lsp 509,$BUT_OdorokiA1,0,0 ;tati_x_get 509,l : amsp 509,%x,0 ;tati_x_get 508,r : amsp 508,%x,0 print 6 delay 6000 *bgm_mode_Answer_short_1000 trap off lsp 510,$tmp,0,0 ;lsp 508,$BEA_AkuwaraiA1,0,0 ;lsp 509,$BUT_OdorokiA1,0,0 ;tati_x_get 509,l : amsp 509,%x,0 ;tati_x_get 508,r : amsp 508,%x,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Answer_short",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai ",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 1:02",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Read_Dread if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" ;ホールに蝶を重ねた画像 rnd2 %ran,1,4 if %ran = 1 add $tmp,Pumpkin2 if %ran = 2 add $tmp,"bgm_mode\ss\red1.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\red2.bmp" if %ran = 4 add $tmp,"bgm_mode\ss\red3.bmp" trap *bgm_mode_Read_Dread_1000 lsp 510,r_white,0,0 : print 1 :wait 10 lsp 510,$tmp,0,0 print 26 delay 4000 *bgm_mode_Read_Dread_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Red_Dread ",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 Ryu-Ga",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:43",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sirabe_oche if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\sirabe1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\sirabe1.bmp" trap *bgm_mode_sirabe_oche_1000 lsp 510,r_black,0,0 : print 6 lsp 510,$tmp,0,0 print 29 delay 1000 *bgm_mode_sirabe_oche_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 旋律 [Melody] inst.ver^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: sunny, cap, xaki^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 8:05",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Dread_grave if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran2,1,12 if %ran2 = 1 : add $tmp,"bgm_mode\ss\ennkan1.bmp" if %ran2 = 2 : add $tmp,"bgm_mode\ss\ennkan2.bmp" if %ran2 = 3 : add $tmp,"bgm_mode\ss\ennkan3.bmp" if %ran2 = 4 : add $tmp,"bgm_mode\ss\ennkan4.bmp" if %ran2 = 5 : add $tmp,"bgm_mode\ss\ennkan5.bmp" if %ran2 = 6 : add $tmp,"bgm_mode\ss\ennkan6.bmp" if %ran2 = 7 : add $tmp,"bgm_mode\ss\dread_grave.bmp" if %ran2 = 8 : add $tmp,"bgm_mode\ss\dread2_1.bmp" if %ran2 = 9 : add $tmp,"bgm_mode\ss\dread2_2.bmp" if %ran2 = 10 : add $tmp,"bgm_mode\ss\dread2_3.bmp" if %ran2 = 11 : add $tmp,"bgm_mode\ss\dread2_4.bmp" if %ran2 = 12 : add $tmp,"bgm_mode\ss\dread2_6.bmp" trap *bgm_mode_Dread_grave_1000 lsp 510,r_white,0,0 : print 1 :wait 10 lsp 510,$tmp,0,0 print 1 delay 1000 *bgm_mode_Dread_grave_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 Dread_of_the_grave",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 SB YUNE",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 6:04",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_moon if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play rnd2 %ran2,1,2 notif %ran2 = 1 goto *bgm_mode_moon_2000 mov $tmp,":c;" rnd2 %ran,1,1 if %ran = 1 add $tmp,MJES_1bN trap *bgm_mode_moon_1000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 lsp 508,$JES_NakuA3,0,0,128 lsp 509,$KAN_NayamuA1,0,0,128 tati_x_get 509,c : amsp 509,%x,0,128 tati_x_get 508,r : amsp 508,%x,0,128 print 6 delay 6000 *bgm_mode_moon_1000 trap off lsp 510,$tmp,0,0 lsp 508,$JES_NakuA3,0,0,128 lsp 509,$KAN_NayamuA1,0,0,128 tati_x_get 509,c : amsp 509,%x,0,128 tati_x_get 508,r : amsp 508,%x,0,128 *bgm_mode_moon_2000 notif %ran2 = 2 goto *bgm_mode_moon_4000 mov $tmp,":c;" rnd2 %ran,1,1 if %ran = 1 add $tmp,"bgm_mode\ss\moon1.bmp" trap *bgm_mode_moon_3000 lsp 510,r_black,0,0 : print 22 lsp 510,$tmp,0,0 print 6 delay 6000 *bgm_mode_moon_3000 trap off lsp 510,$tmp,0,0 *bgm_mode_moon_4000 lsp bgm_title_lsp,":s#FFFFFF【Title 】 moon",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 dai ",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 2:52",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Worldenddominator if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,4 if %ran = 1 add $tmp,"bgm_mode\ss\worldenddominator1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\worldenddominator5.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\worldenddominator3.bmp" if %ran = 4 add $tmp,"bgm_mode\ss\worldenddominator5.bmp" if %ran = 5 add $tmp,"bgm_mode\ss\worldenddominator5.bmp" trap *bgm_mode_Worldenddominator_1000 lsp 510,r_black,0,0 : print 1 : wait 2 lsp 510,$tmp,0,0 if %ran = 4 mono 2 if %ran = 5 mono 2 if %ran = 2 mono 2 print 28 delay 500 if %ran = 4 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\worldenddominator4.bmp" if %ran = 5 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\worldenddominator4.bmp" if %ran = 2 mov $tmp,":c;" : add $tmp,"bgm_mode\ss\worldenddominator4.bmp" mono 0 print 22 *bgm_mode_Worldenddominator_1000 mono 0 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Worldend_dominator^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: zts^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 7:37^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_ririana if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\kurono_ririana01.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\kurono_ririana02.bmp" trap *bgm_mode_ririana_1000 lsp 510,r_white,0,0 : print 1 : wait 10 lsp 510,$tmp,0,0 print 2 delay 1000 *bgm_mode_ririana_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: 黒のリリアナ [Black Lilliana]^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: あきやまうに [U2 Akiyama]^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 5:19^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_turu_pettan if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" ;ホールに蝶を重ねた画像 rnd2 %ran,1,1 if %ran = 1 add $tmp,"bmp\pettan\bg.bmp" trap *bgm_mode_turu_pettan_1000 lsp 510,r_white,0,0 : print 1 :wait 10 lsp 510,$tmp,0,0 print 28 delay 5000 *bgm_mode_turu_pettan_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 つるぺったん(short.ver)",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 Silver_Forest ",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 1:54",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_kiyuusoku if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\kyuusoku1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\kyuusoku2.bmp" trap *bgm_mode_kiyuusoku_1000 lsp 510,r_white,0,0 : print 22 lsp 510,$tmp,0,0 print 2 delay 6000 *bgm_mode_kiyuusoku_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 休息",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 Ryu-Ga",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Time 】 1:30",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_hakujitunohate if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,2 if %ran = 1 add $tmp,"bgm_mode\ss\hakuzitumu01.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\hakuzitumu02.bmp" trap *bgm_mode_hakujitunohate_1000 lsp 510,r_white,0,0 : print 2 lsp 510,$tmp,0,0 print 10 delay 6000 *bgm_mode_hakujitunohate_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 白日夢の果て",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 ラック眼力",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Chorus】 木野寧",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_sirabe_vocal if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,1 if %ran = 1 add $tmp,"bmp\background\efe\butterfly_4a.bmp" trap *bgm_mode_sirabe_vocal_1000 lsp 510,r_black,0,0 : print 2 lsp 510,$tmp,0,0 print 2 delay 6000 *bgm_mode_sirabe_vocal_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF【Title 】 旋律(シラベ)",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF【Artist】 sunny、cap、xaki",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF【Vocal 】 木村 圭見",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 2 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_Over_the_sky if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 gosub *bgm_play mov $tmp,":c;" rnd2 %ran,1,4 if %ran = 1 add $tmp,"bgm_mode\ss\Over_the_sky1.bmp" if %ran = 2 add $tmp,"bgm_mode\ss\Over_the_sky2.bmp" if %ran = 3 add $tmp,"bgm_mode\ss\Over_the_sky3.bmp" if %ran = 4 add $tmp,"bgm_mode\ss\Over_the_sky4.bmp" trap *bgm_mode_Over_the_sky_1000 lsp 510,r_white,0,0 : print 2 lsp 510,$tmp,0,0 print 48 delay 6000 *bgm_mode_Over_the_sky_1000 trap off lsp 510,$tmp,0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Over_the_sky^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: Pre-holder^",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Time: 3:31^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 22 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_btn_lp *bgm_mode_LastWorld if %bgm_mood_demo = 1 goto *demo_hyouji gosub *bgm_mode_k : print 22 btndef "bmp\background\efe\end_9a.bmp" ;スクロール画像を読みこむ lsph 510,r_black,0,0 gosub *bgm_play trap *bgm_mode_ed_10000 vsp 510,1 : print 1 blt 0,0,640,480,0,0,640,480 ;高速描画処理 座標0 bg end_1b,0 ;最後の背景を裏側に表示 waittimer 40 ; gosub *bgm_ep1_scroll ;スクロール実行本体 delay 1000 prnumclear ;数値ラベル設定クリア ofscpy lsp 510,"bmp\background\efe\rollout.bmp",0,0 print 42 *bgm_mode_ed_10000 trap off lsp 510,"bmp\background\efe\rollout.bmp",0,0 lsp bgm_title_lsp,":s#FFFFFF^ Title: Last World^",%bgm_x,%bgm_y1 lsp bgm_sakka_lsp,":s#FFFFFF^ Artist: xaki",%bgm_x,%bgm_y2 lsp bgm_time_lsp,":s#FFFFFF^ Vocal: 本木咲黒 [Motoki zakuro]^",%bgm_x,%bgm_y3 gosub *bgm_mode_h2 print 42 gettimer %3801:sub %bm_pt,%3801 ;曲の残り時間を入力 goto *bgm_mode_botann_teigi ;*********** 立ち位置の座標を取得して、%xの変数に代入するルーチン *tati_x_get ;(スプライト番号、立ち位置) getparam %x_get_tmp1,%x_get_tmp2 getspsize %x_get_tmp1,%x_get_tmp3,%x_get_tmp4,%x_get_tmp5 if %x_get_tmp2 = l div %x_get_tmp3,2 : mov %x,160 : sub %x,%x_get_tmp3 if %x_get_tmp2 = c div %x_get_tmp3,2 : mov %x,320 : sub %x,%x_get_tmp3 if %x_get_tmp2 = r div %x_get_tmp3,2 : mov %x,480 : sub %x,%x_get_tmp3 return ;*************************************************************** ;*********************************************** *bgm_ep1_scroll ;スクロール実行本体 skipoff blt 0,0,640,480,0, 2,640,480:waittimer 36 blt 0,0,640,480,0, 4,640,480:waittimer 72 blt 0,0,640,480,0, 6,640,480:waittimer 108 blt 0,0,640,480,0, 8,640,480:waittimer 144 blt 0,0,640,480,0, 10,640,480:waittimer 180 blt 0,0,640,480,0, 12,640,480:waittimer 217 blt 0,0,640,480,0, 14,640,480:waittimer 253 blt 0,0,640,480,0, 16,640,480:waittimer 289 blt 0,0,640,480,0, 18,640,480:waittimer 325 blt 0,0,640,480,0, 20,640,480:waittimer 361 blt 0,0,640,480,0, 22,640,480:waittimer 398 blt 0,0,640,480,0, 24,640,480:waittimer 434 blt 0,0,640,480,0, 26,640,480:waittimer 470 blt 0,0,640,480,0, 28,640,480:waittimer 506 blt 0,0,640,480,0, 30,640,480:waittimer 542 blt 0,0,640,480,0, 32,640,480:waittimer 578 blt 0,0,640,480,0, 34,640,480:waittimer 615 blt 0,0,640,480,0, 36,640,480:waittimer 651 blt 0,0,640,480,0, 38,640,480:waittimer 687 blt 0,0,640,480,0, 40,640,480:waittimer 723 blt 0,0,640,480,0, 42,640,480:waittimer 759 blt 0,0,640,480,0, 44,640,480:waittimer 796 blt 0,0,640,480,0, 46,640,480:waittimer 832 blt 0,0,640,480,0, 48,640,480:waittimer 868 blt 0,0,640,480,0, 50,640,480:waittimer 904 blt 0,0,640,480,0, 52,640,480:waittimer 940 blt 0,0,640,480,0, 54,640,480:waittimer 976 blt 0,0,640,480,0, 56,640,480:waittimer 1013 blt 0,0,640,480,0, 58,640,480:waittimer 1049 blt 0,0,640,480,0, 60,640,480:waittimer 1085 blt 0,0,640,480,0, 62,640,480:waittimer 1121 blt 0,0,640,480,0, 64,640,480:waittimer 1157 blt 0,0,640,480,0, 66,640,480:waittimer 1194 blt 0,0,640,480,0, 68,640,480:waittimer 1230 blt 0,0,640,480,0, 70,640,480:waittimer 1266 blt 0,0,640,480,0, 72,640,480:waittimer 1302 blt 0,0,640,480,0, 74,640,480:waittimer 1338 blt 0,0,640,480,0, 76,640,480:waittimer 1375 blt 0,0,640,480,0, 78,640,480:waittimer 1411 blt 0,0,640,480,0, 80,640,480:waittimer 1447 blt 0,0,640,480,0, 82,640,480:waittimer 1483 blt 0,0,640,480,0, 84,640,480:waittimer 1519 blt 0,0,640,480,0, 86,640,480:waittimer 1555 blt 0,0,640,480,0, 88,640,480:waittimer 1592 blt 0,0,640,480,0, 90,640,480:waittimer 1628 blt 0,0,640,480,0, 92,640,480:waittimer 1664 blt 0,0,640,480,0, 94,640,480:waittimer 1700 blt 0,0,640,480,0, 96,640,480:waittimer 1736 blt 0,0,640,480,0, 98,640,480:waittimer 1773 blt 0,0,640,480,0, 100,640,480:waittimer 1809 blt 0,0,640,480,0, 102,640,480:waittimer 1845 blt 0,0,640,480,0, 104,640,480:waittimer 1881 blt 0,0,640,480,0, 106,640,480:waittimer 1917 blt 0,0,640,480,0, 108,640,480:waittimer 1953 blt 0,0,640,480,0, 110,640,480:waittimer 1990 blt 0,0,640,480,0, 112,640,480:waittimer 2026 blt 0,0,640,480,0, 114,640,480:waittimer 2062 blt 0,0,640,480,0, 116,640,480:waittimer 2098 blt 0,0,640,480,0, 118,640,480:waittimer 2134 blt 0,0,640,480,0, 120,640,480:waittimer 2171 blt 0,0,640,480,0, 122,640,480:waittimer 2207 blt 0,0,640,480,0, 124,640,480:waittimer 2243 blt 0,0,640,480,0, 126,640,480:waittimer 2279 blt 0,0,640,480,0, 128,640,480:waittimer 2315 blt 0,0,640,480,0, 130,640,480:waittimer 2351 blt 0,0,640,480,0, 132,640,480:waittimer 2388 blt 0,0,640,480,0, 134,640,480:waittimer 2424 blt 0,0,640,480,0, 136,640,480:waittimer 2460 blt 0,0,640,480,0, 138,640,480:waittimer 2496 blt 0,0,640,480,0, 140,640,480:waittimer 2532 blt 0,0,640,480,0, 142,640,480:waittimer 2569 blt 0,0,640,480,0, 144,640,480:waittimer 2605 blt 0,0,640,480,0, 146,640,480:waittimer 2641 blt 0,0,640,480,0, 148,640,480:waittimer 2677 blt 0,0,640,480,0, 150,640,480:waittimer 2713 blt 0,0,640,480,0, 152,640,480:waittimer 2750 blt 0,0,640,480,0, 154,640,480:waittimer 2786 blt 0,0,640,480,0, 156,640,480:waittimer 2822 blt 0,0,640,480,0, 158,640,480:waittimer 2858 blt 0,0,640,480,0, 160,640,480:waittimer 2894 blt 0,0,640,480,0, 162,640,480:waittimer 2930 blt 0,0,640,480,0, 164,640,480:waittimer 2967 blt 0,0,640,480,0, 166,640,480:waittimer 3003 blt 0,0,640,480,0, 168,640,480:waittimer 3039 blt 0,0,640,480,0, 170,640,480:waittimer 3075 blt 0,0,640,480,0, 172,640,480:waittimer 3111 blt 0,0,640,480,0, 174,640,480:waittimer 3148 blt 0,0,640,480,0, 176,640,480:waittimer 3184 blt 0,0,640,480,0, 178,640,480:waittimer 3220 blt 0,0,640,480,0, 180,640,480:waittimer 3256 blt 0,0,640,480,0, 182,640,480:waittimer 3292 blt 0,0,640,480,0, 184,640,480:waittimer 3328 blt 0,0,640,480,0, 186,640,480:waittimer 3365 blt 0,0,640,480,0, 188,640,480:waittimer 3401 blt 0,0,640,480,0, 190,640,480:waittimer 3437 blt 0,0,640,480,0, 192,640,480:waittimer 3473 blt 0,0,640,480,0, 194,640,480:waittimer 3509 blt 0,0,640,480,0, 196,640,480:waittimer 3546 blt 0,0,640,480,0, 198,640,480:waittimer 3582 blt 0,0,640,480,0, 200,640,480:waittimer 3618 blt 0,0,640,480,0, 202,640,480:waittimer 3654 blt 0,0,640,480,0, 204,640,480:waittimer 3690 blt 0,0,640,480,0, 206,640,480:waittimer 3726 blt 0,0,640,480,0, 208,640,480:waittimer 3763 blt 0,0,640,480,0, 210,640,480:waittimer 3799 blt 0,0,640,480,0, 212,640,480:waittimer 3835 blt 0,0,640,480,0, 214,640,480:waittimer 3871 blt 0,0,640,480,0, 216,640,480:waittimer 3907 blt 0,0,640,480,0, 218,640,480:waittimer 3944 blt 0,0,640,480,0, 220,640,480:waittimer 3980 blt 0,0,640,480,0, 222,640,480:waittimer 4016 blt 0,0,640,480,0, 224,640,480:waittimer 4052 blt 0,0,640,480,0, 226,640,480:waittimer 4088 blt 0,0,640,480,0, 228,640,480:waittimer 4125 blt 0,0,640,480,0, 230,640,480:waittimer 4161 blt 0,0,640,480,0, 232,640,480:waittimer 4197 blt 0,0,640,480,0, 234,640,480:waittimer 4233 blt 0,0,640,480,0, 236,640,480:waittimer 4269 blt 0,0,640,480,0, 238,640,480:waittimer 4305 blt 0,0,640,480,0, 240,640,480:waittimer 4342 blt 0,0,640,480,0, 242,640,480:waittimer 4378 blt 0,0,640,480,0, 244,640,480:waittimer 4414 blt 0,0,640,480,0, 246,640,480:waittimer 4450 blt 0,0,640,480,0, 248,640,480:waittimer 4486 blt 0,0,640,480,0, 250,640,480:waittimer 4523 blt 0,0,640,480,0, 252,640,480:waittimer 4559 blt 0,0,640,480,0, 254,640,480:waittimer 4595 blt 0,0,640,480,0, 256,640,480:waittimer 4631 blt 0,0,640,480,0, 258,640,480:waittimer 4667 blt 0,0,640,480,0, 260,640,480:waittimer 4703 blt 0,0,640,480,0, 262,640,480:waittimer 4740 blt 0,0,640,480,0, 264,640,480:waittimer 4776 blt 0,0,640,480,0, 266,640,480:waittimer 4812 blt 0,0,640,480,0, 268,640,480:waittimer 4848 blt 0,0,640,480,0, 270,640,480:waittimer 4884 blt 0,0,640,480,0, 272,640,480:waittimer 4921 blt 0,0,640,480,0, 274,640,480:waittimer 4957 blt 0,0,640,480,0, 276,640,480:waittimer 4993 blt 0,0,640,480,0, 278,640,480:waittimer 5029 blt 0,0,640,480,0, 280,640,480:waittimer 5065 blt 0,0,640,480,0, 282,640,480:waittimer 5101 blt 0,0,640,480,0, 284,640,480:waittimer 5138 blt 0,0,640,480,0, 286,640,480:waittimer 5174 blt 0,0,640,480,0, 288,640,480:waittimer 5210 blt 0,0,640,480,0, 290,640,480:waittimer 5246 blt 0,0,640,480,0, 292,640,480:waittimer 5282 blt 0,0,640,480,0, 294,640,480:waittimer 5319 blt 0,0,640,480,0, 296,640,480:waittimer 5355 blt 0,0,640,480,0, 298,640,480:waittimer 5391 blt 0,0,640,480,0, 300,640,480:waittimer 5427 blt 0,0,640,480,0, 302,640,480:waittimer 5463 blt 0,0,640,480,0, 304,640,480:waittimer 5500 blt 0,0,640,480,0, 306,640,480:waittimer 5536 blt 0,0,640,480,0, 308,640,480:waittimer 5572 blt 0,0,640,480,0, 310,640,480:waittimer 5608 blt 0,0,640,480,0, 312,640,480:waittimer 5644 blt 0,0,640,480,0, 314,640,480:waittimer 5680 blt 0,0,640,480,0, 316,640,480:waittimer 5717 blt 0,0,640,480,0, 318,640,480:waittimer 5753 blt 0,0,640,480,0, 320,640,480:waittimer 5789 blt 0,0,640,480,0, 322,640,480:waittimer 5825 blt 0,0,640,480,0, 324,640,480:waittimer 5861 blt 0,0,640,480,0, 326,640,480:waittimer 5898 blt 0,0,640,480,0, 328,640,480:waittimer 5934 blt 0,0,640,480,0, 330,640,480:waittimer 5970 blt 0,0,640,480,0, 332,640,480:waittimer 6006 blt 0,0,640,480,0, 334,640,480:waittimer 6042 blt 0,0,640,480,0, 336,640,480:waittimer 6078 blt 0,0,640,480,0, 338,640,480:waittimer 6115 blt 0,0,640,480,0, 340,640,480:waittimer 6151 blt 0,0,640,480,0, 342,640,480:waittimer 6187 blt 0,0,640,480,0, 344,640,480:waittimer 6223 blt 0,0,640,480,0, 346,640,480:waittimer 6259 blt 0,0,640,480,0, 348,640,480:waittimer 6296 blt 0,0,640,480,0, 350,640,480:waittimer 6332 blt 0,0,640,480,0, 352,640,480:waittimer 6368 blt 0,0,640,480,0, 354,640,480:waittimer 6404 blt 0,0,640,480,0, 356,640,480:waittimer 6440 blt 0,0,640,480,0, 358,640,480:waittimer 6476 blt 0,0,640,480,0, 360,640,480:waittimer 6513 blt 0,0,640,480,0, 362,640,480:waittimer 6549 blt 0,0,640,480,0, 364,640,480:waittimer 6585 blt 0,0,640,480,0, 366,640,480:waittimer 6621 blt 0,0,640,480,0, 368,640,480:waittimer 6657 blt 0,0,640,480,0, 370,640,480:waittimer 6694 blt 0,0,640,480,0, 372,640,480:waittimer 6730 blt 0,0,640,480,0, 374,640,480:waittimer 6766 blt 0,0,640,480,0, 376,640,480:waittimer 6802 blt 0,0,640,480,0, 378,640,480:waittimer 6838 blt 0,0,640,480,0, 380,640,480:waittimer 6875 blt 0,0,640,480,0, 382,640,480:waittimer 6911 blt 0,0,640,480,0, 384,640,480:waittimer 6947 blt 0,0,640,480,0, 386,640,480:waittimer 6983 blt 0,0,640,480,0, 388,640,480:waittimer 7019 blt 0,0,640,480,0, 390,640,480:waittimer 7055 blt 0,0,640,480,0, 392,640,480:waittimer 7092 blt 0,0,640,480,0, 394,640,480:waittimer 7128 blt 0,0,640,480,0, 396,640,480:waittimer 7164 blt 0,0,640,480,0, 398,640,480:waittimer 7200 blt 0,0,640,480,0, 400,640,480:waittimer 7236 blt 0,0,640,480,0, 402,640,480:waittimer 7273 blt 0,0,640,480,0, 404,640,480:waittimer 7309 blt 0,0,640,480,0, 406,640,480:waittimer 7345 blt 0,0,640,480,0, 408,640,480:waittimer 7381 blt 0,0,640,480,0, 410,640,480:waittimer 7417 blt 0,0,640,480,0, 412,640,480:waittimer 7453 blt 0,0,640,480,0, 414,640,480:waittimer 7490 blt 0,0,640,480,0, 416,640,480:waittimer 7526 blt 0,0,640,480,0, 418,640,480:waittimer 7562 blt 0,0,640,480,0, 420,640,480:waittimer 7598 blt 0,0,640,480,0, 422,640,480:waittimer 7634 blt 0,0,640,480,0, 424,640,480:waittimer 7671 blt 0,0,640,480,0, 426,640,480:waittimer 7707 blt 0,0,640,480,0, 428,640,480:waittimer 7743 blt 0,0,640,480,0, 430,640,480:waittimer 7779 blt 0,0,640,480,0, 432,640,480:waittimer 7815 blt 0,0,640,480,0, 434,640,480:waittimer 7851 blt 0,0,640,480,0, 436,640,480:waittimer 7888 blt 0,0,640,480,0, 438,640,480:waittimer 7924 blt 0,0,640,480,0, 440,640,480:waittimer 7960 blt 0,0,640,480,0, 442,640,480:waittimer 7996 blt 0,0,640,480,0, 444,640,480:waittimer 8032 blt 0,0,640,480,0, 446,640,480:waittimer 8069 blt 0,0,640,480,0, 448,640,480:waittimer 8105 blt 0,0,640,480,0, 450,640,480:waittimer 8141 blt 0,0,640,480,0, 452,640,480:waittimer 8177 blt 0,0,640,480,0, 454,640,480:waittimer 8213 blt 0,0,640,480,0, 456,640,480:waittimer 8250 blt 0,0,640,480,0, 458,640,480:waittimer 8286 blt 0,0,640,480,0, 460,640,480:waittimer 8322 blt 0,0,640,480,0, 462,640,480:waittimer 8358 blt 0,0,640,480,0, 464,640,480:waittimer 8394 blt 0,0,640,480,0, 466,640,480:waittimer 8430 blt 0,0,640,480,0, 468,640,480:waittimer 8467 blt 0,0,640,480,0, 470,640,480:waittimer 8503 blt 0,0,640,480,0, 472,640,480:waittimer 8539 blt 0,0,640,480,0, 474,640,480:waittimer 8575 blt 0,0,640,480,0, 476,640,480:waittimer 8611 blt 0,0,640,480,0, 478,640,480:waittimer 8648 blt 0,0,640,480,0, 480,640,480:waittimer 8684 blt 0,0,640,480,0, 482,640,480:waittimer 8720 blt 0,0,640,480,0, 484,640,480:waittimer 8756 blt 0,0,640,480,0, 486,640,480:waittimer 8792 blt 0,0,640,480,0, 488,640,480:waittimer 8828 blt 0,0,640,480,0, 490,640,480:waittimer 8865 blt 0,0,640,480,0, 492,640,480:waittimer 8901 blt 0,0,640,480,0, 494,640,480:waittimer 8937 blt 0,0,640,480,0, 496,640,480:waittimer 8973 blt 0,0,640,480,0, 498,640,480:waittimer 9009 blt 0,0,640,480,0, 500,640,480:waittimer 9046 blt 0,0,640,480,0, 502,640,480:waittimer 9082 blt 0,0,640,480,0, 504,640,480:waittimer 9118 blt 0,0,640,480,0, 506,640,480:waittimer 9154 blt 0,0,640,480,0, 508,640,480:waittimer 9190 blt 0,0,640,480,0, 510,640,480:waittimer 9226 blt 0,0,640,480,0, 512,640,480:waittimer 9263 blt 0,0,640,480,0, 514,640,480:waittimer 9299 blt 0,0,640,480,0, 516,640,480:waittimer 9335 blt 0,0,640,480,0, 518,640,480:waittimer 9371 blt 0,0,640,480,0, 520,640,480:waittimer 9407 blt 0,0,640,480,0, 522,640,480:waittimer 9444 blt 0,0,640,480,0, 524,640,480:waittimer 9480 blt 0,0,640,480,0, 526,640,480:waittimer 9516 blt 0,0,640,480,0, 528,640,480:waittimer 9552 blt 0,0,640,480,0, 530,640,480:waittimer 9588 blt 0,0,640,480,0, 532,640,480:waittimer 9625 blt 0,0,640,480,0, 534,640,480:waittimer 9661 blt 0,0,640,480,0, 536,640,480:waittimer 9697 blt 0,0,640,480,0, 538,640,480:waittimer 9733 blt 0,0,640,480,0, 540,640,480:waittimer 9769 blt 0,0,640,480,0, 542,640,480:waittimer 9805 blt 0,0,640,480,0, 544,640,480:waittimer 9842 blt 0,0,640,480,0, 546,640,480:waittimer 9878 blt 0,0,640,480,0, 548,640,480:waittimer 9914 blt 0,0,640,480,0, 550,640,480:waittimer 9950 blt 0,0,640,480,0, 552,640,480:waittimer 9986 blt 0,0,640,480,0, 554,640,480:waittimer 10023 blt 0,0,640,480,0, 556,640,480:waittimer 10059 blt 0,0,640,480,0, 558,640,480:waittimer 10095 blt 0,0,640,480,0, 560,640,480:waittimer 10131 blt 0,0,640,480,0, 562,640,480:waittimer 10167 blt 0,0,640,480,0, 564,640,480:waittimer 10203 blt 0,0,640,480,0, 566,640,480:waittimer 10240 blt 0,0,640,480,0, 568,640,480:waittimer 10276 blt 0,0,640,480,0, 570,640,480:waittimer 10312 blt 0,0,640,480,0, 572,640,480:waittimer 10348 blt 0,0,640,480,0, 574,640,480:waittimer 10384 blt 0,0,640,480,0, 576,640,480:waittimer 10421 blt 0,0,640,480,0, 578,640,480:waittimer 10457 blt 0,0,640,480,0, 580,640,480:waittimer 10493 blt 0,0,640,480,0, 582,640,480:waittimer 10529 blt 0,0,640,480,0, 584,640,480:waittimer 10565 blt 0,0,640,480,0, 586,640,480:waittimer 10601 blt 0,0,640,480,0, 588,640,480:waittimer 10638 blt 0,0,640,480,0, 590,640,480:waittimer 10674 blt 0,0,640,480,0, 592,640,480:waittimer 10710 blt 0,0,640,480,0, 594,640,480:waittimer 10746 blt 0,0,640,480,0, 596,640,480:waittimer 10782 blt 0,0,640,480,0, 598,640,480:waittimer 10819 blt 0,0,640,480,0, 600,640,480:waittimer 10855 blt 0,0,640,480,0, 602,640,480:waittimer 10891 blt 0,0,640,480,0, 604,640,480:waittimer 10927 blt 0,0,640,480,0, 606,640,480:waittimer 10963 blt 0,0,640,480,0, 608,640,480:waittimer 11000 blt 0,0,640,480,0, 610,640,480:waittimer 11036 blt 0,0,640,480,0, 612,640,480:waittimer 11072 blt 0,0,640,480,0, 614,640,480:waittimer 11108 blt 0,0,640,480,0, 616,640,480:waittimer 11144 blt 0,0,640,480,0, 618,640,480:waittimer 11180 blt 0,0,640,480,0, 620,640,480:waittimer 11217 blt 0,0,640,480,0, 622,640,480:waittimer 11253 blt 0,0,640,480,0, 624,640,480:waittimer 11289 blt 0,0,640,480,0, 626,640,480:waittimer 11325 blt 0,0,640,480,0, 628,640,480:waittimer 11361 blt 0,0,640,480,0, 630,640,480:waittimer 11398 blt 0,0,640,480,0, 632,640,480:waittimer 11434 blt 0,0,640,480,0, 634,640,480:waittimer 11470 blt 0,0,640,480,0, 636,640,480:waittimer 11506 blt 0,0,640,480,0, 638,640,480:waittimer 11542 blt 0,0,640,480,0, 640,640,480:waittimer 11578 blt 0,0,640,480,0, 642,640,480:waittimer 11615 blt 0,0,640,480,0, 644,640,480:waittimer 11651 blt 0,0,640,480,0, 646,640,480:waittimer 11687 blt 0,0,640,480,0, 648,640,480:waittimer 11723 blt 0,0,640,480,0, 650,640,480:waittimer 11759 blt 0,0,640,480,0, 652,640,480:waittimer 11796 blt 0,0,640,480,0, 654,640,480:waittimer 11832 blt 0,0,640,480,0, 656,640,480:waittimer 11868 blt 0,0,640,480,0, 658,640,480:waittimer 11904 blt 0,0,640,480,0, 660,640,480:waittimer 11940 blt 0,0,640,480,0, 662,640,480:waittimer 11976 blt 0,0,640,480,0, 664,640,480:waittimer 12013 blt 0,0,640,480,0, 666,640,480:waittimer 12049 blt 0,0,640,480,0, 668,640,480:waittimer 12085 blt 0,0,640,480,0, 670,640,480:waittimer 12121 blt 0,0,640,480,0, 672,640,480:waittimer 12157 blt 0,0,640,480,0, 674,640,480:waittimer 12194 blt 0,0,640,480,0, 676,640,480:waittimer 12230 blt 0,0,640,480,0, 678,640,480:waittimer 12266 blt 0,0,640,480,0, 680,640,480:waittimer 12302 blt 0,0,640,480,0, 682,640,480:waittimer 12338 blt 0,0,640,480,0, 684,640,480:waittimer 12375 blt 0,0,640,480,0, 686,640,480:waittimer 12411 blt 0,0,640,480,0, 688,640,480:waittimer 12447 blt 0,0,640,480,0, 690,640,480:waittimer 12483 blt 0,0,640,480,0, 692,640,480:waittimer 12519 blt 0,0,640,480,0, 694,640,480:waittimer 12555 blt 0,0,640,480,0, 696,640,480:waittimer 12592 blt 0,0,640,480,0, 698,640,480:waittimer 12628 blt 0,0,640,480,0, 700,640,480:waittimer 12664 blt 0,0,640,480,0, 702,640,480:waittimer 12700 blt 0,0,640,480,0, 704,640,480:waittimer 12736 blt 0,0,640,480,0, 706,640,480:waittimer 12773 blt 0,0,640,480,0, 708,640,480:waittimer 12809 blt 0,0,640,480,0, 710,640,480:waittimer 12845 blt 0,0,640,480,0, 712,640,480:waittimer 12881 blt 0,0,640,480,0, 714,640,480:waittimer 12917 blt 0,0,640,480,0, 716,640,480:waittimer 12953 blt 0,0,640,480,0, 718,640,480:waittimer 12990 blt 0,0,640,480,0, 720,640,480:waittimer 13026 blt 0,0,640,480,0, 722,640,480:waittimer 13062 blt 0,0,640,480,0, 724,640,480:waittimer 13098 blt 0,0,640,480,0, 726,640,480:waittimer 13134 blt 0,0,640,480,0, 728,640,480:waittimer 13171 blt 0,0,640,480,0, 730,640,480:waittimer 13207 blt 0,0,640,480,0, 732,640,480:waittimer 13243 blt 0,0,640,480,0, 734,640,480:waittimer 13279 blt 0,0,640,480,0, 736,640,480:waittimer 13315 blt 0,0,640,480,0, 738,640,480:waittimer 13351 blt 0,0,640,480,0, 740,640,480:waittimer 13388 blt 0,0,640,480,0, 742,640,480:waittimer 13424 blt 0,0,640,480,0, 744,640,480:waittimer 13460 blt 0,0,640,480,0, 746,640,480:waittimer 13496 blt 0,0,640,480,0, 748,640,480:waittimer 13532 blt 0,0,640,480,0, 750,640,480:waittimer 13569 blt 0,0,640,480,0, 752,640,480:waittimer 13605 blt 0,0,640,480,0, 754,640,480:waittimer 13641 blt 0,0,640,480,0, 756,640,480:waittimer 13677 blt 0,0,640,480,0, 758,640,480:waittimer 13713 blt 0,0,640,480,0, 760,640,480:waittimer 13750 blt 0,0,640,480,0, 762,640,480:waittimer 13786 blt 0,0,640,480,0, 764,640,480:waittimer 13822 blt 0,0,640,480,0, 766,640,480:waittimer 13858 blt 0,0,640,480,0, 768,640,480:waittimer 13894 blt 0,0,640,480,0, 770,640,480:waittimer 13930 blt 0,0,640,480,0, 772,640,480:waittimer 13967 blt 0,0,640,480,0, 774,640,480:waittimer 14003 blt 0,0,640,480,0, 776,640,480:waittimer 14039 blt 0,0,640,480,0, 778,640,480:waittimer 14075 blt 0,0,640,480,0, 780,640,480:waittimer 14111 blt 0,0,640,480,0, 782,640,480:waittimer 14148 blt 0,0,640,480,0, 784,640,480:waittimer 14184 blt 0,0,640,480,0, 786,640,480:waittimer 14220 blt 0,0,640,480,0, 788,640,480:waittimer 14256 blt 0,0,640,480,0, 790,640,480:waittimer 14292 blt 0,0,640,480,0, 792,640,480:waittimer 14328 blt 0,0,640,480,0, 794,640,480:waittimer 14365 blt 0,0,640,480,0, 796,640,480:waittimer 14401 blt 0,0,640,480,0, 798,640,480:waittimer 14437 blt 0,0,640,480,0, 800,640,480:waittimer 14473 blt 0,0,640,480,0, 802,640,480:waittimer 14509 blt 0,0,640,480,0, 804,640,480:waittimer 14546 blt 0,0,640,480,0, 806,640,480:waittimer 14582 blt 0,0,640,480,0, 808,640,480:waittimer 14618 blt 0,0,640,480,0, 810,640,480:waittimer 14654 blt 0,0,640,480,0, 812,640,480:waittimer 14690 blt 0,0,640,480,0, 814,640,480:waittimer 14726 blt 0,0,640,480,0, 816,640,480:waittimer 14763 blt 0,0,640,480,0, 818,640,480:waittimer 14799 blt 0,0,640,480,0, 820,640,480:waittimer 14835 blt 0,0,640,480,0, 822,640,480:waittimer 14871 blt 0,0,640,480,0, 824,640,480:waittimer 14907 blt 0,0,640,480,0, 826,640,480:waittimer 14944 blt 0,0,640,480,0, 828,640,480:waittimer 14980 blt 0,0,640,480,0, 830,640,480:waittimer 15016 blt 0,0,640,480,0, 832,640,480:waittimer 15052 blt 0,0,640,480,0, 834,640,480:waittimer 15088 blt 0,0,640,480,0, 836,640,480:waittimer 15125 blt 0,0,640,480,0, 838,640,480:waittimer 15161 blt 0,0,640,480,0, 840,640,480:waittimer 15197 blt 0,0,640,480,0, 842,640,480:waittimer 15233 blt 0,0,640,480,0, 844,640,480:waittimer 15269 blt 0,0,640,480,0, 846,640,480:waittimer 15305 blt 0,0,640,480,0, 848,640,480:waittimer 15342 blt 0,0,640,480,0, 850,640,480:waittimer 15378 blt 0,0,640,480,0, 852,640,480:waittimer 15414 blt 0,0,640,480,0, 854,640,480:waittimer 15450 blt 0,0,640,480,0, 856,640,480:waittimer 15486 blt 0,0,640,480,0, 858,640,480:waittimer 15523 blt 0,0,640,480,0, 860,640,480:waittimer 15559 blt 0,0,640,480,0, 862,640,480:waittimer 15595 blt 0,0,640,480,0, 864,640,480:waittimer 15631 blt 0,0,640,480,0, 866,640,480:waittimer 15667 blt 0,0,640,480,0, 868,640,480:waittimer 15703 blt 0,0,640,480,0, 870,640,480:waittimer 15740 blt 0,0,640,480,0, 872,640,480:waittimer 15776 blt 0,0,640,480,0, 874,640,480:waittimer 15812 blt 0,0,640,480,0, 876,640,480:waittimer 15848 blt 0,0,640,480,0, 878,640,480:waittimer 15884 blt 0,0,640,480,0, 880,640,480:waittimer 15921 blt 0,0,640,480,0, 882,640,480:waittimer 15957 blt 0,0,640,480,0, 884,640,480:waittimer 15993 blt 0,0,640,480,0, 886,640,480:waittimer 16029 blt 0,0,640,480,0, 888,640,480:waittimer 16065 blt 0,0,640,480,0, 890,640,480:waittimer 16101 blt 0,0,640,480,0, 892,640,480:waittimer 16138 blt 0,0,640,480,0, 894,640,480:waittimer 16174 blt 0,0,640,480,0, 896,640,480:waittimer 16210 blt 0,0,640,480,0, 898,640,480:waittimer 16246 blt 0,0,640,480,0, 900,640,480:waittimer 16282 blt 0,0,640,480,0, 902,640,480:waittimer 16319 blt 0,0,640,480,0, 904,640,480:waittimer 16355 blt 0,0,640,480,0, 906,640,480:waittimer 16391 blt 0,0,640,480,0, 908,640,480:waittimer 16427 blt 0,0,640,480,0, 910,640,480:waittimer 16463 blt 0,0,640,480,0, 912,640,480:waittimer 16500 blt 0,0,640,480,0, 914,640,480:waittimer 16536 blt 0,0,640,480,0, 916,640,480:waittimer 16572 blt 0,0,640,480,0, 918,640,480:waittimer 16608 blt 0,0,640,480,0, 920,640,480:waittimer 16644 blt 0,0,640,480,0, 922,640,480:waittimer 16680 blt 0,0,640,480,0, 924,640,480:waittimer 16717 blt 0,0,640,480,0, 926,640,480:waittimer 16753 blt 0,0,640,480,0, 928,640,480:waittimer 16789 blt 0,0,640,480,0, 930,640,480:waittimer 16825 blt 0,0,640,480,0, 932,640,480:waittimer 16861 blt 0,0,640,480,0, 934,640,480:waittimer 16898 blt 0,0,640,480,0, 936,640,480:waittimer 16934 blt 0,0,640,480,0, 938,640,480:waittimer 16970 blt 0,0,640,480,0, 940,640,480:waittimer 17006 blt 0,0,640,480,0, 942,640,480:waittimer 17042 blt 0,0,640,480,0, 944,640,480:waittimer 17078 blt 0,0,640,480,0, 946,640,480:waittimer 17115 blt 0,0,640,480,0, 948,640,480:waittimer 17151 blt 0,0,640,480,0, 950,640,480:waittimer 17187 blt 0,0,640,480,0, 952,640,480:waittimer 17223 blt 0,0,640,480,0, 954,640,480:waittimer 17259 blt 0,0,640,480,0, 956,640,480:waittimer 17296 blt 0,0,640,480,0, 958,640,480:waittimer 17332 blt 0,0,640,480,0, 960,640,480:waittimer 17368 blt 0,0,640,480,0, 962,640,480:waittimer 17404 blt 0,0,640,480,0, 964,640,480:waittimer 17440 blt 0,0,640,480,0, 966,640,480:waittimer 17476 blt 0,0,640,480,0, 968,640,480:waittimer 17513 blt 0,0,640,480,0, 970,640,480:waittimer 17549 blt 0,0,640,480,0, 972,640,480:waittimer 17585 blt 0,0,640,480,0, 974,640,480:waittimer 17621 blt 0,0,640,480,0, 976,640,480:waittimer 17657 blt 0,0,640,480,0, 978,640,480:waittimer 17694 blt 0,0,640,480,0, 980,640,480:waittimer 17730 blt 0,0,640,480,0, 982,640,480:waittimer 17766 blt 0,0,640,480,0, 984,640,480:waittimer 17802 blt 0,0,640,480,0, 986,640,480:waittimer 17838 blt 0,0,640,480,0, 988,640,480:waittimer 17875 blt 0,0,640,480,0, 990,640,480:waittimer 17911 blt 0,0,640,480,0, 992,640,480:waittimer 17947 blt 0,0,640,480,0, 994,640,480:waittimer 17983 blt 0,0,640,480,0, 996,640,480:waittimer 18019 blt 0,0,640,480,0, 998,640,480:waittimer 18055 blt 0,0,640,480,0, 1000,640,480:waittimer 18092 blt 0,0,640,480,0, 1002,640,480:waittimer 18128 blt 0,0,640,480,0, 1004,640,480:waittimer 18164 blt 0,0,640,480,0, 1006,640,480:waittimer 18200 blt 0,0,640,480,0, 1008,640,480:waittimer 18236 blt 0,0,640,480,0, 1010,640,480:waittimer 18273 blt 0,0,640,480,0, 1012,640,480:waittimer 18309 blt 0,0,640,480,0, 1014,640,480:waittimer 18345 blt 0,0,640,480,0, 1016,640,480:waittimer 18381 blt 0,0,640,480,0, 1018,640,480:waittimer 18417 blt 0,0,640,480,0, 1020,640,480:waittimer 18453 blt 0,0,640,480,0, 1022,640,480:waittimer 18490 blt 0,0,640,480,0, 1024,640,480:waittimer 18526 blt 0,0,640,480,0, 1026,640,480:waittimer 18562 blt 0,0,640,480,0, 1028,640,480:waittimer 18598 blt 0,0,640,480,0, 1030,640,480:waittimer 18634 blt 0,0,640,480,0, 1032,640,480:waittimer 18671 blt 0,0,640,480,0, 1034,640,480:waittimer 18707 blt 0,0,640,480,0, 1036,640,480:waittimer 18743 blt 0,0,640,480,0, 1038,640,480:waittimer 18779 blt 0,0,640,480,0, 1040,640,480:waittimer 18815 blt 0,0,640,480,0, 1042,640,480:waittimer 18851 blt 0,0,640,480,0, 1044,640,480:waittimer 18888 blt 0,0,640,480,0, 1046,640,480:waittimer 18924 blt 0,0,640,480,0, 1048,640,480:waittimer 18960 blt 0,0,640,480,0, 1050,640,480:waittimer 18996 blt 0,0,640,480,0, 1052,640,480:waittimer 19032 blt 0,0,640,480,0, 1054,640,480:waittimer 19069 blt 0,0,640,480,0, 1056,640,480:waittimer 19105 blt 0,0,640,480,0, 1058,640,480:waittimer 19141 blt 0,0,640,480,0, 1060,640,480:waittimer 19177 blt 0,0,640,480,0, 1062,640,480:waittimer 19213 blt 0,0,640,480,0, 1064,640,480:waittimer 19250 blt 0,0,640,480,0, 1066,640,480:waittimer 19286 blt 0,0,640,480,0, 1068,640,480:waittimer 19322 blt 0,0,640,480,0, 1070,640,480:waittimer 19358 blt 0,0,640,480,0, 1072,640,480:waittimer 19394 blt 0,0,640,480,0, 1074,640,480:waittimer 19430 blt 0,0,640,480,0, 1076,640,480:waittimer 19467 blt 0,0,640,480,0, 1078,640,480:waittimer 19503 blt 0,0,640,480,0, 1080,640,480:waittimer 19539 blt 0,0,640,480,0, 1082,640,480:waittimer 19575 blt 0,0,640,480,0, 1084,640,480:waittimer 19611 blt 0,0,640,480,0, 1086,640,480:waittimer 19648 blt 0,0,640,480,0, 1088,640,480:waittimer 19684 blt 0,0,640,480,0, 1090,640,480:waittimer 19720 blt 0,0,640,480,0, 1092,640,480:waittimer 19756 blt 0,0,640,480,0, 1094,640,480:waittimer 19792 blt 0,0,640,480,0, 1096,640,480:waittimer 19828 blt 0,0,640,480,0, 1098,640,480:waittimer 19865 blt 0,0,640,480,0, 1100,640,480:waittimer 19901 blt 0,0,640,480,0, 1102,640,480:waittimer 19937 blt 0,0,640,480,0, 1104,640,480:waittimer 19973 blt 0,0,640,480,0, 1106,640,480:waittimer 20009 blt 0,0,640,480,0, 1108,640,480:waittimer 20046 blt 0,0,640,480,0, 1110,640,480:waittimer 20082 blt 0,0,640,480,0, 1112,640,480:waittimer 20118 blt 0,0,640,480,0, 1114,640,480:waittimer 20154 blt 0,0,640,480,0, 1116,640,480:waittimer 20190 blt 0,0,640,480,0, 1118,640,480:waittimer 20226 blt 0,0,640,480,0, 1120,640,480:waittimer 20263 blt 0,0,640,480,0, 1122,640,480:waittimer 20299 blt 0,0,640,480,0, 1124,640,480:waittimer 20335 blt 0,0,640,480,0, 1126,640,480:waittimer 20371 blt 0,0,640,480,0, 1128,640,480:waittimer 20407 blt 0,0,640,480,0, 1130,640,480:waittimer 20444 blt 0,0,640,480,0, 1132,640,480:waittimer 20480 blt 0,0,640,480,0, 1134,640,480:waittimer 20516 blt 0,0,640,480,0, 1136,640,480:waittimer 20552 blt 0,0,640,480,0, 1138,640,480:waittimer 20588 blt 0,0,640,480,0, 1140,640,480:waittimer 20625 blt 0,0,640,480,0, 1142,640,480:waittimer 20661 blt 0,0,640,480,0, 1144,640,480:waittimer 20697 blt 0,0,640,480,0, 1146,640,480:waittimer 20733 blt 0,0,640,480,0, 1148,640,480:waittimer 20769 blt 0,0,640,480,0, 1150,640,480:waittimer 20805 blt 0,0,640,480,0, 1152,640,480:waittimer 20842 blt 0,0,640,480,0, 1154,640,480:waittimer 20878 blt 0,0,640,480,0, 1156,640,480:waittimer 20914 blt 0,0,640,480,0, 1158,640,480:waittimer 20950 blt 0,0,640,480,0, 1160,640,480:waittimer 20986 blt 0,0,640,480,0, 1162,640,480:waittimer 21023 blt 0,0,640,480,0, 1164,640,480:waittimer 21059 blt 0,0,640,480,0, 1166,640,480:waittimer 21095 blt 0,0,640,480,0, 1168,640,480:waittimer 21131 blt 0,0,640,480,0, 1170,640,480:waittimer 21167 blt 0,0,640,480,0, 1172,640,480:waittimer 21203 blt 0,0,640,480,0, 1174,640,480:waittimer 21240 blt 0,0,640,480,0, 1176,640,480:waittimer 21276 blt 0,0,640,480,0, 1178,640,480:waittimer 21312 blt 0,0,640,480,0, 1180,640,480:waittimer 21348 blt 0,0,640,480,0, 1182,640,480:waittimer 21384 blt 0,0,640,480,0, 1184,640,480:waittimer 21421 blt 0,0,640,480,0, 1186,640,480:waittimer 21457 blt 0,0,640,480,0, 1188,640,480:waittimer 21493 blt 0,0,640,480,0, 1190,640,480:waittimer 21529 blt 0,0,640,480,0, 1192,640,480:waittimer 21565 blt 0,0,640,480,0, 1194,640,480:waittimer 21601 blt 0,0,640,480,0, 1196,640,480:waittimer 21638 blt 0,0,640,480,0, 1198,640,480:waittimer 21674 blt 0,0,640,480,0, 1200,640,480:waittimer 21710 blt 0,0,640,480,0, 1202,640,480:waittimer 21746 blt 0,0,640,480,0, 1204,640,480:waittimer 21782 blt 0,0,640,480,0, 1206,640,480:waittimer 21819 blt 0,0,640,480,0, 1208,640,480:waittimer 21855 blt 0,0,640,480,0, 1210,640,480:waittimer 21891 blt 0,0,640,480,0, 1212,640,480:waittimer 21927 blt 0,0,640,480,0, 1214,640,480:waittimer 21963 blt 0,0,640,480,0, 1216,640,480:waittimer 22000 blt 0,0,640,480,0, 1218,640,480:waittimer 22036 blt 0,0,640,480,0, 1220,640,480:waittimer 22072 blt 0,0,640,480,0, 1222,640,480:waittimer 22108 blt 0,0,640,480,0, 1224,640,480:waittimer 22144 blt 0,0,640,480,0, 1226,640,480:waittimer 22180 blt 0,0,640,480,0, 1228,640,480:waittimer 22217 blt 0,0,640,480,0, 1230,640,480:waittimer 22253 blt 0,0,640,480,0, 1232,640,480:waittimer 22289 blt 0,0,640,480,0, 1234,640,480:waittimer 22325 blt 0,0,640,480,0, 1236,640,480:waittimer 22361 blt 0,0,640,480,0, 1238,640,480:waittimer 22398 blt 0,0,640,480,0, 1240,640,480:waittimer 22434 blt 0,0,640,480,0, 1242,640,480:waittimer 22470 blt 0,0,640,480,0, 1244,640,480:waittimer 22506 blt 0,0,640,480,0, 1246,640,480:waittimer 22542 blt 0,0,640,480,0, 1248,640,480:waittimer 22578 blt 0,0,640,480,0, 1250,640,480:waittimer 22615 blt 0,0,640,480,0, 1252,640,480:waittimer 22651 blt 0,0,640,480,0, 1254,640,480:waittimer 22687 blt 0,0,640,480,0, 1256,640,480:waittimer 22723 blt 0,0,640,480,0, 1258,640,480:waittimer 22759 blt 0,0,640,480,0, 1260,640,480:waittimer 22796 blt 0,0,640,480,0, 1262,640,480:waittimer 22832 blt 0,0,640,480,0, 1264,640,480:waittimer 22868 blt 0,0,640,480,0, 1266,640,480:waittimer 22904 blt 0,0,640,480,0, 1268,640,480:waittimer 22940 blt 0,0,640,480,0, 1270,640,480:waittimer 22976 blt 0,0,640,480,0, 1272,640,480:waittimer 23013 blt 0,0,640,480,0, 1274,640,480:waittimer 23049 blt 0,0,640,480,0, 1276,640,480:waittimer 23085 blt 0,0,640,480,0, 1278,640,480:waittimer 23121 blt 0,0,640,480,0, 1280,640,480:waittimer 23157 blt 0,0,640,480,0, 1282,640,480:waittimer 23194 blt 0,0,640,480,0, 1284,640,480:waittimer 23230 blt 0,0,640,480,0, 1286,640,480:waittimer 23266 blt 0,0,640,480,0, 1288,640,480:waittimer 23302 blt 0,0,640,480,0, 1290,640,480:waittimer 23338 blt 0,0,640,480,0, 1292,640,480:waittimer 23375 blt 0,0,640,480,0, 1294,640,480:waittimer 23411 blt 0,0,640,480,0, 1296,640,480:waittimer 23447 blt 0,0,640,480,0, 1298,640,480:waittimer 23483 blt 0,0,640,480,0, 1300,640,480:waittimer 23519 blt 0,0,640,480,0, 1302,640,480:waittimer 23555 blt 0,0,640,480,0, 1304,640,480:waittimer 23592 blt 0,0,640,480,0, 1306,640,480:waittimer 23628 blt 0,0,640,480,0, 1308,640,480:waittimer 23664 blt 0,0,640,480,0, 1310,640,480:waittimer 23700 blt 0,0,640,480,0, 1312,640,480:waittimer 23736 blt 0,0,640,480,0, 1314,640,480:waittimer 23773 blt 0,0,640,480,0, 1316,640,480:waittimer 23809 blt 0,0,640,480,0, 1318,640,480:waittimer 23845 blt 0,0,640,480,0, 1320,640,480:waittimer 23881 blt 0,0,640,480,0, 1322,640,480:waittimer 23917 blt 0,0,640,480,0, 1324,640,480:waittimer 23953 blt 0,0,640,480,0, 1326,640,480:waittimer 23990 blt 0,0,640,480,0, 1328,640,480:waittimer 24026 blt 0,0,640,480,0, 1330,640,480:waittimer 24062 blt 0,0,640,480,0, 1332,640,480:waittimer 24098 blt 0,0,640,480,0, 1334,640,480:waittimer 24134 blt 0,0,640,480,0, 1336,640,480:waittimer 24171 blt 0,0,640,480,0, 1338,640,480:waittimer 24207 blt 0,0,640,480,0, 1340,640,480:waittimer 24243 blt 0,0,640,480,0, 1342,640,480:waittimer 24279 blt 0,0,640,480,0, 1344,640,480:waittimer 24315 blt 0,0,640,480,0, 1346,640,480:waittimer 24351 blt 0,0,640,480,0, 1348,640,480:waittimer 24388 blt 0,0,640,480,0, 1350,640,480:waittimer 24424 blt 0,0,640,480,0, 1352,640,480:waittimer 24460 blt 0,0,640,480,0, 1354,640,480:waittimer 24496 blt 0,0,640,480,0, 1356,640,480:waittimer 24532 blt 0,0,640,480,0, 1358,640,480:waittimer 24569 blt 0,0,640,480,0, 1360,640,480:waittimer 24605 blt 0,0,640,480,0, 1362,640,480:waittimer 24641 blt 0,0,640,480,0, 1364,640,480:waittimer 24677 blt 0,0,640,480,0, 1366,640,480:waittimer 24713 blt 0,0,640,480,0, 1368,640,480:waittimer 24750 blt 0,0,640,480,0, 1370,640,480:waittimer 24786 blt 0,0,640,480,0, 1372,640,480:waittimer 24822 blt 0,0,640,480,0, 1374,640,480:waittimer 24858 blt 0,0,640,480,0, 1376,640,480:waittimer 24894 blt 0,0,640,480,0, 1378,640,480:waittimer 24930 blt 0,0,640,480,0, 1380,640,480:waittimer 24967 blt 0,0,640,480,0, 1382,640,480:waittimer 25003 blt 0,0,640,480,0, 1384,640,480:waittimer 25039 blt 0,0,640,480,0, 1386,640,480:waittimer 25075 blt 0,0,640,480,0, 1388,640,480:waittimer 25111 blt 0,0,640,480,0, 1390,640,480:waittimer 25148 blt 0,0,640,480,0, 1392,640,480:waittimer 25184 blt 0,0,640,480,0, 1394,640,480:waittimer 25220 blt 0,0,640,480,0, 1396,640,480:waittimer 25256 blt 0,0,640,480,0, 1398,640,480:waittimer 25292 blt 0,0,640,480,0, 1400,640,480:waittimer 25328 blt 0,0,640,480,0, 1402,640,480:waittimer 25365 blt 0,0,640,480,0, 1404,640,480:waittimer 25401 blt 0,0,640,480,0, 1406,640,480:waittimer 25437 blt 0,0,640,480,0, 1408,640,480:waittimer 25473 blt 0,0,640,480,0, 1410,640,480:waittimer 25509 blt 0,0,640,480,0, 1412,640,480:waittimer 25546 blt 0,0,640,480,0, 1414,640,480:waittimer 25582 blt 0,0,640,480,0, 1416,640,480:waittimer 25618 blt 0,0,640,480,0, 1418,640,480:waittimer 25654 blt 0,0,640,480,0, 1420,640,480:waittimer 25690 blt 0,0,640,480,0, 1422,640,480:waittimer 25726 blt 0,0,640,480,0, 1424,640,480:waittimer 25763 blt 0,0,640,480,0, 1426,640,480:waittimer 25799 blt 0,0,640,480,0, 1428,640,480:waittimer 25835 blt 0,0,640,480,0, 1430,640,480:waittimer 25871 blt 0,0,640,480,0, 1432,640,480:waittimer 25907 blt 0,0,640,480,0, 1434,640,480:waittimer 25944 blt 0,0,640,480,0, 1436,640,480:waittimer 25980 blt 0,0,640,480,0, 1438,640,480:waittimer 26016 blt 0,0,640,480,0, 1440,640,480:waittimer 26052 blt 0,0,640,480,0, 1442,640,480:waittimer 26088 blt 0,0,640,480,0, 1444,640,480:waittimer 26125 blt 0,0,640,480,0, 1446,640,480:waittimer 26161 blt 0,0,640,480,0, 1448,640,480:waittimer 26197 blt 0,0,640,480,0, 1450,640,480:waittimer 26233 blt 0,0,640,480,0, 1452,640,480:waittimer 26269 blt 0,0,640,480,0, 1454,640,480:waittimer 26305 blt 0,0,640,480,0, 1456,640,480:waittimer 26342 blt 0,0,640,480,0, 1458,640,480:waittimer 26378 blt 0,0,640,480,0, 1460,640,480:waittimer 26414 blt 0,0,640,480,0, 1462,640,480:waittimer 26450 blt 0,0,640,480,0, 1464,640,480:waittimer 26486 blt 0,0,640,480,0, 1466,640,480:waittimer 26523 blt 0,0,640,480,0, 1468,640,480:waittimer 26559 blt 0,0,640,480,0, 1470,640,480:waittimer 26595 blt 0,0,640,480,0, 1472,640,480:waittimer 26631 blt 0,0,640,480,0, 1474,640,480:waittimer 26667 blt 0,0,640,480,0, 1476,640,480:waittimer 26703 blt 0,0,640,480,0, 1478,640,480:waittimer 26740 blt 0,0,640,480,0, 1480,640,480:waittimer 26776 blt 0,0,640,480,0, 1482,640,480:waittimer 26812 blt 0,0,640,480,0, 1484,640,480:waittimer 26848 blt 0,0,640,480,0, 1486,640,480:waittimer 26884 blt 0,0,640,480,0, 1488,640,480:waittimer 26921 blt 0,0,640,480,0, 1490,640,480:waittimer 26957 blt 0,0,640,480,0, 1492,640,480:waittimer 26993 blt 0,0,640,480,0, 1494,640,480:waittimer 27029 blt 0,0,640,480,0, 1496,640,480:waittimer 27065 blt 0,0,640,480,0, 1498,640,480:waittimer 27101 blt 0,0,640,480,0, 1500,640,480:waittimer 27138 blt 0,0,640,480,0, 1502,640,480:waittimer 27174 blt 0,0,640,480,0, 1504,640,480:waittimer 27210 blt 0,0,640,480,0, 1506,640,480:waittimer 27246 blt 0,0,640,480,0, 1508,640,480:waittimer 27282 blt 0,0,640,480,0, 1510,640,480:waittimer 27319 blt 0,0,640,480,0, 1512,640,480:waittimer 27355 blt 0,0,640,480,0, 1514,640,480:waittimer 27391 blt 0,0,640,480,0, 1516,640,480:waittimer 27427 blt 0,0,640,480,0, 1518,640,480:waittimer 27463 blt 0,0,640,480,0, 1520,640,480:waittimer 27500 blt 0,0,640,480,0, 1522,640,480:waittimer 27536 blt 0,0,640,480,0, 1524,640,480:waittimer 27572 blt 0,0,640,480,0, 1526,640,480:waittimer 27608 blt 0,0,640,480,0, 1528,640,480:waittimer 27644 blt 0,0,640,480,0, 1530,640,480:waittimer 27680 blt 0,0,640,480,0, 1532,640,480:waittimer 27717 blt 0,0,640,480,0, 1534,640,480:waittimer 27753 blt 0,0,640,480,0, 1536,640,480:waittimer 27789 blt 0,0,640,480,0, 1538,640,480:waittimer 27825 blt 0,0,640,480,0, 1540,640,480:waittimer 27861 blt 0,0,640,480,0, 1542,640,480:waittimer 27898 blt 0,0,640,480,0, 1544,640,480:waittimer 27934 blt 0,0,640,480,0, 1546,640,480:waittimer 27970 blt 0,0,640,480,0, 1548,640,480:waittimer 28006 blt 0,0,640,480,0, 1550,640,480:waittimer 28042 blt 0,0,640,480,0, 1552,640,480:waittimer 28078 blt 0,0,640,480,0, 1554,640,480:waittimer 28115 blt 0,0,640,480,0, 1556,640,480:waittimer 28151 blt 0,0,640,480,0, 1558,640,480:waittimer 28187 blt 0,0,640,480,0, 1560,640,480:waittimer 28223 blt 0,0,640,480,0, 1562,640,480:waittimer 28259 blt 0,0,640,480,0, 1564,640,480:waittimer 28296 blt 0,0,640,480,0, 1566,640,480:waittimer 28332 blt 0,0,640,480,0, 1568,640,480:waittimer 28368 blt 0,0,640,480,0, 1570,640,480:waittimer 28404 blt 0,0,640,480,0, 1572,640,480:waittimer 28440 blt 0,0,640,480,0, 1574,640,480:waittimer 28476 blt 0,0,640,480,0, 1576,640,480:waittimer 28513 blt 0,0,640,480,0, 1578,640,480:waittimer 28549 blt 0,0,640,480,0, 1580,640,480:waittimer 28585 blt 0,0,640,480,0, 1582,640,480:waittimer 28621 blt 0,0,640,480,0, 1584,640,480:waittimer 28657 blt 0,0,640,480,0, 1586,640,480:waittimer 28694 blt 0,0,640,480,0, 1588,640,480:waittimer 28730 blt 0,0,640,480,0, 1590,640,480:waittimer 28766 blt 0,0,640,480,0, 1592,640,480:waittimer 28802 blt 0,0,640,480,0, 1594,640,480:waittimer 28838 blt 0,0,640,480,0, 1596,640,480:waittimer 28875 blt 0,0,640,480,0, 1598,640,480:waittimer 28911 blt 0,0,640,480,0, 1600,640,480:waittimer 28947 blt 0,0,640,480,0, 1602,640,480:waittimer 28983 blt 0,0,640,480,0, 1604,640,480:waittimer 29019 blt 0,0,640,480,0, 1606,640,480:waittimer 29055 blt 0,0,640,480,0, 1608,640,480:waittimer 29092 blt 0,0,640,480,0, 1610,640,480:waittimer 29128 blt 0,0,640,480,0, 1612,640,480:waittimer 29164 blt 0,0,640,480,0, 1614,640,480:waittimer 29200 blt 0,0,640,480,0, 1616,640,480:waittimer 29236 blt 0,0,640,480,0, 1618,640,480:waittimer 29273 blt 0,0,640,480,0, 1620,640,480:waittimer 29309 blt 0,0,640,480,0, 1622,640,480:waittimer 29345 blt 0,0,640,480,0, 1624,640,480:waittimer 29381 blt 0,0,640,480,0, 1626,640,480:waittimer 29417 blt 0,0,640,480,0, 1628,640,480:waittimer 29453 blt 0,0,640,480,0, 1630,640,480:waittimer 29490 blt 0,0,640,480,0, 1632,640,480:waittimer 29526 blt 0,0,640,480,0, 1634,640,480:waittimer 29562 blt 0,0,640,480,0, 1636,640,480:waittimer 29598 blt 0,0,640,480,0, 1638,640,480:waittimer 29634 blt 0,0,640,480,0, 1640,640,480:waittimer 29671 blt 0,0,640,480,0, 1642,640,480:waittimer 29707 blt 0,0,640,480,0, 1644,640,480:waittimer 29743 blt 0,0,640,480,0, 1646,640,480:waittimer 29779 blt 0,0,640,480,0, 1648,640,480:waittimer 29815 blt 0,0,640,480,0, 1650,640,480:waittimer 29851 blt 0,0,640,480,0, 1652,640,480:waittimer 29888 blt 0,0,640,480,0, 1654,640,480:waittimer 29924 blt 0,0,640,480,0, 1656,640,480:waittimer 29960 blt 0,0,640,480,0, 1658,640,480:waittimer 29996 blt 0,0,640,480,0, 1660,640,480:waittimer 30032 blt 0,0,640,480,0, 1662,640,480:waittimer 30069 blt 0,0,640,480,0, 1664,640,480:waittimer 30105 blt 0,0,640,480,0, 1666,640,480:waittimer 30141 blt 0,0,640,480,0, 1668,640,480:waittimer 30177 blt 0,0,640,480,0, 1670,640,480:waittimer 30213 blt 0,0,640,480,0, 1672,640,480:waittimer 30250 blt 0,0,640,480,0, 1674,640,480:waittimer 30286 blt 0,0,640,480,0, 1676,640,480:waittimer 30322 blt 0,0,640,480,0, 1678,640,480:waittimer 30358 blt 0,0,640,480,0, 1680,640,480:waittimer 30394 blt 0,0,640,480,0, 1682,640,480:waittimer 30430 blt 0,0,640,480,0, 1684,640,480:waittimer 30467 blt 0,0,640,480,0, 1686,640,480:waittimer 30503 blt 0,0,640,480,0, 1688,640,480:waittimer 30539 blt 0,0,640,480,0, 1690,640,480:waittimer 30575 blt 0,0,640,480,0, 1692,640,480:waittimer 30611 blt 0,0,640,480,0, 1694,640,480:waittimer 30648 blt 0,0,640,480,0, 1696,640,480:waittimer 30684 blt 0,0,640,480,0, 1698,640,480:waittimer 30720 blt 0,0,640,480,0, 1700,640,480:waittimer 30756 blt 0,0,640,480,0, 1702,640,480:waittimer 30792 blt 0,0,640,480,0, 1704,640,480:waittimer 30828 blt 0,0,640,480,0, 1706,640,480:waittimer 30865 blt 0,0,640,480,0, 1708,640,480:waittimer 30901 blt 0,0,640,480,0, 1710,640,480:waittimer 30937 blt 0,0,640,480,0, 1712,640,480:waittimer 30973 blt 0,0,640,480,0, 1714,640,480:waittimer 31009 blt 0,0,640,480,0, 1716,640,480:waittimer 31046 blt 0,0,640,480,0, 1718,640,480:waittimer 31082 blt 0,0,640,480,0, 1720,640,480:waittimer 31118 blt 0,0,640,480,0, 1722,640,480:waittimer 31154 blt 0,0,640,480,0, 1724,640,480:waittimer 31190 blt 0,0,640,480,0, 1726,640,480:waittimer 31226 blt 0,0,640,480,0, 1728,640,480:waittimer 31263 blt 0,0,640,480,0, 1730,640,480:waittimer 31299 blt 0,0,640,480,0, 1732,640,480:waittimer 31335 blt 0,0,640,480,0, 1734,640,480:waittimer 31371 blt 0,0,640,480,0, 1736,640,480:waittimer 31407 blt 0,0,640,480,0, 1738,640,480:waittimer 31444 blt 0,0,640,480,0, 1740,640,480:waittimer 31480 blt 0,0,640,480,0, 1742,640,480:waittimer 31516 blt 0,0,640,480,0, 1744,640,480:waittimer 31552 blt 0,0,640,480,0, 1746,640,480:waittimer 31588 blt 0,0,640,480,0, 1748,640,480:waittimer 31625 blt 0,0,640,480,0, 1750,640,480:waittimer 31661 blt 0,0,640,480,0, 1752,640,480:waittimer 31697 blt 0,0,640,480,0, 1754,640,480:waittimer 31733 blt 0,0,640,480,0, 1756,640,480:waittimer 31769 blt 0,0,640,480,0, 1758,640,480:waittimer 31805 blt 0,0,640,480,0, 1760,640,480:waittimer 31842 blt 0,0,640,480,0, 1762,640,480:waittimer 31878 blt 0,0,640,480,0, 1764,640,480:waittimer 31914 blt 0,0,640,480,0, 1766,640,480:waittimer 31950 blt 0,0,640,480,0, 1768,640,480:waittimer 31986 blt 0,0,640,480,0, 1770,640,480:waittimer 32023 blt 0,0,640,480,0, 1772,640,480:waittimer 32059 blt 0,0,640,480,0, 1774,640,480:waittimer 32095 blt 0,0,640,480,0, 1776,640,480:waittimer 32131 blt 0,0,640,480,0, 1778,640,480:waittimer 32167 blt 0,0,640,480,0, 1780,640,480:waittimer 32203 blt 0,0,640,480,0, 1782,640,480:waittimer 32240 blt 0,0,640,480,0, 1784,640,480:waittimer 32276 blt 0,0,640,480,0, 1786,640,480:waittimer 32312 blt 0,0,640,480,0, 1788,640,480:waittimer 32348 blt 0,0,640,480,0, 1790,640,480:waittimer 32384 blt 0,0,640,480,0, 1792,640,480:waittimer 32421 blt 0,0,640,480,0, 1794,640,480:waittimer 32457 blt 0,0,640,480,0, 1796,640,480:waittimer 32493 blt 0,0,640,480,0, 1798,640,480:waittimer 32529 blt 0,0,640,480,0, 1800,640,480:waittimer 32565 blt 0,0,640,480,0, 1802,640,480:waittimer 32601 blt 0,0,640,480,0, 1804,640,480:waittimer 32638 blt 0,0,640,480,0, 1806,640,480:waittimer 32674 blt 0,0,640,480,0, 1808,640,480:waittimer 32710 blt 0,0,640,480,0, 1810,640,480:waittimer 32746 blt 0,0,640,480,0, 1812,640,480:waittimer 32782 blt 0,0,640,480,0, 1814,640,480:waittimer 32819 blt 0,0,640,480,0, 1816,640,480:waittimer 32855 blt 0,0,640,480,0, 1818,640,480:waittimer 32891 blt 0,0,640,480,0, 1820,640,480:waittimer 32927 blt 0,0,640,480,0, 1822,640,480:waittimer 32963 blt 0,0,640,480,0, 1824,640,480:waittimer 33000 blt 0,0,640,480,0, 1826,640,480:waittimer 33036 blt 0,0,640,480,0, 1828,640,480:waittimer 33072 blt 0,0,640,480,0, 1830,640,480:waittimer 33108 blt 0,0,640,480,0, 1832,640,480:waittimer 33144 blt 0,0,640,480,0, 1834,640,480:waittimer 33180 blt 0,0,640,480,0, 1836,640,480:waittimer 33217 blt 0,0,640,480,0, 1838,640,480:waittimer 33253 blt 0,0,640,480,0, 1840,640,480:waittimer 33289 blt 0,0,640,480,0, 1842,640,480:waittimer 33325 blt 0,0,640,480,0, 1844,640,480:waittimer 33361 blt 0,0,640,480,0, 1846,640,480:waittimer 33398 blt 0,0,640,480,0, 1848,640,480:waittimer 33434 blt 0,0,640,480,0, 1850,640,480:waittimer 33470 blt 0,0,640,480,0, 1852,640,480:waittimer 33506 blt 0,0,640,480,0, 1854,640,480:waittimer 33542 blt 0,0,640,480,0, 1856,640,480:waittimer 33578 blt 0,0,640,480,0, 1858,640,480:waittimer 33615 blt 0,0,640,480,0, 1860,640,480:waittimer 33651 blt 0,0,640,480,0, 1862,640,480:waittimer 33687 blt 0,0,640,480,0, 1864,640,480:waittimer 33723 blt 0,0,640,480,0, 1866,640,480:waittimer 33759 blt 0,0,640,480,0, 1868,640,480:waittimer 33796 blt 0,0,640,480,0, 1870,640,480:waittimer 33832 blt 0,0,640,480,0, 1872,640,480:waittimer 33868 blt 0,0,640,480,0, 1874,640,480:waittimer 33904 blt 0,0,640,480,0, 1876,640,480:waittimer 33940 blt 0,0,640,480,0, 1878,640,480:waittimer 33976 blt 0,0,640,480,0, 1880,640,480:waittimer 34013 blt 0,0,640,480,0, 1882,640,480:waittimer 34049 blt 0,0,640,480,0, 1884,640,480:waittimer 34085 blt 0,0,640,480,0, 1886,640,480:waittimer 34121 blt 0,0,640,480,0, 1888,640,480:waittimer 34157 blt 0,0,640,480,0, 1890,640,480:waittimer 34194 blt 0,0,640,480,0, 1892,640,480:waittimer 34230 blt 0,0,640,480,0, 1894,640,480:waittimer 34266 blt 0,0,640,480,0, 1896,640,480:waittimer 34302 blt 0,0,640,480,0, 1898,640,480:waittimer 34338 blt 0,0,640,480,0, 1900,640,480:waittimer 34375 blt 0,0,640,480,0, 1902,640,480:waittimer 34411 blt 0,0,640,480,0, 1904,640,480:waittimer 34447 blt 0,0,640,480,0, 1906,640,480:waittimer 34483 blt 0,0,640,480,0, 1908,640,480:waittimer 34519 blt 0,0,640,480,0, 1910,640,480:waittimer 34555 blt 0,0,640,480,0, 1912,640,480:waittimer 34592 blt 0,0,640,480,0, 1914,640,480:waittimer 34628 blt 0,0,640,480,0, 1916,640,480:waittimer 34664 blt 0,0,640,480,0, 1918,640,480:waittimer 34700 blt 0,0,640,480,0, 1920,640,480:waittimer 34736 blt 0,0,640,480,0, 1922,640,480:waittimer 34773 blt 0,0,640,480,0, 1924,640,480:waittimer 34809 blt 0,0,640,480,0, 1926,640,480:waittimer 34845 blt 0,0,640,480,0, 1928,640,480:waittimer 34881 blt 0,0,640,480,0, 1930,640,480:waittimer 34917 blt 0,0,640,480,0, 1932,640,480:waittimer 34953 blt 0,0,640,480,0, 1934,640,480:waittimer 34990 blt 0,0,640,480,0, 1936,640,480:waittimer 35026 blt 0,0,640,480,0, 1938,640,480:waittimer 35062 blt 0,0,640,480,0, 1940,640,480:waittimer 35098 blt 0,0,640,480,0, 1942,640,480:waittimer 35134 blt 0,0,640,480,0, 1944,640,480:waittimer 35171 blt 0,0,640,480,0, 1946,640,480:waittimer 35207 blt 0,0,640,480,0, 1948,640,480:waittimer 35243 blt 0,0,640,480,0, 1950,640,480:waittimer 35279 blt 0,0,640,480,0, 1952,640,480:waittimer 35315 blt 0,0,640,480,0, 1954,640,480:waittimer 35351 blt 0,0,640,480,0, 1956,640,480:waittimer 35388 blt 0,0,640,480,0, 1958,640,480:waittimer 35424 blt 0,0,640,480,0, 1960,640,480:waittimer 35460 blt 0,0,640,480,0, 1962,640,480:waittimer 35496 blt 0,0,640,480,0, 1964,640,480:waittimer 35532 blt 0,0,640,480,0, 1966,640,480:waittimer 35569 blt 0,0,640,480,0, 1968,640,480:waittimer 35605 blt 0,0,640,480,0, 1970,640,480:waittimer 35641 blt 0,0,640,480,0, 1972,640,480:waittimer 35677 blt 0,0,640,480,0, 1974,640,480:waittimer 35713 blt 0,0,640,480,0, 1976,640,480:waittimer 35750 blt 0,0,640,480,0, 1978,640,480:waittimer 35786 blt 0,0,640,480,0, 1980,640,480:waittimer 35822 blt 0,0,640,480,0, 1982,640,480:waittimer 35858 blt 0,0,640,480,0, 1984,640,480:waittimer 35894 blt 0,0,640,480,0, 1986,640,480:waittimer 35930 blt 0,0,640,480,0, 1988,640,480:waittimer 35967 blt 0,0,640,480,0, 1990,640,480:waittimer 36003 blt 0,0,640,480,0, 1992,640,480:waittimer 36039 blt 0,0,640,480,0, 1994,640,480:waittimer 36075 blt 0,0,640,480,0, 1996,640,480:waittimer 36111 blt 0,0,640,480,0, 1998,640,480:waittimer 36148 blt 0,0,640,480,0, 2000,640,480:waittimer 36184 blt 0,0,640,480,0, 2002,640,480:waittimer 36220 blt 0,0,640,480,0, 2004,640,480:waittimer 36256 blt 0,0,640,480,0, 2006,640,480:waittimer 36292 blt 0,0,640,480,0, 2008,640,480:waittimer 36328 blt 0,0,640,480,0, 2010,640,480:waittimer 36365 blt 0,0,640,480,0, 2012,640,480:waittimer 36401 blt 0,0,640,480,0, 2014,640,480:waittimer 36437 blt 0,0,640,480,0, 2016,640,480:waittimer 36473 blt 0,0,640,480,0, 2018,640,480:waittimer 36509 blt 0,0,640,480,0, 2020,640,480:waittimer 36546 blt 0,0,640,480,0, 2022,640,480:waittimer 36582 blt 0,0,640,480,0, 2024,640,480:waittimer 36618 blt 0,0,640,480,0, 2026,640,480:waittimer 36654 blt 0,0,640,480,0, 2028,640,480:waittimer 36690 blt 0,0,640,480,0, 2030,640,480:waittimer 36726 blt 0,0,640,480,0, 2032,640,480:waittimer 36763 blt 0,0,640,480,0, 2034,640,480:waittimer 36799 blt 0,0,640,480,0, 2036,640,480:waittimer 36835 blt 0,0,640,480,0, 2038,640,480:waittimer 36871 blt 0,0,640,480,0, 2040,640,480:waittimer 36907 blt 0,0,640,480,0, 2042,640,480:waittimer 36944 blt 0,0,640,480,0, 2044,640,480:waittimer 36980 blt 0,0,640,480,0, 2046,640,480:waittimer 37016 blt 0,0,640,480,0, 2048,640,480:waittimer 37052 blt 0,0,640,480,0, 2050,640,480:waittimer 37088 blt 0,0,640,480,0, 2052,640,480:waittimer 37125 blt 0,0,640,480,0, 2054,640,480:waittimer 37161 blt 0,0,640,480,0, 2056,640,480:waittimer 37197 blt 0,0,640,480,0, 2058,640,480:waittimer 37233 blt 0,0,640,480,0, 2060,640,480:waittimer 37269 blt 0,0,640,480,0, 2062,640,480:waittimer 37305 blt 0,0,640,480,0, 2064,640,480:waittimer 37342 blt 0,0,640,480,0, 2066,640,480:waittimer 37378 blt 0,0,640,480,0, 2068,640,480:waittimer 37414 blt 0,0,640,480,0, 2070,640,480:waittimer 37450 blt 0,0,640,480,0, 2072,640,480:waittimer 37486 blt 0,0,640,480,0, 2074,640,480:waittimer 37523 blt 0,0,640,480,0, 2076,640,480:waittimer 37559 blt 0,0,640,480,0, 2078,640,480:waittimer 37595 blt 0,0,640,480,0, 2080,640,480:waittimer 37631 blt 0,0,640,480,0, 2082,640,480:waittimer 37667 blt 0,0,640,480,0, 2084,640,480:waittimer 37703 blt 0,0,640,480,0, 2086,640,480:waittimer 37740 blt 0,0,640,480,0, 2088,640,480:waittimer 37776 blt 0,0,640,480,0, 2090,640,480:waittimer 37812 blt 0,0,640,480,0, 2092,640,480:waittimer 37848 blt 0,0,640,480,0, 2094,640,480:waittimer 37884 blt 0,0,640,480,0, 2096,640,480:waittimer 37921 blt 0,0,640,480,0, 2098,640,480:waittimer 37957 blt 0,0,640,480,0, 2100,640,480:waittimer 37993 blt 0,0,640,480,0, 2102,640,480:waittimer 38029 blt 0,0,640,480,0, 2104,640,480:waittimer 38065 blt 0,0,640,480,0, 2106,640,480:waittimer 38101 blt 0,0,640,480,0, 2108,640,480:waittimer 38138 blt 0,0,640,480,0, 2110,640,480:waittimer 38174 blt 0,0,640,480,0, 2112,640,480:waittimer 38210 blt 0,0,640,480,0, 2114,640,480:waittimer 38246 blt 0,0,640,480,0, 2116,640,480:waittimer 38282 blt 0,0,640,480,0, 2118,640,480:waittimer 38319 blt 0,0,640,480,0, 2120,640,480:waittimer 38355 blt 0,0,640,480,0, 2122,640,480:waittimer 38391 blt 0,0,640,480,0, 2124,640,480:waittimer 38427 blt 0,0,640,480,0, 2126,640,480:waittimer 38463 blt 0,0,640,480,0, 2128,640,480:waittimer 38500 blt 0,0,640,480,0, 2130,640,480:waittimer 38536 blt 0,0,640,480,0, 2132,640,480:waittimer 38572 blt 0,0,640,480,0, 2134,640,480:waittimer 38608 blt 0,0,640,480,0, 2136,640,480:waittimer 38644 blt 0,0,640,480,0, 2138,640,480:waittimer 38680 blt 0,0,640,480,0, 2140,640,480:waittimer 38717 blt 0,0,640,480,0, 2142,640,480:waittimer 38753 blt 0,0,640,480,0, 2144,640,480:waittimer 38789 blt 0,0,640,480,0, 2146,640,480:waittimer 38825 blt 0,0,640,480,0, 2148,640,480:waittimer 38861 blt 0,0,640,480,0, 2150,640,480:waittimer 38898 blt 0,0,640,480,0, 2152,640,480:waittimer 38934 blt 0,0,640,480,0, 2154,640,480:waittimer 38970 blt 0,0,640,480,0, 2156,640,480:waittimer 39006 blt 0,0,640,480,0, 2158,640,480:waittimer 39042 blt 0,0,640,480,0, 2160,640,480:waittimer 39078 blt 0,0,640,480,0, 2162,640,480:waittimer 39115 blt 0,0,640,480,0, 2164,640,480:waittimer 39151 blt 0,0,640,480,0, 2166,640,480:waittimer 39187 blt 0,0,640,480,0, 2168,640,480:waittimer 39223 blt 0,0,640,480,0, 2170,640,480:waittimer 39259 blt 0,0,640,480,0, 2172,640,480:waittimer 39296 blt 0,0,640,480,0, 2174,640,480:waittimer 39332 blt 0,0,640,480,0, 2176,640,480:waittimer 39368 blt 0,0,640,480,0, 2178,640,480:waittimer 39404 blt 0,0,640,480,0, 2180,640,480:waittimer 39440 blt 0,0,640,480,0, 2182,640,480:waittimer 39476 blt 0,0,640,480,0, 2184,640,480:waittimer 39513 blt 0,0,640,480,0, 2186,640,480:waittimer 39549 blt 0,0,640,480,0, 2188,640,480:waittimer 39585 blt 0,0,640,480,0, 2190,640,480:waittimer 39621 blt 0,0,640,480,0, 2192,640,480:waittimer 39657 blt 0,0,640,480,0, 2194,640,480:waittimer 39694 blt 0,0,640,480,0, 2196,640,480:waittimer 39730 blt 0,0,640,480,0, 2198,640,480:waittimer 39766 blt 0,0,640,480,0, 2200,640,480:waittimer 39802 blt 0,0,640,480,0, 2202,640,480:waittimer 39838 blt 0,0,640,480,0, 2204,640,480:waittimer 39875 blt 0,0,640,480,0, 2206,640,480:waittimer 39911 blt 0,0,640,480,0, 2208,640,480:waittimer 39947 blt 0,0,640,480,0, 2210,640,480:waittimer 39983 blt 0,0,640,480,0, 2212,640,480:waittimer 40019 blt 0,0,640,480,0, 2214,640,480:waittimer 40055 blt 0,0,640,480,0, 2216,640,480:waittimer 40092 blt 0,0,640,480,0, 2218,640,480:waittimer 40128 blt 0,0,640,480,0, 2220,640,480:waittimer 40164 blt 0,0,640,480,0, 2222,640,480:waittimer 40200 blt 0,0,640,480,0, 2224,640,480:waittimer 40236 blt 0,0,640,480,0, 2226,640,480:waittimer 40273 blt 0,0,640,480,0, 2228,640,480:waittimer 40309 blt 0,0,640,480,0, 2230,640,480:waittimer 40345 blt 0,0,640,480,0, 2232,640,480:waittimer 40381 blt 0,0,640,480,0, 2234,640,480:waittimer 40417 blt 0,0,640,480,0, 2236,640,480:waittimer 40453 blt 0,0,640,480,0, 2238,640,480:waittimer 40490 blt 0,0,640,480,0, 2240,640,480:waittimer 40526 blt 0,0,640,480,0, 2242,640,480:waittimer 40562 blt 0,0,640,480,0, 2244,640,480:waittimer 40598 blt 0,0,640,480,0, 2246,640,480:waittimer 40634 blt 0,0,640,480,0, 2248,640,480:waittimer 40671 blt 0,0,640,480,0, 2250,640,480:waittimer 40707 blt 0,0,640,480,0, 2252,640,480:waittimer 40743 blt 0,0,640,480,0, 2254,640,480:waittimer 40779 blt 0,0,640,480,0, 2256,640,480:waittimer 40815 blt 0,0,640,480,0, 2258,640,480:waittimer 40851 blt 0,0,640,480,0, 2260,640,480:waittimer 40888 blt 0,0,640,480,0, 2262,640,480:waittimer 40924 blt 0,0,640,480,0, 2264,640,480:waittimer 40960 blt 0,0,640,480,0, 2266,640,480:waittimer 40996 blt 0,0,640,480,0, 2268,640,480:waittimer 41032 blt 0,0,640,480,0, 2270,640,480:waittimer 41069 blt 0,0,640,480,0, 2272,640,480:waittimer 41105 blt 0,0,640,480,0, 2274,640,480:waittimer 41141 blt 0,0,640,480,0, 2276,640,480:waittimer 41177 blt 0,0,640,480,0, 2278,640,480:waittimer 41213 blt 0,0,640,480,0, 2280,640,480:waittimer 41250 blt 0,0,640,480,0, 2282,640,480:waittimer 41286 blt 0,0,640,480,0, 2284,640,480:waittimer 41322 blt 0,0,640,480,0, 2286,640,480:waittimer 41358 blt 0,0,640,480,0, 2288,640,480:waittimer 41394 blt 0,0,640,480,0, 2290,640,480:waittimer 41430 blt 0,0,640,480,0, 2292,640,480:waittimer 41467 blt 0,0,640,480,0, 2294,640,480:waittimer 41503 blt 0,0,640,480,0, 2296,640,480:waittimer 41539 blt 0,0,640,480,0, 2298,640,480:waittimer 41575 blt 0,0,640,480,0, 2300,640,480:waittimer 41611 blt 0,0,640,480,0, 2302,640,480:waittimer 41648 blt 0,0,640,480,0, 2304,640,480:waittimer 41684 blt 0,0,640,480,0, 2306,640,480:waittimer 41720 blt 0,0,640,480,0, 2308,640,480:waittimer 41756 blt 0,0,640,480,0, 2310,640,480:waittimer 41792 blt 0,0,640,480,0, 2312,640,480:waittimer 41828 blt 0,0,640,480,0, 2314,640,480:waittimer 41865 blt 0,0,640,480,0, 2316,640,480:waittimer 41901 blt 0,0,640,480,0, 2318,640,480:waittimer 41937 blt 0,0,640,480,0, 2320,640,480:waittimer 41973 blt 0,0,640,480,0, 2322,640,480:waittimer 42009 blt 0,0,640,480,0, 2324,640,480:waittimer 42046 blt 0,0,640,480,0, 2326,640,480:waittimer 42082 blt 0,0,640,480,0, 2328,640,480:waittimer 42118 blt 0,0,640,480,0, 2330,640,480:waittimer 42154 blt 0,0,640,480,0, 2332,640,480:waittimer 42190 blt 0,0,640,480,0, 2334,640,480:waittimer 42226 blt 0,0,640,480,0, 2336,640,480:waittimer 42263 blt 0,0,640,480,0, 2338,640,480:waittimer 42299 blt 0,0,640,480,0, 2340,640,480:waittimer 42335 blt 0,0,640,480,0, 2342,640,480:waittimer 42371 blt 0,0,640,480,0, 2344,640,480:waittimer 42407 blt 0,0,640,480,0, 2346,640,480:waittimer 42444 blt 0,0,640,480,0, 2348,640,480:waittimer 42480 blt 0,0,640,480,0, 2350,640,480:waittimer 42516 blt 0,0,640,480,0, 2352,640,480:waittimer 42552 blt 0,0,640,480,0, 2354,640,480:waittimer 42588 blt 0,0,640,480,0, 2356,640,480:waittimer 42625 blt 0,0,640,480,0, 2358,640,480:waittimer 42661 blt 0,0,640,480,0, 2360,640,480:waittimer 42697 blt 0,0,640,480,0, 2362,640,480:waittimer 42733 blt 0,0,640,480,0, 2364,640,480:waittimer 42769 blt 0,0,640,480,0, 2366,640,480:waittimer 42805 blt 0,0,640,480,0, 2368,640,480:waittimer 42842 blt 0,0,640,480,0, 2370,640,480:waittimer 42878 blt 0,0,640,480,0, 2372,640,480:waittimer 42914 blt 0,0,640,480,0, 2374,640,480:waittimer 42950 blt 0,0,640,480,0, 2376,640,480:waittimer 42986 blt 0,0,640,480,0, 2378,640,480:waittimer 43023 blt 0,0,640,480,0, 2380,640,480:waittimer 43059 blt 0,0,640,480,0, 2382,640,480:waittimer 43095 blt 0,0,640,480,0, 2384,640,480:waittimer 43131 blt 0,0,640,480,0, 2386,640,480:waittimer 43167 blt 0,0,640,480,0, 2388,640,480:waittimer 43203 blt 0,0,640,480,0, 2390,640,480:waittimer 43240 blt 0,0,640,480,0, 2392,640,480:waittimer 43276 blt 0,0,640,480,0, 2394,640,480:waittimer 43312 blt 0,0,640,480,0, 2396,640,480:waittimer 43348 blt 0,0,640,480,0, 2398,640,480:waittimer 43384 blt 0,0,640,480,0, 2400,640,480:waittimer 43421 blt 0,0,640,480,0, 2402,640,480:waittimer 43457 blt 0,0,640,480,0, 2404,640,480:waittimer 43493 blt 0,0,640,480,0, 2406,640,480:waittimer 43529 blt 0,0,640,480,0, 2408,640,480:waittimer 43565 blt 0,0,640,480,0, 2410,640,480:waittimer 43601 blt 0,0,640,480,0, 2412,640,480:waittimer 43638 blt 0,0,640,480,0, 2414,640,480:waittimer 43674 blt 0,0,640,480,0, 2416,640,480:waittimer 43710 blt 0,0,640,480,0, 2418,640,480:waittimer 43746 blt 0,0,640,480,0, 2420,640,480:waittimer 43782 blt 0,0,640,480,0, 2422,640,480:waittimer 43819 blt 0,0,640,480,0, 2424,640,480:waittimer 43855 blt 0,0,640,480,0, 2426,640,480:waittimer 43891 blt 0,0,640,480,0, 2428,640,480:waittimer 43927 blt 0,0,640,480,0, 2430,640,480:waittimer 43963 blt 0,0,640,480,0, 2432,640,480:waittimer 44000 blt 0,0,640,480,0, 2434,640,480:waittimer 44036 blt 0,0,640,480,0, 2436,640,480:waittimer 44072 blt 0,0,640,480,0, 2438,640,480:waittimer 44108 blt 0,0,640,480,0, 2440,640,480:waittimer 44144 blt 0,0,640,480,0, 2442,640,480:waittimer 44180 blt 0,0,640,480,0, 2444,640,480:waittimer 44217 blt 0,0,640,480,0, 2446,640,480:waittimer 44253 blt 0,0,640,480,0, 2448,640,480:waittimer 44289 blt 0,0,640,480,0, 2450,640,480:waittimer 44325 blt 0,0,640,480,0, 2452,640,480:waittimer 44361 blt 0,0,640,480,0, 2454,640,480:waittimer 44398 blt 0,0,640,480,0, 2456,640,480:waittimer 44434 blt 0,0,640,480,0, 2458,640,480:waittimer 44470 blt 0,0,640,480,0, 2460,640,480:waittimer 44506 blt 0,0,640,480,0, 2462,640,480:waittimer 44542 blt 0,0,640,480,0, 2464,640,480:waittimer 44578 blt 0,0,640,480,0, 2466,640,480:waittimer 44615 blt 0,0,640,480,0, 2468,640,480:waittimer 44651 blt 0,0,640,480,0, 2470,640,480:waittimer 44687 blt 0,0,640,480,0, 2472,640,480:waittimer 44723 blt 0,0,640,480,0, 2474,640,480:waittimer 44759 blt 0,0,640,480,0, 2476,640,480:waittimer 44796 blt 0,0,640,480,0, 2478,640,480:waittimer 44832 blt 0,0,640,480,0, 2480,640,480:waittimer 44868 blt 0,0,640,480,0, 2482,640,480:waittimer 44904 blt 0,0,640,480,0, 2484,640,480:waittimer 44940 blt 0,0,640,480,0, 2486,640,480:waittimer 44976 blt 0,0,640,480,0, 2488,640,480:waittimer 45013 blt 0,0,640,480,0, 2490,640,480:waittimer 45049 blt 0,0,640,480,0, 2492,640,480:waittimer 45085 blt 0,0,640,480,0, 2494,640,480:waittimer 45121 blt 0,0,640,480,0, 2496,640,480:waittimer 45157 blt 0,0,640,480,0, 2498,640,480:waittimer 45194 blt 0,0,640,480,0, 2500,640,480:waittimer 45230 blt 0,0,640,480,0, 2502,640,480:waittimer 45266 blt 0,0,640,480,0, 2504,640,480:waittimer 45302 blt 0,0,640,480,0, 2506,640,480:waittimer 45338 blt 0,0,640,480,0, 2508,640,480:waittimer 45375 blt 0,0,640,480,0, 2510,640,480:waittimer 45411 blt 0,0,640,480,0, 2512,640,480:waittimer 45447 blt 0,0,640,480,0, 2514,640,480:waittimer 45483 blt 0,0,640,480,0, 2516,640,480:waittimer 45519 blt 0,0,640,480,0, 2518,640,480:waittimer 45555 blt 0,0,640,480,0, 2520,640,480:waittimer 45592 blt 0,0,640,480,0, 2522,640,480:waittimer 45628 blt 0,0,640,480,0, 2524,640,480:waittimer 45664 blt 0,0,640,480,0, 2526,640,480:waittimer 45700 blt 0,0,640,480,0, 2528,640,480:waittimer 45736 blt 0,0,640,480,0, 2530,640,480:waittimer 45773 blt 0,0,640,480,0, 2532,640,480:waittimer 45809 blt 0,0,640,480,0, 2534,640,480:waittimer 45845 blt 0,0,640,480,0, 2536,640,480:waittimer 45881 blt 0,0,640,480,0, 2538,640,480:waittimer 45917 blt 0,0,640,480,0, 2540,640,480:waittimer 45953 blt 0,0,640,480,0, 2542,640,480:waittimer 45990 blt 0,0,640,480,0, 2544,640,480:waittimer 46026 blt 0,0,640,480,0, 2546,640,480:waittimer 46062 blt 0,0,640,480,0, 2548,640,480:waittimer 46098 blt 0,0,640,480,0, 2550,640,480:waittimer 46134 blt 0,0,640,480,0, 2552,640,480:waittimer 46171 blt 0,0,640,480,0, 2554,640,480:waittimer 46207 blt 0,0,640,480,0, 2556,640,480:waittimer 46243 blt 0,0,640,480,0, 2558,640,480:waittimer 46279 blt 0,0,640,480,0, 2560,640,480:waittimer 46315 blt 0,0,640,480,0, 2562,640,480:waittimer 46351 blt 0,0,640,480,0, 2564,640,480:waittimer 46388 blt 0,0,640,480,0, 2566,640,480:waittimer 46424 blt 0,0,640,480,0, 2568,640,480:waittimer 46460 blt 0,0,640,480,0, 2570,640,480:waittimer 46496 blt 0,0,640,480,0, 2572,640,480:waittimer 46532 blt 0,0,640,480,0, 2574,640,480:waittimer 46569 blt 0,0,640,480,0, 2576,640,480:waittimer 46605 blt 0,0,640,480,0, 2578,640,480:waittimer 46641 blt 0,0,640,480,0, 2580,640,480:waittimer 46677 blt 0,0,640,480,0, 2582,640,480:waittimer 46713 blt 0,0,640,480,0, 2584,640,480:waittimer 46750 blt 0,0,640,480,0, 2586,640,480:waittimer 46786 blt 0,0,640,480,0, 2588,640,480:waittimer 46822 blt 0,0,640,480,0, 2590,640,480:waittimer 46858 blt 0,0,640,480,0, 2592,640,480:waittimer 46894 blt 0,0,640,480,0, 2594,640,480:waittimer 46930 blt 0,0,640,480,0, 2596,640,480:waittimer 46967 blt 0,0,640,480,0, 2598,640,480:waittimer 47003 blt 0,0,640,480,0, 2600,640,480:waittimer 47039 blt 0,0,640,480,0, 2602,640,480:waittimer 47075 blt 0,0,640,480,0, 2604,640,480:waittimer 47111 blt 0,0,640,480,0, 2606,640,480:waittimer 47148 blt 0,0,640,480,0, 2608,640,480:waittimer 47184 blt 0,0,640,480,0, 2610,640,480:waittimer 47220 blt 0,0,640,480,0, 2612,640,480:waittimer 47256 blt 0,0,640,480,0, 2614,640,480:waittimer 47292 blt 0,0,640,480,0, 2616,640,480:waittimer 47328 blt 0,0,640,480,0, 2618,640,480:waittimer 47365 blt 0,0,640,480,0, 2620,640,480:waittimer 47401 blt 0,0,640,480,0, 2622,640,480:waittimer 47437 blt 0,0,640,480,0, 2624,640,480:waittimer 47473 blt 0,0,640,480,0, 2626,640,480:waittimer 47509 blt 0,0,640,480,0, 2628,640,480:waittimer 47546 blt 0,0,640,480,0, 2630,640,480:waittimer 47582 blt 0,0,640,480,0, 2632,640,480:waittimer 47618 blt 0,0,640,480,0, 2634,640,480:waittimer 47654 blt 0,0,640,480,0, 2636,640,480:waittimer 47690 blt 0,0,640,480,0, 2638,640,480:waittimer 47726 blt 0,0,640,480,0, 2640,640,480:waittimer 47763 blt 0,0,640,480,0, 2642,640,480:waittimer 47799 blt 0,0,640,480,0, 2644,640,480:waittimer 47835 blt 0,0,640,480,0, 2646,640,480:waittimer 47871 blt 0,0,640,480,0, 2648,640,480:waittimer 47907 blt 0,0,640,480,0, 2650,640,480:waittimer 47944 blt 0,0,640,480,0, 2652,640,480:waittimer 47980 blt 0,0,640,480,0, 2654,640,480:waittimer 48016 blt 0,0,640,480,0, 2656,640,480:waittimer 48052 blt 0,0,640,480,0, 2658,640,480:waittimer 48088 blt 0,0,640,480,0, 2660,640,480:waittimer 48125 blt 0,0,640,480,0, 2662,640,480:waittimer 48161 blt 0,0,640,480,0, 2664,640,480:waittimer 48197 blt 0,0,640,480,0, 2666,640,480:waittimer 48233 blt 0,0,640,480,0, 2668,640,480:waittimer 48269 blt 0,0,640,480,0, 2670,640,480:waittimer 48305 blt 0,0,640,480,0, 2672,640,480:waittimer 48342 blt 0,0,640,480,0, 2674,640,480:waittimer 48378 blt 0,0,640,480,0, 2676,640,480:waittimer 48414 blt 0,0,640,480,0, 2678,640,480:waittimer 48450 blt 0,0,640,480,0, 2680,640,480:waittimer 48486 blt 0,0,640,480,0, 2682,640,480:waittimer 48523 blt 0,0,640,480,0, 2684,640,480:waittimer 48559 blt 0,0,640,480,0, 2686,640,480:waittimer 48595 blt 0,0,640,480,0, 2688,640,480:waittimer 48631 blt 0,0,640,480,0, 2690,640,480:waittimer 48667 blt 0,0,640,480,0, 2692,640,480:waittimer 48703 blt 0,0,640,480,0, 2694,640,480:waittimer 48740 blt 0,0,640,480,0, 2696,640,480:waittimer 48776 blt 0,0,640,480,0, 2698,640,480:waittimer 48812 blt 0,0,640,480,0, 2700,640,480:waittimer 48848 blt 0,0,640,480,0, 2702,640,480:waittimer 48884 blt 0,0,640,480,0, 2704,640,480:waittimer 48921 blt 0,0,640,480,0, 2706,640,480:waittimer 48957 blt 0,0,640,480,0, 2708,640,480:waittimer 48993 blt 0,0,640,480,0, 2710,640,480:waittimer 49029 blt 0,0,640,480,0, 2712,640,480:waittimer 49065 blt 0,0,640,480,0, 2714,640,480:waittimer 49101 blt 0,0,640,480,0, 2716,640,480:waittimer 49138 blt 0,0,640,480,0, 2718,640,480:waittimer 49174 blt 0,0,640,480,0, 2720,640,480:waittimer 49210 blt 0,0,640,480,0, 2722,640,480:waittimer 49246 blt 0,0,640,480,0, 2724,640,480:waittimer 49282 blt 0,0,640,480,0, 2726,640,480:waittimer 49319 blt 0,0,640,480,0, 2728,640,480:waittimer 49355 blt 0,0,640,480,0, 2730,640,480:waittimer 49391 blt 0,0,640,480,0, 2732,640,480:waittimer 49427 blt 0,0,640,480,0, 2734,640,480:waittimer 49463 blt 0,0,640,480,0, 2736,640,480:waittimer 49500 blt 0,0,640,480,0, 2738,640,480:waittimer 49536 blt 0,0,640,480,0, 2740,640,480:waittimer 49572 blt 0,0,640,480,0, 2742,640,480:waittimer 49608 blt 0,0,640,480,0, 2744,640,480:waittimer 49644 blt 0,0,640,480,0, 2746,640,480:waittimer 49680 blt 0,0,640,480,0, 2748,640,480:waittimer 49717 blt 0,0,640,480,0, 2750,640,480:waittimer 49753 blt 0,0,640,480,0, 2752,640,480:waittimer 49789 blt 0,0,640,480,0, 2754,640,480:waittimer 49825 blt 0,0,640,480,0, 2756,640,480:waittimer 49861 blt 0,0,640,480,0, 2758,640,480:waittimer 49898 blt 0,0,640,480,0, 2760,640,480:waittimer 49934 blt 0,0,640,480,0, 2762,640,480:waittimer 49970 blt 0,0,640,480,0, 2764,640,480:waittimer 50006 blt 0,0,640,480,0, 2766,640,480:waittimer 50042 blt 0,0,640,480,0, 2768,640,480:waittimer 50078 blt 0,0,640,480,0, 2770,640,480:waittimer 50115 blt 0,0,640,480,0, 2772,640,480:waittimer 50151 blt 0,0,640,480,0, 2774,640,480:waittimer 50187 blt 0,0,640,480,0, 2776,640,480:waittimer 50223 blt 0,0,640,480,0, 2778,640,480:waittimer 50259 blt 0,0,640,480,0, 2780,640,480:waittimer 50296 blt 0,0,640,480,0, 2782,640,480:waittimer 50332 blt 0,0,640,480,0, 2784,640,480:waittimer 50368 blt 0,0,640,480,0, 2786,640,480:waittimer 50404 blt 0,0,640,480,0, 2788,640,480:waittimer 50440 blt 0,0,640,480,0, 2790,640,480:waittimer 50476 blt 0,0,640,480,0, 2792,640,480:waittimer 50513 blt 0,0,640,480,0, 2794,640,480:waittimer 50549 blt 0,0,640,480,0, 2796,640,480:waittimer 50585 blt 0,0,640,480,0, 2798,640,480:waittimer 50621 blt 0,0,640,480,0, 2800,640,480:waittimer 50657 blt 0,0,640,480,0, 2802,640,480:waittimer 50694 blt 0,0,640,480,0, 2804,640,480:waittimer 50730 blt 0,0,640,480,0, 2806,640,480:waittimer 50766 blt 0,0,640,480,0, 2808,640,480:waittimer 50802 blt 0,0,640,480,0, 2810,640,480:waittimer 50838 blt 0,0,640,480,0, 2812,640,480:waittimer 50875 blt 0,0,640,480,0, 2814,640,480:waittimer 50911 blt 0,0,640,480,0, 2816,640,480:waittimer 50947 blt 0,0,640,480,0, 2818,640,480:waittimer 50983 blt 0,0,640,480,0, 2820,640,480:waittimer 51019 blt 0,0,640,480,0, 2822,640,480:waittimer 51055 blt 0,0,640,480,0, 2824,640,480:waittimer 51092 blt 0,0,640,480,0, 2826,640,480:waittimer 51128 blt 0,0,640,480,0, 2828,640,480:waittimer 51164 blt 0,0,640,480,0, 2830,640,480:waittimer 51200 blt 0,0,640,480,0, 2832,640,480:waittimer 51236 blt 0,0,640,480,0, 2834,640,480:waittimer 51273 blt 0,0,640,480,0, 2836,640,480:waittimer 51309 blt 0,0,640,480,0, 2838,640,480:waittimer 51345 blt 0,0,640,480,0, 2840,640,480:waittimer 51381 blt 0,0,640,480,0, 2842,640,480:waittimer 51417 blt 0,0,640,480,0, 2844,640,480:waittimer 51453 blt 0,0,640,480,0, 2846,640,480:waittimer 51490 blt 0,0,640,480,0, 2848,640,480:waittimer 51526 blt 0,0,640,480,0, 2850,640,480:waittimer 51562 blt 0,0,640,480,0, 2852,640,480:waittimer 51598 blt 0,0,640,480,0, 2854,640,480:waittimer 51634 blt 0,0,640,480,0, 2856,640,480:waittimer 51671 blt 0,0,640,480,0, 2858,640,480:waittimer 51707 blt 0,0,640,480,0, 2860,640,480:waittimer 51743 blt 0,0,640,480,0, 2862,640,480:waittimer 51779 blt 0,0,640,480,0, 2864,640,480:waittimer 51815 blt 0,0,640,480,0, 2866,640,480:waittimer 51851 blt 0,0,640,480,0, 2868,640,480:waittimer 51888 blt 0,0,640,480,0, 2870,640,480:waittimer 51924 blt 0,0,640,480,0, 2872,640,480:waittimer 51960 blt 0,0,640,480,0, 2874,640,480:waittimer 51996 blt 0,0,640,480,0, 2876,640,480:waittimer 52032 blt 0,0,640,480,0, 2878,640,480:waittimer 52069 blt 0,0,640,480,0, 2880,640,480:waittimer 52105 blt 0,0,640,480,0, 2882,640,480:waittimer 52141 blt 0,0,640,480,0, 2884,640,480:waittimer 52177 blt 0,0,640,480,0, 2886,640,480:waittimer 52213 blt 0,0,640,480,0, 2888,640,480:waittimer 52250 blt 0,0,640,480,0, 2890,640,480:waittimer 52286 blt 0,0,640,480,0, 2892,640,480:waittimer 52322 blt 0,0,640,480,0, 2894,640,480:waittimer 52358 blt 0,0,640,480,0, 2896,640,480:waittimer 52394 blt 0,0,640,480,0, 2898,640,480:waittimer 52430 blt 0,0,640,480,0, 2900,640,480:waittimer 52467 blt 0,0,640,480,0, 2902,640,480:waittimer 52503 blt 0,0,640,480,0, 2904,640,480:waittimer 52539 blt 0,0,640,480,0, 2906,640,480:waittimer 52575 blt 0,0,640,480,0, 2908,640,480:waittimer 52611 blt 0,0,640,480,0, 2910,640,480:waittimer 52648 blt 0,0,640,480,0, 2912,640,480:waittimer 52684 blt 0,0,640,480,0, 2914,640,480:waittimer 52720 blt 0,0,640,480,0, 2916,640,480:waittimer 52756 blt 0,0,640,480,0, 2918,640,480:waittimer 52792 blt 0,0,640,480,0, 2920,640,480:waittimer 52828 blt 0,0,640,480,0, 2922,640,480:waittimer 52865 blt 0,0,640,480,0, 2924,640,480:waittimer 52901 blt 0,0,640,480,0, 2926,640,480:waittimer 52937 blt 0,0,640,480,0, 2928,640,480:waittimer 52973 blt 0,0,640,480,0, 2930,640,480:waittimer 53009 blt 0,0,640,480,0, 2932,640,480:waittimer 53046 blt 0,0,640,480,0, 2934,640,480:waittimer 53082 blt 0,0,640,480,0, 2936,640,480:waittimer 53118 blt 0,0,640,480,0, 2938,640,480:waittimer 53154 blt 0,0,640,480,0, 2940,640,480:waittimer 53190 blt 0,0,640,480,0, 2942,640,480:waittimer 53226 blt 0,0,640,480,0, 2944,640,480:waittimer 53263 blt 0,0,640,480,0, 2946,640,480:waittimer 53299 blt 0,0,640,480,0, 2948,640,480:waittimer 53335 blt 0,0,640,480,0, 2950,640,480:waittimer 53371 blt 0,0,640,480,0, 2952,640,480:waittimer 53407 blt 0,0,640,480,0, 2954,640,480:waittimer 53444 blt 0,0,640,480,0, 2956,640,480:waittimer 53480 blt 0,0,640,480,0, 2958,640,480:waittimer 53516 blt 0,0,640,480,0, 2960,640,480:waittimer 53552 blt 0,0,640,480,0, 2962,640,480:waittimer 53588 blt 0,0,640,480,0, 2964,640,480:waittimer 53625 blt 0,0,640,480,0, 2966,640,480:waittimer 53661 blt 0,0,640,480,0, 2968,640,480:waittimer 53697 blt 0,0,640,480,0, 2970,640,480:waittimer 53733 blt 0,0,640,480,0, 2972,640,480:waittimer 53769 blt 0,0,640,480,0, 2974,640,480:waittimer 53805 blt 0,0,640,480,0, 2976,640,480:waittimer 53842 blt 0,0,640,480,0, 2978,640,480:waittimer 53878 blt 0,0,640,480,0, 2980,640,480:waittimer 53914 blt 0,0,640,480,0, 2982,640,480:waittimer 53950 blt 0,0,640,480,0, 2984,640,480:waittimer 53986 blt 0,0,640,480,0, 2986,640,480:waittimer 54023 blt 0,0,640,480,0, 2988,640,480:waittimer 54059 blt 0,0,640,480,0, 2990,640,480:waittimer 54095 blt 0,0,640,480,0, 2992,640,480:waittimer 54131 blt 0,0,640,480,0, 2994,640,480:waittimer 54167 blt 0,0,640,480,0, 2996,640,480:waittimer 54203 blt 0,0,640,480,0, 2998,640,480:waittimer 54240 blt 0,0,640,480,0, 3000,640,480:waittimer 54276 blt 0,0,640,480,0, 3002,640,480:waittimer 54312 blt 0,0,640,480,0, 3004,640,480:waittimer 54348 blt 0,0,640,480,0, 3006,640,480:waittimer 54384 blt 0,0,640,480,0, 3008,640,480:waittimer 54421 blt 0,0,640,480,0, 3010,640,480:waittimer 54457 blt 0,0,640,480,0, 3012,640,480:waittimer 54493 blt 0,0,640,480,0, 3014,640,480:waittimer 54529 blt 0,0,640,480,0, 3016,640,480:waittimer 54565 blt 0,0,640,480,0, 3018,640,480:waittimer 54601 blt 0,0,640,480,0, 3020,640,480:waittimer 54638 blt 0,0,640,480,0, 3022,640,480:waittimer 54674 blt 0,0,640,480,0, 3024,640,480:waittimer 54710 blt 0,0,640,480,0, 3026,640,480:waittimer 54746 blt 0,0,640,480,0, 3028,640,480:waittimer 54782 blt 0,0,640,480,0, 3030,640,480:waittimer 54819 blt 0,0,640,480,0, 3032,640,480:waittimer 54855 blt 0,0,640,480,0, 3034,640,480:waittimer 54891 blt 0,0,640,480,0, 3036,640,480:waittimer 54927 blt 0,0,640,480,0, 3038,640,480:waittimer 54963 blt 0,0,640,480,0, 3040,640,480:waittimer 55000 blt 0,0,640,480,0, 3042,640,480:waittimer 55036 blt 0,0,640,480,0, 3044,640,480:waittimer 55072 blt 0,0,640,480,0, 3046,640,480:waittimer 55108 blt 0,0,640,480,0, 3048,640,480:waittimer 55144 blt 0,0,640,480,0, 3050,640,480:waittimer 55180 blt 0,0,640,480,0, 3052,640,480:waittimer 55217 blt 0,0,640,480,0, 3054,640,480:waittimer 55253 blt 0,0,640,480,0, 3056,640,480:waittimer 55289 blt 0,0,640,480,0, 3058,640,480:waittimer 55325 blt 0,0,640,480,0, 3060,640,480:waittimer 55361 blt 0,0,640,480,0, 3062,640,480:waittimer 55398 blt 0,0,640,480,0, 3064,640,480:waittimer 55434 blt 0,0,640,480,0, 3066,640,480:waittimer 55470 blt 0,0,640,480,0, 3068,640,480:waittimer 55506 blt 0,0,640,480,0, 3070,640,480:waittimer 55542 blt 0,0,640,480,0, 3072,640,480:waittimer 55578 blt 0,0,640,480,0, 3074,640,480:waittimer 55615 blt 0,0,640,480,0, 3076,640,480:waittimer 55651 blt 0,0,640,480,0, 3078,640,480:waittimer 55687 blt 0,0,640,480,0, 3080,640,480:waittimer 55723 blt 0,0,640,480,0, 3082,640,480:waittimer 55759 blt 0,0,640,480,0, 3084,640,480:waittimer 55796 blt 0,0,640,480,0, 3086,640,480:waittimer 55832 blt 0,0,640,480,0, 3088,640,480:waittimer 55868 blt 0,0,640,480,0, 3090,640,480:waittimer 55904 blt 0,0,640,480,0, 3092,640,480:waittimer 55940 blt 0,0,640,480,0, 3094,640,480:waittimer 55976 blt 0,0,640,480,0, 3096,640,480:waittimer 56013 blt 0,0,640,480,0, 3098,640,480:waittimer 56049 blt 0,0,640,480,0, 3100,640,480:waittimer 56085 blt 0,0,640,480,0, 3102,640,480:waittimer 56121 blt 0,0,640,480,0, 3104,640,480:waittimer 56157 blt 0,0,640,480,0, 3106,640,480:waittimer 56194 blt 0,0,640,480,0, 3108,640,480:waittimer 56230 blt 0,0,640,480,0, 3110,640,480:waittimer 56266 blt 0,0,640,480,0, 3112,640,480:waittimer 56302 blt 0,0,640,480,0, 3114,640,480:waittimer 56338 blt 0,0,640,480,0, 3116,640,480:waittimer 56375 blt 0,0,640,480,0, 3118,640,480:waittimer 56411 blt 0,0,640,480,0, 3120,640,480:waittimer 56447 blt 0,0,640,480,0, 3122,640,480:waittimer 56483 blt 0,0,640,480,0, 3124,640,480:waittimer 56519 blt 0,0,640,480,0, 3126,640,480:waittimer 56555 blt 0,0,640,480,0, 3128,640,480:waittimer 56592 blt 0,0,640,480,0, 3130,640,480:waittimer 56628 blt 0,0,640,480,0, 3132,640,480:waittimer 56664 blt 0,0,640,480,0, 3134,640,480:waittimer 56700 blt 0,0,640,480,0, 3136,640,480:waittimer 56736 blt 0,0,640,480,0, 3138,640,480:waittimer 56773 blt 0,0,640,480,0, 3140,640,480:waittimer 56809 blt 0,0,640,480,0, 3142,640,480:waittimer 56845 blt 0,0,640,480,0, 3144,640,480:waittimer 56881 blt 0,0,640,480,0, 3146,640,480:waittimer 56917 blt 0,0,640,480,0, 3148,640,480:waittimer 56953 blt 0,0,640,480,0, 3150,640,480:waittimer 56990 blt 0,0,640,480,0, 3152,640,480:waittimer 57026 blt 0,0,640,480,0, 3154,640,480:waittimer 57062 blt 0,0,640,480,0, 3156,640,480:waittimer 57098 blt 0,0,640,480,0, 3158,640,480:waittimer 57134 blt 0,0,640,480,0, 3160,640,480:waittimer 57171 blt 0,0,640,480,0, 3162,640,480:waittimer 57207 blt 0,0,640,480,0, 3164,640,480:waittimer 57243 blt 0,0,640,480,0, 3166,640,480:waittimer 57279 blt 0,0,640,480,0, 3168,640,480:waittimer 57315 blt 0,0,640,480,0, 3170,640,480:waittimer 57351 blt 0,0,640,480,0, 3172,640,480:waittimer 57388 blt 0,0,640,480,0, 3174,640,480:waittimer 57424 blt 0,0,640,480,0, 3176,640,480:waittimer 57460 blt 0,0,640,480,0, 3178,640,480:waittimer 57496 blt 0,0,640,480,0, 3180,640,480:waittimer 57532 blt 0,0,640,480,0, 3182,640,480:waittimer 57569 blt 0,0,640,480,0, 3184,640,480:waittimer 57605 blt 0,0,640,480,0, 3186,640,480:waittimer 57641 blt 0,0,640,480,0, 3188,640,480:waittimer 57677 blt 0,0,640,480,0, 3190,640,480:waittimer 57713 blt 0,0,640,480,0, 3192,640,480:waittimer 57750 blt 0,0,640,480,0, 3194,640,480:waittimer 57786 blt 0,0,640,480,0, 3196,640,480:waittimer 57822 blt 0,0,640,480,0, 3198,640,480:waittimer 57858 blt 0,0,640,480,0, 3200,640,480:waittimer 57894 blt 0,0,640,480,0, 3202,640,480:waittimer 57930 blt 0,0,640,480,0, 3204,640,480:waittimer 57967 blt 0,0,640,480,0, 3206,640,480:waittimer 58003 blt 0,0,640,480,0, 3208,640,480:waittimer 58039 blt 0,0,640,480,0, 3210,640,480:waittimer 58075 blt 0,0,640,480,0, 3212,640,480:waittimer 58111 blt 0,0,640,480,0, 3214,640,480:waittimer 58148 blt 0,0,640,480,0, 3216,640,480:waittimer 58184 blt 0,0,640,480,0, 3218,640,480:waittimer 58220 blt 0,0,640,480,0, 3220,640,480:waittimer 58256 blt 0,0,640,480,0, 3222,640,480:waittimer 58292 blt 0,0,640,480,0, 3224,640,480:waittimer 58328 blt 0,0,640,480,0, 3226,640,480:waittimer 58365 blt 0,0,640,480,0, 3228,640,480:waittimer 58401 blt 0,0,640,480,0, 3230,640,480:waittimer 58437 blt 0,0,640,480,0, 3232,640,480:waittimer 58473 blt 0,0,640,480,0, 3234,640,480:waittimer 58509 blt 0,0,640,480,0, 3236,640,480:waittimer 58546 blt 0,0,640,480,0, 3238,640,480:waittimer 58582 blt 0,0,640,480,0, 3240,640,480:waittimer 58618 blt 0,0,640,480,0, 3242,640,480:waittimer 58654 blt 0,0,640,480,0, 3244,640,480:waittimer 58690 blt 0,0,640,480,0, 3246,640,480:waittimer 58726 blt 0,0,640,480,0, 3248,640,480:waittimer 58763 blt 0,0,640,480,0, 3250,640,480:waittimer 58799 blt 0,0,640,480,0, 3252,640,480:waittimer 58835 blt 0,0,640,480,0, 3254,640,480:waittimer 58871 blt 0,0,640,480,0, 3256,640,480:waittimer 58907 blt 0,0,640,480,0, 3258,640,480:waittimer 58944 blt 0,0,640,480,0, 3260,640,480:waittimer 58980 blt 0,0,640,480,0, 3262,640,480:waittimer 59016 blt 0,0,640,480,0, 3264,640,480:waittimer 59052 blt 0,0,640,480,0, 3266,640,480:waittimer 59088 blt 0,0,640,480,0, 3268,640,480:waittimer 59125 blt 0,0,640,480,0, 3270,640,480:waittimer 59161 blt 0,0,640,480,0, 3272,640,480:waittimer 59197 blt 0,0,640,480,0, 3274,640,480:waittimer 59233 blt 0,0,640,480,0, 3276,640,480:waittimer 59269 blt 0,0,640,480,0, 3278,640,480:waittimer 59305 blt 0,0,640,480,0, 3280,640,480:waittimer 59342 blt 0,0,640,480,0, 3282,640,480:waittimer 59378 blt 0,0,640,480,0, 3284,640,480:waittimer 59414 blt 0,0,640,480,0, 3286,640,480:waittimer 59450 blt 0,0,640,480,0, 3288,640,480:waittimer 59486 blt 0,0,640,480,0, 3290,640,480:waittimer 59523 blt 0,0,640,480,0, 3292,640,480:waittimer 59559 blt 0,0,640,480,0, 3294,640,480:waittimer 59595 blt 0,0,640,480,0, 3296,640,480:waittimer 59631 blt 0,0,640,480,0, 3298,640,480:waittimer 59667 blt 0,0,640,480,0, 3300,640,480:waittimer 59703 blt 0,0,640,480,0, 3302,640,480:waittimer 59740 blt 0,0,640,480,0, 3304,640,480:waittimer 59776 blt 0,0,640,480,0, 3306,640,480:waittimer 59812 blt 0,0,640,480,0, 3308,640,480:waittimer 59848 blt 0,0,640,480,0, 3310,640,480:waittimer 59884 blt 0,0,640,480,0, 3312,640,480:waittimer 59921 blt 0,0,640,480,0, 3314,640,480:waittimer 59957 blt 0,0,640,480,0, 3316,640,480:waittimer 59993 blt 0,0,640,480,0, 3318,640,480:waittimer 60029 blt 0,0,640,480,0, 3320,640,480:waittimer 60065 blt 0,0,640,480,0, 3322,640,480:waittimer 60101 blt 0,0,640,480,0, 3324,640,480:waittimer 60138 blt 0,0,640,480,0, 3326,640,480:waittimer 60174 blt 0,0,640,480,0, 3328,640,480:waittimer 60210 blt 0,0,640,480,0, 3330,640,480:waittimer 60246 blt 0,0,640,480,0, 3332,640,480:waittimer 60282 blt 0,0,640,480,0, 3334,640,480:waittimer 60319 blt 0,0,640,480,0, 3336,640,480:waittimer 60355 blt 0,0,640,480,0, 3338,640,480:waittimer 60391 blt 0,0,640,480,0, 3340,640,480:waittimer 60427 blt 0,0,640,480,0, 3342,640,480:waittimer 60463 blt 0,0,640,480,0, 3344,640,480:waittimer 60500 blt 0,0,640,480,0, 3346,640,480:waittimer 60536 blt 0,0,640,480,0, 3348,640,480:waittimer 60572 blt 0,0,640,480,0, 3350,640,480:waittimer 60608 blt 0,0,640,480,0, 3352,640,480:waittimer 60644 blt 0,0,640,480,0, 3354,640,480:waittimer 60680 blt 0,0,640,480,0, 3356,640,480:waittimer 60717 blt 0,0,640,480,0, 3358,640,480:waittimer 60753 blt 0,0,640,480,0, 3360,640,480:waittimer 60789 blt 0,0,640,480,0, 3362,640,480:waittimer 60825 blt 0,0,640,480,0, 3364,640,480:waittimer 60861 blt 0,0,640,480,0, 3366,640,480:waittimer 60898 blt 0,0,640,480,0, 3368,640,480:waittimer 60934 blt 0,0,640,480,0, 3370,640,480:waittimer 60970 blt 0,0,640,480,0, 3372,640,480:waittimer 61006 blt 0,0,640,480,0, 3374,640,480:waittimer 61042 blt 0,0,640,480,0, 3376,640,480:waittimer 61078 blt 0,0,640,480,0, 3378,640,480:waittimer 61115 blt 0,0,640,480,0, 3380,640,480:waittimer 61151 blt 0,0,640,480,0, 3382,640,480:waittimer 61187 blt 0,0,640,480,0, 3384,640,480:waittimer 61223 blt 0,0,640,480,0, 3386,640,480:waittimer 61259 blt 0,0,640,480,0, 3388,640,480:waittimer 61296 blt 0,0,640,480,0, 3390,640,480:waittimer 61332 blt 0,0,640,480,0, 3392,640,480:waittimer 61368 blt 0,0,640,480,0, 3394,640,480:waittimer 61404 blt 0,0,640,480,0, 3396,640,480:waittimer 61440 blt 0,0,640,480,0, 3398,640,480:waittimer 61476 blt 0,0,640,480,0, 3400,640,480:waittimer 61513 blt 0,0,640,480,0, 3402,640,480:waittimer 61549 blt 0,0,640,480,0, 3404,640,480:waittimer 61585 blt 0,0,640,480,0, 3406,640,480:waittimer 61621 blt 0,0,640,480,0, 3408,640,480:waittimer 61657 blt 0,0,640,480,0, 3410,640,480:waittimer 61694 blt 0,0,640,480,0, 3412,640,480:waittimer 61730 blt 0,0,640,480,0, 3414,640,480:waittimer 61766 blt 0,0,640,480,0, 3416,640,480:waittimer 61802 blt 0,0,640,480,0, 3418,640,480:waittimer 61838 blt 0,0,640,480,0, 3420,640,480:waittimer 61875 blt 0,0,640,480,0, 3422,640,480:waittimer 61911 blt 0,0,640,480,0, 3424,640,480:waittimer 61947 blt 0,0,640,480,0, 3426,640,480:waittimer 61983 blt 0,0,640,480,0, 3428,640,480:waittimer 62019 blt 0,0,640,480,0, 3430,640,480:waittimer 62055 blt 0,0,640,480,0, 3432,640,480:waittimer 62092 blt 0,0,640,480,0, 3434,640,480:waittimer 62128 blt 0,0,640,480,0, 3436,640,480:waittimer 62164 blt 0,0,640,480,0, 3438,640,480:waittimer 62200 blt 0,0,640,480,0, 3440,640,480:waittimer 62236 blt 0,0,640,480,0, 3442,640,480:waittimer 62273 blt 0,0,640,480,0, 3444,640,480:waittimer 62309 blt 0,0,640,480,0, 3446,640,480:waittimer 62345 blt 0,0,640,480,0, 3448,640,480:waittimer 62381 blt 0,0,640,480,0, 3450,640,480:waittimer 62417 blt 0,0,640,480,0, 3452,640,480:waittimer 62453 blt 0,0,640,480,0, 3454,640,480:waittimer 62490 blt 0,0,640,480,0, 3456,640,480:waittimer 62526 blt 0,0,640,480,0, 3458,640,480:waittimer 62562 blt 0,0,640,480,0, 3460,640,480:waittimer 62598 blt 0,0,640,480,0, 3462,640,480:waittimer 62634 blt 0,0,640,480,0, 3464,640,480:waittimer 62671 blt 0,0,640,480,0, 3466,640,480:waittimer 62707 blt 0,0,640,480,0, 3468,640,480:waittimer 62743 blt 0,0,640,480,0, 3470,640,480:waittimer 62779 blt 0,0,640,480,0, 3472,640,480:waittimer 62815 blt 0,0,640,480,0, 3474,640,480:waittimer 62851 blt 0,0,640,480,0, 3476,640,480:waittimer 62888 blt 0,0,640,480,0, 3478,640,480:waittimer 62924 blt 0,0,640,480,0, 3480,640,480:waittimer 62960 blt 0,0,640,480,0, 3482,640,480:waittimer 62996 blt 0,0,640,480,0, 3484,640,480:waittimer 63032 blt 0,0,640,480,0, 3486,640,480:waittimer 63069 blt 0,0,640,480,0, 3488,640,480:waittimer 63105 blt 0,0,640,480,0, 3490,640,480:waittimer 63141 blt 0,0,640,480,0, 3492,640,480:waittimer 63177 blt 0,0,640,480,0, 3494,640,480:waittimer 63213 blt 0,0,640,480,0, 3496,640,480:waittimer 63250 blt 0,0,640,480,0, 3498,640,480:waittimer 63286 blt 0,0,640,480,0, 3500,640,480:waittimer 63322 blt 0,0,640,480,0, 3502,640,480:waittimer 63358 blt 0,0,640,480,0, 3504,640,480:waittimer 63394 blt 0,0,640,480,0, 3506,640,480:waittimer 63430 blt 0,0,640,480,0, 3508,640,480:waittimer 63467 blt 0,0,640,480,0, 3510,640,480:waittimer 63503 blt 0,0,640,480,0, 3512,640,480:waittimer 63539 blt 0,0,640,480,0, 3514,640,480:waittimer 63575 blt 0,0,640,480,0, 3516,640,480:waittimer 63611 blt 0,0,640,480,0, 3518,640,480:waittimer 63648 blt 0,0,640,480,0, 3520,640,480:waittimer 63684 blt 0,0,640,480,0, 3522,640,480:waittimer 63720 blt 0,0,640,480,0, 3524,640,480:waittimer 63756 blt 0,0,640,480,0, 3526,640,480:waittimer 63792 blt 0,0,640,480,0, 3528,640,480:waittimer 63828 blt 0,0,640,480,0, 3530,640,480:waittimer 63865 blt 0,0,640,480,0, 3532,640,480:waittimer 63901 blt 0,0,640,480,0, 3534,640,480:waittimer 63937 blt 0,0,640,480,0, 3536,640,480:waittimer 63973 blt 0,0,640,480,0, 3538,640,480:waittimer 64009 blt 0,0,640,480,0, 3540,640,480:waittimer 64046 blt 0,0,640,480,0, 3542,640,480:waittimer 64082 blt 0,0,640,480,0, 3544,640,480:waittimer 64118 blt 0,0,640,480,0, 3546,640,480:waittimer 64154 blt 0,0,640,480,0, 3548,640,480:waittimer 64190 blt 0,0,640,480,0, 3550,640,480:waittimer 64226 blt 0,0,640,480,0, 3552,640,480:waittimer 64263 blt 0,0,640,480,0, 3554,640,480:waittimer 64299 blt 0,0,640,480,0, 3556,640,480:waittimer 64335 blt 0,0,640,480,0, 3558,640,480:waittimer 64371 blt 0,0,640,480,0, 3560,640,480:waittimer 64407 blt 0,0,640,480,0, 3562,640,480:waittimer 64444 blt 0,0,640,480,0, 3564,640,480:waittimer 64480 blt 0,0,640,480,0, 3566,640,480:waittimer 64516 blt 0,0,640,480,0, 3568,640,480:waittimer 64552 blt 0,0,640,480,0, 3570,640,480:waittimer 64588 blt 0,0,640,480,0, 3572,640,480:waittimer 64625 blt 0,0,640,480,0, 3574,640,480:waittimer 64661 blt 0,0,640,480,0, 3576,640,480:waittimer 64697 blt 0,0,640,480,0, 3578,640,480:waittimer 64733 blt 0,0,640,480,0, 3580,640,480:waittimer 64769 blt 0,0,640,480,0, 3582,640,480:waittimer 64805 blt 0,0,640,480,0, 3584,640,480:waittimer 64842 blt 0,0,640,480,0, 3586,640,480:waittimer 64878 blt 0,0,640,480,0, 3588,640,480:waittimer 64914 blt 0,0,640,480,0, 3590,640,480:waittimer 64950 blt 0,0,640,480,0, 3592,640,480:waittimer 64986 blt 0,0,640,480,0, 3594,640,480:waittimer 65023 blt 0,0,640,480,0, 3596,640,480:waittimer 65059 blt 0,0,640,480,0, 3598,640,480:waittimer 65095 blt 0,0,640,480,0, 3600,640,480:waittimer 65131 blt 0,0,640,480,0, 3602,640,480:waittimer 65167 blt 0,0,640,480,0, 3604,640,480:waittimer 65203 blt 0,0,640,480,0, 3606,640,480:waittimer 65240 blt 0,0,640,480,0, 3608,640,480:waittimer 65276 blt 0,0,640,480,0, 3610,640,480:waittimer 65312 blt 0,0,640,480,0, 3612,640,480:waittimer 65348 blt 0,0,640,480,0, 3614,640,480:waittimer 65384 blt 0,0,640,480,0, 3616,640,480:waittimer 65421 blt 0,0,640,480,0, 3618,640,480:waittimer 65457 blt 0,0,640,480,0, 3620,640,480:waittimer 65493 blt 0,0,640,480,0, 3622,640,480:waittimer 65529 blt 0,0,640,480,0, 3624,640,480:waittimer 65565 blt 0,0,640,480,0, 3626,640,480:waittimer 65601 blt 0,0,640,480,0, 3628,640,480:waittimer 65638 blt 0,0,640,480,0, 3630,640,480:waittimer 65674 blt 0,0,640,480,0, 3632,640,480:waittimer 65710 blt 0,0,640,480,0, 3634,640,480:waittimer 65746 blt 0,0,640,480,0, 3636,640,480:waittimer 65782 blt 0,0,640,480,0, 3638,640,480:waittimer 65819 blt 0,0,640,480,0, 3640,640,480:waittimer 65855 blt 0,0,640,480,0, 3642,640,480:waittimer 65891 blt 0,0,640,480,0, 3644,640,480:waittimer 65927 blt 0,0,640,480,0, 3646,640,480:waittimer 65963 blt 0,0,640,480,0, 3648,640,480:waittimer 66000 blt 0,0,640,480,0, 3650,640,480:waittimer 66036 blt 0,0,640,480,0, 3652,640,480:waittimer 66072 blt 0,0,640,480,0, 3654,640,480:waittimer 66108 blt 0,0,640,480,0, 3656,640,480:waittimer 66144 blt 0,0,640,480,0, 3658,640,480:waittimer 66180 blt 0,0,640,480,0, 3660,640,480:waittimer 66217 blt 0,0,640,480,0, 3662,640,480:waittimer 66253 blt 0,0,640,480,0, 3664,640,480:waittimer 66289 blt 0,0,640,480,0, 3666,640,480:waittimer 66325 blt 0,0,640,480,0, 3668,640,480:waittimer 66361 blt 0,0,640,480,0, 3670,640,480:waittimer 66398 blt 0,0,640,480,0, 3672,640,480:waittimer 66434 blt 0,0,640,480,0, 3674,640,480:waittimer 66470 blt 0,0,640,480,0, 3676,640,480:waittimer 66506 blt 0,0,640,480,0, 3678,640,480:waittimer 66542 blt 0,0,640,480,0, 3680,640,480:waittimer 66578 blt 0,0,640,480,0, 3682,640,480:waittimer 66615 blt 0,0,640,480,0, 3684,640,480:waittimer 66651 blt 0,0,640,480,0, 3686,640,480:waittimer 66687 blt 0,0,640,480,0, 3688,640,480:waittimer 66723 blt 0,0,640,480,0, 3690,640,480:waittimer 66759 blt 0,0,640,480,0, 3692,640,480:waittimer 66796 blt 0,0,640,480,0, 3694,640,480:waittimer 66832 blt 0,0,640,480,0, 3696,640,480:waittimer 66868 blt 0,0,640,480,0, 3698,640,480:waittimer 66904 blt 0,0,640,480,0, 3700,640,480:waittimer 66940 blt 0,0,640,480,0, 3702,640,480:waittimer 66976 blt 0,0,640,480,0, 3704,640,480:waittimer 67013 blt 0,0,640,480,0, 3706,640,480:waittimer 67049 blt 0,0,640,480,0, 3708,640,480:waittimer 67085 blt 0,0,640,480,0, 3710,640,480:waittimer 67121 blt 0,0,640,480,0, 3712,640,480:waittimer 67157 blt 0,0,640,480,0, 3714,640,480:waittimer 67194 blt 0,0,640,480,0, 3716,640,480:waittimer 67230 blt 0,0,640,480,0, 3718,640,480:waittimer 67266 blt 0,0,640,480,0, 3720,640,480:waittimer 67302 blt 0,0,640,480,0, 3722,640,480:waittimer 67338 blt 0,0,640,480,0, 3724,640,480:waittimer 67375 blt 0,0,640,480,0, 3726,640,480:waittimer 67411 blt 0,0,640,480,0, 3728,640,480:waittimer 67447 blt 0,0,640,480,0, 3730,640,480:waittimer 67483 blt 0,0,640,480,0, 3732,640,480:waittimer 67519 blt 0,0,640,480,0, 3734,640,480:waittimer 67555 blt 0,0,640,480,0, 3736,640,480:waittimer 67592 blt 0,0,640,480,0, 3738,640,480:waittimer 67628 blt 0,0,640,480,0, 3740,640,480:waittimer 67664 blt 0,0,640,480,0, 3742,640,480:waittimer 67700 blt 0,0,640,480,0, 3744,640,480:waittimer 67736 blt 0,0,640,480,0, 3746,640,480:waittimer 67773 blt 0,0,640,480,0, 3748,640,480:waittimer 67809 blt 0,0,640,480,0, 3750,640,480:waittimer 67845 blt 0,0,640,480,0, 3752,640,480:waittimer 67881 blt 0,0,640,480,0, 3754,640,480:waittimer 67917 blt 0,0,640,480,0, 3756,640,480:waittimer 67953 blt 0,0,640,480,0, 3758,640,480:waittimer 67990 blt 0,0,640,480,0, 3760,640,480:waittimer 68026 blt 0,0,640,480,0, 3762,640,480:waittimer 68062 blt 0,0,640,480,0, 3764,640,480:waittimer 68098 blt 0,0,640,480,0, 3766,640,480:waittimer 68134 blt 0,0,640,480,0, 3768,640,480:waittimer 68171 blt 0,0,640,480,0, 3770,640,480:waittimer 68207 blt 0,0,640,480,0, 3772,640,480:waittimer 68243 blt 0,0,640,480,0, 3774,640,480:waittimer 68279 blt 0,0,640,480,0, 3776,640,480:waittimer 68315 blt 0,0,640,480,0, 3778,640,480:waittimer 68351 blt 0,0,640,480,0, 3780,640,480:waittimer 68388 blt 0,0,640,480,0, 3782,640,480:waittimer 68424 blt 0,0,640,480,0, 3784,640,480:waittimer 68460 blt 0,0,640,480,0, 3786,640,480:waittimer 68496 blt 0,0,640,480,0, 3788,640,480:waittimer 68532 blt 0,0,640,480,0, 3790,640,480:waittimer 68569 blt 0,0,640,480,0, 3792,640,480:waittimer 68605 blt 0,0,640,480,0, 3794,640,480:waittimer 68641 blt 0,0,640,480,0, 3796,640,480:waittimer 68677 blt 0,0,640,480,0, 3798,640,480:waittimer 68713 blt 0,0,640,480,0, 3800,640,480:waittimer 68750 blt 0,0,640,480,0, 3802,640,480:waittimer 68786 blt 0,0,640,480,0, 3804,640,480:waittimer 68822 blt 0,0,640,480,0, 3806,640,480:waittimer 68858 blt 0,0,640,480,0, 3808,640,480:waittimer 68894 blt 0,0,640,480,0, 3810,640,480:waittimer 68930 blt 0,0,640,480,0, 3812,640,480:waittimer 68967 blt 0,0,640,480,0, 3814,640,480:waittimer 69003 blt 0,0,640,480,0, 3816,640,480:waittimer 69039 blt 0,0,640,480,0, 3818,640,480:waittimer 69075 blt 0,0,640,480,0, 3820,640,480:waittimer 69111 blt 0,0,640,480,0, 3822,640,480:waittimer 69148 blt 0,0,640,480,0, 3824,640,480:waittimer 69184 blt 0,0,640,480,0, 3826,640,480:waittimer 69220 blt 0,0,640,480,0, 3828,640,480:waittimer 69256 blt 0,0,640,480,0, 3830,640,480:waittimer 69292 blt 0,0,640,480,0, 3832,640,480:waittimer 69328 blt 0,0,640,480,0, 3834,640,480:waittimer 69365 blt 0,0,640,480,0, 3836,640,480:waittimer 69401 blt 0,0,640,480,0, 3838,640,480:waittimer 69437 blt 0,0,640,480,0, 3840,640,480:waittimer 69473 blt 0,0,640,480,0, 3842,640,480:waittimer 69509 blt 0,0,640,480,0, 3844,640,480:waittimer 69546 blt 0,0,640,480,0, 3846,640,480:waittimer 69582 blt 0,0,640,480,0, 3848,640,480:waittimer 69618 blt 0,0,640,480,0, 3850,640,480:waittimer 69654 blt 0,0,640,480,0, 3852,640,480:waittimer 69690 blt 0,0,640,480,0, 3854,640,480:waittimer 69726 blt 0,0,640,480,0, 3856,640,480:waittimer 69763 blt 0,0,640,480,0, 3858,640,480:waittimer 69799 blt 0,0,640,480,0, 3860,640,480:waittimer 69835 blt 0,0,640,480,0, 3862,640,480:waittimer 69871 blt 0,0,640,480,0, 3864,640,480:waittimer 69907 blt 0,0,640,480,0, 3866,640,480:waittimer 69944 blt 0,0,640,480,0, 3868,640,480:waittimer 69980 blt 0,0,640,480,0, 3870,640,480:waittimer 70016 blt 0,0,640,480,0, 3872,640,480:waittimer 70052 blt 0,0,640,480,0, 3874,640,480:waittimer 70088 blt 0,0,640,480,0, 3876,640,480:waittimer 70125 blt 0,0,640,480,0, 3878,640,480:waittimer 70161 blt 0,0,640,480,0, 3880,640,480:waittimer 70197 blt 0,0,640,480,0, 3882,640,480:waittimer 70233 blt 0,0,640,480,0, 3884,640,480:waittimer 70269 blt 0,0,640,480,0, 3886,640,480:waittimer 70305 blt 0,0,640,480,0, 3888,640,480:waittimer 70342 blt 0,0,640,480,0, 3890,640,480:waittimer 70378 blt 0,0,640,480,0, 3892,640,480:waittimer 70414 blt 0,0,640,480,0, 3894,640,480:waittimer 70450 blt 0,0,640,480,0, 3896,640,480:waittimer 70486 blt 0,0,640,480,0, 3898,640,480:waittimer 70523 blt 0,0,640,480,0, 3900,640,480:waittimer 70559 blt 0,0,640,480,0, 3902,640,480:waittimer 70595 blt 0,0,640,480,0, 3904,640,480:waittimer 70631 blt 0,0,640,480,0, 3906,640,480:waittimer 70667 blt 0,0,640,480,0, 3908,640,480:waittimer 70703 blt 0,0,640,480,0, 3910,640,480:waittimer 70740 blt 0,0,640,480,0, 3912,640,480:waittimer 70776 blt 0,0,640,480,0, 3914,640,480:waittimer 70812 blt 0,0,640,480,0, 3916,640,480:waittimer 70848 blt 0,0,640,480,0, 3918,640,480:waittimer 70884 blt 0,0,640,480,0, 3920,640,480:waittimer 70921 blt 0,0,640,480,0, 3922,640,480:waittimer 70957 blt 0,0,640,480,0, 3924,640,480:waittimer 70993 blt 0,0,640,480,0, 3926,640,480:waittimer 71029 blt 0,0,640,480,0, 3928,640,480:waittimer 71065 blt 0,0,640,480,0, 3930,640,480:waittimer 71101 blt 0,0,640,480,0, 3932,640,480:waittimer 71138 blt 0,0,640,480,0, 3934,640,480:waittimer 71174 blt 0,0,640,480,0, 3936,640,480:waittimer 71210 blt 0,0,640,480,0, 3938,640,480:waittimer 71246 blt 0,0,640,480,0, 3940,640,480:waittimer 71282 blt 0,0,640,480,0, 3942,640,480:waittimer 71319 blt 0,0,640,480,0, 3944,640,480:waittimer 71355 blt 0,0,640,480,0, 3946,640,480:waittimer 71391 blt 0,0,640,480,0, 3948,640,480:waittimer 71427 blt 0,0,640,480,0, 3950,640,480:waittimer 71463 blt 0,0,640,480,0, 3952,640,480:waittimer 71500 blt 0,0,640,480,0, 3954,640,480:waittimer 71536 blt 0,0,640,480,0, 3956,640,480:waittimer 71572 blt 0,0,640,480,0, 3958,640,480:waittimer 71608 blt 0,0,640,480,0, 3960,640,480:waittimer 71644 blt 0,0,640,480,0, 3962,640,480:waittimer 71680 blt 0,0,640,480,0, 3964,640,480:waittimer 71717 blt 0,0,640,480,0, 3966,640,480:waittimer 71753 blt 0,0,640,480,0, 3968,640,480:waittimer 71789 blt 0,0,640,480,0, 3970,640,480:waittimer 71825 blt 0,0,640,480,0, 3972,640,480:waittimer 71861 blt 0,0,640,480,0, 3974,640,480:waittimer 71898 blt 0,0,640,480,0, 3976,640,480:waittimer 71934 blt 0,0,640,480,0, 3978,640,480:waittimer 71970 blt 0,0,640,480,0, 3980,640,480:waittimer 72006 blt 0,0,640,480,0, 3982,640,480:waittimer 72042 blt 0,0,640,480,0, 3984,640,480:waittimer 72078 blt 0,0,640,480,0, 3986,640,480:waittimer 72115 blt 0,0,640,480,0, 3988,640,480:waittimer 72151 blt 0,0,640,480,0, 3990,640,480:waittimer 72187 blt 0,0,640,480,0, 3992,640,480:waittimer 72223 blt 0,0,640,480,0, 3994,640,480:waittimer 72259 blt 0,0,640,480,0, 3996,640,480:waittimer 72296 blt 0,0,640,480,0, 3998,640,480:waittimer 72332 blt 0,0,640,480,0, 4000,640,480:waittimer 72368 blt 0,0,640,480,0, 4002,640,480:waittimer 72404 blt 0,0,640,480,0, 4004,640,480:waittimer 72440 blt 0,0,640,480,0, 4006,640,480:waittimer 72476 blt 0,0,640,480,0, 4008,640,480:waittimer 72513 blt 0,0,640,480,0, 4010,640,480:waittimer 72549 blt 0,0,640,480,0, 4012,640,480:waittimer 72585 blt 0,0,640,480,0, 4014,640,480:waittimer 72621 blt 0,0,640,480,0, 4016,640,480:waittimer 72657 blt 0,0,640,480,0, 4018,640,480:waittimer 72694 blt 0,0,640,480,0, 4020,640,480:waittimer 72730 blt 0,0,640,480,0, 4022,640,480:waittimer 72766 blt 0,0,640,480,0, 4024,640,480:waittimer 72802 blt 0,0,640,480,0, 4026,640,480:waittimer 72838 blt 0,0,640,480,0, 4028,640,480:waittimer 72875 blt 0,0,640,480,0, 4030,640,480:waittimer 72911 blt 0,0,640,480,0, 4032,640,480:waittimer 72947 blt 0,0,640,480,0, 4034,640,480:waittimer 72983 blt 0,0,640,480,0, 4036,640,480:waittimer 73019 blt 0,0,640,480,0, 4038,640,480:waittimer 73055 blt 0,0,640,480,0, 4040,640,480:waittimer 73092 blt 0,0,640,480,0, 4042,640,480:waittimer 73128 blt 0,0,640,480,0, 4044,640,480:waittimer 73164 blt 0,0,640,480,0, 4046,640,480:waittimer 73200 blt 0,0,640,480,0, 4048,640,480:waittimer 73236 blt 0,0,640,480,0, 4050,640,480:waittimer 73273 blt 0,0,640,480,0, 4052,640,480:waittimer 73309 blt 0,0,640,480,0, 4054,640,480:waittimer 73345 blt 0,0,640,480,0, 4056,640,480:waittimer 73381 blt 0,0,640,480,0, 4058,640,480:waittimer 73417 blt 0,0,640,480,0, 4060,640,480:waittimer 73453 blt 0,0,640,480,0, 4062,640,480:waittimer 73490 blt 0,0,640,480,0, 4064,640,480:waittimer 73526 blt 0,0,640,480,0, 4066,640,480:waittimer 73562 blt 0,0,640,480,0, 4068,640,480:waittimer 73598 blt 0,0,640,480,0, 4070,640,480:waittimer 73634 blt 0,0,640,480,0, 4072,640,480:waittimer 73671 blt 0,0,640,480,0, 4074,640,480:waittimer 73707 blt 0,0,640,480,0, 4076,640,480:waittimer 73743 blt 0,0,640,480,0, 4078,640,480:waittimer 73779 blt 0,0,640,480,0, 4080,640,480:waittimer 73815 blt 0,0,640,480,0, 4082,640,480:waittimer 73851 blt 0,0,640,480,0, 4084,640,480:waittimer 73888 blt 0,0,640,480,0, 4086,640,480:waittimer 73924 blt 0,0,640,480,0, 4088,640,480:waittimer 73960 blt 0,0,640,480,0, 4090,640,480:waittimer 73996 blt 0,0,640,480,0, 4092,640,480:waittimer 74032 blt 0,0,640,480,0, 4094,640,480:waittimer 74069 blt 0,0,640,480,0, 4096,640,480:waittimer 74105 blt 0,0,640,480,0, 4098,640,480:waittimer 74141 blt 0,0,640,480,0, 4100,640,480:waittimer 74177 blt 0,0,640,480,0, 4102,640,480:waittimer 74213 blt 0,0,640,480,0, 4104,640,480:waittimer 74250 blt 0,0,640,480,0, 4106,640,480:waittimer 74286 blt 0,0,640,480,0, 4108,640,480:waittimer 74322 blt 0,0,640,480,0, 4110,640,480:waittimer 74358 blt 0,0,640,480,0, 4112,640,480:waittimer 74394 blt 0,0,640,480,0, 4114,640,480:waittimer 74430 blt 0,0,640,480,0, 4116,640,480:waittimer 74467 blt 0,0,640,480,0, 4118,640,480:waittimer 74503 blt 0,0,640,480,0, 4120,640,480:waittimer 74539 blt 0,0,640,480,0, 4122,640,480:waittimer 74575 blt 0,0,640,480,0, 4124,640,480:waittimer 74611 blt 0,0,640,480,0, 4126,640,480:waittimer 74648 blt 0,0,640,480,0, 4128,640,480:waittimer 74684 blt 0,0,640,480,0, 4130,640,480:waittimer 74720 blt 0,0,640,480,0, 4132,640,480:waittimer 74756 blt 0,0,640,480,0, 4134,640,480:waittimer 74792 blt 0,0,640,480,0, 4136,640,480:waittimer 74828 blt 0,0,640,480,0, 4138,640,480:waittimer 74865 blt 0,0,640,480,0, 4140,640,480:waittimer 74901 blt 0,0,640,480,0, 4142,640,480:waittimer 74937 blt 0,0,640,480,0, 4144,640,480:waittimer 74973 blt 0,0,640,480,0, 4146,640,480:waittimer 75009 blt 0,0,640,480,0, 4148,640,480:waittimer 75046 blt 0,0,640,480,0, 4150,640,480:waittimer 75082 blt 0,0,640,480,0, 4152,640,480:waittimer 75118 blt 0,0,640,480,0, 4154,640,480:waittimer 75154 blt 0,0,640,480,0, 4156,640,480:waittimer 75190 blt 0,0,640,480,0, 4158,640,480:waittimer 75226 blt 0,0,640,480,0, 4160,640,480:waittimer 75263 blt 0,0,640,480,0, 4162,640,480:waittimer 75299 blt 0,0,640,480,0, 4164,640,480:waittimer 75335 blt 0,0,640,480,0, 4166,640,480:waittimer 75371 blt 0,0,640,480,0, 4168,640,480:waittimer 75407 blt 0,0,640,480,0, 4170,640,480:waittimer 75444 blt 0,0,640,480,0, 4172,640,480:waittimer 75480 blt 0,0,640,480,0, 4174,640,480:waittimer 75516 blt 0,0,640,480,0, 4176,640,480:waittimer 75552 blt 0,0,640,480,0, 4178,640,480:waittimer 75588 blt 0,0,640,480,0, 4180,640,480:waittimer 75625 blt 0,0,640,480,0, 4182,640,480:waittimer 75661 blt 0,0,640,480,0, 4184,640,480:waittimer 75697 blt 0,0,640,480,0, 4186,640,480:waittimer 75733 blt 0,0,640,480,0, 4188,640,480:waittimer 75769 blt 0,0,640,480,0, 4190,640,480:waittimer 75805 blt 0,0,640,480,0, 4192,640,480:waittimer 75842 blt 0,0,640,480,0, 4194,640,480:waittimer 75878 blt 0,0,640,480,0, 4196,640,480:waittimer 75914 blt 0,0,640,480,0, 4198,640,480:waittimer 75950 blt 0,0,640,480,0, 4200,640,480:waittimer 75986 blt 0,0,640,480,0, 4202,640,480:waittimer 76023 blt 0,0,640,480,0, 4204,640,480:waittimer 76059 blt 0,0,640,480,0, 4206,640,480:waittimer 76095 blt 0,0,640,480,0, 4208,640,480:waittimer 76131 blt 0,0,640,480,0, 4210,640,480:waittimer 76167 blt 0,0,640,480,0, 4212,640,480:waittimer 76203 blt 0,0,640,480,0, 4214,640,480:waittimer 76240 blt 0,0,640,480,0, 4216,640,480:waittimer 76276 blt 0,0,640,480,0, 4218,640,480:waittimer 76312 blt 0,0,640,480,0, 4220,640,480:waittimer 76348 blt 0,0,640,480,0, 4222,640,480:waittimer 76384 blt 0,0,640,480,0, 4224,640,480:waittimer 76421 blt 0,0,640,480,0, 4226,640,480:waittimer 76457 blt 0,0,640,480,0, 4228,640,480:waittimer 76493 blt 0,0,640,480,0, 4230,640,480:waittimer 76529 blt 0,0,640,480,0, 4232,640,480:waittimer 76565 blt 0,0,640,480,0, 4234,640,480:waittimer 76601 blt 0,0,640,480,0, 4236,640,480:waittimer 76638 blt 0,0,640,480,0, 4238,640,480:waittimer 76674 blt 0,0,640,480,0, 4240,640,480:waittimer 76710 blt 0,0,640,480,0, 4242,640,480:waittimer 76746 blt 0,0,640,480,0, 4244,640,480:waittimer 76782 blt 0,0,640,480,0, 4246,640,480:waittimer 76819 blt 0,0,640,480,0, 4248,640,480:waittimer 76855 blt 0,0,640,480,0, 4250,640,480:waittimer 76891 blt 0,0,640,480,0, 4252,640,480:waittimer 76927 blt 0,0,640,480,0, 4254,640,480:waittimer 76963 blt 0,0,640,480,0, 4256,640,480:waittimer 77000 blt 0,0,640,480,0, 4258,640,480:waittimer 77036 blt 0,0,640,480,0, 4260,640,480:waittimer 77072 blt 0,0,640,480,0, 4262,640,480:waittimer 77108 blt 0,0,640,480,0, 4264,640,480:waittimer 77144 blt 0,0,640,480,0, 4266,640,480:waittimer 77180 blt 0,0,640,480,0, 4268,640,480:waittimer 77217 blt 0,0,640,480,0, 4270,640,480:waittimer 77253 blt 0,0,640,480,0, 4272,640,480:waittimer 77289 blt 0,0,640,480,0, 4274,640,480:waittimer 77325 blt 0,0,640,480,0, 4276,640,480:waittimer 77361 blt 0,0,640,480,0, 4278,640,480:waittimer 77398 blt 0,0,640,480,0, 4280,640,480:waittimer 77434 blt 0,0,640,480,0, 4282,640,480:waittimer 77470 blt 0,0,640,480,0, 4284,640,480:waittimer 77506 blt 0,0,640,480,0, 4286,640,480:waittimer 77542 blt 0,0,640,480,0, 4288,640,480:waittimer 77578 blt 0,0,640,480,0, 4290,640,480:waittimer 77615 blt 0,0,640,480,0, 4292,640,480:waittimer 77651 blt 0,0,640,480,0, 4294,640,480:waittimer 77687 blt 0,0,640,480,0, 4296,640,480:waittimer 77723 blt 0,0,640,480,0, 4298,640,480:waittimer 77759 blt 0,0,640,480,0, 4300,640,480:waittimer 77796 blt 0,0,640,480,0, 4302,640,480:waittimer 77832 blt 0,0,640,480,0, 4304,640,480:waittimer 77868 blt 0,0,640,480,0, 4306,640,480:waittimer 77904 blt 0,0,640,480,0, 4308,640,480:waittimer 77940 blt 0,0,640,480,0, 4310,640,480:waittimer 77976 blt 0,0,640,480,0, 4312,640,480:waittimer 78013 blt 0,0,640,480,0, 4314,640,480:waittimer 78049 blt 0,0,640,480,0, 4316,640,480:waittimer 78085 blt 0,0,640,480,0, 4318,640,480:waittimer 78121 blt 0,0,640,480,0, 4320,640,480:waittimer 78157 blt 0,0,640,480,0, 4322,640,480:waittimer 78194 blt 0,0,640,480,0, 4324,640,480:waittimer 78230 blt 0,0,640,480,0, 4326,640,480:waittimer 78266 blt 0,0,640,480,0, 4328,640,480:waittimer 78302 blt 0,0,640,480,0, 4330,640,480:waittimer 78338 blt 0,0,640,480,0, 4332,640,480:waittimer 78375 blt 0,0,640,480,0, 4334,640,480:waittimer 78411 blt 0,0,640,480,0, 4336,640,480:waittimer 78447 blt 0,0,640,480,0, 4338,640,480:waittimer 78483 blt 0,0,640,480,0, 4340,640,480:waittimer 78519 blt 0,0,640,480,0, 4342,640,480:waittimer 78555 blt 0,0,640,480,0, 4344,640,480:waittimer 78592 blt 0,0,640,480,0, 4346,640,480:waittimer 78628 blt 0,0,640,480,0, 4348,640,480:waittimer 78664 blt 0,0,640,480,0, 4350,640,480:waittimer 78700 blt 0,0,640,480,0, 4352,640,480:waittimer 78736 blt 0,0,640,480,0, 4354,640,480:waittimer 78773 blt 0,0,640,480,0, 4356,640,480:waittimer 78809 blt 0,0,640,480,0, 4358,640,480:waittimer 78845 blt 0,0,640,480,0, 4360,640,480:waittimer 78881 blt 0,0,640,480,0, 4362,640,480:waittimer 78917 blt 0,0,640,480,0, 4364,640,480:waittimer 78953 blt 0,0,640,480,0, 4366,640,480:waittimer 78990 blt 0,0,640,480,0, 4368,640,480:waittimer 79026 blt 0,0,640,480,0, 4370,640,480:waittimer 79062 blt 0,0,640,480,0, 4372,640,480:waittimer 79098 blt 0,0,640,480,0, 4374,640,480:waittimer 79134 blt 0,0,640,480,0, 4376,640,480:waittimer 79171 blt 0,0,640,480,0, 4378,640,480:waittimer 79207 blt 0,0,640,480,0, 4380,640,480:waittimer 79243 blt 0,0,640,480,0, 4382,640,480:waittimer 79279 blt 0,0,640,480,0, 4384,640,480:waittimer 79315 blt 0,0,640,480,0, 4386,640,480:waittimer 79351 blt 0,0,640,480,0, 4388,640,480:waittimer 79388 blt 0,0,640,480,0, 4390,640,480:waittimer 79424 blt 0,0,640,480,0, 4392,640,480:waittimer 79460 blt 0,0,640,480,0, 4394,640,480:waittimer 79496 blt 0,0,640,480,0, 4396,640,480:waittimer 79532 blt 0,0,640,480,0, 4398,640,480:waittimer 79569 blt 0,0,640,480,0, 4400,640,480:waittimer 79605 blt 0,0,640,480,0, 4402,640,480:waittimer 79641 blt 0,0,640,480,0, 4404,640,480:waittimer 79677 blt 0,0,640,480,0, 4406,640,480:waittimer 79713 blt 0,0,640,480,0, 4408,640,480:waittimer 79750 blt 0,0,640,480,0, 4410,640,480:waittimer 79786 blt 0,0,640,480,0, 4412,640,480:waittimer 79822 blt 0,0,640,480,0, 4414,640,480:waittimer 79858 blt 0,0,640,480,0, 4416,640,480:waittimer 79894 blt 0,0,640,480,0, 4418,640,480:waittimer 79930 blt 0,0,640,480,0, 4420,640,480:waittimer 79967 blt 0,0,640,480,0, 4422,640,480:waittimer 80003 blt 0,0,640,480,0, 4424,640,480:waittimer 80039 blt 0,0,640,480,0, 4426,640,480:waittimer 80075 blt 0,0,640,480,0, 4428,640,480:waittimer 80111 blt 0,0,640,480,0, 4430,640,480:waittimer 80148 blt 0,0,640,480,0, 4432,640,480:waittimer 80184 blt 0,0,640,480,0, 4434,640,480:waittimer 80220 blt 0,0,640,480,0, 4436,640,480:waittimer 80256 blt 0,0,640,480,0, 4438,640,480:waittimer 80292 blt 0,0,640,480,0, 4440,640,480:waittimer 80328 blt 0,0,640,480,0, 4442,640,480:waittimer 80365 blt 0,0,640,480,0, 4444,640,480:waittimer 80401 blt 0,0,640,480,0, 4446,640,480:waittimer 80437 blt 0,0,640,480,0, 4448,640,480:waittimer 80473 blt 0,0,640,480,0, 4450,640,480:waittimer 80509 blt 0,0,640,480,0, 4452,640,480:waittimer 80546 blt 0,0,640,480,0, 4454,640,480:waittimer 80582 blt 0,0,640,480,0, 4456,640,480:waittimer 80618 blt 0,0,640,480,0, 4458,640,480:waittimer 80654 blt 0,0,640,480,0, 4460,640,480:waittimer 80690 blt 0,0,640,480,0, 4462,640,480:waittimer 80726 blt 0,0,640,480,0, 4464,640,480:waittimer 80763 blt 0,0,640,480,0, 4466,640,480:waittimer 80799 blt 0,0,640,480,0, 4468,640,480:waittimer 80835 blt 0,0,640,480,0, 4470,640,480:waittimer 80871 blt 0,0,640,480,0, 4472,640,480:waittimer 80907 blt 0,0,640,480,0, 4474,640,480:waittimer 80944 blt 0,0,640,480,0, 4476,640,480:waittimer 80980 blt 0,0,640,480,0, 4478,640,480:waittimer 81016 blt 0,0,640,480,0, 4480,640,480:waittimer 81052 blt 0,0,640,480,0, 4482,640,480:waittimer 81088 blt 0,0,640,480,0, 4484,640,480:waittimer 81125 blt 0,0,640,480,0, 4486,640,480:waittimer 81161 blt 0,0,640,480,0, 4488,640,480:waittimer 81197 blt 0,0,640,480,0, 4490,640,480:waittimer 81233 blt 0,0,640,480,0, 4492,640,480:waittimer 81269 blt 0,0,640,480,0, 4494,640,480:waittimer 81305 blt 0,0,640,480,0, 4496,640,480:waittimer 81342 blt 0,0,640,480,0, 4498,640,480:waittimer 81378 blt 0,0,640,480,0, 4500,640,480:waittimer 81414 blt 0,0,640,480,0, 4502,640,480:waittimer 81450 blt 0,0,640,480,0, 4504,640,480:waittimer 81486 blt 0,0,640,480,0, 4506,640,480:waittimer 81523 blt 0,0,640,480,0, 4508,640,480:waittimer 81559 blt 0,0,640,480,0, 4510,640,480:waittimer 81595 blt 0,0,640,480,0, 4512,640,480:waittimer 81631 blt 0,0,640,480,0, 4514,640,480:waittimer 81667 blt 0,0,640,480,0, 4516,640,480:waittimer 81703 blt 0,0,640,480,0, 4518,640,480:waittimer 81740 blt 0,0,640,480,0, 4520,640,480:waittimer 81776 blt 0,0,640,480,0, 4522,640,480:waittimer 81812 blt 0,0,640,480,0, 4524,640,480:waittimer 81848 blt 0,0,640,480,0, 4526,640,480:waittimer 81884 blt 0,0,640,480,0, 4528,640,480:waittimer 81921 blt 0,0,640,480,0, 4530,640,480:waittimer 81957 blt 0,0,640,480,0, 4532,640,480:waittimer 81993 blt 0,0,640,480,0, 4534,640,480:waittimer 82029 blt 0,0,640,480,0, 4536,640,480:waittimer 82065 blt 0,0,640,480,0, 4538,640,480:waittimer 82101 blt 0,0,640,480,0, 4540,640,480:waittimer 82138 blt 0,0,640,480,0, 4542,640,480:waittimer 82174 blt 0,0,640,480,0, 4544,640,480:waittimer 82210 blt 0,0,640,480,0, 4546,640,480:waittimer 82246 blt 0,0,640,480,0, 4548,640,480:waittimer 82282 blt 0,0,640,480,0, 4550,640,480:waittimer 82319 blt 0,0,640,480,0, 4552,640,480:waittimer 82355 blt 0,0,640,480,0, 4554,640,480:waittimer 82391 blt 0,0,640,480,0, 4556,640,480:waittimer 82427 blt 0,0,640,480,0, 4558,640,480:waittimer 82463 blt 0,0,640,480,0, 4560,640,480:waittimer 82500 blt 0,0,640,480,0, 4562,640,480:waittimer 82536 blt 0,0,640,480,0, 4564,640,480:waittimer 82572 blt 0,0,640,480,0, 4566,640,480:waittimer 82608 blt 0,0,640,480,0, 4568,640,480:waittimer 82644 blt 0,0,640,480,0, 4570,640,480:waittimer 82680 blt 0,0,640,480,0, 4572,640,480:waittimer 82717 blt 0,0,640,480,0, 4574,640,480:waittimer 82753 blt 0,0,640,480,0, 4576,640,480:waittimer 82789 blt 0,0,640,480,0, 4578,640,480:waittimer 82825 blt 0,0,640,480,0, 4580,640,480:waittimer 82861 blt 0,0,640,480,0, 4582,640,480:waittimer 82898 blt 0,0,640,480,0, 4584,640,480:waittimer 82934 blt 0,0,640,480,0, 4586,640,480:waittimer 82970 blt 0,0,640,480,0, 4588,640,480:waittimer 83006 blt 0,0,640,480,0, 4590,640,480:waittimer 83042 blt 0,0,640,480,0, 4592,640,480:waittimer 83078 blt 0,0,640,480,0, 4594,640,480:waittimer 83115 blt 0,0,640,480,0, 4596,640,480:waittimer 83151 blt 0,0,640,480,0, 4598,640,480:waittimer 83187 blt 0,0,640,480,0, 4600,640,480:waittimer 83223 blt 0,0,640,480,0, 4602,640,480:waittimer 83259 blt 0,0,640,480,0, 4604,640,480:waittimer 83296 blt 0,0,640,480,0, 4606,640,480:waittimer 83332 blt 0,0,640,480,0, 4608,640,480:waittimer 83368 blt 0,0,640,480,0, 4610,640,480:waittimer 83404 blt 0,0,640,480,0, 4612,640,480:waittimer 83440 blt 0,0,640,480,0, 4614,640,480:waittimer 83476 blt 0,0,640,480,0, 4616,640,480:waittimer 83513 blt 0,0,640,480,0, 4618,640,480:waittimer 83549 blt 0,0,640,480,0, 4620,640,480:waittimer 83585 blt 0,0,640,480,0, 4622,640,480:waittimer 83621 blt 0,0,640,480,0, 4624,640,480:waittimer 83657 blt 0,0,640,480,0, 4626,640,480:waittimer 83694 blt 0,0,640,480,0, 4628,640,480:waittimer 83730 blt 0,0,640,480,0, 4630,640,480:waittimer 83766 blt 0,0,640,480,0, 4632,640,480:waittimer 83802 blt 0,0,640,480,0, 4634,640,480:waittimer 83838 blt 0,0,640,480,0, 4636,640,480:waittimer 83875 blt 0,0,640,480,0, 4638,640,480:waittimer 83911 blt 0,0,640,480,0, 4640,640,480:waittimer 83947 blt 0,0,640,480,0, 4642,640,480:waittimer 83983 blt 0,0,640,480,0, 4644,640,480:waittimer 84019 blt 0,0,640,480,0, 4646,640,480:waittimer 84055 blt 0,0,640,480,0, 4648,640,480:waittimer 84092 blt 0,0,640,480,0, 4650,640,480:waittimer 84128 blt 0,0,640,480,0, 4652,640,480:waittimer 84164 blt 0,0,640,480,0, 4654,640,480:waittimer 84200 blt 0,0,640,480,0, 4656,640,480:waittimer 84236 blt 0,0,640,480,0, 4658,640,480:waittimer 84273 blt 0,0,640,480,0, 4660,640,480:waittimer 84309 blt 0,0,640,480,0, 4662,640,480:waittimer 84345 blt 0,0,640,480,0, 4664,640,480:waittimer 84381 blt 0,0,640,480,0, 4666,640,480:waittimer 84417 blt 0,0,640,480,0, 4668,640,480:waittimer 84453 blt 0,0,640,480,0, 4670,640,480:waittimer 84490 blt 0,0,640,480,0, 4672,640,480:waittimer 84526 blt 0,0,640,480,0, 4674,640,480:waittimer 84562 blt 0,0,640,480,0, 4676,640,480:waittimer 84598 blt 0,0,640,480,0, 4678,640,480:waittimer 84634 blt 0,0,640,480,0, 4680,640,480:waittimer 84671 blt 0,0,640,480,0, 4682,640,480:waittimer 84707 blt 0,0,640,480,0, 4684,640,480:waittimer 84743 blt 0,0,640,480,0, 4686,640,480:waittimer 84779 blt 0,0,640,480,0, 4688,640,480:waittimer 84815 blt 0,0,640,480,0, 4690,640,480:waittimer 84851 blt 0,0,640,480,0, 4692,640,480:waittimer 84888 blt 0,0,640,480,0, 4694,640,480:waittimer 84924 blt 0,0,640,480,0, 4696,640,480:waittimer 84960 blt 0,0,640,480,0, 4698,640,480:waittimer 84996 blt 0,0,640,480,0, 4700,640,480:waittimer 85032 blt 0,0,640,480,0, 4702,640,480:waittimer 85069 blt 0,0,640,480,0, 4704,640,480:waittimer 85105 blt 0,0,640,480,0, 4706,640,480:waittimer 85141 blt 0,0,640,480,0, 4708,640,480:waittimer 85177 blt 0,0,640,480,0, 4710,640,480:waittimer 85213 blt 0,0,640,480,0, 4712,640,480:waittimer 85250 blt 0,0,640,480,0, 4714,640,480:waittimer 85286 blt 0,0,640,480,0, 4716,640,480:waittimer 85322 blt 0,0,640,480,0, 4718,640,480:waittimer 85358 blt 0,0,640,480,0, 4720,640,480:waittimer 85394 blt 0,0,640,480,0, 4722,640,480:waittimer 85430 blt 0,0,640,480,0, 4724,640,480:waittimer 85467 blt 0,0,640,480,0, 4726,640,480:waittimer 85503 blt 0,0,640,480,0, 4728,640,480:waittimer 85539 blt 0,0,640,480,0, 4730,640,480:waittimer 85575 blt 0,0,640,480,0, 4732,640,480:waittimer 85611 blt 0,0,640,480,0, 4734,640,480:waittimer 85648 blt 0,0,640,480,0, 4736,640,480:waittimer 85684 blt 0,0,640,480,0, 4738,640,480:waittimer 85720 blt 0,0,640,480,0, 4740,640,480:waittimer 85756 blt 0,0,640,480,0, 4742,640,480:waittimer 85792 blt 0,0,640,480,0, 4744,640,480:waittimer 85828 blt 0,0,640,480,0, 4746,640,480:waittimer 85865 blt 0,0,640,480,0, 4748,640,480:waittimer 85901 blt 0,0,640,480,0, 4750,640,480:waittimer 85937 blt 0,0,640,480,0, 4752,640,480:waittimer 85973 blt 0,0,640,480,0, 4754,640,480:waittimer 86009 blt 0,0,640,480,0, 4756,640,480:waittimer 86046 blt 0,0,640,480,0, 4758,640,480:waittimer 86082 blt 0,0,640,480,0, 4760,640,480:waittimer 86118 blt 0,0,640,480,0, 4762,640,480:waittimer 86154 blt 0,0,640,480,0, 4764,640,480:waittimer 86190 blt 0,0,640,480,0, 4766,640,480:waittimer 86226 blt 0,0,640,480,0, 4768,640,480:waittimer 86263 blt 0,0,640,480,0, 4770,640,480:waittimer 86299 blt 0,0,640,480,0, 4772,640,480:waittimer 86335 blt 0,0,640,480,0, 4774,640,480:waittimer 86371 blt 0,0,640,480,0, 4776,640,480:waittimer 86407 blt 0,0,640,480,0, 4778,640,480:waittimer 86444 blt 0,0,640,480,0, 4780,640,480:waittimer 86480 blt 0,0,640,480,0, 4782,640,480:waittimer 86516 blt 0,0,640,480,0, 4784,640,480:waittimer 86552 blt 0,0,640,480,0, 4786,640,480:waittimer 86588 blt 0,0,640,480,0, 4788,640,480:waittimer 86625 blt 0,0,640,480,0, 4790,640,480:waittimer 86661 blt 0,0,640,480,0, 4792,640,480:waittimer 86697 blt 0,0,640,480,0, 4794,640,480:waittimer 86733 blt 0,0,640,480,0, 4796,640,480:waittimer 86769 blt 0,0,640,480,0, 4798,640,480:waittimer 86805 blt 0,0,640,480,0, 4800,640,480:waittimer 86842 blt 0,0,640,480,0, 4802,640,480:waittimer 86878 blt 0,0,640,480,0, 4804,640,480:waittimer 86914 blt 0,0,640,480,0, 4806,640,480:waittimer 86950 blt 0,0,640,480,0, 4808,640,480:waittimer 86986 blt 0,0,640,480,0, 4810,640,480:waittimer 87023 blt 0,0,640,480,0, 4812,640,480:waittimer 87059 blt 0,0,640,480,0, 4814,640,480:waittimer 87095 blt 0,0,640,480,0, 4816,640,480:waittimer 87131 blt 0,0,640,480,0, 4818,640,480:waittimer 87167 blt 0,0,640,480,0, 4820,640,480:waittimer 87203 blt 0,0,640,480,0, 4822,640,480:waittimer 87240 blt 0,0,640,480,0, 4824,640,480:waittimer 87276 blt 0,0,640,480,0, 4826,640,480:waittimer 87312 blt 0,0,640,480,0, 4828,640,480:waittimer 87348 blt 0,0,640,480,0, 4830,640,480:waittimer 87384 blt 0,0,640,480,0, 4832,640,480:waittimer 87421 blt 0,0,640,480,0, 4834,640,480:waittimer 87457 blt 0,0,640,480,0, 4836,640,480:waittimer 87493 blt 0,0,640,480,0, 4838,640,480:waittimer 87529 blt 0,0,640,480,0, 4840,640,480:waittimer 87565 blt 0,0,640,480,0, 4842,640,480:waittimer 87601 blt 0,0,640,480,0, 4844,640,480:waittimer 87638 blt 0,0,640,480,0, 4846,640,480:waittimer 87674 blt 0,0,640,480,0, 4848,640,480:waittimer 87710 blt 0,0,640,480,0, 4850,640,480:waittimer 87746 blt 0,0,640,480,0, 4852,640,480:waittimer 87782 blt 0,0,640,480,0, 4854,640,480:waittimer 87819 blt 0,0,640,480,0, 4856,640,480:waittimer 87855 blt 0,0,640,480,0, 4858,640,480:waittimer 87891 blt 0,0,640,480,0, 4860,640,480:waittimer 87927 blt 0,0,640,480,0, 4862,640,480:waittimer 87963 blt 0,0,640,480,0, 4864,640,480:waittimer 88000 blt 0,0,640,480,0, 4866,640,480:waittimer 88036 blt 0,0,640,480,0, 4868,640,480:waittimer 88072 blt 0,0,640,480,0, 4870,640,480:waittimer 88108 blt 0,0,640,480,0, 4872,640,480:waittimer 88144 blt 0,0,640,480,0, 4874,640,480:waittimer 88180 blt 0,0,640,480,0, 4876,640,480:waittimer 88217 blt 0,0,640,480,0, 4878,640,480:waittimer 88253 blt 0,0,640,480,0, 4880,640,480:waittimer 88289 blt 0,0,640,480,0, 4882,640,480:waittimer 88325 blt 0,0,640,480,0, 4884,640,480:waittimer 88361 blt 0,0,640,480,0, 4886,640,480:waittimer 88398 blt 0,0,640,480,0, 4888,640,480:waittimer 88434 blt 0,0,640,480,0, 4890,640,480:waittimer 88470 blt 0,0,640,480,0, 4892,640,480:waittimer 88506 blt 0,0,640,480,0, 4894,640,480:waittimer 88542 blt 0,0,640,480,0, 4896,640,480:waittimer 88578 blt 0,0,640,480,0, 4898,640,480:waittimer 88615 blt 0,0,640,480,0, 4900,640,480:waittimer 88651 blt 0,0,640,480,0, 4902,640,480:waittimer 88687 blt 0,0,640,480,0, 4904,640,480:waittimer 88723 blt 0,0,640,480,0, 4906,640,480:waittimer 88759 blt 0,0,640,480,0, 4908,640,480:waittimer 88796 blt 0,0,640,480,0, 4910,640,480:waittimer 88832 blt 0,0,640,480,0, 4912,640,480:waittimer 88868 blt 0,0,640,480,0, 4914,640,480:waittimer 88904 blt 0,0,640,480,0, 4916,640,480:waittimer 88940 blt 0,0,640,480,0, 4918,640,480:waittimer 88976 blt 0,0,640,480,0, 4920,640,480:waittimer 89013 blt 0,0,640,480,0, 4922,640,480:waittimer 89049 blt 0,0,640,480,0, 4924,640,480:waittimer 89085 blt 0,0,640,480,0, 4926,640,480:waittimer 89121 blt 0,0,640,480,0, 4928,640,480:waittimer 89157 blt 0,0,640,480,0, 4930,640,480:waittimer 89194 blt 0,0,640,480,0, 4932,640,480:waittimer 89230 blt 0,0,640,480,0, 4934,640,480:waittimer 89266 blt 0,0,640,480,0, 4936,640,480:waittimer 89302 blt 0,0,640,480,0, 4938,640,480:waittimer 89338 blt 0,0,640,480,0, 4940,640,480:waittimer 89375 blt 0,0,640,480,0, 4942,640,480:waittimer 89411 blt 0,0,640,480,0, 4944,640,480:waittimer 89447 blt 0,0,640,480,0, 4946,640,480:waittimer 89483 blt 0,0,640,480,0, 4948,640,480:waittimer 89519 blt 0,0,640,480,0, 4950,640,480:waittimer 89555 blt 0,0,640,480,0, 4952,640,480:waittimer 89592 blt 0,0,640,480,0, 4954,640,480:waittimer 89628 blt 0,0,640,480,0, 4956,640,480:waittimer 89664 blt 0,0,640,480,0, 4958,640,480:waittimer 89700 blt 0,0,640,480,0, 4960,640,480:waittimer 89736 blt 0,0,640,480,0, 4962,640,480:waittimer 89773 blt 0,0,640,480,0, 4964,640,480:waittimer 89809 blt 0,0,640,480,0, 4966,640,480:waittimer 89845 blt 0,0,640,480,0, 4968,640,480:waittimer 89881 blt 0,0,640,480,0, 4970,640,480:waittimer 89917 blt 0,0,640,480,0, 4972,640,480:waittimer 89953 blt 0,0,640,480,0, 4974,640,480:waittimer 89990 blt 0,0,640,480,0, 4976,640,480:waittimer 90026 blt 0,0,640,480,0, 4978,640,480:waittimer 90062 blt 0,0,640,480,0, 4980,640,480:waittimer 90098 blt 0,0,640,480,0, 4982,640,480:waittimer 90134 blt 0,0,640,480,0, 4984,640,480:waittimer 90171 blt 0,0,640,480,0, 4986,640,480:waittimer 90207 blt 0,0,640,480,0, 4988,640,480:waittimer 90243 blt 0,0,640,480,0, 4990,640,480:waittimer 90279 blt 0,0,640,480,0, 4992,640,480:waittimer 90315 blt 0,0,640,480,0, 4994,640,480:waittimer 90351 blt 0,0,640,480,0, 4996,640,480:waittimer 90388 blt 0,0,640,480,0, 4998,640,480:waittimer 90424 blt 0,0,640,480,0, 5000,640,480:waittimer 90460 blt 0,0,640,480,0, 5002,640,480:waittimer 90496 blt 0,0,640,480,0, 5004,640,480:waittimer 90532 blt 0,0,640,480,0, 5006,640,480:waittimer 90569 blt 0,0,640,480,0, 5008,640,480:waittimer 90605 blt 0,0,640,480,0, 5010,640,480:waittimer 90641 blt 0,0,640,480,0, 5012,640,480:waittimer 90677 blt 0,0,640,480,0, 5014,640,480:waittimer 90713 blt 0,0,640,480,0, 5016,640,480:waittimer 90750 blt 0,0,640,480,0, 5018,640,480:waittimer 90786 blt 0,0,640,480,0, 5020,640,480:waittimer 90822 blt 0,0,640,480,0, 5022,640,480:waittimer 90858 blt 0,0,640,480,0, 5024,640,480:waittimer 90894 blt 0,0,640,480,0, 5026,640,480:waittimer 90930 blt 0,0,640,480,0, 5028,640,480:waittimer 90967 blt 0,0,640,480,0, 5030,640,480:waittimer 91003 blt 0,0,640,480,0, 5032,640,480:waittimer 91039 blt 0,0,640,480,0, 5034,640,480:waittimer 91075 blt 0,0,640,480,0, 5036,640,480:waittimer 91111 blt 0,0,640,480,0, 5038,640,480:waittimer 91148 blt 0,0,640,480,0, 5040,640,480:waittimer 91184 blt 0,0,640,480,0, 5042,640,480:waittimer 91220 blt 0,0,640,480,0, 5044,640,480:waittimer 91256 blt 0,0,640,480,0, 5046,640,480:waittimer 91292 blt 0,0,640,480,0, 5048,640,480:waittimer 91328 blt 0,0,640,480,0, 5050,640,480:waittimer 91365 blt 0,0,640,480,0, 5052,640,480:waittimer 91401 blt 0,0,640,480,0, 5054,640,480:waittimer 91437 blt 0,0,640,480,0, 5056,640,480:waittimer 91473 blt 0,0,640,480,0, 5058,640,480:waittimer 91509 blt 0,0,640,480,0, 5060,640,480:waittimer 91546 blt 0,0,640,480,0, 5062,640,480:waittimer 91582 blt 0,0,640,480,0, 5064,640,480:waittimer 91618 blt 0,0,640,480,0, 5066,640,480:waittimer 91654 blt 0,0,640,480,0, 5068,640,480:waittimer 91690 blt 0,0,640,480,0, 5070,640,480:waittimer 91726 blt 0,0,640,480,0, 5072,640,480:waittimer 91763 blt 0,0,640,480,0, 5074,640,480:waittimer 91799 blt 0,0,640,480,0, 5076,640,480:waittimer 91835 blt 0,0,640,480,0, 5078,640,480:waittimer 91871 blt 0,0,640,480,0, 5080,640,480:waittimer 91907 blt 0,0,640,480,0, 5082,640,480:waittimer 91944 blt 0,0,640,480,0, 5084,640,480:waittimer 91980 blt 0,0,640,480,0, 5086,640,480:waittimer 92016 blt 0,0,640,480,0, 5088,640,480:waittimer 92052 blt 0,0,640,480,0, 5090,640,480:waittimer 92088 blt 0,0,640,480,0, 5092,640,480:waittimer 92125 blt 0,0,640,480,0, 5094,640,480:waittimer 92161 blt 0,0,640,480,0, 5096,640,480:waittimer 92197 blt 0,0,640,480,0, 5098,640,480:waittimer 92233 blt 0,0,640,480,0, 5100,640,480:waittimer 92269 blt 0,0,640,480,0, 5102,640,480:waittimer 92305 blt 0,0,640,480,0, 5104,640,480:waittimer 92342 blt 0,0,640,480,0, 5106,640,480:waittimer 92378 blt 0,0,640,480,0, 5108,640,480:waittimer 92414 blt 0,0,640,480,0, 5110,640,480:waittimer 92450 blt 0,0,640,480,0, 5112,640,480:waittimer 92486 blt 0,0,640,480,0, 5114,640,480:waittimer 92523 blt 0,0,640,480,0, 5116,640,480:waittimer 92559 blt 0,0,640,480,0, 5118,640,480:waittimer 92595 blt 0,0,640,480,0, 5120,640,480:waittimer 92631 blt 0,0,640,480,0, 5122,640,480:waittimer 92667 blt 0,0,640,480,0, 5124,640,480:waittimer 92703 blt 0,0,640,480,0, 5126,640,480:waittimer 92740 blt 0,0,640,480,0, 5128,640,480:waittimer 92776 blt 0,0,640,480,0, 5130,640,480:waittimer 92812 blt 0,0,640,480,0, 5132,640,480:waittimer 92848 blt 0,0,640,480,0, 5134,640,480:waittimer 92884 blt 0,0,640,480,0, 5136,640,480:waittimer 92921 blt 0,0,640,480,0, 5138,640,480:waittimer 92957 blt 0,0,640,480,0, 5140,640,480:waittimer 92993 blt 0,0,640,480,0, 5142,640,480:waittimer 93029 blt 0,0,640,480,0, 5144,640,480:waittimer 93065 blt 0,0,640,480,0, 5146,640,480:waittimer 93101 blt 0,0,640,480,0, 5148,640,480:waittimer 93138 blt 0,0,640,480,0, 5150,640,480:waittimer 93174 blt 0,0,640,480,0, 5152,640,480:waittimer 93210 blt 0,0,640,480,0, 5154,640,480:waittimer 93246 blt 0,0,640,480,0, 5156,640,480:waittimer 93282 blt 0,0,640,480,0, 5158,640,480:waittimer 93319 blt 0,0,640,480,0, 5160,640,480:waittimer 93355 blt 0,0,640,480,0, 5162,640,480:waittimer 93391 blt 0,0,640,480,0, 5164,640,480:waittimer 93427 blt 0,0,640,480,0, 5166,640,480:waittimer 93463 blt 0,0,640,480,0, 5168,640,480:waittimer 93500 blt 0,0,640,480,0, 5170,640,480:waittimer 93536 blt 0,0,640,480,0, 5172,640,480:waittimer 93572 blt 0,0,640,480,0, 5174,640,480:waittimer 93608 blt 0,0,640,480,0, 5176,640,480:waittimer 93644 blt 0,0,640,480,0, 5178,640,480:waittimer 93680 blt 0,0,640,480,0, 5180,640,480:waittimer 93717 blt 0,0,640,480,0, 5182,640,480:waittimer 93753 blt 0,0,640,480,0, 5184,640,480:waittimer 93789 blt 0,0,640,480,0, 5186,640,480:waittimer 93825 blt 0,0,640,480,0, 5188,640,480:waittimer 93861 blt 0,0,640,480,0, 5190,640,480:waittimer 93898 blt 0,0,640,480,0, 5192,640,480:waittimer 93934 blt 0,0,640,480,0, 5194,640,480:waittimer 93970 blt 0,0,640,480,0, 5196,640,480:waittimer 94006 blt 0,0,640,480,0, 5198,640,480:waittimer 94042 blt 0,0,640,480,0, 5200,640,480:waittimer 94078 blt 0,0,640,480,0, 5202,640,480:waittimer 94115 blt 0,0,640,480,0, 5204,640,480:waittimer 94151 blt 0,0,640,480,0, 5206,640,480:waittimer 94187 blt 0,0,640,480,0, 5208,640,480:waittimer 94223 blt 0,0,640,480,0, 5210,640,480:waittimer 94259 blt 0,0,640,480,0, 5212,640,480:waittimer 94296 blt 0,0,640,480,0, 5214,640,480:waittimer 94332 blt 0,0,640,480,0, 5216,640,480:waittimer 94368 blt 0,0,640,480,0, 5218,640,480:waittimer 94404 blt 0,0,640,480,0, 5220,640,480:waittimer 94440 blt 0,0,640,480,0, 5222,640,480:waittimer 94476 blt 0,0,640,480,0, 5224,640,480:waittimer 94513 blt 0,0,640,480,0, 5226,640,480:waittimer 94549 blt 0,0,640,480,0, 5228,640,480:waittimer 94585 blt 0,0,640,480,0, 5230,640,480:waittimer 94621 blt 0,0,640,480,0, 5232,640,480:waittimer 94657 blt 0,0,640,480,0, 5234,640,480:waittimer 94694 blt 0,0,640,480,0, 5236,640,480:waittimer 94730 blt 0,0,640,480,0, 5238,640,480:waittimer 94766 blt 0,0,640,480,0, 5240,640,480:waittimer 94802 blt 0,0,640,480,0, 5242,640,480:waittimer 94838 blt 0,0,640,480,0, 5244,640,480:waittimer 94875 blt 0,0,640,480,0, 5246,640,480:waittimer 94911 blt 0,0,640,480,0, 5248,640,480:waittimer 94947 blt 0,0,640,480,0, 5250,640,480:waittimer 94983 blt 0,0,640,480,0, 5252,640,480:waittimer 95019 blt 0,0,640,480,0, 5254,640,480:waittimer 95055 blt 0,0,640,480,0, 5256,640,480:waittimer 95092 blt 0,0,640,480,0, 5258,640,480:waittimer 95128 blt 0,0,640,480,0, 5260,640,480:waittimer 95164 blt 0,0,640,480,0, 5262,640,480:waittimer 95200 blt 0,0,640,480,0, 5264,640,480:waittimer 95236 blt 0,0,640,480,0, 5266,640,480:waittimer 95273 blt 0,0,640,480,0, 5268,640,480:waittimer 95309 blt 0,0,640,480,0, 5270,640,480:waittimer 95345 blt 0,0,640,480,0, 5272,640,480:waittimer 95381 blt 0,0,640,480,0, 5274,640,480:waittimer 95417 blt 0,0,640,480,0, 5276,640,480:waittimer 95453 blt 0,0,640,480,0, 5278,640,480:waittimer 95490 blt 0,0,640,480,0, 5280,640,480:waittimer 95526 blt 0,0,640,480,0, 5282,640,480:waittimer 95562 blt 0,0,640,480,0, 5284,640,480:waittimer 95598 blt 0,0,640,480,0, 5286,640,480:waittimer 95634 blt 0,0,640,480,0, 5288,640,480:waittimer 95671 blt 0,0,640,480,0, 5290,640,480:waittimer 95707 blt 0,0,640,480,0, 5292,640,480:waittimer 95743 blt 0,0,640,480,0, 5294,640,480:waittimer 95779 blt 0,0,640,480,0, 5296,640,480:waittimer 95815 blt 0,0,640,480,0, 5298,640,480:waittimer 95851 blt 0,0,640,480,0, 5300,640,480:waittimer 95888 blt 0,0,640,480,0, 5302,640,480:waittimer 95924 blt 0,0,640,480,0, 5304,640,480:waittimer 95960 blt 0,0,640,480,0, 5306,640,480:waittimer 95996 blt 0,0,640,480,0, 5308,640,480:waittimer 96032 blt 0,0,640,480,0, 5310,640,480:waittimer 96069 blt 0,0,640,480,0, 5312,640,480:waittimer 96105 blt 0,0,640,480,0, 5314,640,480:waittimer 96141 blt 0,0,640,480,0, 5316,640,480:waittimer 96177 blt 0,0,640,480,0, 5318,640,480:waittimer 96213 blt 0,0,640,480,0, 5320,640,480:waittimer 96250 blt 0,0,640,480,0, 5322,640,480:waittimer 96286 blt 0,0,640,480,0, 5324,640,480:waittimer 96322 blt 0,0,640,480,0, 5326,640,480:waittimer 96358 blt 0,0,640,480,0, 5328,640,480:waittimer 96394 blt 0,0,640,480,0, 5330,640,480:waittimer 96430 blt 0,0,640,480,0, 5332,640,480:waittimer 96467 blt 0,0,640,480,0, 5334,640,480:waittimer 96503 blt 0,0,640,480,0, 5336,640,480:waittimer 96539 blt 0,0,640,480,0, 5338,640,480:waittimer 96575 blt 0,0,640,480,0, 5340,640,480:waittimer 96611 blt 0,0,640,480,0, 5342,640,480:waittimer 96648 blt 0,0,640,480,0, 5344,640,480:waittimer 96684 blt 0,0,640,480,0, 5346,640,480:waittimer 96720 blt 0,0,640,480,0, 5348,640,480:waittimer 96756 blt 0,0,640,480,0, 5350,640,480:waittimer 96792 blt 0,0,640,480,0, 5352,640,480:waittimer 96828 blt 0,0,640,480,0, 5354,640,480:waittimer 96865 blt 0,0,640,480,0, 5356,640,480:waittimer 96901 blt 0,0,640,480,0, 5358,640,480:waittimer 96937 blt 0,0,640,480,0, 5360,640,480:waittimer 96973 blt 0,0,640,480,0, 5362,640,480:waittimer 97009 blt 0,0,640,480,0, 5364,640,480:waittimer 97046 blt 0,0,640,480,0, 5366,640,480:waittimer 97082 blt 0,0,640,480,0, 5368,640,480:waittimer 97118 blt 0,0,640,480,0, 5370,640,480:waittimer 97154 blt 0,0,640,480,0, 5372,640,480:waittimer 97190 blt 0,0,640,480,0, 5374,640,480:waittimer 97226 blt 0,0,640,480,0, 5376,640,480:waittimer 97263 blt 0,0,640,480,0, 5378,640,480:waittimer 97299 blt 0,0,640,480,0, 5380,640,480:waittimer 97335 blt 0,0,640,480,0, 5382,640,480:waittimer 97371 blt 0,0,640,480,0, 5384,640,480:waittimer 97407 blt 0,0,640,480,0, 5386,640,480:waittimer 97444 blt 0,0,640,480,0, 5388,640,480:waittimer 97480 blt 0,0,640,480,0, 5390,640,480:waittimer 97516 blt 0,0,640,480,0, 5392,640,480:waittimer 97552 blt 0,0,640,480,0, 5394,640,480:waittimer 97588 blt 0,0,640,480,0, 5396,640,480:waittimer 97625 blt 0,0,640,480,0, 5398,640,480:waittimer 97661 blt 0,0,640,480,0, 5400,640,480:waittimer 97697 blt 0,0,640,480,0, 5402,640,480:waittimer 97733 blt 0,0,640,480,0, 5404,640,480:waittimer 97769 blt 0,0,640,480,0, 5406,640,480:waittimer 97805 blt 0,0,640,480,0, 5408,640,480:waittimer 97842 blt 0,0,640,480,0, 5410,640,480:waittimer 97878 blt 0,0,640,480,0, 5412,640,480:waittimer 97914 blt 0,0,640,480,0, 5414,640,480:waittimer 97950 blt 0,0,640,480,0, 5416,640,480:waittimer 97986 blt 0,0,640,480,0, 5418,640,480:waittimer 98023 blt 0,0,640,480,0, 5420,640,480:waittimer 98059 blt 0,0,640,480,0, 5422,640,480:waittimer 98095 blt 0,0,640,480,0, 5424,640,480:waittimer 98131 blt 0,0,640,480,0, 5426,640,480:waittimer 98167 blt 0,0,640,480,0, 5428,640,480:waittimer 98203 blt 0,0,640,480,0, 5430,640,480:waittimer 98240 blt 0,0,640,480,0, 5432,640,480:waittimer 98276 blt 0,0,640,480,0, 5434,640,480:waittimer 98312 blt 0,0,640,480,0, 5436,640,480:waittimer 98348 blt 0,0,640,480,0, 5438,640,480:waittimer 98384 blt 0,0,640,480,0, 5440,640,480:waittimer 98421 blt 0,0,640,480,0, 5442,640,480:waittimer 98457 blt 0,0,640,480,0, 5444,640,480:waittimer 98493 blt 0,0,640,480,0, 5446,640,480:waittimer 98529 blt 0,0,640,480,0, 5448,640,480:waittimer 98565 blt 0,0,640,480,0, 5450,640,480:waittimer 98601 blt 0,0,640,480,0, 5452,640,480:waittimer 98638 blt 0,0,640,480,0, 5454,640,480:waittimer 98674 blt 0,0,640,480,0, 5456,640,480:waittimer 98710 blt 0,0,640,480,0, 5458,640,480:waittimer 98746 blt 0,0,640,480,0, 5460,640,480:waittimer 98782 blt 0,0,640,480,0, 5462,640,480:waittimer 98819 blt 0,0,640,480,0, 5464,640,480:waittimer 98855 blt 0,0,640,480,0, 5466,640,480:waittimer 98891 blt 0,0,640,480,0, 5468,640,480:waittimer 98927 blt 0,0,640,480,0, 5470,640,480:waittimer 98963 blt 0,0,640,480,0, 5472,640,480:waittimer 99000 blt 0,0,640,480,0, 5474,640,480:waittimer 99036 blt 0,0,640,480,0, 5476,640,480:waittimer 99072 blt 0,0,640,480,0, 5478,640,480:waittimer 99108 blt 0,0,640,480,0, 5480,640,480:waittimer 99144 blt 0,0,640,480,0, 5482,640,480:waittimer 99180 blt 0,0,640,480,0, 5484,640,480:waittimer 99217 blt 0,0,640,480,0, 5486,640,480:waittimer 99253 blt 0,0,640,480,0, 5488,640,480:waittimer 99289 blt 0,0,640,480,0, 5490,640,480:waittimer 99325 blt 0,0,640,480,0, 5492,640,480:waittimer 99361 blt 0,0,640,480,0, 5494,640,480:waittimer 99398 blt 0,0,640,480,0, 5496,640,480:waittimer 99434 blt 0,0,640,480,0, 5498,640,480:waittimer 99470 blt 0,0,640,480,0, 5500,640,480:waittimer 99506 blt 0,0,640,480,0, 5502,640,480:waittimer 99542 blt 0,0,640,480,0, 5504,640,480:waittimer 99578 blt 0,0,640,480,0, 5506,640,480:waittimer 99615 blt 0,0,640,480,0, 5508,640,480:waittimer 99651 blt 0,0,640,480,0, 5510,640,480:waittimer 99687 blt 0,0,640,480,0, 5512,640,480:waittimer 99723 blt 0,0,640,480,0, 5514,640,480:waittimer 99759 blt 0,0,640,480,0, 5516,640,480:waittimer 99796 blt 0,0,640,480,0, 5518,640,480:waittimer 99832 blt 0,0,640,480,0, 5520,640,480:waittimer 99868 blt 0,0,640,480,0, 5522,640,480:waittimer 99904 blt 0,0,640,480,0, 5524,640,480:waittimer 99940 blt 0,0,640,480,0, 5526,640,480:waittimer 99976 blt 0,0,640,480,0, 5528,640,480:waittimer 100013 blt 0,0,640,480,0, 5530,640,480:waittimer 100049 blt 0,0,640,480,0, 5532,640,480:waittimer 100085 blt 0,0,640,480,0, 5534,640,480:waittimer 100121 blt 0,0,640,480,0, 5536,640,480:waittimer 100157 blt 0,0,640,480,0, 5538,640,480:waittimer 100194 blt 0,0,640,480,0, 5540,640,480:waittimer 100230 blt 0,0,640,480,0, 5542,640,480:waittimer 100266 blt 0,0,640,480,0, 5544,640,480:waittimer 100302 blt 0,0,640,480,0, 5546,640,480:waittimer 100338 blt 0,0,640,480,0, 5548,640,480:waittimer 100375 blt 0,0,640,480,0, 5550,640,480:waittimer 100411 blt 0,0,640,480,0, 5552,640,480:waittimer 100447 blt 0,0,640,480,0, 5554,640,480:waittimer 100483 blt 0,0,640,480,0, 5556,640,480:waittimer 100519 blt 0,0,640,480,0, 5558,640,480:waittimer 100555 blt 0,0,640,480,0, 5560,640,480:waittimer 100592 blt 0,0,640,480,0, 5562,640,480:waittimer 100628 blt 0,0,640,480,0, 5564,640,480:waittimer 100664 blt 0,0,640,480,0, 5566,640,480:waittimer 100700 blt 0,0,640,480,0, 5568,640,480:waittimer 100736 blt 0,0,640,480,0, 5570,640,480:waittimer 100773 blt 0,0,640,480,0, 5572,640,480:waittimer 100809 blt 0,0,640,480,0, 5574,640,480:waittimer 100845 blt 0,0,640,480,0, 5576,640,480:waittimer 100881 blt 0,0,640,480,0, 5578,640,480:waittimer 100917 blt 0,0,640,480,0, 5580,640,480:waittimer 100953 blt 0,0,640,480,0, 5582,640,480:waittimer 100990 blt 0,0,640,480,0, 5584,640,480:waittimer 101026 blt 0,0,640,480,0, 5586,640,480:waittimer 101062 blt 0,0,640,480,0, 5588,640,480:waittimer 101098 blt 0,0,640,480,0, 5590,640,480:waittimer 101134 blt 0,0,640,480,0, 5592,640,480:waittimer 101171 blt 0,0,640,480,0, 5594,640,480:waittimer 101207 blt 0,0,640,480,0, 5596,640,480:waittimer 101243 blt 0,0,640,480,0, 5598,640,480:waittimer 101279 blt 0,0,640,480,0, 5600,640,480:waittimer 101315 blt 0,0,640,480,0, 5602,640,480:waittimer 101351 blt 0,0,640,480,0, 5604,640,480:waittimer 101388 blt 0,0,640,480,0, 5606,640,480:waittimer 101424 blt 0,0,640,480,0, 5608,640,480:waittimer 101460 blt 0,0,640,480,0, 5610,640,480:waittimer 101496 blt 0,0,640,480,0, 5612,640,480:waittimer 101532 blt 0,0,640,480,0, 5614,640,480:waittimer 101569 blt 0,0,640,480,0, 5616,640,480:waittimer 101605 blt 0,0,640,480,0, 5618,640,480:waittimer 101641 blt 0,0,640,480,0, 5620,640,480:waittimer 101677 blt 0,0,640,480,0, 5622,640,480:waittimer 101713 blt 0,0,640,480,0, 5624,640,480:waittimer 101750 blt 0,0,640,480,0, 5626,640,480:waittimer 101786 blt 0,0,640,480,0, 5628,640,480:waittimer 101822 blt 0,0,640,480,0, 5630,640,480:waittimer 101858 blt 0,0,640,480,0, 5632,640,480:waittimer 101894 blt 0,0,640,480,0, 5634,640,480:waittimer 101930 blt 0,0,640,480,0, 5636,640,480:waittimer 101967 blt 0,0,640,480,0, 5638,640,480:waittimer 102003 blt 0,0,640,480,0, 5640,640,480:waittimer 102039 blt 0,0,640,480,0, 5642,640,480:waittimer 102075 blt 0,0,640,480,0, 5644,640,480:waittimer 102111 blt 0,0,640,480,0, 5646,640,480:waittimer 102148 blt 0,0,640,480,0, 5648,640,480:waittimer 102184 blt 0,0,640,480,0, 5650,640,480:waittimer 102220 blt 0,0,640,480,0, 5652,640,480:waittimer 102256 blt 0,0,640,480,0, 5654,640,480:waittimer 102292 blt 0,0,640,480,0, 5656,640,480:waittimer 102328 blt 0,0,640,480,0, 5658,640,480:waittimer 102365 blt 0,0,640,480,0, 5660,640,480:waittimer 102401 blt 0,0,640,480,0, 5662,640,480:waittimer 102437 blt 0,0,640,480,0, 5664,640,480:waittimer 102473 blt 0,0,640,480,0, 5666,640,480:waittimer 102509 blt 0,0,640,480,0, 5668,640,480:waittimer 102546 blt 0,0,640,480,0, 5670,640,480:waittimer 102582 blt 0,0,640,480,0, 5672,640,480:waittimer 102618 blt 0,0,640,480,0, 5674,640,480:waittimer 102654 blt 0,0,640,480,0, 5676,640,480:waittimer 102690 blt 0,0,640,480,0, 5678,640,480:waittimer 102726 blt 0,0,640,480,0, 5680,640,480:waittimer 102763 blt 0,0,640,480,0, 5682,640,480:waittimer 102799 blt 0,0,640,480,0, 5684,640,480:waittimer 102835 blt 0,0,640,480,0, 5686,640,480:waittimer 102871 blt 0,0,640,480,0, 5688,640,480:waittimer 102907 blt 0,0,640,480,0, 5690,640,480:waittimer 102944 blt 0,0,640,480,0, 5692,640,480:waittimer 102980 blt 0,0,640,480,0, 5694,640,480:waittimer 103016 blt 0,0,640,480,0, 5696,640,480:waittimer 103052 blt 0,0,640,480,0, 5698,640,480:waittimer 103088 blt 0,0,640,480,0, 5700,640,480:waittimer 103125 blt 0,0,640,480,0, 5702,640,480:waittimer 103161 blt 0,0,640,480,0, 5704,640,480:waittimer 103197 blt 0,0,640,480,0, 5706,640,480:waittimer 103233 blt 0,0,640,480,0, 5708,640,480:waittimer 103269 blt 0,0,640,480,0, 5710,640,480:waittimer 103305 blt 0,0,640,480,0, 5712,640,480:waittimer 103342 blt 0,0,640,480,0, 5714,640,480:waittimer 103378 blt 0,0,640,480,0, 5716,640,480:waittimer 103414 blt 0,0,640,480,0, 5718,640,480:waittimer 103450 blt 0,0,640,480,0, 5720,640,480:waittimer 103486 blt 0,0,640,480,0, 5722,640,480:waittimer 103523 blt 0,0,640,480,0, 5724,640,480:waittimer 103559 blt 0,0,640,480,0, 5726,640,480:waittimer 103595 blt 0,0,640,480,0, 5728,640,480:waittimer 103631 blt 0,0,640,480,0, 5730,640,480:waittimer 103667 blt 0,0,640,480,0, 5732,640,480:waittimer 103703 blt 0,0,640,480,0, 5734,640,480:waittimer 103740 blt 0,0,640,480,0, 5736,640,480:waittimer 103776 blt 0,0,640,480,0, 5738,640,480:waittimer 103812 blt 0,0,640,480,0, 5740,640,480:waittimer 103848 blt 0,0,640,480,0, 5742,640,480:waittimer 103884 blt 0,0,640,480,0, 5744,640,480:waittimer 103921 blt 0,0,640,480,0, 5746,640,480:waittimer 103957 blt 0,0,640,480,0, 5748,640,480:waittimer 103993 blt 0,0,640,480,0, 5750,640,480:waittimer 104029 blt 0,0,640,480,0, 5752,640,480:waittimer 104065 blt 0,0,640,480,0, 5754,640,480:waittimer 104101 blt 0,0,640,480,0, 5756,640,480:waittimer 104138 blt 0,0,640,480,0, 5758,640,480:waittimer 104174 blt 0,0,640,480,0, 5760,640,480:waittimer 104210 blt 0,0,640,480,0, 5762,640,480:waittimer 104246 blt 0,0,640,480,0, 5764,640,480:waittimer 104282 blt 0,0,640,480,0, 5766,640,480:waittimer 104319 blt 0,0,640,480,0, 5768,640,480:waittimer 104355 blt 0,0,640,480,0, 5770,640,480:waittimer 104391 blt 0,0,640,480,0, 5772,640,480:waittimer 104427 blt 0,0,640,480,0, 5774,640,480:waittimer 104463 blt 0,0,640,480,0, 5776,640,480:waittimer 104500 blt 0,0,640,480,0, 5778,640,480:waittimer 104536 blt 0,0,640,480,0, 5780,640,480:waittimer 104572 blt 0,0,640,480,0, 5782,640,480:waittimer 104608 blt 0,0,640,480,0, 5784,640,480:waittimer 104644 blt 0,0,640,480,0, 5786,640,480:waittimer 104680 blt 0,0,640,480,0, 5788,640,480:waittimer 104717 blt 0,0,640,480,0, 5790,640,480:waittimer 104753 blt 0,0,640,480,0, 5792,640,480:waittimer 104789 blt 0,0,640,480,0, 5794,640,480:waittimer 104825 blt 0,0,640,480,0, 5796,640,480:waittimer 104861 blt 0,0,640,480,0, 5798,640,480:waittimer 104898 blt 0,0,640,480,0, 5800,640,480:waittimer 104934 blt 0,0,640,480,0, 5802,640,480:waittimer 104970 blt 0,0,640,480,0, 5804,640,480:waittimer 105006 blt 0,0,640,480,0, 5806,640,480:waittimer 105042 blt 0,0,640,480,0, 5808,640,480:waittimer 105078 blt 0,0,640,480,0, 5810,640,480:waittimer 105115 blt 0,0,640,480,0, 5812,640,480:waittimer 105151 blt 0,0,640,480,0, 5814,640,480:waittimer 105187 blt 0,0,640,480,0, 5816,640,480:waittimer 105223 blt 0,0,640,480,0, 5818,640,480:waittimer 105259 blt 0,0,640,480,0, 5820,640,480:waittimer 105296 blt 0,0,640,480,0, 5822,640,480:waittimer 105332 blt 0,0,640,480,0, 5824,640,480:waittimer 105368 blt 0,0,640,480,0, 5826,640,480:waittimer 105404 blt 0,0,640,480,0, 5828,640,480:waittimer 105440 blt 0,0,640,480,0, 5830,640,480:waittimer 105476 blt 0,0,640,480,0, 5832,640,480:waittimer 105513 blt 0,0,640,480,0, 5834,640,480:waittimer 105549 blt 0,0,640,480,0, 5836,640,480:waittimer 105585 blt 0,0,640,480,0, 5838,640,480:waittimer 105621 blt 0,0,640,480,0, 5840,640,480:waittimer 105657 blt 0,0,640,480,0, 5842,640,480:waittimer 105694 blt 0,0,640,480,0, 5844,640,480:waittimer 105730 blt 0,0,640,480,0, 5846,640,480:waittimer 105766 blt 0,0,640,480,0, 5848,640,480:waittimer 105802 blt 0,0,640,480,0, 5850,640,480:waittimer 105838 blt 0,0,640,480,0, 5852,640,480:waittimer 105875 blt 0,0,640,480,0, 5854,640,480:waittimer 105911 blt 0,0,640,480,0, 5856,640,480:waittimer 105947 blt 0,0,640,480,0, 5858,640,480:waittimer 105983 blt 0,0,640,480,0, 5860,640,480:waittimer 106019 blt 0,0,640,480,0, 5862,640,480:waittimer 106055 blt 0,0,640,480,0, 5864,640,480:waittimer 106092 blt 0,0,640,480,0, 5866,640,480:waittimer 106128 blt 0,0,640,480,0, 5868,640,480:waittimer 106164 blt 0,0,640,480,0, 5870,640,480:waittimer 106200 blt 0,0,640,480,0, 5872,640,480:waittimer 106236 blt 0,0,640,480,0, 5874,640,480:waittimer 106273 blt 0,0,640,480,0, 5876,640,480:waittimer 106309 blt 0,0,640,480,0, 5878,640,480:waittimer 106345 blt 0,0,640,480,0, 5880,640,480:waittimer 106381 blt 0,0,640,480,0, 5882,640,480:waittimer 106417 blt 0,0,640,480,0, 5884,640,480:waittimer 106453 blt 0,0,640,480,0, 5886,640,480:waittimer 106490 blt 0,0,640,480,0, 5888,640,480:waittimer 106526 blt 0,0,640,480,0, 5890,640,480:waittimer 106562 blt 0,0,640,480,0, 5892,640,480:waittimer 106598 blt 0,0,640,480,0, 5894,640,480:waittimer 106634 blt 0,0,640,480,0, 5896,640,480:waittimer 106671 blt 0,0,640,480,0, 5898,640,480:waittimer 106707 blt 0,0,640,480,0, 5900,640,480:waittimer 106743 blt 0,0,640,480,0, 5902,640,480:waittimer 106779 blt 0,0,640,480,0, 5904,640,480:waittimer 106815 blt 0,0,640,480,0, 5906,640,480:waittimer 106851 blt 0,0,640,480,0, 5908,640,480:waittimer 106888 blt 0,0,640,480,0, 5910,640,480:waittimer 106924 blt 0,0,640,480,0, 5912,640,480:waittimer 106960 blt 0,0,640,480,0, 5914,640,480:waittimer 106996 blt 0,0,640,480,0, 5916,640,480:waittimer 107032 blt 0,0,640,480,0, 5918,640,480:waittimer 107069 blt 0,0,640,480,0, 5920,640,480:waittimer 107105 blt 0,0,640,480,0, 5922,640,480:waittimer 107141 blt 0,0,640,480,0, 5924,640,480:waittimer 107177 blt 0,0,640,480,0, 5926,640,480:waittimer 107213 blt 0,0,640,480,0, 5928,640,480:waittimer 107250 blt 0,0,640,480,0, 5930,640,480:waittimer 107286 blt 0,0,640,480,0, 5932,640,480:waittimer 107322 blt 0,0,640,480,0, 5934,640,480:waittimer 107358 blt 0,0,640,480,0, 5936,640,480:waittimer 107394 blt 0,0,640,480,0, 5938,640,480:waittimer 107430 blt 0,0,640,480,0, 5940,640,480:waittimer 107467 blt 0,0,640,480,0, 5942,640,480:waittimer 107503 blt 0,0,640,480,0, 5944,640,480:waittimer 107539 blt 0,0,640,480,0, 5946,640,480:waittimer 107575 blt 0,0,640,480,0, 5948,640,480:waittimer 107611 blt 0,0,640,480,0, 5950,640,480:waittimer 107648 blt 0,0,640,480,0, 5952,640,480:waittimer 107684 blt 0,0,640,480,0, 5954,640,480:waittimer 107720 blt 0,0,640,480,0, 5956,640,480:waittimer 107756 blt 0,0,640,480,0, 5958,640,480:waittimer 107792 blt 0,0,640,480,0, 5960,640,480:waittimer 107828 blt 0,0,640,480,0, 5962,640,480:waittimer 107865 blt 0,0,640,480,0, 5964,640,480:waittimer 107901 blt 0,0,640,480,0, 5966,640,480:waittimer 107937 blt 0,0,640,480,0, 5968,640,480:waittimer 107973 blt 0,0,640,480,0, 5970,640,480:waittimer 108009 blt 0,0,640,480,0, 5972,640,480:waittimer 108046 blt 0,0,640,480,0, 5974,640,480:waittimer 108082 blt 0,0,640,480,0, 5976,640,480:waittimer 108118 blt 0,0,640,480,0, 5978,640,480:waittimer 108154 blt 0,0,640,480,0, 5980,640,480:waittimer 108190 blt 0,0,640,480,0, 5982,640,480:waittimer 108226 blt 0,0,640,480,0, 5984,640,480:waittimer 108263 blt 0,0,640,480,0, 5986,640,480:waittimer 108299 blt 0,0,640,480,0, 5988,640,480:waittimer 108335 blt 0,0,640,480,0, 5990,640,480:waittimer 108371 blt 0,0,640,480,0, 5992,640,480:waittimer 108407 blt 0,0,640,480,0, 5994,640,480:waittimer 108444 blt 0,0,640,480,0, 5996,640,480:waittimer 108480 blt 0,0,640,480,0, 5998,640,480:waittimer 108516 blt 0,0,640,480,0, 6000,640,480:waittimer 108552 blt 0,0,640,480,0, 6002,640,480:waittimer 108588 blt 0,0,640,480,0, 6004,640,480:waittimer 108625 blt 0,0,640,480,0, 6006,640,480:waittimer 108661 blt 0,0,640,480,0, 6008,640,480:waittimer 108697 blt 0,0,640,480,0, 6010,640,480:waittimer 108733 blt 0,0,640,480,0, 6012,640,480:waittimer 108769 blt 0,0,640,480,0, 6014,640,480:waittimer 108805 blt 0,0,640,480,0, 6016,640,480:waittimer 108842 blt 0,0,640,480,0, 6018,640,480:waittimer 108878 blt 0,0,640,480,0, 6020,640,480:waittimer 108914 blt 0,0,640,480,0, 6022,640,480:waittimer 108950 blt 0,0,640,480,0, 6024,640,480:waittimer 108986 blt 0,0,640,480,0, 6026,640,480:waittimer 109023 blt 0,0,640,480,0, 6028,640,480:waittimer 109059 blt 0,0,640,480,0, 6030,640,480:waittimer 109095 blt 0,0,640,480,0, 6032,640,480:waittimer 109131 blt 0,0,640,480,0, 6034,640,480:waittimer 109167 blt 0,0,640,480,0, 6036,640,480:waittimer 109203 blt 0,0,640,480,0, 6038,640,480:waittimer 109240 blt 0,0,640,480,0, 6040,640,480:waittimer 109276 blt 0,0,640,480,0, 6042,640,480:waittimer 109312 blt 0,0,640,480,0, 6044,640,480:waittimer 109348 blt 0,0,640,480,0, 6046,640,480:waittimer 109384 blt 0,0,640,480,0, 6048,640,480:waittimer 109421 blt 0,0,640,480,0, 6050,640,480:waittimer 109457 blt 0,0,640,480,0, 6052,640,480:waittimer 109493 blt 0,0,640,480,0, 6054,640,480:waittimer 109529 blt 0,0,640,480,0, 6056,640,480:waittimer 109565 blt 0,0,640,480,0, 6058,640,480:waittimer 109601 blt 0,0,640,480,0, 6060,640,480:waittimer 109638 blt 0,0,640,480,0, 6062,640,480:waittimer 109674 blt 0,0,640,480,0, 6064,640,480:waittimer 109710 blt 0,0,640,480,0, 6066,640,480:waittimer 109746 blt 0,0,640,480,0, 6068,640,480:waittimer 109782 blt 0,0,640,480,0, 6070,640,480:waittimer 109819 blt 0,0,640,480,0, 6072,640,480:waittimer 109855 blt 0,0,640,480,0, 6074,640,480:waittimer 109891 blt 0,0,640,480,0, 6076,640,480:waittimer 109927 blt 0,0,640,480,0, 6078,640,480:waittimer 109963 blt 0,0,640,480,0, 6080,640,480:waittimer 110000 blt 0,0,640,480,0, 6082,640,480:waittimer 110036 blt 0,0,640,480,0, 6084,640,480:waittimer 110072 blt 0,0,640,480,0, 6086,640,480:waittimer 110108 blt 0,0,640,480,0, 6088,640,480:waittimer 110144 blt 0,0,640,480,0, 6090,640,480:waittimer 110180 blt 0,0,640,480,0, 6092,640,480:waittimer 110217 blt 0,0,640,480,0, 6094,640,480:waittimer 110253 blt 0,0,640,480,0, 6096,640,480:waittimer 110289 blt 0,0,640,480,0, 6098,640,480:waittimer 110325 blt 0,0,640,480,0, 6100,640,480:waittimer 110361 blt 0,0,640,480,0, 6102,640,480:waittimer 110398 blt 0,0,640,480,0, 6104,640,480:waittimer 110434 blt 0,0,640,480,0, 6106,640,480:waittimer 110470 blt 0,0,640,480,0, 6108,640,480:waittimer 110506 blt 0,0,640,480,0, 6110,640,480:waittimer 110542 blt 0,0,640,480,0, 6112,640,480:waittimer 110578 blt 0,0,640,480,0, 6114,640,480:waittimer 110615 blt 0,0,640,480,0, 6116,640,480:waittimer 110651 blt 0,0,640,480,0, 6118,640,480:waittimer 110687 blt 0,0,640,480,0, 6120,640,480:waittimer 110723 blt 0,0,640,480,0, 6122,640,480:waittimer 110759 blt 0,0,640,480,0, 6124,640,480:waittimer 110796 blt 0,0,640,480,0, 6126,640,480:waittimer 110832 blt 0,0,640,480,0, 6128,640,480:waittimer 110868 blt 0,0,640,480,0, 6130,640,480:waittimer 110904 blt 0,0,640,480,0, 6132,640,480:waittimer 110940 blt 0,0,640,480,0, 6134,640,480:waittimer 110976 blt 0,0,640,480,0, 6136,640,480:waittimer 111013 blt 0,0,640,480,0, 6138,640,480:waittimer 111049 blt 0,0,640,480,0, 6140,640,480:waittimer 111085 blt 0,0,640,480,0, 6142,640,480:waittimer 111121 blt 0,0,640,480,0, 6144,640,480:waittimer 111157 blt 0,0,640,480,0, 6146,640,480:waittimer 111194 blt 0,0,640,480,0, 6148,640,480:waittimer 111230 blt 0,0,640,480,0, 6150,640,480:waittimer 111266 blt 0,0,640,480,0, 6152,640,480:waittimer 111302 blt 0,0,640,480,0, 6154,640,480:waittimer 111338 blt 0,0,640,480,0, 6156,640,480:waittimer 111375 blt 0,0,640,480,0, 6158,640,480:waittimer 111411 blt 0,0,640,480,0, 6160,640,480:waittimer 111447 blt 0,0,640,480,0, 6162,640,480:waittimer 111483 blt 0,0,640,480,0, 6164,640,480:waittimer 111519 blt 0,0,640,480,0, 6166,640,480:waittimer 111555 blt 0,0,640,480,0, 6168,640,480:waittimer 111592 blt 0,0,640,480,0, 6170,640,480:waittimer 111628 blt 0,0,640,480,0, 6172,640,480:waittimer 111664 blt 0,0,640,480,0, 6174,640,480:waittimer 111700 blt 0,0,640,480,0, 6176,640,480:waittimer 111736 blt 0,0,640,480,0, 6178,640,480:waittimer 111773 blt 0,0,640,480,0, 6180,640,480:waittimer 111809 blt 0,0,640,480,0, 6182,640,480:waittimer 111845 blt 0,0,640,480,0, 6184,640,480:waittimer 111881 blt 0,0,640,480,0, 6186,640,480:waittimer 111917 blt 0,0,640,480,0, 6188,640,480:waittimer 111953 blt 0,0,640,480,0, 6190,640,480:waittimer 111990 blt 0,0,640,480,0, 6192,640,480:waittimer 112026 blt 0,0,640,480,0, 6194,640,480:waittimer 112062 blt 0,0,640,480,0, 6196,640,480:waittimer 112098 blt 0,0,640,480,0, 6198,640,480:waittimer 112134 blt 0,0,640,480,0, 6200,640,480:waittimer 112171 blt 0,0,640,480,0, 6202,640,480:waittimer 112207 blt 0,0,640,480,0, 6204,640,480:waittimer 112243 blt 0,0,640,480,0, 6206,640,480:waittimer 112279 blt 0,0,640,480,0, 6208,640,480:waittimer 112315 blt 0,0,640,480,0, 6210,640,480:waittimer 112351 blt 0,0,640,480,0, 6212,640,480:waittimer 112388 blt 0,0,640,480,0, 6214,640,480:waittimer 112424 blt 0,0,640,480,0, 6216,640,480:waittimer 112460 blt 0,0,640,480,0, 6218,640,480:waittimer 112496 blt 0,0,640,480,0, 6220,640,480:waittimer 112532 blt 0,0,640,480,0, 6222,640,480:waittimer 112569 blt 0,0,640,480,0, 6224,640,480:waittimer 112605 blt 0,0,640,480,0, 6226,640,480:waittimer 112641 blt 0,0,640,480,0, 6228,640,480:waittimer 112677 blt 0,0,640,480,0, 6230,640,480:waittimer 112713 blt 0,0,640,480,0, 6232,640,480:waittimer 112750 blt 0,0,640,480,0, 6234,640,480:waittimer 112786 blt 0,0,640,480,0, 6236,640,480:waittimer 112822 blt 0,0,640,480,0, 6238,640,480:waittimer 112858 blt 0,0,640,480,0, 6240,640,480:waittimer 112894 blt 0,0,640,480,0, 6242,640,480:waittimer 112930 blt 0,0,640,480,0, 6244,640,480:waittimer 112967 blt 0,0,640,480,0, 6246,640,480:waittimer 113003 blt 0,0,640,480,0, 6248,640,480:waittimer 113039 blt 0,0,640,480,0, 6250,640,480:waittimer 113075 blt 0,0,640,480,0, 6252,640,480:waittimer 113111 blt 0,0,640,480,0, 6254,640,480:waittimer 113148 blt 0,0,640,480,0, 6256,640,480:waittimer 113184 blt 0,0,640,480,0, 6258,640,480:waittimer 113220 blt 0,0,640,480,0, 6260,640,480:waittimer 113256 blt 0,0,640,480,0, 6262,640,480:waittimer 113292 blt 0,0,640,480,0, 6264,640,480:waittimer 113328 blt 0,0,640,480,0, 6266,640,480:waittimer 113365 blt 0,0,640,480,0, 6268,640,480:waittimer 113401 blt 0,0,640,480,0, 6270,640,480:waittimer 113437 blt 0,0,640,480,0, 6272,640,480:waittimer 113473 blt 0,0,640,480,0, 6274,640,480:waittimer 113509 blt 0,0,640,480,0, 6276,640,480:waittimer 113546 blt 0,0,640,480,0, 6278,640,480:waittimer 113582 blt 0,0,640,480,0, 6280,640,480:waittimer 113618 blt 0,0,640,480,0, 6282,640,480:waittimer 113654 blt 0,0,640,480,0, 6284,640,480:waittimer 113690 blt 0,0,640,480,0, 6286,640,480:waittimer 113726 blt 0,0,640,480,0, 6288,640,480:waittimer 113763 blt 0,0,640,480,0, 6290,640,480:waittimer 113799 blt 0,0,640,480,0, 6292,640,480:waittimer 113835 blt 0,0,640,480,0, 6294,640,480:waittimer 113871 blt 0,0,640,480,0, 6296,640,480:waittimer 113907 blt 0,0,640,480,0, 6298,640,480:waittimer 113944 blt 0,0,640,480,0, 6300,640,480:waittimer 113980 blt 0,0,640,480,0, 6302,640,480:waittimer 114016 blt 0,0,640,480,0, 6304,640,480:waittimer 114052 blt 0,0,640,480,0, 6306,640,480:waittimer 114088 blt 0,0,640,480,0, 6308,640,480:waittimer 114125 blt 0,0,640,480,0, 6310,640,480:waittimer 114161 blt 0,0,640,480,0, 6312,640,480:waittimer 114197 blt 0,0,640,480,0, 6314,640,480:waittimer 114233 blt 0,0,640,480,0, 6316,640,480:waittimer 114269 blt 0,0,640,480,0, 6318,640,480:waittimer 114305 blt 0,0,640,480,0, 6320,640,480:waittimer 114342 blt 0,0,640,480,0, 6322,640,480:waittimer 114378 blt 0,0,640,480,0, 6324,640,480:waittimer 114414 blt 0,0,640,480,0, 6326,640,480:waittimer 114450 blt 0,0,640,480,0, 6328,640,480:waittimer 114486 blt 0,0,640,480,0, 6330,640,480:waittimer 114523 blt 0,0,640,480,0, 6332,640,480:waittimer 114559 blt 0,0,640,480,0, 6334,640,480:waittimer 114595 blt 0,0,640,480,0, 6336,640,480:waittimer 114631 blt 0,0,640,480,0, 6338,640,480:waittimer 114667 blt 0,0,640,480,0, 6340,640,480:waittimer 114703 blt 0,0,640,480,0, 6342,640,480:waittimer 114740 blt 0,0,640,480,0, 6344,640,480:waittimer 114776 blt 0,0,640,480,0, 6346,640,480:waittimer 114812 blt 0,0,640,480,0, 6348,640,480:waittimer 114848 blt 0,0,640,480,0, 6350,640,480:waittimer 114884 blt 0,0,640,480,0, 6352,640,480:waittimer 114921 blt 0,0,640,480,0, 6354,640,480:waittimer 114957 blt 0,0,640,480,0, 6356,640,480:waittimer 114993 blt 0,0,640,480,0, 6358,640,480:waittimer 115029 blt 0,0,640,480,0, 6360,640,480:waittimer 115065 blt 0,0,640,480,0, 6362,640,480:waittimer 115101 blt 0,0,640,480,0, 6364,640,480:waittimer 115138 blt 0,0,640,480,0, 6366,640,480:waittimer 115174 blt 0,0,640,480,0, 6368,640,480:waittimer 115210 blt 0,0,640,480,0, 6370,640,480:waittimer 115246 blt 0,0,640,480,0, 6372,640,480:waittimer 115282 blt 0,0,640,480,0, 6374,640,480:waittimer 115319 blt 0,0,640,480,0, 6376,640,480:waittimer 115355 blt 0,0,640,480,0, 6378,640,480:waittimer 115391 blt 0,0,640,480,0, 6380,640,480:waittimer 115427 blt 0,0,640,480,0, 6382,640,480:waittimer 115463 blt 0,0,640,480,0, 6384,640,480:waittimer 115500 blt 0,0,640,480,0, 6386,640,480:waittimer 115536 blt 0,0,640,480,0, 6388,640,480:waittimer 115572 blt 0,0,640,480,0, 6390,640,480:waittimer 115608 blt 0,0,640,480,0, 6392,640,480:waittimer 115644 blt 0,0,640,480,0, 6394,640,480:waittimer 115680 blt 0,0,640,480,0, 6396,640,480:waittimer 115717 blt 0,0,640,480,0, 6398,640,480:waittimer 115753 blt 0,0,640,480,0, 6400,640,480:waittimer 115789 blt 0,0,640,480,0, 6402,640,480:waittimer 115825 blt 0,0,640,480,0, 6404,640,480:waittimer 115861 blt 0,0,640,480,0, 6406,640,480:waittimer 115898 blt 0,0,640,480,0, 6408,640,480:waittimer 115934 blt 0,0,640,480,0, 6410,640,480:waittimer 115970 blt 0,0,640,480,0, 6412,640,480:waittimer 116006 blt 0,0,640,480,0, 6414,640,480:waittimer 116042 blt 0,0,640,480,0, 6416,640,480:waittimer 116078 blt 0,0,640,480,0, 6418,640,480:waittimer 116115 blt 0,0,640,480,0, 6420,640,480:waittimer 116151 blt 0,0,640,480,0, 6422,640,480:waittimer 116187 blt 0,0,640,480,0, 6424,640,480:waittimer 116223 blt 0,0,640,480,0, 6426,640,480:waittimer 116259 blt 0,0,640,480,0, 6428,640,480:waittimer 116296 blt 0,0,640,480,0, 6430,640,480:waittimer 116332 blt 0,0,640,480,0, 6432,640,480:waittimer 116368 blt 0,0,640,480,0, 6434,640,480:waittimer 116404 blt 0,0,640,480,0, 6436,640,480:waittimer 116440 blt 0,0,640,480,0, 6438,640,480:waittimer 116476 blt 0,0,640,480,0, 6440,640,480:waittimer 116513 blt 0,0,640,480,0, 6442,640,480:waittimer 116549 blt 0,0,640,480,0, 6444,640,480:waittimer 116585 blt 0,0,640,480,0, 6446,640,480:waittimer 116621 blt 0,0,640,480,0, 6448,640,480:waittimer 116657 blt 0,0,640,480,0, 6450,640,480:waittimer 116694 blt 0,0,640,480,0, 6452,640,480:waittimer 116730 blt 0,0,640,480,0, 6454,640,480:waittimer 116766 blt 0,0,640,480,0, 6456,640,480:waittimer 116802 blt 0,0,640,480,0, 6458,640,480:waittimer 116838 blt 0,0,640,480,0, 6460,640,480:waittimer 116875 blt 0,0,640,480,0, 6462,640,480:waittimer 116911 blt 0,0,640,480,0, 6464,640,480:waittimer 116947 blt 0,0,640,480,0, 6466,640,480:waittimer 116983 blt 0,0,640,480,0, 6468,640,480:waittimer 117019 blt 0,0,640,480,0, 6470,640,480:waittimer 117055 blt 0,0,640,480,0, 6472,640,480:waittimer 117092 blt 0,0,640,480,0, 6474,640,480:waittimer 117128 blt 0,0,640,480,0, 6476,640,480:waittimer 117164 blt 0,0,640,480,0, 6478,640,480:waittimer 117200 blt 0,0,640,480,0, 6480,640,480:waittimer 117236 blt 0,0,640,480,0, 6482,640,480:waittimer 117273 blt 0,0,640,480,0, 6484,640,480:waittimer 117309 blt 0,0,640,480,0, 6486,640,480:waittimer 117345 blt 0,0,640,480,0, 6488,640,480:waittimer 117381 blt 0,0,640,480,0, 6490,640,480:waittimer 117417 blt 0,0,640,480,0, 6492,640,480:waittimer 117453 blt 0,0,640,480,0, 6494,640,480:waittimer 117490 blt 0,0,640,480,0, 6496,640,480:waittimer 117526 blt 0,0,640,480,0, 6498,640,480:waittimer 117562 blt 0,0,640,480,0, 6500,640,480:waittimer 117598 blt 0,0,640,480,0, 6502,640,480:waittimer 117634 blt 0,0,640,480,0, 6504,640,480:waittimer 117671 blt 0,0,640,480,0, 6506,640,480:waittimer 117707 blt 0,0,640,480,0, 6508,640,480:waittimer 117743 blt 0,0,640,480,0, 6510,640,480:waittimer 117779 blt 0,0,640,480,0, 6512,640,480:waittimer 117815 blt 0,0,640,480,0, 6514,640,480:waittimer 117851 blt 0,0,640,480,0, 6516,640,480:waittimer 117888 blt 0,0,640,480,0, 6518,640,480:waittimer 117924 blt 0,0,640,480,0, 6520,640,480:waittimer 117960 blt 0,0,640,480,0, 6522,640,480:waittimer 117996 blt 0,0,640,480,0, 6524,640,480:waittimer 118032 blt 0,0,640,480,0, 6526,640,480:waittimer 118069 blt 0,0,640,480,0, 6528,640,480:waittimer 118105 blt 0,0,640,480,0, 6530,640,480:waittimer 118141 blt 0,0,640,480,0, 6532,640,480:waittimer 118177 blt 0,0,640,480,0, 6534,640,480:waittimer 118213 blt 0,0,640,480,0, 6536,640,480:waittimer 118250 blt 0,0,640,480,0, 6538,640,480:waittimer 118286 blt 0,0,640,480,0, 6540,640,480:waittimer 118322 blt 0,0,640,480,0, 6542,640,480:waittimer 118358 blt 0,0,640,480,0, 6544,640,480:waittimer 118394 blt 0,0,640,480,0, 6546,640,480:waittimer 118430 blt 0,0,640,480,0, 6548,640,480:waittimer 118467 blt 0,0,640,480,0, 6550,640,480:waittimer 118503 blt 0,0,640,480,0, 6552,640,480:waittimer 118539 blt 0,0,640,480,0, 6554,640,480:waittimer 118575 blt 0,0,640,480,0, 6556,640,480:waittimer 118611 blt 0,0,640,480,0, 6558,640,480:waittimer 118648 blt 0,0,640,480,0, 6560,640,480:waittimer 118684 blt 0,0,640,480,0, 6562,640,480:waittimer 118720 blt 0,0,640,480,0, 6564,640,480:waittimer 118756 blt 0,0,640,480,0, 6566,640,480:waittimer 118792 blt 0,0,640,480,0, 6568,640,480:waittimer 118828 blt 0,0,640,480,0, 6570,640,480:waittimer 118865 blt 0,0,640,480,0, 6572,640,480:waittimer 118901 blt 0,0,640,480,0, 6574,640,480:waittimer 118937 blt 0,0,640,480,0, 6576,640,480:waittimer 118973 blt 0,0,640,480,0, 6578,640,480:waittimer 119009 blt 0,0,640,480,0, 6580,640,480:waittimer 119046 blt 0,0,640,480,0, 6582,640,480:waittimer 119082 blt 0,0,640,480,0, 6584,640,480:waittimer 119118 blt 0,0,640,480,0, 6586,640,480:waittimer 119154 blt 0,0,640,480,0, 6588,640,480:waittimer 119190 blt 0,0,640,480,0, 6590,640,480:waittimer 119226 blt 0,0,640,480,0, 6592,640,480:waittimer 119263 blt 0,0,640,480,0, 6594,640,480:waittimer 119299 blt 0,0,640,480,0, 6596,640,480:waittimer 119335 blt 0,0,640,480,0, 6598,640,480:waittimer 119371 blt 0,0,640,480,0, 6600,640,480:waittimer 119407 blt 0,0,640,480,0, 6602,640,480:waittimer 119444 blt 0,0,640,480,0, 6604,640,480:waittimer 119480 blt 0,0,640,480,0, 6606,640,480:waittimer 119516 blt 0,0,640,480,0, 6608,640,480:waittimer 119552 blt 0,0,640,480,0, 6610,640,480:waittimer 119588 blt 0,0,640,480,0, 6612,640,480:waittimer 119625 blt 0,0,640,480,0, 6614,640,480:waittimer 119661 blt 0,0,640,480,0, 6616,640,480:waittimer 119697 blt 0,0,640,480,0, 6618,640,480:waittimer 119733 blt 0,0,640,480,0, 6620,640,480:waittimer 119769 blt 0,0,640,480,0, 6622,640,480:waittimer 119805 blt 0,0,640,480,0, 6624,640,480:waittimer 119842 blt 0,0,640,480,0, 6626,640,480:waittimer 119878 blt 0,0,640,480,0, 6628,640,480:waittimer 119914 blt 0,0,640,480,0, 6630,640,480:waittimer 119950 blt 0,0,640,480,0, 6632,640,480:waittimer 119986 blt 0,0,640,480,0, 6634,640,480:waittimer 120023 blt 0,0,640,480,0, 6636,640,480:waittimer 120059 blt 0,0,640,480,0, 6638,640,480:waittimer 120095 blt 0,0,640,480,0, 6640,640,480:waittimer 120131 blt 0,0,640,480,0, 6642,640,480:waittimer 120167 blt 0,0,640,480,0, 6644,640,480:waittimer 120203 blt 0,0,640,480,0, 6646,640,480:waittimer 120240 blt 0,0,640,480,0, 6648,640,480:waittimer 120276 blt 0,0,640,480,0, 6650,640,480:waittimer 120312 blt 0,0,640,480,0, 6652,640,480:waittimer 120348 blt 0,0,640,480,0, 6654,640,480:waittimer 120384 blt 0,0,640,480,0, 6656,640,480:waittimer 120421 blt 0,0,640,480,0, 6658,640,480:waittimer 120457 blt 0,0,640,480,0, 6660,640,480:waittimer 120493 blt 0,0,640,480,0, 6662,640,480:waittimer 120529 blt 0,0,640,480,0, 6664,640,480:waittimer 120565 blt 0,0,640,480,0, 6666,640,480:waittimer 120601 blt 0,0,640,480,0, 6668,640,480:waittimer 120638 blt 0,0,640,480,0, 6670,640,480:waittimer 120674 blt 0,0,640,480,0, 6672,640,480:waittimer 120710 blt 0,0,640,480,0, 6674,640,480:waittimer 120746 blt 0,0,640,480,0, 6676,640,480:waittimer 120782 blt 0,0,640,480,0, 6678,640,480:waittimer 120819 blt 0,0,640,480,0, 6680,640,480:waittimer 120855 blt 0,0,640,480,0, 6682,640,480:waittimer 120891 blt 0,0,640,480,0, 6684,640,480:waittimer 120927 blt 0,0,640,480,0, 6686,640,480:waittimer 120963 blt 0,0,640,480,0, 6688,640,480:waittimer 121000 blt 0,0,640,480,0, 6690,640,480:waittimer 121036 blt 0,0,640,480,0, 6692,640,480:waittimer 121072 blt 0,0,640,480,0, 6694,640,480:waittimer 121108 blt 0,0,640,480,0, 6696,640,480:waittimer 121144 blt 0,0,640,480,0, 6698,640,480:waittimer 121180 blt 0,0,640,480,0, 6700,640,480:waittimer 121217 blt 0,0,640,480,0, 6702,640,480:waittimer 121253 blt 0,0,640,480,0, 6704,640,480:waittimer 121289 blt 0,0,640,480,0, 6706,640,480:waittimer 121325 blt 0,0,640,480,0, 6708,640,480:waittimer 121361 blt 0,0,640,480,0, 6710,640,480:waittimer 121398 blt 0,0,640,480,0, 6712,640,480:waittimer 121434 blt 0,0,640,480,0, 6714,640,480:waittimer 121470 blt 0,0,640,480,0, 6716,640,480:waittimer 121506 blt 0,0,640,480,0, 6718,640,480:waittimer 121542 blt 0,0,640,480,0, 6720,640,480:waittimer 121578 blt 0,0,640,480,0, 6722,640,480:waittimer 121615 blt 0,0,640,480,0, 6724,640,480:waittimer 121651 blt 0,0,640,480,0, 6726,640,480:waittimer 121687 blt 0,0,640,480,0, 6728,640,480:waittimer 121723 blt 0,0,640,480,0, 6730,640,480:waittimer 121759 blt 0,0,640,480,0, 6732,640,480:waittimer 121796 blt 0,0,640,480,0, 6734,640,480:waittimer 121832 blt 0,0,640,480,0, 6736,640,480:waittimer 121868 blt 0,0,640,480,0, 6738,640,480:waittimer 121904 blt 0,0,640,480,0, 6740,640,480:waittimer 121940 blt 0,0,640,480,0, 6742,640,480:waittimer 121976 blt 0,0,640,480,0, 6744,640,480:waittimer 122013 blt 0,0,640,480,0, 6746,640,480:waittimer 122049 blt 0,0,640,480,0, 6748,640,480:waittimer 122085 blt 0,0,640,480,0, 6750,640,480:waittimer 122121 blt 0,0,640,480,0, 6752,640,480:waittimer 122157 blt 0,0,640,480,0, 6754,640,480:waittimer 122194 blt 0,0,640,480,0, 6756,640,480:waittimer 122230 blt 0,0,640,480,0, 6758,640,480:waittimer 122266 blt 0,0,640,480,0, 6760,640,480:waittimer 122302 blt 0,0,640,480,0, 6762,640,480:waittimer 122338 blt 0,0,640,480,0, 6764,640,480:waittimer 122375 blt 0,0,640,480,0, 6766,640,480:waittimer 122411 blt 0,0,640,480,0, 6768,640,480:waittimer 122447 blt 0,0,640,480,0, 6770,640,480:waittimer 122483 blt 0,0,640,480,0, 6772,640,480:waittimer 122519 blt 0,0,640,480,0, 6774,640,480:waittimer 122555 blt 0,0,640,480,0, 6776,640,480:waittimer 122592 blt 0,0,640,480,0, 6778,640,480:waittimer 122628 blt 0,0,640,480,0, 6780,640,480:waittimer 122664 blt 0,0,640,480,0, 6782,640,480:waittimer 122700 blt 0,0,640,480,0, 6784,640,480:waittimer 122736 blt 0,0,640,480,0, 6786,640,480:waittimer 122773 blt 0,0,640,480,0, 6788,640,480:waittimer 122809 blt 0,0,640,480,0, 6790,640,480:waittimer 122845 blt 0,0,640,480,0, 6792,640,480:waittimer 122881 blt 0,0,640,480,0, 6794,640,480:waittimer 122917 blt 0,0,640,480,0, 6796,640,480:waittimer 122953 blt 0,0,640,480,0, 6798,640,480:waittimer 122990 blt 0,0,640,480,0, 6800,640,480:waittimer 123026 blt 0,0,640,480,0, 6802,640,480:waittimer 123062 blt 0,0,640,480,0, 6804,640,480:waittimer 123098 blt 0,0,640,480,0, 6806,640,480:waittimer 123134 blt 0,0,640,480,0, 6808,640,480:waittimer 123171 blt 0,0,640,480,0, 6810,640,480:waittimer 123207 blt 0,0,640,480,0, 6812,640,480:waittimer 123243 blt 0,0,640,480,0, 6814,640,480:waittimer 123279 blt 0,0,640,480,0, 6816,640,480:waittimer 123315 blt 0,0,640,480,0, 6818,640,480:waittimer 123351 blt 0,0,640,480,0, 6820,640,480:waittimer 123388 blt 0,0,640,480,0, 6822,640,480:waittimer 123424 blt 0,0,640,480,0, 6824,640,480:waittimer 123460 blt 0,0,640,480,0, 6826,640,480:waittimer 123496 blt 0,0,640,480,0, 6828,640,480:waittimer 123532 blt 0,0,640,480,0, 6830,640,480:waittimer 123569 blt 0,0,640,480,0, 6832,640,480:waittimer 123605 blt 0,0,640,480,0, 6834,640,480:waittimer 123641 blt 0,0,640,480,0, 6836,640,480:waittimer 123677 blt 0,0,640,480,0, 6838,640,480:waittimer 123713 blt 0,0,640,480,0, 6840,640,480:waittimer 123750 blt 0,0,640,480,0, 6842,640,480:waittimer 123786 blt 0,0,640,480,0, 6844,640,480:waittimer 123822 blt 0,0,640,480,0, 6846,640,480:waittimer 123858 blt 0,0,640,480,0, 6848,640,480:waittimer 123894 blt 0,0,640,480,0, 6850,640,480:waittimer 123930 blt 0,0,640,480,0, 6852,640,480:waittimer 123967 blt 0,0,640,480,0, 6854,640,480:waittimer 124003 blt 0,0,640,480,0, 6856,640,480:waittimer 124039 blt 0,0,640,480,0, 6858,640,480:waittimer 124075 blt 0,0,640,480,0, 6860,640,480:waittimer 124111 blt 0,0,640,480,0, 6862,640,480:waittimer 124148 blt 0,0,640,480,0, 6864,640,480:waittimer 124184 blt 0,0,640,480,0, 6866,640,480:waittimer 124220 blt 0,0,640,480,0, 6868,640,480:waittimer 124256 blt 0,0,640,480,0, 6870,640,480:waittimer 124292 blt 0,0,640,480,0, 6872,640,480:waittimer 124328 blt 0,0,640,480,0, 6874,640,480:waittimer 124365 blt 0,0,640,480,0, 6876,640,480:waittimer 124401 blt 0,0,640,480,0, 6878,640,480:waittimer 124437 blt 0,0,640,480,0, 6880,640,480:waittimer 124473 blt 0,0,640,480,0, 6882,640,480:waittimer 124509 blt 0,0,640,480,0, 6884,640,480:waittimer 124546 blt 0,0,640,480,0, 6886,640,480:waittimer 124582 blt 0,0,640,480,0, 6888,640,480:waittimer 124618 blt 0,0,640,480,0, 6890,640,480:waittimer 124654 blt 0,0,640,480,0, 6892,640,480:waittimer 124690 blt 0,0,640,480,0, 6894,640,480:waittimer 124726 blt 0,0,640,480,0, 6896,640,480:waittimer 124763 blt 0,0,640,480,0, 6898,640,480:waittimer 124799 blt 0,0,640,480,0, 6900,640,480:waittimer 124835 blt 0,0,640,480,0, 6902,640,480:waittimer 124871 blt 0,0,640,480,0, 6904,640,480:waittimer 124907 blt 0,0,640,480,0, 6906,640,480:waittimer 124944 blt 0,0,640,480,0, 6908,640,480:waittimer 124980 blt 0,0,640,480,0, 6910,640,480:waittimer 125016 blt 0,0,640,480,0, 6912,640,480:waittimer 125052 blt 0,0,640,480,0, 6914,640,480:waittimer 125088 blt 0,0,640,480,0, 6916,640,480:waittimer 125125 blt 0,0,640,480,0, 6918,640,480:waittimer 125161 blt 0,0,640,480,0, 6920,640,480:waittimer 125197 blt 0,0,640,480,0, 6922,640,480:waittimer 125233 blt 0,0,640,480,0, 6924,640,480:waittimer 125269 blt 0,0,640,480,0, 6926,640,480:waittimer 125305 blt 0,0,640,480,0, 6928,640,480:waittimer 125342 blt 0,0,640,480,0, 6930,640,480:waittimer 125378 blt 0,0,640,480,0, 6932,640,480:waittimer 125414 blt 0,0,640,480,0, 6934,640,480:waittimer 125450 blt 0,0,640,480,0, 6936,640,480:waittimer 125486 blt 0,0,640,480,0, 6938,640,480:waittimer 125523 blt 0,0,640,480,0, 6940,640,480:waittimer 125559 blt 0,0,640,480,0, 6942,640,480:waittimer 125595 blt 0,0,640,480,0, 6944,640,480:waittimer 125631 blt 0,0,640,480,0, 6946,640,480:waittimer 125667 blt 0,0,640,480,0, 6948,640,480:waittimer 125703 blt 0,0,640,480,0, 6950,640,480:waittimer 125740 blt 0,0,640,480,0, 6952,640,480:waittimer 125776 blt 0,0,640,480,0, 6954,640,480:waittimer 125812 blt 0,0,640,480,0, 6956,640,480:waittimer 125848 blt 0,0,640,480,0, 6958,640,480:waittimer 125884 blt 0,0,640,480,0, 6960,640,480:waittimer 125921 blt 0,0,640,480,0, 6962,640,480:waittimer 125957 blt 0,0,640,480,0, 6964,640,480:waittimer 125993 blt 0,0,640,480,0, 6966,640,480:waittimer 126029 blt 0,0,640,480,0, 6968,640,480:waittimer 126065 blt 0,0,640,480,0, 6970,640,480:waittimer 126101 blt 0,0,640,480,0, 6972,640,480:waittimer 126138 blt 0,0,640,480,0, 6974,640,480:waittimer 126174 blt 0,0,640,480,0, 6976,640,480:waittimer 126210 blt 0,0,640,480,0, 6978,640,480:waittimer 126246 blt 0,0,640,480,0, 6980,640,480:waittimer 126282 blt 0,0,640,480,0, 6982,640,480:waittimer 126319 blt 0,0,640,480,0, 6984,640,480:waittimer 126355 blt 0,0,640,480,0, 6986,640,480:waittimer 126391 blt 0,0,640,480,0, 6988,640,480:waittimer 126427 blt 0,0,640,480,0, 6990,640,480:waittimer 126463 blt 0,0,640,480,0, 6992,640,480:waittimer 126500 blt 0,0,640,480,0, 6994,640,480:waittimer 126536 blt 0,0,640,480,0, 6996,640,480:waittimer 126572 blt 0,0,640,480,0, 6998,640,480:waittimer 126608 blt 0,0,640,480,0, 7000,640,480:waittimer 126644 blt 0,0,640,480,0, 7002,640,480:waittimer 126680 blt 0,0,640,480,0, 7004,640,480:waittimer 126717 blt 0,0,640,480,0, 7006,640,480:waittimer 126753 blt 0,0,640,480,0, 7008,640,480:waittimer 126789 blt 0,0,640,480,0, 7010,640,480:waittimer 126825 blt 0,0,640,480,0, 7012,640,480:waittimer 126861 blt 0,0,640,480,0, 7014,640,480:waittimer 126898 blt 0,0,640,480,0, 7016,640,480:waittimer 126934 blt 0,0,640,480,0, 7018,640,480:waittimer 126970 blt 0,0,640,480,0, 7020,640,480:waittimer 127006 blt 0,0,640,480,0, 7022,640,480:waittimer 127042 blt 0,0,640,480,0, 7024,640,480:waittimer 127078 blt 0,0,640,480,0, 7026,640,480:waittimer 127115 blt 0,0,640,480,0, 7028,640,480:waittimer 127151 blt 0,0,640,480,0, 7030,640,480:waittimer 127187 blt 0,0,640,480,0, 7032,640,480:waittimer 127223 blt 0,0,640,480,0, 7034,640,480:waittimer 127259 blt 0,0,640,480,0, 7036,640,480:waittimer 127296 blt 0,0,640,480,0, 7038,640,480:waittimer 127332 blt 0,0,640,480,0, 7040,640,480:waittimer 127368 blt 0,0,640,480,0, 7042,640,480:waittimer 127404 blt 0,0,640,480,0, 7044,640,480:waittimer 127440 blt 0,0,640,480,0, 7046,640,480:waittimer 127476 blt 0,0,640,480,0, 7048,640,480:waittimer 127513 blt 0,0,640,480,0, 7050,640,480:waittimer 127549 blt 0,0,640,480,0, 7052,640,480:waittimer 127585 blt 0,0,640,480,0, 7054,640,480:waittimer 127621 blt 0,0,640,480,0, 7056,640,480:waittimer 127657 blt 0,0,640,480,0, 7058,640,480:waittimer 127694 blt 0,0,640,480,0, 7060,640,480:waittimer 127730 blt 0,0,640,480,0, 7062,640,480:waittimer 127766 blt 0,0,640,480,0, 7064,640,480:waittimer 127802 blt 0,0,640,480,0, 7066,640,480:waittimer 127838 blt 0,0,640,480,0, 7068,640,480:waittimer 127875 blt 0,0,640,480,0, 7070,640,480:waittimer 127911 blt 0,0,640,480,0, 7072,640,480:waittimer 127947 blt 0,0,640,480,0, 7074,640,480:waittimer 127983 blt 0,0,640,480,0, 7076,640,480:waittimer 128019 blt 0,0,640,480,0, 7078,640,480:waittimer 128055 blt 0,0,640,480,0, 7080,640,480:waittimer 128092 blt 0,0,640,480,0, 7082,640,480:waittimer 128128 blt 0,0,640,480,0, 7084,640,480:waittimer 128164 blt 0,0,640,480,0, 7086,640,480:waittimer 128200 blt 0,0,640,480,0, 7088,640,480:waittimer 128236 blt 0,0,640,480,0, 7090,640,480:waittimer 128273 blt 0,0,640,480,0, 7092,640,480:waittimer 128309 blt 0,0,640,480,0, 7094,640,480:waittimer 128345 blt 0,0,640,480,0, 7096,640,480:waittimer 128381 blt 0,0,640,480,0, 7098,640,480:waittimer 128417 blt 0,0,640,480,0, 7100,640,480:waittimer 128453 blt 0,0,640,480,0, 7102,640,480:waittimer 128490 blt 0,0,640,480,0, 7104,640,480:waittimer 128526 blt 0,0,640,480,0, 7106,640,480:waittimer 128562 blt 0,0,640,480,0, 7108,640,480:waittimer 128598 blt 0,0,640,480,0, 7110,640,480:waittimer 128634 blt 0,0,640,480,0, 7112,640,480:waittimer 128671 blt 0,0,640,480,0, 7114,640,480:waittimer 128707 blt 0,0,640,480,0, 7116,640,480:waittimer 128743 blt 0,0,640,480,0, 7118,640,480:waittimer 128779 blt 0,0,640,480,0, 7120,640,480:waittimer 128815 blt 0,0,640,480,0, 7122,640,480:waittimer 128851 blt 0,0,640,480,0, 7124,640,480:waittimer 128888 blt 0,0,640,480,0, 7126,640,480:waittimer 128924 blt 0,0,640,480,0, 7128,640,480:waittimer 128960 blt 0,0,640,480,0, 7130,640,480:waittimer 128996 blt 0,0,640,480,0, 7132,640,480:waittimer 129032 blt 0,0,640,480,0, 7134,640,480:waittimer 129069 blt 0,0,640,480,0, 7136,640,480:waittimer 129105 blt 0,0,640,480,0, 7138,640,480:waittimer 129141 blt 0,0,640,480,0, 7140,640,480:waittimer 129177 blt 0,0,640,480,0, 7142,640,480:waittimer 129213 blt 0,0,640,480,0, 7144,640,480:waittimer 129250 blt 0,0,640,480,0, 7146,640,480:waittimer 129286 blt 0,0,640,480,0, 7148,640,480:waittimer 129322 blt 0,0,640,480,0, 7150,640,480:waittimer 129358 blt 0,0,640,480,0, 7152,640,480:waittimer 129394 blt 0,0,640,480,0, 7154,640,480:waittimer 129430 blt 0,0,640,480,0, 7156,640,480:waittimer 129467 blt 0,0,640,480,0, 7158,640,480:waittimer 129503 blt 0,0,640,480,0, 7160,640,480:waittimer 129539 blt 0,0,640,480,0, 7162,640,480:waittimer 129575 blt 0,0,640,480,0, 7164,640,480:waittimer 129611 blt 0,0,640,480,0, 7166,640,480:waittimer 129648 blt 0,0,640,480,0, 7168,640,480:waittimer 129684 blt 0,0,640,480,0, 7170,640,480:waittimer 129720 blt 0,0,640,480,0, 7172,640,480:waittimer 129756 blt 0,0,640,480,0, 7174,640,480:waittimer 129792 blt 0,0,640,480,0, 7176,640,480:waittimer 129828 blt 0,0,640,480,0, 7178,640,480:waittimer 129865 blt 0,0,640,480,0, 7180,640,480:waittimer 129901 blt 0,0,640,480,0, 7182,640,480:waittimer 129937 blt 0,0,640,480,0, 7184,640,480:waittimer 129973 blt 0,0,640,480,0, 7186,640,480:waittimer 130009 blt 0,0,640,480,0, 7188,640,480:waittimer 130046 blt 0,0,640,480,0, 7190,640,480:waittimer 130082 blt 0,0,640,480,0, 7192,640,480:waittimer 130118 blt 0,0,640,480,0, 7194,640,480:waittimer 130154 blt 0,0,640,480,0, 7196,640,480:waittimer 130190 blt 0,0,640,480,0, 7198,640,480:waittimer 130226 blt 0,0,640,480,0, 7200,640,480:waittimer 130263 blt 0,0,640,480,0, 7202,640,480:waittimer 130299 blt 0,0,640,480,0, 7204,640,480:waittimer 130335 blt 0,0,640,480,0, 7206,640,480:waittimer 130371 blt 0,0,640,480,0, 7208,640,480:waittimer 130407 blt 0,0,640,480,0, 7210,640,480:waittimer 130444 blt 0,0,640,480,0, 7212,640,480:waittimer 130480 blt 0,0,640,480,0, 7214,640,480:waittimer 130516 blt 0,0,640,480,0, 7216,640,480:waittimer 130552 blt 0,0,640,480,0, 7218,640,480:waittimer 130588 blt 0,0,640,480,0, 7220,640,480:waittimer 130625 blt 0,0,640,480,0, 7222,640,480:waittimer 130661 blt 0,0,640,480,0, 7224,640,480:waittimer 130697 blt 0,0,640,480,0, 7226,640,480:waittimer 130733 blt 0,0,640,480,0, 7228,640,480:waittimer 130769 blt 0,0,640,480,0, 7230,640,480:waittimer 130805 blt 0,0,640,480,0, 7232,640,480:waittimer 130842 blt 0,0,640,480,0, 7234,640,480:waittimer 130878 blt 0,0,640,480,0, 7236,640,480:waittimer 130914 blt 0,0,640,480,0, 7238,640,480:waittimer 130950 blt 0,0,640,480,0, 7240,640,480:waittimer 130986 blt 0,0,640,480,0, 7242,640,480:waittimer 131023 blt 0,0,640,480,0, 7244,640,480:waittimer 131059 blt 0,0,640,480,0, 7246,640,480:waittimer 131095 blt 0,0,640,480,0, 7248,640,480:waittimer 131131 blt 0,0,640,480,0, 7250,640,480:waittimer 131167 blt 0,0,640,480,0, 7252,640,480:waittimer 131203 blt 0,0,640,480,0, 7254,640,480:waittimer 131240 blt 0,0,640,480,0, 7256,640,480:waittimer 131276 blt 0,0,640,480,0, 7258,640,480:waittimer 131312 blt 0,0,640,480,0, 7260,640,480:waittimer 131348 blt 0,0,640,480,0, 7262,640,480:waittimer 131384 blt 0,0,640,480,0, 7264,640,480:waittimer 131421 blt 0,0,640,480,0, 7266,640,480:waittimer 131457 blt 0,0,640,480,0, 7268,640,480:waittimer 131493 blt 0,0,640,480,0, 7270,640,480:waittimer 131529 blt 0,0,640,480,0, 7272,640,480:waittimer 131565 blt 0,0,640,480,0, 7274,640,480:waittimer 131601 blt 0,0,640,480,0, 7276,640,480:waittimer 131638 blt 0,0,640,480,0, 7278,640,480:waittimer 131674 blt 0,0,640,480,0, 7280,640,480:waittimer 131710 blt 0,0,640,480,0, 7282,640,480:waittimer 131746 blt 0,0,640,480,0, 7284,640,480:waittimer 131782 blt 0,0,640,480,0, 7286,640,480:waittimer 131819 blt 0,0,640,480,0, 7288,640,480:waittimer 131855 blt 0,0,640,480,0, 7290,640,480:waittimer 131891 blt 0,0,640,480,0, 7292,640,480:waittimer 131927 blt 0,0,640,480,0, 7294,640,480:waittimer 131963 blt 0,0,640,480,0, 7296,640,480:waittimer 132000 blt 0,0,640,480,0, 7298,640,480:waittimer 132036 blt 0,0,640,480,0, 7300,640,480:waittimer 132072 blt 0,0,640,480,0, 7302,640,480:waittimer 132108 blt 0,0,640,480,0, 7304,640,480:waittimer 132144 blt 0,0,640,480,0, 7306,640,480:waittimer 132180 blt 0,0,640,480,0, 7308,640,480:waittimer 132217 blt 0,0,640,480,0, 7310,640,480:waittimer 132253 blt 0,0,640,480,0, 7312,640,480:waittimer 132289 blt 0,0,640,480,0, 7314,640,480:waittimer 132325 blt 0,0,640,480,0, 7316,640,480:waittimer 132361 blt 0,0,640,480,0, 7318,640,480:waittimer 132398 blt 0,0,640,480,0, 7320,640,480:waittimer 132434 blt 0,0,640,480,0, 7322,640,480:waittimer 132470 blt 0,0,640,480,0, 7324,640,480:waittimer 132506 blt 0,0,640,480,0, 7326,640,480:waittimer 132542 blt 0,0,640,480,0, 7328,640,480:waittimer 132578 blt 0,0,640,480,0, 7330,640,480:waittimer 132615 blt 0,0,640,480,0, 7332,640,480:waittimer 132651 blt 0,0,640,480,0, 7334,640,480:waittimer 132687 blt 0,0,640,480,0, 7336,640,480:waittimer 132723 blt 0,0,640,480,0, 7338,640,480:waittimer 132759 blt 0,0,640,480,0, 7340,640,480:waittimer 132796 blt 0,0,640,480,0, 7342,640,480:waittimer 132832 blt 0,0,640,480,0, 7344,640,480:waittimer 132868 blt 0,0,640,480,0, 7346,640,480:waittimer 132904 blt 0,0,640,480,0, 7348,640,480:waittimer 132940 blt 0,0,640,480,0, 7350,640,480:waittimer 132976 blt 0,0,640,480,0, 7352,640,480:waittimer 133013 blt 0,0,640,480,0, 7354,640,480:waittimer 133049 blt 0,0,640,480,0, 7356,640,480:waittimer 133085 blt 0,0,640,480,0, 7358,640,480:waittimer 133121 blt 0,0,640,480,0, 7360,640,480:waittimer 133157 blt 0,0,640,480,0, 7362,640,480:waittimer 133194 blt 0,0,640,480,0, 7364,640,480:waittimer 133230 blt 0,0,640,480,0, 7366,640,480:waittimer 133266 blt 0,0,640,480,0, 7368,640,480:waittimer 133302 blt 0,0,640,480,0, 7370,640,480:waittimer 133338 blt 0,0,640,480,0, 7372,640,480:waittimer 133375 blt 0,0,640,480,0, 7374,640,480:waittimer 133411 blt 0,0,640,480,0, 7376,640,480:waittimer 133447 blt 0,0,640,480,0, 7378,640,480:waittimer 133483 blt 0,0,640,480,0, 7380,640,480:waittimer 133519 blt 0,0,640,480,0, 7382,640,480:waittimer 133555 blt 0,0,640,480,0, 7384,640,480:waittimer 133592 blt 0,0,640,480,0, 7386,640,480:waittimer 133628 blt 0,0,640,480,0, 7388,640,480:waittimer 133664 blt 0,0,640,480,0, 7390,640,480:waittimer 133700 blt 0,0,640,480,0, 7392,640,480:waittimer 133736 blt 0,0,640,480,0, 7394,640,480:waittimer 133773 blt 0,0,640,480,0, 7396,640,480:waittimer 133809 blt 0,0,640,480,0, 7398,640,480:waittimer 133845 blt 0,0,640,480,0, 7400,640,480:waittimer 133881 blt 0,0,640,480,0, 7402,640,480:waittimer 133917 blt 0,0,640,480,0, 7404,640,480:waittimer 133953 blt 0,0,640,480,0, 7406,640,480:waittimer 133990 blt 0,0,640,480,0, 7408,640,480:waittimer 134026 blt 0,0,640,480,0, 7410,640,480:waittimer 134062 blt 0,0,640,480,0, 7412,640,480:waittimer 134098 blt 0,0,640,480,0, 7414,640,480:waittimer 134134 blt 0,0,640,480,0, 7416,640,480:waittimer 134171 blt 0,0,640,480,0, 7418,640,480:waittimer 134207 blt 0,0,640,480,0, 7420,640,480:waittimer 134243 blt 0,0,640,480,0, 7422,640,480:waittimer 134279 blt 0,0,640,480,0, 7424,640,480:waittimer 134315 blt 0,0,640,480,0, 7426,640,480:waittimer 134351 blt 0,0,640,480,0, 7428,640,480:waittimer 134388 blt 0,0,640,480,0, 7430,640,480:waittimer 134424 blt 0,0,640,480,0, 7432,640,480:waittimer 134460 blt 0,0,640,480,0, 7434,640,480:waittimer 134496 blt 0,0,640,480,0, 7436,640,480:waittimer 134532 blt 0,0,640,480,0, 7438,640,480:waittimer 134569 blt 0,0,640,480,0, 7440,640,480:waittimer 134605 blt 0,0,640,480,0, 7442,640,480:waittimer 134641 blt 0,0,640,480,0, 7444,640,480:waittimer 134677 blt 0,0,640,480,0, 7446,640,480:waittimer 134713 blt 0,0,640,480,0, 7448,640,480:waittimer 134750 blt 0,0,640,480,0, 7450,640,480:waittimer 134786 blt 0,0,640,480,0, 7452,640,480:waittimer 134822 blt 0,0,640,480,0, 7454,640,480:waittimer 134858 blt 0,0,640,480,0, 7456,640,480:waittimer 134894 blt 0,0,640,480,0, 7458,640,480:waittimer 134930 blt 0,0,640,480,0, 7460,640,480:waittimer 134967 blt 0,0,640,480,0, 7462,640,480:waittimer 135003 blt 0,0,640,480,0, 7464,640,480:waittimer 135039 blt 0,0,640,480,0, 7466,640,480:waittimer 135075 blt 0,0,640,480,0, 7468,640,480:waittimer 135111 blt 0,0,640,480,0, 7470,640,480:waittimer 135148 blt 0,0,640,480,0, 7472,640,480:waittimer 135184 blt 0,0,640,480,0, 7474,640,480:waittimer 135220 blt 0,0,640,480,0, 7476,640,480:waittimer 135256 blt 0,0,640,480,0, 7478,640,480:waittimer 135292 blt 0,0,640,480,0, 7480,640,480:waittimer 135328 blt 0,0,640,480,0, 7482,640,480:waittimer 135365 blt 0,0,640,480,0, 7484,640,480:waittimer 135401 blt 0,0,640,480,0, 7486,640,480:waittimer 135437 blt 0,0,640,480,0, 7488,640,480:waittimer 135473 blt 0,0,640,480,0, 7490,640,480:waittimer 135509 blt 0,0,640,480,0, 7492,640,480:waittimer 135546 blt 0,0,640,480,0, 7494,640,480:waittimer 135582 blt 0,0,640,480,0, 7496,640,480:waittimer 135618 blt 0,0,640,480,0, 7498,640,480:waittimer 135654 blt 0,0,640,480,0, 7500,640,480:waittimer 135690 blt 0,0,640,480,0, 7502,640,480:waittimer 135726 blt 0,0,640,480,0, 7504,640,480:waittimer 135763 blt 0,0,640,480,0, 7506,640,480:waittimer 135799 blt 0,0,640,480,0, 7508,640,480:waittimer 135835 blt 0,0,640,480,0, 7510,640,480:waittimer 135871 blt 0,0,640,480,0, 7512,640,480:waittimer 135907 blt 0,0,640,480,0, 7514,640,480:waittimer 135944 blt 0,0,640,480,0, 7516,640,480:waittimer 135980 blt 0,0,640,480,0, 7518,640,480:waittimer 136016 blt 0,0,640,480,0, 7520,640,480:waittimer 136052 blt 0,0,640,480,0, 7522,640,480:waittimer 136088 blt 0,0,640,480,0, 7524,640,480:waittimer 136125 blt 0,0,640,480,0, 7526,640,480:waittimer 136161 blt 0,0,640,480,0, 7528,640,480:waittimer 136197 blt 0,0,640,480,0, 7530,640,480:waittimer 136233 blt 0,0,640,480,0, 7532,640,480:waittimer 136269 blt 0,0,640,480,0, 7534,640,480:waittimer 136305 blt 0,0,640,480,0, 7536,640,480:waittimer 136342 blt 0,0,640,480,0, 7538,640,480:waittimer 136378 blt 0,0,640,480,0, 7540,640,480:waittimer 136414 blt 0,0,640,480,0, 7542,640,480:waittimer 136450 blt 0,0,640,480,0, 7544,640,480:waittimer 136486 blt 0,0,640,480,0, 7546,640,480:waittimer 136523 blt 0,0,640,480,0, 7548,640,480:waittimer 136559 blt 0,0,640,480,0, 7550,640,480:waittimer 136595 blt 0,0,640,480,0, 7552,640,480:waittimer 136631 blt 0,0,640,480,0, 7554,640,480:waittimer 136667 blt 0,0,640,480,0, 7556,640,480:waittimer 136703 blt 0,0,640,480,0, 7558,640,480:waittimer 136740 blt 0,0,640,480,0, 7560,640,480:waittimer 136776 blt 0,0,640,480,0, 7562,640,480:waittimer 136812 blt 0,0,640,480,0, 7564,640,480:waittimer 136848 blt 0,0,640,480,0, 7566,640,480:waittimer 136884 blt 0,0,640,480,0, 7568,640,480:waittimer 136921 blt 0,0,640,480,0, 7570,640,480:waittimer 136957 blt 0,0,640,480,0, 7572,640,480:waittimer 136993 blt 0,0,640,480,0, 7574,640,480:waittimer 137029 blt 0,0,640,480,0, 7576,640,480:waittimer 137065 blt 0,0,640,480,0, 7578,640,480:waittimer 137101 blt 0,0,640,480,0, 7580,640,480:waittimer 137138 blt 0,0,640,480,0, 7582,640,480:waittimer 137174 blt 0,0,640,480,0, 7584,640,480:waittimer 137210 blt 0,0,640,480,0, 7586,640,480:waittimer 137246 blt 0,0,640,480,0, 7588,640,480:waittimer 137282 blt 0,0,640,480,0, 7590,640,480:waittimer 137319 blt 0,0,640,480,0, 7592,640,480:waittimer 137355 blt 0,0,640,480,0, 7594,640,480:waittimer 137391 blt 0,0,640,480,0, 7596,640,480:waittimer 137427 blt 0,0,640,480,0, 7598,640,480:waittimer 137463 blt 0,0,640,480,0, 7600,640,480:waittimer 137500 blt 0,0,640,480,0, 7602,640,480:waittimer 137536 blt 0,0,640,480,0, 7604,640,480:waittimer 137572 blt 0,0,640,480,0, 7606,640,480:waittimer 137608 blt 0,0,640,480,0, 7608,640,480:waittimer 137644 blt 0,0,640,480,0, 7610,640,480:waittimer 137680 blt 0,0,640,480,0, 7612,640,480:waittimer 137717 blt 0,0,640,480,0, 7614,640,480:waittimer 137753 blt 0,0,640,480,0, 7616,640,480:waittimer 137789 blt 0,0,640,480,0, 7618,640,480:waittimer 137825 blt 0,0,640,480,0, 7620,640,480:waittimer 137861 blt 0,0,640,480,0, 7622,640,480:waittimer 137898 blt 0,0,640,480,0, 7624,640,480:waittimer 137934 blt 0,0,640,480,0, 7626,640,480:waittimer 137970 blt 0,0,640,480,0, 7628,640,480:waittimer 138006 blt 0,0,640,480,0, 7630,640,480:waittimer 138042 blt 0,0,640,480,0, 7632,640,480:waittimer 138078 blt 0,0,640,480,0, 7634,640,480:waittimer 138115 blt 0,0,640,480,0, 7636,640,480:waittimer 138151 blt 0,0,640,480,0, 7638,640,480:waittimer 138187 blt 0,0,640,480,0, 7640,640,480:waittimer 138223 blt 0,0,640,480,0, 7642,640,480:waittimer 138259 blt 0,0,640,480,0, 7644,640,480:waittimer 138296 blt 0,0,640,480,0, 7646,640,480:waittimer 138332 blt 0,0,640,480,0, 7648,640,480:waittimer 138368 blt 0,0,640,480,0, 7650,640,480:waittimer 138404 blt 0,0,640,480,0, 7652,640,480:waittimer 138440 blt 0,0,640,480,0, 7654,640,480:waittimer 138476 blt 0,0,640,480,0, 7656,640,480:waittimer 138513 blt 0,0,640,480,0, 7658,640,480:waittimer 138549 blt 0,0,640,480,0, 7660,640,480:waittimer 138585 blt 0,0,640,480,0, 7662,640,480:waittimer 138621 blt 0,0,640,480,0, 7664,640,480:waittimer 138657 blt 0,0,640,480,0, 7666,640,480:waittimer 138694 blt 0,0,640,480,0, 7668,640,480:waittimer 138730 blt 0,0,640,480,0, 7670,640,480:waittimer 138766 blt 0,0,640,480,0, 7672,640,480:waittimer 138802 blt 0,0,640,480,0, 7674,640,480:waittimer 138838 blt 0,0,640,480,0, 7676,640,480:waittimer 138875 blt 0,0,640,480,0, 7678,640,480:waittimer 138911 blt 0,0,640,480,0, 7680,640,480:waittimer 138947 blt 0,0,640,480,0, 7682,640,480:waittimer 138983 blt 0,0,640,480,0, 7684,640,480:waittimer 139019 blt 0,0,640,480,0, 7686,640,480:waittimer 139055 blt 0,0,640,480,0, 7688,640,480:waittimer 139092 blt 0,0,640,480,0, 7690,640,480:waittimer 139128 blt 0,0,640,480,0, 7692,640,480:waittimer 139164 blt 0,0,640,480,0, 7694,640,480:waittimer 139200 blt 0,0,640,480,0, 7696,640,480:waittimer 139236 blt 0,0,640,480,0, 7698,640,480:waittimer 139273 blt 0,0,640,480,0, 7700,640,480:waittimer 139309 blt 0,0,640,480,0, 7702,640,480:waittimer 139345 blt 0,0,640,480,0, 7704,640,480:waittimer 139381 blt 0,0,640,480,0, 7706,640,480:waittimer 139417 blt 0,0,640,480,0, 7708,640,480:waittimer 139453 blt 0,0,640,480,0, 7710,640,480:waittimer 139490 blt 0,0,640,480,0, 7712,640,480:waittimer 139526 blt 0,0,640,480,0, 7714,640,480:waittimer 139562 blt 0,0,640,480,0, 7716,640,480:waittimer 139598 blt 0,0,640,480,0, 7718,640,480:waittimer 139634 blt 0,0,640,480,0, 7720,640,480:waittimer 139671 blt 0,0,640,480,0, 7722,640,480:waittimer 139707 blt 0,0,640,480,0, 7724,640,480:waittimer 139743 blt 0,0,640,480,0, 7726,640,480:waittimer 139779 blt 0,0,640,480,0, 7728,640,480:waittimer 139815 blt 0,0,640,480,0, 7730,640,480:waittimer 139851 blt 0,0,640,480,0, 7732,640,480:waittimer 139888 blt 0,0,640,480,0, 7734,640,480:waittimer 139924 blt 0,0,640,480,0, 7736,640,480:waittimer 139960 blt 0,0,640,480,0, 7738,640,480:waittimer 139996 blt 0,0,640,480,0, 7740,640,480:waittimer 140032 blt 0,0,640,480,0, 7742,640,480:waittimer 140069 blt 0,0,640,480,0, 7744,640,480:waittimer 140105 blt 0,0,640,480,0, 7746,640,480:waittimer 140141 blt 0,0,640,480,0, 7748,640,480:waittimer 140177 blt 0,0,640,480,0, 7750,640,480:waittimer 140213 blt 0,0,640,480,0, 7752,640,480:waittimer 140250 blt 0,0,640,480,0, 7754,640,480:waittimer 140286 blt 0,0,640,480,0, 7756,640,480:waittimer 140322 blt 0,0,640,480,0, 7758,640,480:waittimer 140358 blt 0,0,640,480,0, 7760,640,480:waittimer 140394 blt 0,0,640,480,0, 7762,640,480:waittimer 140430 blt 0,0,640,480,0, 7764,640,480:waittimer 140467 blt 0,0,640,480,0, 7766,640,480:waittimer 140503 blt 0,0,640,480,0, 7768,640,480:waittimer 140539 blt 0,0,640,480,0, 7770,640,480:waittimer 140575 blt 0,0,640,480,0, 7772,640,480:waittimer 140611 blt 0,0,640,480,0, 7774,640,480:waittimer 140648 blt 0,0,640,480,0, 7776,640,480:waittimer 140684 blt 0,0,640,480,0, 7778,640,480:waittimer 140720 blt 0,0,640,480,0, 7780,640,480:waittimer 140756 blt 0,0,640,480,0, 7782,640,480:waittimer 140792 blt 0,0,640,480,0, 7784,640,480:waittimer 140828 blt 0,0,640,480,0, 7786,640,480:waittimer 140865 blt 0,0,640,480,0, 7788,640,480:waittimer 140901 blt 0,0,640,480,0, 7790,640,480:waittimer 140937 blt 0,0,640,480,0, 7792,640,480:waittimer 140973 blt 0,0,640,480,0, 7794,640,480:waittimer 141009 blt 0,0,640,480,0, 7796,640,480:waittimer 141046 blt 0,0,640,480,0, 7798,640,480:waittimer 141082 blt 0,0,640,480,0, 7800,640,480:waittimer 141118 blt 0,0,640,480,0, 7802,640,480:waittimer 141154 blt 0,0,640,480,0, 7804,640,480:waittimer 141190 blt 0,0,640,480,0, 7806,640,480:waittimer 141226 blt 0,0,640,480,0, 7808,640,480:waittimer 141263 blt 0,0,640,480,0, 7810,640,480:waittimer 141299 blt 0,0,640,480,0, 7812,640,480:waittimer 141335 blt 0,0,640,480,0, 7814,640,480:waittimer 141371 blt 0,0,640,480,0, 7816,640,480:waittimer 141407 blt 0,0,640,480,0, 7818,640,480:waittimer 141444 blt 0,0,640,480,0, 7820,640,480:waittimer 141480 blt 0,0,640,480,0, 7822,640,480:waittimer 141516 blt 0,0,640,480,0, 7824,640,480:waittimer 141552 blt 0,0,640,480,0, 7826,640,480:waittimer 141588 blt 0,0,640,480,0, 7828,640,480:waittimer 141625 blt 0,0,640,480,0, 7830,640,480:waittimer 141661 blt 0,0,640,480,0, 7832,640,480:waittimer 141697 blt 0,0,640,480,0, 7834,640,480:waittimer 141733 blt 0,0,640,480,0, 7836,640,480:waittimer 141769 blt 0,0,640,480,0, 7838,640,480:waittimer 141805 blt 0,0,640,480,0, 7840,640,480:waittimer 141842 blt 0,0,640,480,0, 7842,640,480:waittimer 141878 blt 0,0,640,480,0, 7844,640,480:waittimer 141914 blt 0,0,640,480,0, 7846,640,480:waittimer 141950 blt 0,0,640,480,0, 7848,640,480:waittimer 141986 blt 0,0,640,480,0, 7850,640,480:waittimer 142023 blt 0,0,640,480,0, 7852,640,480:waittimer 142059 blt 0,0,640,480,0, 7854,640,480:waittimer 142095 blt 0,0,640,480,0, 7856,640,480:waittimer 142131 blt 0,0,640,480,0, 7858,640,480:waittimer 142167 blt 0,0,640,480,0, 7860,640,480:waittimer 142203 blt 0,0,640,480,0, 7862,640,480:waittimer 142240 blt 0,0,640,480,0, 7864,640,480:waittimer 142276 blt 0,0,640,480,0, 7866,640,480:waittimer 142312 blt 0,0,640,480,0, 7868,640,480:waittimer 142348 blt 0,0,640,480,0, 7870,640,480:waittimer 142384 blt 0,0,640,480,0, 7872,640,480:waittimer 142421 blt 0,0,640,480,0, 7874,640,480:waittimer 142457 blt 0,0,640,480,0, 7876,640,480:waittimer 142493 blt 0,0,640,480,0, 7878,640,480:waittimer 142529 blt 0,0,640,480,0, 7880,640,480:waittimer 142565 blt 0,0,640,480,0, 7882,640,480:waittimer 142601 blt 0,0,640,480,0, 7884,640,480:waittimer 142638 blt 0,0,640,480,0, 7886,640,480:waittimer 142674 blt 0,0,640,480,0, 7888,640,480:waittimer 142710 blt 0,0,640,480,0, 7890,640,480:waittimer 142746 blt 0,0,640,480,0, 7892,640,480:waittimer 142782 blt 0,0,640,480,0, 7894,640,480:waittimer 142819 blt 0,0,640,480,0, 7896,640,480:waittimer 142855 blt 0,0,640,480,0, 7898,640,480:waittimer 142891 blt 0,0,640,480,0, 7900,640,480:waittimer 142927 blt 0,0,640,480,0, 7902,640,480:waittimer 142963 blt 0,0,640,480,0, 7904,640,480:waittimer 143000 blt 0,0,640,480,0, 7906,640,480:waittimer 143036 blt 0,0,640,480,0, 7908,640,480:waittimer 143072 blt 0,0,640,480,0, 7910,640,480:waittimer 143108 blt 0,0,640,480,0, 7912,640,480:waittimer 143144 blt 0,0,640,480,0, 7914,640,480:waittimer 143180 blt 0,0,640,480,0, 7916,640,480:waittimer 143217 blt 0,0,640,480,0, 7918,640,480:waittimer 143253 blt 0,0,640,480,0, 7920,640,480:waittimer 143289 blt 0,0,640,480,0, 7922,640,480:waittimer 143325 blt 0,0,640,480,0, 7924,640,480:waittimer 143361 blt 0,0,640,480,0, 7926,640,480:waittimer 143398 blt 0,0,640,480,0, 7928,640,480:waittimer 143434 blt 0,0,640,480,0, 7930,640,480:waittimer 143470 blt 0,0,640,480,0, 7932,640,480:waittimer 143506 blt 0,0,640,480,0, 7934,640,480:waittimer 143542 blt 0,0,640,480,0, 7936,640,480:waittimer 143578 blt 0,0,640,480,0, 7938,640,480:waittimer 143615 blt 0,0,640,480,0, 7940,640,480:waittimer 143651 blt 0,0,640,480,0, 7942,640,480:waittimer 143687 blt 0,0,640,480,0, 7944,640,480:waittimer 143723 blt 0,0,640,480,0, 7946,640,480:waittimer 143759 blt 0,0,640,480,0, 7948,640,480:waittimer 143796 blt 0,0,640,480,0, 7950,640,480:waittimer 143832 blt 0,0,640,480,0, 7952,640,480:waittimer 143868 blt 0,0,640,480,0, 7954,640,480:waittimer 143904 blt 0,0,640,480,0, 7956,640,480:waittimer 143940 blt 0,0,640,480,0, 7958,640,480:waittimer 143976 blt 0,0,640,480,0, 7960,640,480:waittimer 144013 blt 0,0,640,480,0, 7962,640,480:waittimer 144049 blt 0,0,640,480,0, 7964,640,480:waittimer 144085 blt 0,0,640,480,0, 7966,640,480:waittimer 144121 blt 0,0,640,480,0, 7968,640,480:waittimer 144157 blt 0,0,640,480,0, 7970,640,480:waittimer 144194 blt 0,0,640,480,0, 7972,640,480:waittimer 144230 blt 0,0,640,480,0, 7974,640,480:waittimer 144266 blt 0,0,640,480,0, 7976,640,480:waittimer 144302 blt 0,0,640,480,0, 7978,640,480:waittimer 144338 blt 0,0,640,480,0, 7980,640,480:waittimer 144375 blt 0,0,640,480,0, 7982,640,480:waittimer 144411 blt 0,0,640,480,0, 7984,640,480:waittimer 144447 blt 0,0,640,480,0, 7986,640,480:waittimer 144483 blt 0,0,640,480,0, 7988,640,480:waittimer 144519 blt 0,0,640,480,0, 7990,640,480:waittimer 144555 blt 0,0,640,480,0, 7992,640,480:waittimer 144592 blt 0,0,640,480,0, 7994,640,480:waittimer 144628 blt 0,0,640,480,0, 7996,640,480:waittimer 144664 blt 0,0,640,480,0, 7998,640,480:waittimer 144700 blt 0,0,640,480,0, 8000,640,480:waittimer 144736 blt 0,0,640,480,0, 8002,640,480:waittimer 144773 blt 0,0,640,480,0, 8004,640,480:waittimer 144809 blt 0,0,640,480,0, 8006,640,480:waittimer 144845 blt 0,0,640,480,0, 8008,640,480:waittimer 144881 blt 0,0,640,480,0, 8010,640,480:waittimer 144917 blt 0,0,640,480,0, 8012,640,480:waittimer 144953 blt 0,0,640,480,0, 8014,640,480:waittimer 144990 blt 0,0,640,480,0, 8016,640,480:waittimer 145026 blt 0,0,640,480,0, 8018,640,480:waittimer 145062 blt 0,0,640,480,0, 8020,640,480:waittimer 145098 blt 0,0,640,480,0, 8022,640,480:waittimer 145134 blt 0,0,640,480,0, 8024,640,480:waittimer 145171 blt 0,0,640,480,0, 8026,640,480:waittimer 145207 blt 0,0,640,480,0, 8028,640,480:waittimer 145243 blt 0,0,640,480,0, 8030,640,480:waittimer 145279 blt 0,0,640,480,0, 8032,640,480:waittimer 145315 blt 0,0,640,480,0, 8034,640,480:waittimer 145351 blt 0,0,640,480,0, 8036,640,480:waittimer 145388 blt 0,0,640,480,0, 8038,640,480:waittimer 145424 blt 0,0,640,480,0, 8040,640,480:waittimer 145460 blt 0,0,640,480,0, 8042,640,480:waittimer 145496 blt 0,0,640,480,0, 8044,640,480:waittimer 145532 blt 0,0,640,480,0, 8046,640,480:waittimer 145569 blt 0,0,640,480,0, 8048,640,480:waittimer 145605 blt 0,0,640,480,0, 8050,640,480:waittimer 145641 blt 0,0,640,480,0, 8052,640,480:waittimer 145677 blt 0,0,640,480,0, 8054,640,480:waittimer 145713 blt 0,0,640,480,0, 8056,640,480:waittimer 145750 blt 0,0,640,480,0, 8058,640,480:waittimer 145786 blt 0,0,640,480,0, 8060,640,480:waittimer 145822 blt 0,0,640,480,0, 8062,640,480:waittimer 145858 blt 0,0,640,480,0, 8064,640,480:waittimer 145894 blt 0,0,640,480,0, 8066,640,480:waittimer 145930 blt 0,0,640,480,0, 8068,640,480:waittimer 145967 blt 0,0,640,480,0, 8070,640,480:waittimer 146003 blt 0,0,640,480,0, 8072,640,480:waittimer 146039 blt 0,0,640,480,0, 8074,640,480:waittimer 146075 blt 0,0,640,480,0, 8076,640,480:waittimer 146111 blt 0,0,640,480,0, 8078,640,480:waittimer 146148 blt 0,0,640,480,0, 8080,640,480:waittimer 146184 blt 0,0,640,480,0, 8082,640,480:waittimer 146220 blt 0,0,640,480,0, 8084,640,480:waittimer 146256 blt 0,0,640,480,0, 8086,640,480:waittimer 146292 blt 0,0,640,480,0, 8088,640,480:waittimer 146328 blt 0,0,640,480,0, 8090,640,480:waittimer 146365 blt 0,0,640,480,0, 8092,640,480:waittimer 146401 blt 0,0,640,480,0, 8094,640,480:waittimer 146437 blt 0,0,640,480,0, 8096,640,480:waittimer 146473 blt 0,0,640,480,0, 8098,640,480:waittimer 146509 blt 0,0,640,480,0, 8100,640,480:waittimer 146546 blt 0,0,640,480,0, 8102,640,480:waittimer 146582 blt 0,0,640,480,0, 8104,640,480:waittimer 146618 blt 0,0,640,480,0, 8106,640,480:waittimer 146654 blt 0,0,640,480,0, 8108,640,480:waittimer 146690 blt 0,0,640,480,0, 8110,640,480:waittimer 146726 blt 0,0,640,480,0, 8112,640,480:waittimer 146763 blt 0,0,640,480,0, 8114,640,480:waittimer 146799 blt 0,0,640,480,0, 8116,640,480:waittimer 146835 blt 0,0,640,480,0, 8118,640,480:waittimer 146871 blt 0,0,640,480,0, 8120,640,480:waittimer 146907 blt 0,0,640,480,0, 8122,640,480:waittimer 146944 blt 0,0,640,480,0, 8124,640,480:waittimer 146980 blt 0,0,640,480,0, 8126,640,480:waittimer 147016 blt 0,0,640,480,0, 8128,640,480:waittimer 147052 blt 0,0,640,480,0, 8130,640,480:waittimer 147088 blt 0,0,640,480,0, 8132,640,480:waittimer 147125 blt 0,0,640,480,0, 8134,640,480:waittimer 147161 blt 0,0,640,480,0, 8136,640,480:waittimer 147197 blt 0,0,640,480,0, 8138,640,480:waittimer 147233 blt 0,0,640,480,0, 8140,640,480:waittimer 147269 blt 0,0,640,480,0, 8142,640,480:waittimer 147305 blt 0,0,640,480,0, 8144,640,480:waittimer 147342 blt 0,0,640,480,0, 8146,640,480:waittimer 147378 blt 0,0,640,480,0, 8148,640,480:waittimer 147414 blt 0,0,640,480,0, 8150,640,480:waittimer 147450 blt 0,0,640,480,0, 8152,640,480:waittimer 147486 blt 0,0,640,480,0, 8154,640,480:waittimer 147523 blt 0,0,640,480,0, 8156,640,480:waittimer 147559 blt 0,0,640,480,0, 8158,640,480:waittimer 147595 blt 0,0,640,480,0, 8160,640,480:waittimer 147631 blt 0,0,640,480,0, 8162,640,480:waittimer 147667 blt 0,0,640,480,0, 8164,640,480:waittimer 147703 blt 0,0,640,480,0, 8166,640,480:waittimer 147740 blt 0,0,640,480,0, 8168,640,480:waittimer 147776 blt 0,0,640,480,0, 8170,640,480:waittimer 147812 blt 0,0,640,480,0, 8172,640,480:waittimer 147848 blt 0,0,640,480,0, 8174,640,480:waittimer 147884 blt 0,0,640,480,0, 8176,640,480:waittimer 147921 blt 0,0,640,480,0, 8178,640,480:waittimer 147957 blt 0,0,640,480,0, 8180,640,480:waittimer 147993 blt 0,0,640,480,0, 8182,640,480:waittimer 148029 blt 0,0,640,480,0, 8184,640,480:waittimer 148065 blt 0,0,640,480,0, 8186,640,480:waittimer 148101 blt 0,0,640,480,0, 8188,640,480:waittimer 148138 blt 0,0,640,480,0, 8190,640,480:waittimer 148174 blt 0,0,640,480,0, 8192,640,480:waittimer 148210 blt 0,0,640,480,0, 8194,640,480:waittimer 148246 blt 0,0,640,480,0, 8196,640,480:waittimer 148282 blt 0,0,640,480,0, 8198,640,480:waittimer 148319 blt 0,0,640,480,0, 8200,640,480:waittimer 148355 blt 0,0,640,480,0, 8202,640,480:waittimer 148391 blt 0,0,640,480,0, 8204,640,480:waittimer 148427 blt 0,0,640,480,0, 8206,640,480:waittimer 148463 blt 0,0,640,480,0, 8208,640,480:waittimer 148500 blt 0,0,640,480,0, 8210,640,480:waittimer 148536 blt 0,0,640,480,0, 8212,640,480:waittimer 148572 blt 0,0,640,480,0, 8214,640,480:waittimer 148608 blt 0,0,640,480,0, 8216,640,480:waittimer 148644 blt 0,0,640,480,0, 8218,640,480:waittimer 148680 blt 0,0,640,480,0, 8220,640,480:waittimer 148717 blt 0,0,640,480,0, 8222,640,480:waittimer 148753 blt 0,0,640,480,0, 8224,640,480:waittimer 148789 blt 0,0,640,480,0, 8226,640,480:waittimer 148825 blt 0,0,640,480,0, 8228,640,480:waittimer 148861 blt 0,0,640,480,0, 8230,640,480:waittimer 148898 blt 0,0,640,480,0, 8232,640,480:waittimer 148934 blt 0,0,640,480,0, 8234,640,480:waittimer 148970 blt 0,0,640,480,0, 8236,640,480:waittimer 149006 blt 0,0,640,480,0, 8238,640,480:waittimer 149042 blt 0,0,640,480,0, 8240,640,480:waittimer 149078 blt 0,0,640,480,0, 8242,640,480:waittimer 149115 blt 0,0,640,480,0, 8244,640,480:waittimer 149151 blt 0,0,640,480,0, 8246,640,480:waittimer 149187 blt 0,0,640,480,0, 8248,640,480:waittimer 149223 blt 0,0,640,480,0, 8250,640,480:waittimer 149259 blt 0,0,640,480,0, 8252,640,480:waittimer 149296 blt 0,0,640,480,0, 8254,640,480:waittimer 149332 blt 0,0,640,480,0, 8256,640,480:waittimer 149368 blt 0,0,640,480,0, 8258,640,480:waittimer 149404 blt 0,0,640,480,0, 8260,640,480:waittimer 149440 blt 0,0,640,480,0, 8262,640,480:waittimer 149476 blt 0,0,640,480,0, 8264,640,480:waittimer 149513 blt 0,0,640,480,0, 8266,640,480:waittimer 149549 blt 0,0,640,480,0, 8268,640,480:waittimer 149585 blt 0,0,640,480,0, 8270,640,480:waittimer 149621 blt 0,0,640,480,0, 8272,640,480:waittimer 149657 blt 0,0,640,480,0, 8274,640,480:waittimer 149694 blt 0,0,640,480,0, 8276,640,480:waittimer 149730 blt 0,0,640,480,0, 8278,640,480:waittimer 149766 blt 0,0,640,480,0, 8280,640,480:waittimer 149802 blt 0,0,640,480,0, 8282,640,480:waittimer 149838 blt 0,0,640,480,0, 8284,640,480:waittimer 149875 blt 0,0,640,480,0, 8286,640,480:waittimer 149911 blt 0,0,640,480,0, 8288,640,480:waittimer 149947 blt 0,0,640,480,0, 8290,640,480:waittimer 149983 blt 0,0,640,480,0, 8292,640,480:waittimer 150019 blt 0,0,640,480,0, 8294,640,480:waittimer 150055 blt 0,0,640,480,0, 8296,640,480:waittimer 150092 blt 0,0,640,480,0, 8298,640,480:waittimer 150128 blt 0,0,640,480,0, 8300,640,480:waittimer 150164 blt 0,0,640,480,0, 8302,640,480:waittimer 150200 blt 0,0,640,480,0, 8304,640,480:waittimer 150236 blt 0,0,640,480,0, 8306,640,480:waittimer 150273 blt 0,0,640,480,0, 8308,640,480:waittimer 150309 blt 0,0,640,480,0, 8310,640,480:waittimer 150345 blt 0,0,640,480,0, 8312,640,480:waittimer 150381 blt 0,0,640,480,0, 8314,640,480:waittimer 150417 blt 0,0,640,480,0, 8316,640,480:waittimer 150453 blt 0,0,640,480,0, 8318,640,480:waittimer 150490 blt 0,0,640,480,0, 8320,640,480:waittimer 150526 blt 0,0,640,480,0, 8322,640,480:waittimer 150562 blt 0,0,640,480,0, 8324,640,480:waittimer 150598 blt 0,0,640,480,0, 8326,640,480:waittimer 150634 blt 0,0,640,480,0, 8328,640,480:waittimer 150671 blt 0,0,640,480,0, 8330,640,480:waittimer 150707 blt 0,0,640,480,0, 8332,640,480:waittimer 150743 blt 0,0,640,480,0, 8334,640,480:waittimer 150779 blt 0,0,640,480,0, 8336,640,480:waittimer 150815 blt 0,0,640,480,0, 8338,640,480:waittimer 150851 blt 0,0,640,480,0, 8340,640,480:waittimer 150888 blt 0,0,640,480,0, 8342,640,480:waittimer 150924 blt 0,0,640,480,0, 8344,640,480:waittimer 150960 blt 0,0,640,480,0, 8346,640,480:waittimer 150996 blt 0,0,640,480,0, 8348,640,480:waittimer 151032 blt 0,0,640,480,0, 8350,640,480:waittimer 151069 blt 0,0,640,480,0, 8352,640,480:waittimer 151105 blt 0,0,640,480,0, 8354,640,480:waittimer 151141 blt 0,0,640,480,0, 8356,640,480:waittimer 151177 blt 0,0,640,480,0, 8358,640,480:waittimer 151213 blt 0,0,640,480,0, 8360,640,480:waittimer 151250 blt 0,0,640,480,0, 8362,640,480:waittimer 151286 blt 0,0,640,480,0, 8364,640,480:waittimer 151322 blt 0,0,640,480,0, 8366,640,480:waittimer 151358 blt 0,0,640,480,0, 8368,640,480:waittimer 151394 blt 0,0,640,480,0, 8370,640,480:waittimer 151430 blt 0,0,640,480,0, 8372,640,480:waittimer 151467 blt 0,0,640,480,0, 8374,640,480:waittimer 151503 blt 0,0,640,480,0, 8376,640,480:waittimer 151539 blt 0,0,640,480,0, 8378,640,480:waittimer 151575 blt 0,0,640,480,0, 8380,640,480:waittimer 151611 blt 0,0,640,480,0, 8382,640,480:waittimer 151648 blt 0,0,640,480,0, 8384,640,480:waittimer 151684 blt 0,0,640,480,0, 8386,640,480:waittimer 151720 blt 0,0,640,480,0, 8388,640,480:waittimer 151756 blt 0,0,640,480,0, 8390,640,480:waittimer 151792 blt 0,0,640,480,0, 8392,640,480:waittimer 151828 blt 0,0,640,480,0, 8394,640,480:waittimer 151865 blt 0,0,640,480,0, 8396,640,480:waittimer 151901 blt 0,0,640,480,0, 8398,640,480:waittimer 151937 blt 0,0,640,480,0, 8400,640,480:waittimer 151973 blt 0,0,640,480,0, 8402,640,480:waittimer 152009 blt 0,0,640,480,0, 8404,640,480:waittimer 152046 blt 0,0,640,480,0, 8406,640,480:waittimer 152082 blt 0,0,640,480,0, 8408,640,480:waittimer 152118 blt 0,0,640,480,0, 8410,640,480:waittimer 152154 blt 0,0,640,480,0, 8412,640,480:waittimer 152190 blt 0,0,640,480,0, 8414,640,480:waittimer 152226 blt 0,0,640,480,0, 8416,640,480:waittimer 152263 blt 0,0,640,480,0, 8418,640,480:waittimer 152299 blt 0,0,640,480,0, 8420,640,480:waittimer 152335 blt 0,0,640,480,0, 8422,640,480:waittimer 152371 blt 0,0,640,480,0, 8424,640,480:waittimer 152407 blt 0,0,640,480,0, 8426,640,480:waittimer 152444 blt 0,0,640,480,0, 8428,640,480:waittimer 152480 blt 0,0,640,480,0, 8430,640,480:waittimer 152516 blt 0,0,640,480,0, 8432,640,480:waittimer 152552 blt 0,0,640,480,0, 8434,640,480:waittimer 152588 blt 0,0,640,480,0, 8436,640,480:waittimer 152625 blt 0,0,640,480,0, 8438,640,480:waittimer 152661 blt 0,0,640,480,0, 8440,640,480:waittimer 152697 blt 0,0,640,480,0, 8442,640,480:waittimer 152733 blt 0,0,640,480,0, 8444,640,480:waittimer 152769 blt 0,0,640,480,0, 8446,640,480:waittimer 152805 blt 0,0,640,480,0, 8448,640,480:waittimer 152842 blt 0,0,640,480,0, 8450,640,480:waittimer 152878 blt 0,0,640,480,0, 8452,640,480:waittimer 152914 blt 0,0,640,480,0, 8454,640,480:waittimer 152950 blt 0,0,640,480,0, 8456,640,480:waittimer 152986 blt 0,0,640,480,0, 8458,640,480:waittimer 153023 blt 0,0,640,480,0, 8460,640,480:waittimer 153059 blt 0,0,640,480,0, 8462,640,480:waittimer 153095 blt 0,0,640,480,0, 8464,640,480:waittimer 153131 blt 0,0,640,480,0, 8466,640,480:waittimer 153167 blt 0,0,640,480,0, 8468,640,480:waittimer 153203 blt 0,0,640,480,0, 8470,640,480:waittimer 153240 blt 0,0,640,480,0, 8472,640,480:waittimer 153276 blt 0,0,640,480,0, 8474,640,480:waittimer 153312 blt 0,0,640,480,0, 8476,640,480:waittimer 153348 blt 0,0,640,480,0, 8478,640,480:waittimer 153384 blt 0,0,640,480,0, 8480,640,480:waittimer 153421 blt 0,0,640,480,0, 8482,640,480:waittimer 153457 blt 0,0,640,480,0, 8484,640,480:waittimer 153493 blt 0,0,640,480,0, 8486,640,480:waittimer 153529 blt 0,0,640,480,0, 8488,640,480:waittimer 153565 blt 0,0,640,480,0, 8490,640,480:waittimer 153601 blt 0,0,640,480,0, 8492,640,480:waittimer 153638 blt 0,0,640,480,0, 8494,640,480:waittimer 153674 blt 0,0,640,480,0, 8496,640,480:waittimer 153710 blt 0,0,640,480,0, 8498,640,480:waittimer 153746 blt 0,0,640,480,0, 8500,640,480:waittimer 153782 blt 0,0,640,480,0, 8502,640,480:waittimer 153819 blt 0,0,640,480,0, 8504,640,480:waittimer 153855 blt 0,0,640,480,0, 8506,640,480:waittimer 153891 blt 0,0,640,480,0, 8508,640,480:waittimer 153927 blt 0,0,640,480,0, 8510,640,480:waittimer 153963 blt 0,0,640,480,0, 8512,640,480:waittimer 154000 blt 0,0,640,480,0, 8514,640,480:waittimer 154036 blt 0,0,640,480,0, 8516,640,480:waittimer 154072 blt 0,0,640,480,0, 8518,640,480:waittimer 154108 blt 0,0,640,480,0, 8520,640,480:waittimer 154144 blt 0,0,640,480,0, 8522,640,480:waittimer 154180 blt 0,0,640,480,0, 8524,640,480:waittimer 154217 blt 0,0,640,480,0, 8526,640,480:waittimer 154253 blt 0,0,640,480,0, 8528,640,480:waittimer 154289 blt 0,0,640,480,0, 8530,640,480:waittimer 154325 blt 0,0,640,480,0, 8532,640,480:waittimer 154361 blt 0,0,640,480,0, 8534,640,480:waittimer 154398 blt 0,0,640,480,0, 8536,640,480:waittimer 154434 blt 0,0,640,480,0, 8538,640,480:waittimer 154470 blt 0,0,640,480,0, 8540,640,480:waittimer 154506 blt 0,0,640,480,0, 8542,640,480:waittimer 154542 blt 0,0,640,480,0, 8544,640,480:waittimer 154578 blt 0,0,640,480,0, 8546,640,480:waittimer 154615 blt 0,0,640,480,0, 8548,640,480:waittimer 154651 blt 0,0,640,480,0, 8550,640,480:waittimer 154687 blt 0,0,640,480,0, 8552,640,480:waittimer 154723 blt 0,0,640,480,0, 8554,640,480:waittimer 154759 blt 0,0,640,480,0, 8556,640,480:waittimer 154796 blt 0,0,640,480,0, 8558,640,480:waittimer 154832 blt 0,0,640,480,0, 8560,640,480:waittimer 154868 blt 0,0,640,480,0, 8562,640,480:waittimer 154904 blt 0,0,640,480,0, 8564,640,480:waittimer 154940 blt 0,0,640,480,0, 8566,640,480:waittimer 154976 blt 0,0,640,480,0, 8568,640,480:waittimer 155013 blt 0,0,640,480,0, 8570,640,480:waittimer 155049 blt 0,0,640,480,0, 8572,640,480:waittimer 155085 blt 0,0,640,480,0, 8574,640,480:waittimer 155121 blt 0,0,640,480,0, 8576,640,480:waittimer 155157 blt 0,0,640,480,0, 8578,640,480:waittimer 155194 blt 0,0,640,480,0, 8580,640,480:waittimer 155230 blt 0,0,640,480,0, 8582,640,480:waittimer 155266 blt 0,0,640,480,0, 8584,640,480:waittimer 155302 blt 0,0,640,480,0, 8586,640,480:waittimer 155338 blt 0,0,640,480,0, 8588,640,480:waittimer 155375 blt 0,0,640,480,0, 8590,640,480:waittimer 155411 blt 0,0,640,480,0, 8592,640,480:waittimer 155447 blt 0,0,640,480,0, 8594,640,480:waittimer 155483 blt 0,0,640,480,0, 8596,640,480:waittimer 155519 blt 0,0,640,480,0, 8598,640,480:waittimer 155555 blt 0,0,640,480,0, 8600,640,480:waittimer 155592 blt 0,0,640,480,0, 8602,640,480:waittimer 155628 blt 0,0,640,480,0, 8604,640,480:waittimer 155664 blt 0,0,640,480,0, 8606,640,480:waittimer 155700 blt 0,0,640,480,0, 8608,640,480:waittimer 155736 blt 0,0,640,480,0, 8610,640,480:waittimer 155773 blt 0,0,640,480,0, 8612,640,480:waittimer 155809 blt 0,0,640,480,0, 8614,640,480:waittimer 155845 blt 0,0,640,480,0, 8616,640,480:waittimer 155881 blt 0,0,640,480,0, 8618,640,480:waittimer 155917 blt 0,0,640,480,0, 8620,640,480:waittimer 155953 blt 0,0,640,480,0, 8622,640,480:waittimer 155990 blt 0,0,640,480,0, 8624,640,480:waittimer 156026 blt 0,0,640,480,0, 8626,640,480:waittimer 156062 blt 0,0,640,480,0, 8628,640,480:waittimer 156098 blt 0,0,640,480,0, 8630,640,480:waittimer 156134 blt 0,0,640,480,0, 8632,640,480:waittimer 156171 blt 0,0,640,480,0, 8634,640,480:waittimer 156207 blt 0,0,640,480,0, 8636,640,480:waittimer 156243 blt 0,0,640,480,0, 8638,640,480:waittimer 156279 blt 0,0,640,480,0, 8640,640,480:waittimer 156315 blt 0,0,640,480,0, 8642,640,480:waittimer 156351 blt 0,0,640,480,0, 8644,640,480:waittimer 156388 blt 0,0,640,480,0, 8646,640,480:waittimer 156424 blt 0,0,640,480,0, 8648,640,480:waittimer 156460 blt 0,0,640,480,0, 8650,640,480:waittimer 156496 blt 0,0,640,480,0, 8652,640,480:waittimer 156532 blt 0,0,640,480,0, 8654,640,480:waittimer 156569 blt 0,0,640,480,0, 8656,640,480:waittimer 156605 blt 0,0,640,480,0, 8658,640,480:waittimer 156641 blt 0,0,640,480,0, 8660,640,480:waittimer 156677 blt 0,0,640,480,0, 8662,640,480:waittimer 156713 blt 0,0,640,480,0, 8664,640,480:waittimer 156750 blt 0,0,640,480,0, 8666,640,480:waittimer 156786 blt 0,0,640,480,0, 8668,640,480:waittimer 156822 blt 0,0,640,480,0, 8670,640,480:waittimer 156858 blt 0,0,640,480,0, 8672,640,480:waittimer 156894 blt 0,0,640,480,0, 8674,640,480:waittimer 156930 blt 0,0,640,480,0, 8676,640,480:waittimer 156967 blt 0,0,640,480,0, 8678,640,480:waittimer 157003 blt 0,0,640,480,0, 8680,640,480:waittimer 157039 blt 0,0,640,480,0, 8682,640,480:waittimer 157075 blt 0,0,640,480,0, 8684,640,480:waittimer 157111 blt 0,0,640,480,0, 8686,640,480:waittimer 157148 blt 0,0,640,480,0, 8688,640,480:waittimer 157184 blt 0,0,640,480,0, 8690,640,480:waittimer 157220 blt 0,0,640,480,0, 8692,640,480:waittimer 157256 blt 0,0,640,480,0, 8694,640,480:waittimer 157292 blt 0,0,640,480,0, 8696,640,480:waittimer 157328 blt 0,0,640,480,0, 8698,640,480:waittimer 157365 blt 0,0,640,480,0, 8700,640,480:waittimer 157401 blt 0,0,640,480,0, 8702,640,480:waittimer 157437 blt 0,0,640,480,0, 8704,640,480:waittimer 157473 blt 0,0,640,480,0, 8706,640,480:waittimer 157509 blt 0,0,640,480,0, 8708,640,480:waittimer 157546 blt 0,0,640,480,0, 8710,640,480:waittimer 157582 blt 0,0,640,480,0, 8712,640,480:waittimer 157618 blt 0,0,640,480,0, 8714,640,480:waittimer 157654 blt 0,0,640,480,0, 8716,640,480:waittimer 157690 blt 0,0,640,480,0, 8718,640,480:waittimer 157726 blt 0,0,640,480,0, 8720,640,480:waittimer 157763 blt 0,0,640,480,0, 8722,640,480:waittimer 157799 blt 0,0,640,480,0, 8724,640,480:waittimer 157835 blt 0,0,640,480,0, 8726,640,480:waittimer 157871 blt 0,0,640,480,0, 8728,640,480:waittimer 157907 blt 0,0,640,480,0, 8730,640,480:waittimer 157944 blt 0,0,640,480,0, 8732,640,480:waittimer 157980 blt 0,0,640,480,0, 8734,640,480:waittimer 158016 blt 0,0,640,480,0, 8736,640,480:waittimer 158052 blt 0,0,640,480,0, 8738,640,480:waittimer 158088 blt 0,0,640,480,0, 8740,640,480:waittimer 158125 blt 0,0,640,480,0, 8742,640,480:waittimer 158161 blt 0,0,640,480,0, 8744,640,480:waittimer 158197 blt 0,0,640,480,0, 8746,640,480:waittimer 158233 blt 0,0,640,480,0, 8748,640,480:waittimer 158269 blt 0,0,640,480,0, 8750,640,480:waittimer 158305 blt 0,0,640,480,0, 8752,640,480:waittimer 158342 blt 0,0,640,480,0, 8754,640,480:waittimer 158378 blt 0,0,640,480,0, 8756,640,480:waittimer 158414 blt 0,0,640,480,0, 8758,640,480:waittimer 158450 blt 0,0,640,480,0, 8760,640,480:waittimer 158486 blt 0,0,640,480,0, 8762,640,480:waittimer 158523 blt 0,0,640,480,0, 8764,640,480:waittimer 158559 blt 0,0,640,480,0, 8766,640,480:waittimer 158595 blt 0,0,640,480,0, 8768,640,480:waittimer 158631 blt 0,0,640,480,0, 8770,640,480:waittimer 158667 blt 0,0,640,480,0, 8772,640,480:waittimer 158703 blt 0,0,640,480,0, 8774,640,480:waittimer 158740 blt 0,0,640,480,0, 8776,640,480:waittimer 158776 blt 0,0,640,480,0, 8778,640,480:waittimer 158812 blt 0,0,640,480,0, 8780,640,480:waittimer 158848 blt 0,0,640,480,0, 8782,640,480:waittimer 158884 blt 0,0,640,480,0, 8784,640,480:waittimer 158921 blt 0,0,640,480,0, 8786,640,480:waittimer 158957 blt 0,0,640,480,0, 8788,640,480:waittimer 158993 blt 0,0,640,480,0, 8790,640,480:waittimer 159029 blt 0,0,640,480,0, 8792,640,480:waittimer 159065 blt 0,0,640,480,0, 8794,640,480:waittimer 159101 blt 0,0,640,480,0, 8796,640,480:waittimer 159138 blt 0,0,640,480,0, 8798,640,480:waittimer 159174 blt 0,0,640,480,0, 8800,640,480:waittimer 159210 blt 0,0,640,480,0, 8802,640,480:waittimer 159246 blt 0,0,640,480,0, 8804,640,480:waittimer 159282 blt 0,0,640,480,0, 8806,640,480:waittimer 159319 blt 0,0,640,480,0, 8808,640,480:waittimer 159355 blt 0,0,640,480,0, 8810,640,480:waittimer 159391 blt 0,0,640,480,0, 8812,640,480:waittimer 159427 blt 0,0,640,480,0, 8814,640,480:waittimer 159463 blt 0,0,640,480,0, 8816,640,480:waittimer 159500 blt 0,0,640,480,0, 8818,640,480:waittimer 159536 blt 0,0,640,480,0, 8820,640,480:waittimer 159572 blt 0,0,640,480,0, 8822,640,480:waittimer 159608 blt 0,0,640,480,0, 8824,640,480:waittimer 159644 blt 0,0,640,480,0, 8826,640,480:waittimer 159680 blt 0,0,640,480,0, 8828,640,480:waittimer 159717 blt 0,0,640,480,0, 8830,640,480:waittimer 159753 blt 0,0,640,480,0, 8832,640,480:waittimer 159789 blt 0,0,640,480,0, 8834,640,480:waittimer 159825 blt 0,0,640,480,0, 8836,640,480:waittimer 159861 blt 0,0,640,480,0, 8838,640,480:waittimer 159898 blt 0,0,640,480,0, 8840,640,480:waittimer 159934 blt 0,0,640,480,0, 8842,640,480:waittimer 159970 blt 0,0,640,480,0, 8844,640,480:waittimer 160006 blt 0,0,640,480,0, 8846,640,480:waittimer 160042 blt 0,0,640,480,0, 8848,640,480:waittimer 160078 blt 0,0,640,480,0, 8850,640,480:waittimer 160115 blt 0,0,640,480,0, 8852,640,480:waittimer 160151 blt 0,0,640,480,0, 8854,640,480:waittimer 160187 blt 0,0,640,480,0, 8856,640,480:waittimer 160223 blt 0,0,640,480,0, 8858,640,480:waittimer 160259 blt 0,0,640,480,0, 8860,640,480:waittimer 160296 blt 0,0,640,480,0, 8862,640,480:waittimer 160332 blt 0,0,640,480,0, 8864,640,480:waittimer 160368 blt 0,0,640,480,0, 8866,640,480:waittimer 160404 blt 0,0,640,480,0, 8868,640,480:waittimer 160440 blt 0,0,640,480,0, 8870,640,480:waittimer 160476 blt 0,0,640,480,0, 8872,640,480:waittimer 160513 blt 0,0,640,480,0, 8874,640,480:waittimer 160549 blt 0,0,640,480,0, 8876,640,480:waittimer 160585 blt 0,0,640,480,0, 8878,640,480:waittimer 160621 blt 0,0,640,480,0, 8880,640,480:waittimer 160657 blt 0,0,640,480,0, 8882,640,480:waittimer 160694 blt 0,0,640,480,0, 8884,640,480:waittimer 160730 blt 0,0,640,480,0, 8886,640,480:waittimer 160766 blt 0,0,640,480,0, 8888,640,480:waittimer 160802 blt 0,0,640,480,0, 8890,640,480:waittimer 160838 blt 0,0,640,480,0, 8892,640,480:waittimer 160875 blt 0,0,640,480,0, 8894,640,480:waittimer 160911 blt 0,0,640,480,0, 8896,640,480:waittimer 160947 blt 0,0,640,480,0, 8898,640,480:waittimer 160983 blt 0,0,640,480,0, 8900,640,480:waittimer 161019 blt 0,0,640,480,0, 8902,640,480:waittimer 161055 blt 0,0,640,480,0, 8904,640,480:waittimer 161092 blt 0,0,640,480,0, 8906,640,480:waittimer 161128 blt 0,0,640,480,0, 8908,640,480:waittimer 161164 blt 0,0,640,480,0, 8910,640,480:waittimer 161200 blt 0,0,640,480,0, 8912,640,480:waittimer 161236 blt 0,0,640,480,0, 8914,640,480:waittimer 161273 blt 0,0,640,480,0, 8916,640,480:waittimer 161309 blt 0,0,640,480,0, 8918,640,480:waittimer 161345 blt 0,0,640,480,0, 8920,640,480:waittimer 161381 blt 0,0,640,480,0, 8922,640,480:waittimer 161417 blt 0,0,640,480,0, 8924,640,480:waittimer 161453 blt 0,0,640,480,0, 8926,640,480:waittimer 161490 blt 0,0,640,480,0, 8928,640,480:waittimer 161526 blt 0,0,640,480,0, 8930,640,480:waittimer 161562 blt 0,0,640,480,0, 8932,640,480:waittimer 161598 blt 0,0,640,480,0, 8934,640,480:waittimer 161634 blt 0,0,640,480,0, 8936,640,480:waittimer 161671 blt 0,0,640,480,0, 8938,640,480:waittimer 161707 blt 0,0,640,480,0, 8940,640,480:waittimer 161743 blt 0,0,640,480,0, 8942,640,480:waittimer 161779 blt 0,0,640,480,0, 8944,640,480:waittimer 161815 blt 0,0,640,480,0, 8946,640,480:waittimer 161851 blt 0,0,640,480,0, 8948,640,480:waittimer 161888 blt 0,0,640,480,0, 8950,640,480:waittimer 161924 blt 0,0,640,480,0, 8952,640,480:waittimer 161960 blt 0,0,640,480,0, 8954,640,480:waittimer 161996 blt 0,0,640,480,0, 8956,640,480:waittimer 162032 blt 0,0,640,480,0, 8958,640,480:waittimer 162069 blt 0,0,640,480,0, 8960,640,480:waittimer 162105 blt 0,0,640,480,0, 8962,640,480:waittimer 162141 blt 0,0,640,480,0, 8964,640,480:waittimer 162177 blt 0,0,640,480,0, 8966,640,480:waittimer 162213 blt 0,0,640,480,0, 8968,640,480:waittimer 162250 blt 0,0,640,480,0, 8970,640,480:waittimer 162286 blt 0,0,640,480,0, 8972,640,480:waittimer 162322 blt 0,0,640,480,0, 8974,640,480:waittimer 162358 blt 0,0,640,480,0, 8976,640,480:waittimer 162394 blt 0,0,640,480,0, 8978,640,480:waittimer 162430 blt 0,0,640,480,0, 8980,640,480:waittimer 162467 blt 0,0,640,480,0, 8982,640,480:waittimer 162503 blt 0,0,640,480,0, 8984,640,480:waittimer 162539 blt 0,0,640,480,0, 8986,640,480:waittimer 162575 blt 0,0,640,480,0, 8988,640,480:waittimer 162611 blt 0,0,640,480,0, 8990,640,480:waittimer 162648 blt 0,0,640,480,0, 8992,640,480:waittimer 162684 blt 0,0,640,480,0, 8994,640,480:waittimer 162720 blt 0,0,640,480,0, 8996,640,480:waittimer 162756 blt 0,0,640,480,0, 8998,640,480:waittimer 162792 blt 0,0,640,480,0, 9000,640,480:waittimer 162828 blt 0,0,640,480,0, 9002,640,480:waittimer 162865 blt 0,0,640,480,0, 9004,640,480:waittimer 162901 blt 0,0,640,480,0, 9006,640,480:waittimer 162937 blt 0,0,640,480,0, 9008,640,480:waittimer 162973 blt 0,0,640,480,0, 9010,640,480:waittimer 163009 blt 0,0,640,480,0, 9012,640,480:waittimer 163046 blt 0,0,640,480,0, 9014,640,480:waittimer 163082 blt 0,0,640,480,0, 9016,640,480:waittimer 163118 blt 0,0,640,480,0, 9018,640,480:waittimer 163154 blt 0,0,640,480,0, 9020,640,480:waittimer 163190 blt 0,0,640,480,0, 9022,640,480:waittimer 163226 blt 0,0,640,480,0, 9024,640,480:waittimer 163263 blt 0,0,640,480,0, 9026,640,480:waittimer 163299 blt 0,0,640,480,0, 9028,640,480:waittimer 163335 blt 0,0,640,480,0, 9030,640,480:waittimer 163371 blt 0,0,640,480,0, 9032,640,480:waittimer 163407 blt 0,0,640,480,0, 9034,640,480:waittimer 163444 blt 0,0,640,480,0, 9036,640,480:waittimer 163480 blt 0,0,640,480,0, 9038,640,480:waittimer 163516 blt 0,0,640,480,0, 9040,640,480:waittimer 163552 blt 0,0,640,480,0, 9042,640,480:waittimer 163588 blt 0,0,640,480,0, 9044,640,480:waittimer 163625 blt 0,0,640,480,0, 9046,640,480:waittimer 163661 blt 0,0,640,480,0, 9048,640,480:waittimer 163697 blt 0,0,640,480,0, 9050,640,480:waittimer 163733 blt 0,0,640,480,0, 9052,640,480:waittimer 163769 blt 0,0,640,480,0, 9054,640,480:waittimer 163805 blt 0,0,640,480,0, 9056,640,480:waittimer 163842 blt 0,0,640,480,0, 9058,640,480:waittimer 163878 blt 0,0,640,480,0, 9060,640,480:waittimer 163914 blt 0,0,640,480,0, 9062,640,480:waittimer 163950 blt 0,0,640,480,0, 9064,640,480:waittimer 163986 blt 0,0,640,480,0, 9066,640,480:waittimer 164023 blt 0,0,640,480,0, 9068,640,480:waittimer 164059 blt 0,0,640,480,0, 9070,640,480:waittimer 164095 blt 0,0,640,480,0, 9072,640,480:waittimer 164131 blt 0,0,640,480,0, 9074,640,480:waittimer 164167 blt 0,0,640,480,0, 9076,640,480:waittimer 164203 blt 0,0,640,480,0, 9078,640,480:waittimer 164240 blt 0,0,640,480,0, 9080,640,480:waittimer 164276 blt 0,0,640,480,0, 9082,640,480:waittimer 164312 blt 0,0,640,480,0, 9084,640,480:waittimer 164348 blt 0,0,640,480,0, 9086,640,480:waittimer 164384 blt 0,0,640,480,0, 9088,640,480:waittimer 164421 blt 0,0,640,480,0, 9090,640,480:waittimer 164457 blt 0,0,640,480,0, 9092,640,480:waittimer 164493 blt 0,0,640,480,0, 9094,640,480:waittimer 164529 blt 0,0,640,480,0, 9096,640,480:waittimer 164565 blt 0,0,640,480,0, 9098,640,480:waittimer 164601 blt 0,0,640,480,0, 9100,640,480:waittimer 164638 blt 0,0,640,480,0, 9102,640,480:waittimer 164674 blt 0,0,640,480,0, 9104,640,480:waittimer 164710 blt 0,0,640,480,0, 9106,640,480:waittimer 164746 blt 0,0,640,480,0, 9108,640,480:waittimer 164782 blt 0,0,640,480,0, 9110,640,480:waittimer 164819 blt 0,0,640,480,0, 9112,640,480:waittimer 164855 blt 0,0,640,480,0, 9114,640,480:waittimer 164891 blt 0,0,640,480,0, 9116,640,480:waittimer 164927 blt 0,0,640,480,0, 9118,640,480:waittimer 164963 blt 0,0,640,480,0, 9120,640,480:waittimer 165000 saveon return *bgm_ep8_scroll_1 ;スクロール実行本体 skipoff blt 0,0,640,480,0, 2,640,480:waittimer 19 blt 0,0,640,480,0, 4,640,480:waittimer 39 blt 0,0,640,480,0, 6,640,480:waittimer 58 blt 0,0,640,480,0, 8,640,480:waittimer 78 blt 0,0,640,480,0, 10,640,480:waittimer 97 blt 0,0,640,480,0, 12,640,480:waittimer 117 blt 0,0,640,480,0, 14,640,480:waittimer 136 blt 0,0,640,480,0, 16,640,480:waittimer 156 blt 0,0,640,480,0, 18,640,480:waittimer 176 blt 0,0,640,480,0, 20,640,480:waittimer 195 blt 0,0,640,480,0, 22,640,480:waittimer 215 blt 0,0,640,480,0, 24,640,480:waittimer 234 blt 0,0,640,480,0, 26,640,480:waittimer 254 blt 0,0,640,480,0, 28,640,480:waittimer 273 blt 0,0,640,480,0, 30,640,480:waittimer 293 blt 0,0,640,480,0, 32,640,480:waittimer 312 blt 0,0,640,480,0, 34,640,480:waittimer 332 blt 0,0,640,480,0, 36,640,480:waittimer 352 blt 0,0,640,480,0, 38,640,480:waittimer 371 blt 0,0,640,480,0, 40,640,480:waittimer 391 blt 0,0,640,480,0, 42,640,480:waittimer 410 blt 0,0,640,480,0, 44,640,480:waittimer 430 blt 0,0,640,480,0, 46,640,480:waittimer 449 blt 0,0,640,480,0, 48,640,480:waittimer 469 blt 0,0,640,480,0, 50,640,480:waittimer 488 blt 0,0,640,480,0, 52,640,480:waittimer 508 blt 0,0,640,480,0, 54,640,480:waittimer 528 blt 0,0,640,480,0, 56,640,480:waittimer 547 blt 0,0,640,480,0, 58,640,480:waittimer 567 blt 0,0,640,480,0, 60,640,480:waittimer 586 blt 0,0,640,480,0, 62,640,480:waittimer 606 blt 0,0,640,480,0, 64,640,480:waittimer 625 blt 0,0,640,480,0, 66,640,480:waittimer 645 blt 0,0,640,480,0, 68,640,480:waittimer 665 blt 0,0,640,480,0, 70,640,480:waittimer 684 blt 0,0,640,480,0, 72,640,480:waittimer 704 blt 0,0,640,480,0, 74,640,480:waittimer 723 blt 0,0,640,480,0, 76,640,480:waittimer 743 blt 0,0,640,480,0, 78,640,480:waittimer 762 blt 0,0,640,480,0, 80,640,480:waittimer 782 blt 0,0,640,480,0, 82,640,480:waittimer 801 blt 0,0,640,480,0, 84,640,480:waittimer 821 blt 0,0,640,480,0, 86,640,480:waittimer 841 blt 0,0,640,480,0, 88,640,480:waittimer 860 blt 0,0,640,480,0, 90,640,480:waittimer 880 blt 0,0,640,480,0, 92,640,480:waittimer 899 blt 0,0,640,480,0, 94,640,480:waittimer 919 blt 0,0,640,480,0, 96,640,480:waittimer 938 blt 0,0,640,480,0, 98,640,480:waittimer 958 blt 0,0,640,480,0, 100,640,480:waittimer 977 blt 0,0,640,480,0, 102,640,480:waittimer 997 blt 0,0,640,480,0, 104,640,480:waittimer 1017 blt 0,0,640,480,0, 106,640,480:waittimer 1036 blt 0,0,640,480,0, 108,640,480:waittimer 1056 blt 0,0,640,480,0, 110,640,480:waittimer 1075 blt 0,0,640,480,0, 112,640,480:waittimer 1095 blt 0,0,640,480,0, 114,640,480:waittimer 1114 blt 0,0,640,480,0, 116,640,480:waittimer 1134 blt 0,0,640,480,0, 118,640,480:waittimer 1153 blt 0,0,640,480,0, 120,640,480:waittimer 1173 blt 0,0,640,480,0, 122,640,480:waittimer 1193 blt 0,0,640,480,0, 124,640,480:waittimer 1212 blt 0,0,640,480,0, 126,640,480:waittimer 1232 blt 0,0,640,480,0, 128,640,480:waittimer 1251 blt 0,0,640,480,0, 130,640,480:waittimer 1271 blt 0,0,640,480,0, 132,640,480:waittimer 1290 blt 0,0,640,480,0, 134,640,480:waittimer 1310 blt 0,0,640,480,0, 136,640,480:waittimer 1330 blt 0,0,640,480,0, 138,640,480:waittimer 1349 blt 0,0,640,480,0, 140,640,480:waittimer 1369 blt 0,0,640,480,0, 142,640,480:waittimer 1388 blt 0,0,640,480,0, 144,640,480:waittimer 1408 blt 0,0,640,480,0, 146,640,480:waittimer 1427 blt 0,0,640,480,0, 148,640,480:waittimer 1447 blt 0,0,640,480,0, 150,640,480:waittimer 1466 blt 0,0,640,480,0, 152,640,480:waittimer 1486 blt 0,0,640,480,0, 154,640,480:waittimer 1506 blt 0,0,640,480,0, 156,640,480:waittimer 1525 blt 0,0,640,480,0, 158,640,480:waittimer 1545 blt 0,0,640,480,0, 160,640,480:waittimer 1564 blt 0,0,640,480,0, 162,640,480:waittimer 1584 blt 0,0,640,480,0, 164,640,480:waittimer 1603 blt 0,0,640,480,0, 166,640,480:waittimer 1623 blt 0,0,640,480,0, 168,640,480:waittimer 1642 blt 0,0,640,480,0, 170,640,480:waittimer 1662 blt 0,0,640,480,0, 172,640,480:waittimer 1682 blt 0,0,640,480,0, 174,640,480:waittimer 1701 blt 0,0,640,480,0, 176,640,480:waittimer 1721 blt 0,0,640,480,0, 178,640,480:waittimer 1740 blt 0,0,640,480,0, 180,640,480:waittimer 1760 blt 0,0,640,480,0, 182,640,480:waittimer 1779 blt 0,0,640,480,0, 184,640,480:waittimer 1799 blt 0,0,640,480,0, 186,640,480:waittimer 1819 blt 0,0,640,480,0, 188,640,480:waittimer 1838 blt 0,0,640,480,0, 190,640,480:waittimer 1858 blt 0,0,640,480,0, 192,640,480:waittimer 1877 blt 0,0,640,480,0, 194,640,480:waittimer 1897 blt 0,0,640,480,0, 196,640,480:waittimer 1916 blt 0,0,640,480,0, 198,640,480:waittimer 1936 blt 0,0,640,480,0, 200,640,480:waittimer 1955 blt 0,0,640,480,0, 202,640,480:waittimer 1975 blt 0,0,640,480,0, 204,640,480:waittimer 1995 blt 0,0,640,480,0, 206,640,480:waittimer 2014 blt 0,0,640,480,0, 208,640,480:waittimer 2034 blt 0,0,640,480,0, 210,640,480:waittimer 2053 blt 0,0,640,480,0, 212,640,480:waittimer 2073 blt 0,0,640,480,0, 214,640,480:waittimer 2092 blt 0,0,640,480,0, 216,640,480:waittimer 2112 blt 0,0,640,480,0, 218,640,480:waittimer 2131 blt 0,0,640,480,0, 220,640,480:waittimer 2151 blt 0,0,640,480,0, 222,640,480:waittimer 2171 blt 0,0,640,480,0, 224,640,480:waittimer 2190 blt 0,0,640,480,0, 226,640,480:waittimer 2210 blt 0,0,640,480,0, 228,640,480:waittimer 2229 blt 0,0,640,480,0, 230,640,480:waittimer 2249 blt 0,0,640,480,0, 232,640,480:waittimer 2268 blt 0,0,640,480,0, 234,640,480:waittimer 2288 blt 0,0,640,480,0, 236,640,480:waittimer 2307 blt 0,0,640,480,0, 238,640,480:waittimer 2327 blt 0,0,640,480,0, 240,640,480:waittimer 2347 blt 0,0,640,480,0, 242,640,480:waittimer 2366 blt 0,0,640,480,0, 244,640,480:waittimer 2386 blt 0,0,640,480,0, 246,640,480:waittimer 2405 blt 0,0,640,480,0, 248,640,480:waittimer 2425 blt 0,0,640,480,0, 250,640,480:waittimer 2444 blt 0,0,640,480,0, 252,640,480:waittimer 2464 blt 0,0,640,480,0, 254,640,480:waittimer 2484 blt 0,0,640,480,0, 256,640,480:waittimer 2503 blt 0,0,640,480,0, 258,640,480:waittimer 2523 blt 0,0,640,480,0, 260,640,480:waittimer 2542 blt 0,0,640,480,0, 262,640,480:waittimer 2562 blt 0,0,640,480,0, 264,640,480:waittimer 2581 blt 0,0,640,480,0, 266,640,480:waittimer 2601 blt 0,0,640,480,0, 268,640,480:waittimer 2620 blt 0,0,640,480,0, 270,640,480:waittimer 2640 blt 0,0,640,480,0, 272,640,480:waittimer 2660 blt 0,0,640,480,0, 274,640,480:waittimer 2679 blt 0,0,640,480,0, 276,640,480:waittimer 2699 blt 0,0,640,480,0, 278,640,480:waittimer 2718 blt 0,0,640,480,0, 280,640,480:waittimer 2738 blt 0,0,640,480,0, 282,640,480:waittimer 2757 blt 0,0,640,480,0, 284,640,480:waittimer 2777 blt 0,0,640,480,0, 286,640,480:waittimer 2796 blt 0,0,640,480,0, 288,640,480:waittimer 2816 blt 0,0,640,480,0, 290,640,480:waittimer 2836 blt 0,0,640,480,0, 292,640,480:waittimer 2855 blt 0,0,640,480,0, 294,640,480:waittimer 2875 blt 0,0,640,480,0, 296,640,480:waittimer 2894 blt 0,0,640,480,0, 298,640,480:waittimer 2914 blt 0,0,640,480,0, 300,640,480:waittimer 2933 blt 0,0,640,480,0, 302,640,480:waittimer 2953 blt 0,0,640,480,0, 304,640,480:waittimer 2973 blt 0,0,640,480,0, 306,640,480:waittimer 2992 blt 0,0,640,480,0, 308,640,480:waittimer 3012 blt 0,0,640,480,0, 310,640,480:waittimer 3031 blt 0,0,640,480,0, 312,640,480:waittimer 3051 blt 0,0,640,480,0, 314,640,480:waittimer 3070 blt 0,0,640,480,0, 316,640,480:waittimer 3090 blt 0,0,640,480,0, 318,640,480:waittimer 3109 blt 0,0,640,480,0, 320,640,480:waittimer 3129 blt 0,0,640,480,0, 322,640,480:waittimer 3149 blt 0,0,640,480,0, 324,640,480:waittimer 3168 blt 0,0,640,480,0, 326,640,480:waittimer 3188 blt 0,0,640,480,0, 328,640,480:waittimer 3207 blt 0,0,640,480,0, 330,640,480:waittimer 3227 blt 0,0,640,480,0, 332,640,480:waittimer 3246 blt 0,0,640,480,0, 334,640,480:waittimer 3266 blt 0,0,640,480,0, 336,640,480:waittimer 3285 blt 0,0,640,480,0, 338,640,480:waittimer 3305 blt 0,0,640,480,0, 340,640,480:waittimer 3325 blt 0,0,640,480,0, 342,640,480:waittimer 3344 blt 0,0,640,480,0, 344,640,480:waittimer 3364 blt 0,0,640,480,0, 346,640,480:waittimer 3383 blt 0,0,640,480,0, 348,640,480:waittimer 3403 blt 0,0,640,480,0, 350,640,480:waittimer 3422 blt 0,0,640,480,0, 352,640,480:waittimer 3442 blt 0,0,640,480,0, 354,640,480:waittimer 3461 blt 0,0,640,480,0, 356,640,480:waittimer 3481 blt 0,0,640,480,0, 358,640,480:waittimer 3501 blt 0,0,640,480,0, 360,640,480:waittimer 3520 blt 0,0,640,480,0, 362,640,480:waittimer 3540 blt 0,0,640,480,0, 364,640,480:waittimer 3559 blt 0,0,640,480,0, 366,640,480:waittimer 3579 blt 0,0,640,480,0, 368,640,480:waittimer 3598 blt 0,0,640,480,0, 370,640,480:waittimer 3618 blt 0,0,640,480,0, 372,640,480:waittimer 3638 blt 0,0,640,480,0, 374,640,480:waittimer 3657 blt 0,0,640,480,0, 376,640,480:waittimer 3677 blt 0,0,640,480,0, 378,640,480:waittimer 3696 blt 0,0,640,480,0, 380,640,480:waittimer 3716 blt 0,0,640,480,0, 382,640,480:waittimer 3735 blt 0,0,640,480,0, 384,640,480:waittimer 3755 blt 0,0,640,480,0, 386,640,480:waittimer 3774 blt 0,0,640,480,0, 388,640,480:waittimer 3794 blt 0,0,640,480,0, 390,640,480:waittimer 3814 blt 0,0,640,480,0, 392,640,480:waittimer 3833 blt 0,0,640,480,0, 394,640,480:waittimer 3853 blt 0,0,640,480,0, 396,640,480:waittimer 3872 blt 0,0,640,480,0, 398,640,480:waittimer 3892 blt 0,0,640,480,0, 400,640,480:waittimer 3911 blt 0,0,640,480,0, 402,640,480:waittimer 3931 blt 0,0,640,480,0, 404,640,480:waittimer 3950 blt 0,0,640,480,0, 406,640,480:waittimer 3970 blt 0,0,640,480,0, 408,640,480:waittimer 3990 blt 0,0,640,480,0, 410,640,480:waittimer 4009 blt 0,0,640,480,0, 412,640,480:waittimer 4029 blt 0,0,640,480,0, 414,640,480:waittimer 4048 blt 0,0,640,480,0, 416,640,480:waittimer 4068 blt 0,0,640,480,0, 418,640,480:waittimer 4087 blt 0,0,640,480,0, 420,640,480:waittimer 4107 blt 0,0,640,480,0, 422,640,480:waittimer 4126 blt 0,0,640,480,0, 424,640,480:waittimer 4146 blt 0,0,640,480,0, 426,640,480:waittimer 4166 blt 0,0,640,480,0, 428,640,480:waittimer 4185 blt 0,0,640,480,0, 430,640,480:waittimer 4205 blt 0,0,640,480,0, 432,640,480:waittimer 4224 blt 0,0,640,480,0, 434,640,480:waittimer 4244 blt 0,0,640,480,0, 436,640,480:waittimer 4263 blt 0,0,640,480,0, 438,640,480:waittimer 4283 blt 0,0,640,480,0, 440,640,480:waittimer 4303 blt 0,0,640,480,0, 442,640,480:waittimer 4322 blt 0,0,640,480,0, 444,640,480:waittimer 4342 blt 0,0,640,480,0, 446,640,480:waittimer 4361 blt 0,0,640,480,0, 448,640,480:waittimer 4381 blt 0,0,640,480,0, 450,640,480:waittimer 4400 blt 0,0,640,480,0, 452,640,480:waittimer 4420 blt 0,0,640,480,0, 454,640,480:waittimer 4439 blt 0,0,640,480,0, 456,640,480:waittimer 4459 blt 0,0,640,480,0, 458,640,480:waittimer 4479 blt 0,0,640,480,0, 460,640,480:waittimer 4498 blt 0,0,640,480,0, 462,640,480:waittimer 4518 blt 0,0,640,480,0, 464,640,480:waittimer 4537 blt 0,0,640,480,0, 466,640,480:waittimer 4557 blt 0,0,640,480,0, 468,640,480:waittimer 4576 blt 0,0,640,480,0, 470,640,480:waittimer 4596 blt 0,0,640,480,0, 472,640,480:waittimer 4615 blt 0,0,640,480,0, 474,640,480:waittimer 4635 blt 0,0,640,480,0, 476,640,480:waittimer 4655 blt 0,0,640,480,0, 478,640,480:waittimer 4674 blt 0,0,640,480,0, 480,640,480:waittimer 4694 blt 0,0,640,480,0, 482,640,480:waittimer 4713 blt 0,0,640,480,0, 484,640,480:waittimer 4733 blt 0,0,640,480,0, 486,640,480:waittimer 4752 blt 0,0,640,480,0, 488,640,480:waittimer 4772 blt 0,0,640,480,0, 490,640,480:waittimer 4792 blt 0,0,640,480,0, 492,640,480:waittimer 4811 blt 0,0,640,480,0, 494,640,480:waittimer 4831 blt 0,0,640,480,0, 496,640,480:waittimer 4850 blt 0,0,640,480,0, 498,640,480:waittimer 4870 blt 0,0,640,480,0, 500,640,480:waittimer 4889 blt 0,0,640,480,0, 502,640,480:waittimer 4909 blt 0,0,640,480,0, 504,640,480:waittimer 4928 blt 0,0,640,480,0, 506,640,480:waittimer 4948 blt 0,0,640,480,0, 508,640,480:waittimer 4968 blt 0,0,640,480,0, 510,640,480:waittimer 4987 blt 0,0,640,480,0, 512,640,480:waittimer 5007 blt 0,0,640,480,0, 514,640,480:waittimer 5026 blt 0,0,640,480,0, 516,640,480:waittimer 5046 blt 0,0,640,480,0, 518,640,480:waittimer 5065 blt 0,0,640,480,0, 520,640,480:waittimer 5085 blt 0,0,640,480,0, 522,640,480:waittimer 5104 blt 0,0,640,480,0, 524,640,480:waittimer 5124 blt 0,0,640,480,0, 526,640,480:waittimer 5144 blt 0,0,640,480,0, 528,640,480:waittimer 5163 blt 0,0,640,480,0, 530,640,480:waittimer 5183 blt 0,0,640,480,0, 532,640,480:waittimer 5202 blt 0,0,640,480,0, 534,640,480:waittimer 5222 blt 0,0,640,480,0, 536,640,480:waittimer 5241 blt 0,0,640,480,0, 538,640,480:waittimer 5261 blt 0,0,640,480,0, 540,640,480:waittimer 5280 blt 0,0,640,480,0, 542,640,480:waittimer 5300 blt 0,0,640,480,0, 544,640,480:waittimer 5320 blt 0,0,640,480,0, 546,640,480:waittimer 5339 blt 0,0,640,480,0, 548,640,480:waittimer 5359 blt 0,0,640,480,0, 550,640,480:waittimer 5378 blt 0,0,640,480,0, 552,640,480:waittimer 5398 blt 0,0,640,480,0, 554,640,480:waittimer 5417 blt 0,0,640,480,0, 556,640,480:waittimer 5437 blt 0,0,640,480,0, 558,640,480:waittimer 5457 blt 0,0,640,480,0, 560,640,480:waittimer 5476 blt 0,0,640,480,0, 562,640,480:waittimer 5496 blt 0,0,640,480,0, 564,640,480:waittimer 5515 blt 0,0,640,480,0, 566,640,480:waittimer 5535 blt 0,0,640,480,0, 568,640,480:waittimer 5554 blt 0,0,640,480,0, 570,640,480:waittimer 5574 blt 0,0,640,480,0, 572,640,480:waittimer 5593 blt 0,0,640,480,0, 574,640,480:waittimer 5613 blt 0,0,640,480,0, 576,640,480:waittimer 5633 blt 0,0,640,480,0, 578,640,480:waittimer 5652 blt 0,0,640,480,0, 580,640,480:waittimer 5672 blt 0,0,640,480,0, 582,640,480:waittimer 5691 blt 0,0,640,480,0, 584,640,480:waittimer 5711 blt 0,0,640,480,0, 586,640,480:waittimer 5730 blt 0,0,640,480,0, 588,640,480:waittimer 5750 blt 0,0,640,480,0, 590,640,480:waittimer 5769 blt 0,0,640,480,0, 592,640,480:waittimer 5789 blt 0,0,640,480,0, 594,640,480:waittimer 5809 blt 0,0,640,480,0, 596,640,480:waittimer 5828 blt 0,0,640,480,0, 598,640,480:waittimer 5848 blt 0,0,640,480,0, 600,640,480:waittimer 5867 blt 0,0,640,480,0, 602,640,480:waittimer 5887 blt 0,0,640,480,0, 604,640,480:waittimer 5906 blt 0,0,640,480,0, 606,640,480:waittimer 5926 blt 0,0,640,480,0, 608,640,480:waittimer 5946 blt 0,0,640,480,0, 610,640,480:waittimer 5965 blt 0,0,640,480,0, 612,640,480:waittimer 5985 blt 0,0,640,480,0, 614,640,480:waittimer 6004 blt 0,0,640,480,0, 616,640,480:waittimer 6024 blt 0,0,640,480,0, 618,640,480:waittimer 6043 blt 0,0,640,480,0, 620,640,480:waittimer 6063 blt 0,0,640,480,0, 622,640,480:waittimer 6082 blt 0,0,640,480,0, 624,640,480:waittimer 6102 blt 0,0,640,480,0, 626,640,480:waittimer 6122 blt 0,0,640,480,0, 628,640,480:waittimer 6141 blt 0,0,640,480,0, 630,640,480:waittimer 6161 blt 0,0,640,480,0, 632,640,480:waittimer 6180 blt 0,0,640,480,0, 634,640,480:waittimer 6200 blt 0,0,640,480,0, 636,640,480:waittimer 6219 blt 0,0,640,480,0, 638,640,480:waittimer 6239 blt 0,0,640,480,0, 640,640,480:waittimer 6258 blt 0,0,640,480,0, 642,640,480:waittimer 6278 blt 0,0,640,480,0, 644,640,480:waittimer 6298 blt 0,0,640,480,0, 646,640,480:waittimer 6317 blt 0,0,640,480,0, 648,640,480:waittimer 6337 blt 0,0,640,480,0, 650,640,480:waittimer 6356 blt 0,0,640,480,0, 652,640,480:waittimer 6376 blt 0,0,640,480,0, 654,640,480:waittimer 6395 blt 0,0,640,480,0, 656,640,480:waittimer 6415 blt 0,0,640,480,0, 658,640,480:waittimer 6434 blt 0,0,640,480,0, 660,640,480:waittimer 6454 blt 0,0,640,480,0, 662,640,480:waittimer 6474 blt 0,0,640,480,0, 664,640,480:waittimer 6493 blt 0,0,640,480,0, 666,640,480:waittimer 6513 blt 0,0,640,480,0, 668,640,480:waittimer 6532 blt 0,0,640,480,0, 670,640,480:waittimer 6552 blt 0,0,640,480,0, 672,640,480:waittimer 6571 blt 0,0,640,480,0, 674,640,480:waittimer 6591 blt 0,0,640,480,0, 676,640,480:waittimer 6611 blt 0,0,640,480,0, 678,640,480:waittimer 6630 blt 0,0,640,480,0, 680,640,480:waittimer 6650 blt 0,0,640,480,0, 682,640,480:waittimer 6669 blt 0,0,640,480,0, 684,640,480:waittimer 6689 blt 0,0,640,480,0, 686,640,480:waittimer 6708 blt 0,0,640,480,0, 688,640,480:waittimer 6728 blt 0,0,640,480,0, 690,640,480:waittimer 6747 blt 0,0,640,480,0, 692,640,480:waittimer 6767 blt 0,0,640,480,0, 694,640,480:waittimer 6787 blt 0,0,640,480,0, 696,640,480:waittimer 6806 blt 0,0,640,480,0, 698,640,480:waittimer 6826 blt 0,0,640,480,0, 700,640,480:waittimer 6845 blt 0,0,640,480,0, 702,640,480:waittimer 6865 blt 0,0,640,480,0, 704,640,480:waittimer 6884 blt 0,0,640,480,0, 706,640,480:waittimer 6904 blt 0,0,640,480,0, 708,640,480:waittimer 6923 blt 0,0,640,480,0, 710,640,480:waittimer 6943 blt 0,0,640,480,0, 712,640,480:waittimer 6963 blt 0,0,640,480,0, 714,640,480:waittimer 6982 blt 0,0,640,480,0, 716,640,480:waittimer 7002 blt 0,0,640,480,0, 718,640,480:waittimer 7021 blt 0,0,640,480,0, 720,640,480:waittimer 7041 blt 0,0,640,480,0, 722,640,480:waittimer 7060 blt 0,0,640,480,0, 724,640,480:waittimer 7080 blt 0,0,640,480,0, 726,640,480:waittimer 7100 blt 0,0,640,480,0, 728,640,480:waittimer 7119 blt 0,0,640,480,0, 730,640,480:waittimer 7139 blt 0,0,640,480,0, 732,640,480:waittimer 7158 blt 0,0,640,480,0, 734,640,480:waittimer 7178 blt 0,0,640,480,0, 736,640,480:waittimer 7197 blt 0,0,640,480,0, 738,640,480:waittimer 7217 blt 0,0,640,480,0, 740,640,480:waittimer 7236 blt 0,0,640,480,0, 742,640,480:waittimer 7256 blt 0,0,640,480,0, 744,640,480:waittimer 7276 blt 0,0,640,480,0, 746,640,480:waittimer 7295 blt 0,0,640,480,0, 748,640,480:waittimer 7315 blt 0,0,640,480,0, 750,640,480:waittimer 7334 blt 0,0,640,480,0, 752,640,480:waittimer 7354 blt 0,0,640,480,0, 754,640,480:waittimer 7373 blt 0,0,640,480,0, 756,640,480:waittimer 7393 blt 0,0,640,480,0, 758,640,480:waittimer 7412 blt 0,0,640,480,0, 760,640,480:waittimer 7432 blt 0,0,640,480,0, 762,640,480:waittimer 7452 blt 0,0,640,480,0, 764,640,480:waittimer 7471 blt 0,0,640,480,0, 766,640,480:waittimer 7491 blt 0,0,640,480,0, 768,640,480:waittimer 7510 blt 0,0,640,480,0, 770,640,480:waittimer 7530 blt 0,0,640,480,0, 772,640,480:waittimer 7549 blt 0,0,640,480,0, 774,640,480:waittimer 7569 blt 0,0,640,480,0, 776,640,480:waittimer 7588 blt 0,0,640,480,0, 778,640,480:waittimer 7608 blt 0,0,640,480,0, 780,640,480:waittimer 7628 blt 0,0,640,480,0, 782,640,480:waittimer 7647 blt 0,0,640,480,0, 784,640,480:waittimer 7667 blt 0,0,640,480,0, 786,640,480:waittimer 7686 blt 0,0,640,480,0, 788,640,480:waittimer 7706 blt 0,0,640,480,0, 790,640,480:waittimer 7725 blt 0,0,640,480,0, 792,640,480:waittimer 7745 blt 0,0,640,480,0, 794,640,480:waittimer 7765 blt 0,0,640,480,0, 796,640,480:waittimer 7784 blt 0,0,640,480,0, 798,640,480:waittimer 7804 blt 0,0,640,480,0, 800,640,480:waittimer 7823 blt 0,0,640,480,0, 802,640,480:waittimer 7843 blt 0,0,640,480,0, 804,640,480:waittimer 7862 blt 0,0,640,480,0, 806,640,480:waittimer 7882 blt 0,0,640,480,0, 808,640,480:waittimer 7901 blt 0,0,640,480,0, 810,640,480:waittimer 7921 blt 0,0,640,480,0, 812,640,480:waittimer 7941 blt 0,0,640,480,0, 814,640,480:waittimer 7960 blt 0,0,640,480,0, 816,640,480:waittimer 7980 blt 0,0,640,480,0, 818,640,480:waittimer 7999 blt 0,0,640,480,0, 820,640,480:waittimer 8019 blt 0,0,640,480,0, 822,640,480:waittimer 8038 blt 0,0,640,480,0, 824,640,480:waittimer 8058 blt 0,0,640,480,0, 826,640,480:waittimer 8077 blt 0,0,640,480,0, 828,640,480:waittimer 8097 blt 0,0,640,480,0, 830,640,480:waittimer 8117 blt 0,0,640,480,0, 832,640,480:waittimer 8136 blt 0,0,640,480,0, 834,640,480:waittimer 8156 blt 0,0,640,480,0, 836,640,480:waittimer 8175 blt 0,0,640,480,0, 838,640,480:waittimer 8195 blt 0,0,640,480,0, 840,640,480:waittimer 8214 blt 0,0,640,480,0, 842,640,480:waittimer 8234 blt 0,0,640,480,0, 844,640,480:waittimer 8253 blt 0,0,640,480,0, 846,640,480:waittimer 8273 blt 0,0,640,480,0, 848,640,480:waittimer 8293 blt 0,0,640,480,0, 850,640,480:waittimer 8312 blt 0,0,640,480,0, 852,640,480:waittimer 8332 blt 0,0,640,480,0, 854,640,480:waittimer 8351 blt 0,0,640,480,0, 856,640,480:waittimer 8371 blt 0,0,640,480,0, 858,640,480:waittimer 8390 blt 0,0,640,480,0, 860,640,480:waittimer 8410 blt 0,0,640,480,0, 862,640,480:waittimer 8430 blt 0,0,640,480,0, 864,640,480:waittimer 8449 blt 0,0,640,480,0, 866,640,480:waittimer 8469 blt 0,0,640,480,0, 868,640,480:waittimer 8488 blt 0,0,640,480,0, 870,640,480:waittimer 8508 blt 0,0,640,480,0, 872,640,480:waittimer 8527 blt 0,0,640,480,0, 874,640,480:waittimer 8547 blt 0,0,640,480,0, 876,640,480:waittimer 8566 blt 0,0,640,480,0, 878,640,480:waittimer 8586 blt 0,0,640,480,0, 880,640,480:waittimer 8606 blt 0,0,640,480,0, 882,640,480:waittimer 8625 blt 0,0,640,480,0, 884,640,480:waittimer 8645 blt 0,0,640,480,0, 886,640,480:waittimer 8664 blt 0,0,640,480,0, 888,640,480:waittimer 8684 blt 0,0,640,480,0, 890,640,480:waittimer 8703 blt 0,0,640,480,0, 892,640,480:waittimer 8723 blt 0,0,640,480,0, 894,640,480:waittimer 8742 blt 0,0,640,480,0, 896,640,480:waittimer 8762 blt 0,0,640,480,0, 898,640,480:waittimer 8782 blt 0,0,640,480,0, 900,640,480:waittimer 8801 blt 0,0,640,480,0, 902,640,480:waittimer 8821 blt 0,0,640,480,0, 904,640,480:waittimer 8840 blt 0,0,640,480,0, 906,640,480:waittimer 8860 blt 0,0,640,480,0, 908,640,480:waittimer 8879 blt 0,0,640,480,0, 910,640,480:waittimer 8899 blt 0,0,640,480,0, 912,640,480:waittimer 8919 blt 0,0,640,480,0, 914,640,480:waittimer 8938 blt 0,0,640,480,0, 916,640,480:waittimer 8958 blt 0,0,640,480,0, 918,640,480:waittimer 8977 blt 0,0,640,480,0, 920,640,480:waittimer 8997 blt 0,0,640,480,0, 922,640,480:waittimer 9016 blt 0,0,640,480,0, 924,640,480:waittimer 9036 blt 0,0,640,480,0, 926,640,480:waittimer 9055 blt 0,0,640,480,0, 928,640,480:waittimer 9075 blt 0,0,640,480,0, 930,640,480:waittimer 9095 blt 0,0,640,480,0, 932,640,480:waittimer 9114 blt 0,0,640,480,0, 934,640,480:waittimer 9134 blt 0,0,640,480,0, 936,640,480:waittimer 9153 blt 0,0,640,480,0, 938,640,480:waittimer 9173 blt 0,0,640,480,0, 940,640,480:waittimer 9192 blt 0,0,640,480,0, 942,640,480:waittimer 9212 blt 0,0,640,480,0, 944,640,480:waittimer 9231 blt 0,0,640,480,0, 946,640,480:waittimer 9251 blt 0,0,640,480,0, 948,640,480:waittimer 9271 blt 0,0,640,480,0, 950,640,480:waittimer 9290 blt 0,0,640,480,0, 952,640,480:waittimer 9310 blt 0,0,640,480,0, 954,640,480:waittimer 9329 blt 0,0,640,480,0, 956,640,480:waittimer 9349 blt 0,0,640,480,0, 958,640,480:waittimer 9368 blt 0,0,640,480,0, 960,640,480:waittimer 9388 blt 0,0,640,480,0, 962,640,480:waittimer 9407 blt 0,0,640,480,0, 964,640,480:waittimer 9427 blt 0,0,640,480,0, 966,640,480:waittimer 9447 blt 0,0,640,480,0, 968,640,480:waittimer 9466 blt 0,0,640,480,0, 970,640,480:waittimer 9486 blt 0,0,640,480,0, 972,640,480:waittimer 9505 blt 0,0,640,480,0, 974,640,480:waittimer 9525 blt 0,0,640,480,0, 976,640,480:waittimer 9544 blt 0,0,640,480,0, 978,640,480:waittimer 9564 blt 0,0,640,480,0, 980,640,480:waittimer 9584 blt 0,0,640,480,0, 982,640,480:waittimer 9603 blt 0,0,640,480,0, 984,640,480:waittimer 9623 blt 0,0,640,480,0, 986,640,480:waittimer 9642 blt 0,0,640,480,0, 988,640,480:waittimer 9662 blt 0,0,640,480,0, 990,640,480:waittimer 9681 blt 0,0,640,480,0, 992,640,480:waittimer 9701 blt 0,0,640,480,0, 994,640,480:waittimer 9720 blt 0,0,640,480,0, 996,640,480:waittimer 9740 blt 0,0,640,480,0, 998,640,480:waittimer 9760 blt 0,0,640,480,0, 1000,640,480:waittimer 9779 blt 0,0,640,480,0, 1002,640,480:waittimer 9799 blt 0,0,640,480,0, 1004,640,480:waittimer 9818 blt 0,0,640,480,0, 1006,640,480:waittimer 9838 blt 0,0,640,480,0, 1008,640,480:waittimer 9857 blt 0,0,640,480,0, 1010,640,480:waittimer 9877 blt 0,0,640,480,0, 1012,640,480:waittimer 9896 blt 0,0,640,480,0, 1014,640,480:waittimer 9916 blt 0,0,640,480,0, 1016,640,480:waittimer 9936 blt 0,0,640,480,0, 1018,640,480:waittimer 9955 blt 0,0,640,480,0, 1020,640,480:waittimer 9975 blt 0,0,640,480,0, 1022,640,480:waittimer 9994 blt 0,0,640,480,0, 1024,640,480:waittimer 10014 blt 0,0,640,480,0, 1026,640,480:waittimer 10033 blt 0,0,640,480,0, 1028,640,480:waittimer 10053 blt 0,0,640,480,0, 1030,640,480:waittimer 10073 blt 0,0,640,480,0, 1032,640,480:waittimer 10092 blt 0,0,640,480,0, 1034,640,480:waittimer 10112 blt 0,0,640,480,0, 1036,640,480:waittimer 10131 blt 0,0,640,480,0, 1038,640,480:waittimer 10151 blt 0,0,640,480,0, 1040,640,480:waittimer 10170 blt 0,0,640,480,0, 1042,640,480:waittimer 10190 blt 0,0,640,480,0, 1044,640,480:waittimer 10209 blt 0,0,640,480,0, 1046,640,480:waittimer 10229 blt 0,0,640,480,0, 1048,640,480:waittimer 10249 blt 0,0,640,480,0, 1050,640,480:waittimer 10268 blt 0,0,640,480,0, 1052,640,480:waittimer 10288 blt 0,0,640,480,0, 1054,640,480:waittimer 10307 blt 0,0,640,480,0, 1056,640,480:waittimer 10327 blt 0,0,640,480,0, 1058,640,480:waittimer 10346 blt 0,0,640,480,0, 1060,640,480:waittimer 10366 blt 0,0,640,480,0, 1062,640,480:waittimer 10385 blt 0,0,640,480,0, 1064,640,480:waittimer 10405 blt 0,0,640,480,0, 1066,640,480:waittimer 10425 blt 0,0,640,480,0, 1068,640,480:waittimer 10444 blt 0,0,640,480,0, 1070,640,480:waittimer 10464 blt 0,0,640,480,0, 1072,640,480:waittimer 10483 blt 0,0,640,480,0, 1074,640,480:waittimer 10503 blt 0,0,640,480,0, 1076,640,480:waittimer 10522 blt 0,0,640,480,0, 1078,640,480:waittimer 10542 blt 0,0,640,480,0, 1080,640,480:waittimer 10561 blt 0,0,640,480,0, 1082,640,480:waittimer 10581 blt 0,0,640,480,0, 1084,640,480:waittimer 10601 blt 0,0,640,480,0, 1086,640,480:waittimer 10620 blt 0,0,640,480,0, 1088,640,480:waittimer 10640 blt 0,0,640,480,0, 1090,640,480:waittimer 10659 blt 0,0,640,480,0, 1092,640,480:waittimer 10679 blt 0,0,640,480,0, 1094,640,480:waittimer 10698 blt 0,0,640,480,0, 1096,640,480:waittimer 10718 blt 0,0,640,480,0, 1098,640,480:waittimer 10738 blt 0,0,640,480,0, 1100,640,480:waittimer 10757 blt 0,0,640,480,0, 1102,640,480:waittimer 10777 blt 0,0,640,480,0, 1104,640,480:waittimer 10796 blt 0,0,640,480,0, 1106,640,480:waittimer 10816 blt 0,0,640,480,0, 1108,640,480:waittimer 10835 blt 0,0,640,480,0, 1110,640,480:waittimer 10855 blt 0,0,640,480,0, 1112,640,480:waittimer 10874 blt 0,0,640,480,0, 1114,640,480:waittimer 10894 blt 0,0,640,480,0, 1116,640,480:waittimer 10914 blt 0,0,640,480,0, 1118,640,480:waittimer 10933 blt 0,0,640,480,0, 1120,640,480:waittimer 10953 blt 0,0,640,480,0, 1122,640,480:waittimer 10972 blt 0,0,640,480,0, 1124,640,480:waittimer 10992 blt 0,0,640,480,0, 1126,640,480:waittimer 11011 blt 0,0,640,480,0, 1128,640,480:waittimer 11031 blt 0,0,640,480,0, 1130,640,480:waittimer 11050 blt 0,0,640,480,0, 1132,640,480:waittimer 11070 blt 0,0,640,480,0, 1134,640,480:waittimer 11090 blt 0,0,640,480,0, 1136,640,480:waittimer 11109 blt 0,0,640,480,0, 1138,640,480:waittimer 11129 blt 0,0,640,480,0, 1140,640,480:waittimer 11148 blt 0,0,640,480,0, 1142,640,480:waittimer 11168 blt 0,0,640,480,0, 1144,640,480:waittimer 11187 blt 0,0,640,480,0, 1146,640,480:waittimer 11207 blt 0,0,640,480,0, 1148,640,480:waittimer 11226 blt 0,0,640,480,0, 1150,640,480:waittimer 11246 blt 0,0,640,480,0, 1152,640,480:waittimer 11266 blt 0,0,640,480,0, 1154,640,480:waittimer 11285 blt 0,0,640,480,0, 1156,640,480:waittimer 11305 blt 0,0,640,480,0, 1158,640,480:waittimer 11324 blt 0,0,640,480,0, 1160,640,480:waittimer 11344 blt 0,0,640,480,0, 1162,640,480:waittimer 11363 blt 0,0,640,480,0, 1164,640,480:waittimer 11383 blt 0,0,640,480,0, 1166,640,480:waittimer 11403 blt 0,0,640,480,0, 1168,640,480:waittimer 11422 blt 0,0,640,480,0, 1170,640,480:waittimer 11442 blt 0,0,640,480,0, 1172,640,480:waittimer 11461 blt 0,0,640,480,0, 1174,640,480:waittimer 11481 blt 0,0,640,480,0, 1176,640,480:waittimer 11500 blt 0,0,640,480,0, 1178,640,480:waittimer 11520 blt 0,0,640,480,0, 1180,640,480:waittimer 11539 blt 0,0,640,480,0, 1182,640,480:waittimer 11559 blt 0,0,640,480,0, 1184,640,480:waittimer 11579 blt 0,0,640,480,0, 1186,640,480:waittimer 11598 blt 0,0,640,480,0, 1188,640,480:waittimer 11618 blt 0,0,640,480,0, 1190,640,480:waittimer 11637 blt 0,0,640,480,0, 1192,640,480:waittimer 11657 blt 0,0,640,480,0, 1194,640,480:waittimer 11676 blt 0,0,640,480,0, 1196,640,480:waittimer 11696 blt 0,0,640,480,0, 1198,640,480:waittimer 11715 blt 0,0,640,480,0, 1200,640,480:waittimer 11735 blt 0,0,640,480,0, 1202,640,480:waittimer 11755 blt 0,0,640,480,0, 1204,640,480:waittimer 11774 blt 0,0,640,480,0, 1206,640,480:waittimer 11794 blt 0,0,640,480,0, 1208,640,480:waittimer 11813 blt 0,0,640,480,0, 1210,640,480:waittimer 11833 blt 0,0,640,480,0, 1212,640,480:waittimer 11852 blt 0,0,640,480,0, 1214,640,480:waittimer 11872 blt 0,0,640,480,0, 1216,640,480:waittimer 11892 blt 0,0,640,480,0, 1218,640,480:waittimer 11911 blt 0,0,640,480,0, 1220,640,480:waittimer 11931 blt 0,0,640,480,0, 1222,640,480:waittimer 11950 blt 0,0,640,480,0, 1224,640,480:waittimer 11970 blt 0,0,640,480,0, 1226,640,480:waittimer 11989 blt 0,0,640,480,0, 1228,640,480:waittimer 12009 blt 0,0,640,480,0, 1230,640,480:waittimer 12028 blt 0,0,640,480,0, 1232,640,480:waittimer 12048 blt 0,0,640,480,0, 1234,640,480:waittimer 12068 blt 0,0,640,480,0, 1236,640,480:waittimer 12087 blt 0,0,640,480,0, 1238,640,480:waittimer 12107 blt 0,0,640,480,0, 1240,640,480:waittimer 12126 blt 0,0,640,480,0, 1242,640,480:waittimer 12146 blt 0,0,640,480,0, 1244,640,480:waittimer 12165 blt 0,0,640,480,0, 1246,640,480:waittimer 12185 blt 0,0,640,480,0, 1248,640,480:waittimer 12204 blt 0,0,640,480,0, 1250,640,480:waittimer 12224 blt 0,0,640,480,0, 1252,640,480:waittimer 12244 blt 0,0,640,480,0, 1254,640,480:waittimer 12263 blt 0,0,640,480,0, 1256,640,480:waittimer 12283 blt 0,0,640,480,0, 1258,640,480:waittimer 12302 blt 0,0,640,480,0, 1260,640,480:waittimer 12322 blt 0,0,640,480,0, 1262,640,480:waittimer 12341 blt 0,0,640,480,0, 1264,640,480:waittimer 12361 blt 0,0,640,480,0, 1266,640,480:waittimer 12380 blt 0,0,640,480,0, 1268,640,480:waittimer 12400 blt 0,0,640,480,0, 1270,640,480:waittimer 12420 blt 0,0,640,480,0, 1272,640,480:waittimer 12439 blt 0,0,640,480,0, 1274,640,480:waittimer 12459 blt 0,0,640,480,0, 1276,640,480:waittimer 12478 blt 0,0,640,480,0, 1278,640,480:waittimer 12498 blt 0,0,640,480,0, 1280,640,480:waittimer 12517 blt 0,0,640,480,0, 1282,640,480:waittimer 12537 blt 0,0,640,480,0, 1284,640,480:waittimer 12557 blt 0,0,640,480,0, 1286,640,480:waittimer 12576 blt 0,0,640,480,0, 1288,640,480:waittimer 12596 blt 0,0,640,480,0, 1290,640,480:waittimer 12615 blt 0,0,640,480,0, 1292,640,480:waittimer 12635 blt 0,0,640,480,0, 1294,640,480:waittimer 12654 blt 0,0,640,480,0, 1296,640,480:waittimer 12674 blt 0,0,640,480,0, 1298,640,480:waittimer 12693 blt 0,0,640,480,0, 1300,640,480:waittimer 12713 blt 0,0,640,480,0, 1302,640,480:waittimer 12733 blt 0,0,640,480,0, 1304,640,480:waittimer 12752 blt 0,0,640,480,0, 1306,640,480:waittimer 12772 blt 0,0,640,480,0, 1308,640,480:waittimer 12791 blt 0,0,640,480,0, 1310,640,480:waittimer 12811 blt 0,0,640,480,0, 1312,640,480:waittimer 12830 blt 0,0,640,480,0, 1314,640,480:waittimer 12850 blt 0,0,640,480,0, 1316,640,480:waittimer 12869 blt 0,0,640,480,0, 1318,640,480:waittimer 12889 blt 0,0,640,480,0, 1320,640,480:waittimer 12909 blt 0,0,640,480,0, 1322,640,480:waittimer 12928 blt 0,0,640,480,0, 1324,640,480:waittimer 12948 blt 0,0,640,480,0, 1326,640,480:waittimer 12967 blt 0,0,640,480,0, 1328,640,480:waittimer 12987 blt 0,0,640,480,0, 1330,640,480:waittimer 13006 blt 0,0,640,480,0, 1332,640,480:waittimer 13026 blt 0,0,640,480,0, 1334,640,480:waittimer 13046 blt 0,0,640,480,0, 1336,640,480:waittimer 13065 blt 0,0,640,480,0, 1338,640,480:waittimer 13085 blt 0,0,640,480,0, 1340,640,480:waittimer 13104 blt 0,0,640,480,0, 1342,640,480:waittimer 13124 blt 0,0,640,480,0, 1344,640,480:waittimer 13143 blt 0,0,640,480,0, 1346,640,480:waittimer 13163 blt 0,0,640,480,0, 1348,640,480:waittimer 13182 blt 0,0,640,480,0, 1350,640,480:waittimer 13202 blt 0,0,640,480,0, 1352,640,480:waittimer 13222 blt 0,0,640,480,0, 1354,640,480:waittimer 13241 blt 0,0,640,480,0, 1356,640,480:waittimer 13261 blt 0,0,640,480,0, 1358,640,480:waittimer 13280 blt 0,0,640,480,0, 1360,640,480:waittimer 13300 blt 0,0,640,480,0, 1362,640,480:waittimer 13319 blt 0,0,640,480,0, 1364,640,480:waittimer 13339 blt 0,0,640,480,0, 1366,640,480:waittimer 13358 blt 0,0,640,480,0, 1368,640,480:waittimer 13378 blt 0,0,640,480,0, 1370,640,480:waittimer 13398 blt 0,0,640,480,0, 1372,640,480:waittimer 13417 blt 0,0,640,480,0, 1374,640,480:waittimer 13437 blt 0,0,640,480,0, 1376,640,480:waittimer 13456 blt 0,0,640,480,0, 1378,640,480:waittimer 13476 blt 0,0,640,480,0, 1380,640,480:waittimer 13495 blt 0,0,640,480,0, 1382,640,480:waittimer 13515 blt 0,0,640,480,0, 1384,640,480:waittimer 13534 blt 0,0,640,480,0, 1386,640,480:waittimer 13554 blt 0,0,640,480,0, 1388,640,480:waittimer 13574 blt 0,0,640,480,0, 1390,640,480:waittimer 13593 blt 0,0,640,480,0, 1392,640,480:waittimer 13613 blt 0,0,640,480,0, 1394,640,480:waittimer 13632 blt 0,0,640,480,0, 1396,640,480:waittimer 13652 blt 0,0,640,480,0, 1398,640,480:waittimer 13671 blt 0,0,640,480,0, 1400,640,480:waittimer 13691 blt 0,0,640,480,0, 1402,640,480:waittimer 13711 blt 0,0,640,480,0, 1404,640,480:waittimer 13730 blt 0,0,640,480,0, 1406,640,480:waittimer 13750 blt 0,0,640,480,0, 1408,640,480:waittimer 13769 blt 0,0,640,480,0, 1410,640,480:waittimer 13789 blt 0,0,640,480,0, 1412,640,480:waittimer 13808 blt 0,0,640,480,0, 1414,640,480:waittimer 13828 blt 0,0,640,480,0, 1416,640,480:waittimer 13847 blt 0,0,640,480,0, 1418,640,480:waittimer 13867 blt 0,0,640,480,0, 1420,640,480:waittimer 13887 blt 0,0,640,480,0, 1422,640,480:waittimer 13906 blt 0,0,640,480,0, 1424,640,480:waittimer 13926 blt 0,0,640,480,0, 1426,640,480:waittimer 13945 blt 0,0,640,480,0, 1428,640,480:waittimer 13965 blt 0,0,640,480,0, 1430,640,480:waittimer 13984 blt 0,0,640,480,0, 1432,640,480:waittimer 14004 blt 0,0,640,480,0, 1434,640,480:waittimer 14023 blt 0,0,640,480,0, 1436,640,480:waittimer 14043 blt 0,0,640,480,0, 1438,640,480:waittimer 14063 blt 0,0,640,480,0, 1440,640,480:waittimer 14082 blt 0,0,640,480,0, 1442,640,480:waittimer 14102 blt 0,0,640,480,0, 1444,640,480:waittimer 14121 blt 0,0,640,480,0, 1446,640,480:waittimer 14141 blt 0,0,640,480,0, 1448,640,480:waittimer 14160 blt 0,0,640,480,0, 1450,640,480:waittimer 14180 blt 0,0,640,480,0, 1452,640,480:waittimer 14200 blt 0,0,640,480,0, 1454,640,480:waittimer 14219 blt 0,0,640,480,0, 1456,640,480:waittimer 14239 blt 0,0,640,480,0, 1458,640,480:waittimer 14258 blt 0,0,640,480,0, 1460,640,480:waittimer 14278 blt 0,0,640,480,0, 1462,640,480:waittimer 14297 blt 0,0,640,480,0, 1464,640,480:waittimer 14317 blt 0,0,640,480,0, 1466,640,480:waittimer 14336 blt 0,0,640,480,0, 1468,640,480:waittimer 14356 blt 0,0,640,480,0, 1470,640,480:waittimer 14376 blt 0,0,640,480,0, 1472,640,480:waittimer 14395 blt 0,0,640,480,0, 1474,640,480:waittimer 14415 blt 0,0,640,480,0, 1476,640,480:waittimer 14434 blt 0,0,640,480,0, 1478,640,480:waittimer 14454 blt 0,0,640,480,0, 1480,640,480:waittimer 14473 blt 0,0,640,480,0, 1482,640,480:waittimer 14493 blt 0,0,640,480,0, 1484,640,480:waittimer 14512 blt 0,0,640,480,0, 1486,640,480:waittimer 14532 blt 0,0,640,480,0, 1488,640,480:waittimer 14552 blt 0,0,640,480,0, 1490,640,480:waittimer 14571 blt 0,0,640,480,0, 1492,640,480:waittimer 14591 blt 0,0,640,480,0, 1494,640,480:waittimer 14610 blt 0,0,640,480,0, 1496,640,480:waittimer 14630 blt 0,0,640,480,0, 1498,640,480:waittimer 14649 blt 0,0,640,480,0, 1500,640,480:waittimer 14669 blt 0,0,640,480,0, 1502,640,480:waittimer 14688 blt 0,0,640,480,0, 1504,640,480:waittimer 14708 blt 0,0,640,480,0, 1506,640,480:waittimer 14728 blt 0,0,640,480,0, 1508,640,480:waittimer 14747 blt 0,0,640,480,0, 1510,640,480:waittimer 14767 blt 0,0,640,480,0, 1512,640,480:waittimer 14786 blt 0,0,640,480,0, 1514,640,480:waittimer 14806 blt 0,0,640,480,0, 1516,640,480:waittimer 14825 blt 0,0,640,480,0, 1518,640,480:waittimer 14845 blt 0,0,640,480,0, 1520,640,480:waittimer 14865 blt 0,0,640,480,0, 1522,640,480:waittimer 14884 blt 0,0,640,480,0, 1524,640,480:waittimer 14904 blt 0,0,640,480,0, 1526,640,480:waittimer 14923 blt 0,0,640,480,0, 1528,640,480:waittimer 14943 blt 0,0,640,480,0, 1530,640,480:waittimer 14962 blt 0,0,640,480,0, 1532,640,480:waittimer 14982 blt 0,0,640,480,0, 1534,640,480:waittimer 15001 blt 0,0,640,480,0, 1536,640,480:waittimer 15021 blt 0,0,640,480,0, 1538,640,480:waittimer 15041 blt 0,0,640,480,0, 1540,640,480:waittimer 15060 blt 0,0,640,480,0, 1542,640,480:waittimer 15080 blt 0,0,640,480,0, 1544,640,480:waittimer 15099 blt 0,0,640,480,0, 1546,640,480:waittimer 15119 blt 0,0,640,480,0, 1548,640,480:waittimer 15138 blt 0,0,640,480,0, 1550,640,480:waittimer 15158 blt 0,0,640,480,0, 1552,640,480:waittimer 15177 blt 0,0,640,480,0, 1554,640,480:waittimer 15197 blt 0,0,640,480,0, 1556,640,480:waittimer 15217 blt 0,0,640,480,0, 1558,640,480:waittimer 15236 blt 0,0,640,480,0, 1560,640,480:waittimer 15256 blt 0,0,640,480,0, 1562,640,480:waittimer 15275 blt 0,0,640,480,0, 1564,640,480:waittimer 15295 blt 0,0,640,480,0, 1566,640,480:waittimer 15314 blt 0,0,640,480,0, 1568,640,480:waittimer 15334 blt 0,0,640,480,0, 1570,640,480:waittimer 15353 blt 0,0,640,480,0, 1572,640,480:waittimer 15373 blt 0,0,640,480,0, 1574,640,480:waittimer 15393 blt 0,0,640,480,0, 1576,640,480:waittimer 15412 blt 0,0,640,480,0, 1578,640,480:waittimer 15432 blt 0,0,640,480,0, 1580,640,480:waittimer 15451 blt 0,0,640,480,0, 1582,640,480:waittimer 15471 blt 0,0,640,480,0, 1584,640,480:waittimer 15490 blt 0,0,640,480,0, 1586,640,480:waittimer 15510 blt 0,0,640,480,0, 1588,640,480:waittimer 15530 blt 0,0,640,480,0, 1590,640,480:waittimer 15549 blt 0,0,640,480,0, 1592,640,480:waittimer 15569 blt 0,0,640,480,0, 1594,640,480:waittimer 15588 blt 0,0,640,480,0, 1596,640,480:waittimer 15608 blt 0,0,640,480,0, 1598,640,480:waittimer 15627 blt 0,0,640,480,0, 1600,640,480:waittimer 15647 blt 0,0,640,480,0, 1602,640,480:waittimer 15666 blt 0,0,640,480,0, 1604,640,480:waittimer 15686 blt 0,0,640,480,0, 1606,640,480:waittimer 15706 blt 0,0,640,480,0, 1608,640,480:waittimer 15725 blt 0,0,640,480,0, 1610,640,480:waittimer 15745 blt 0,0,640,480,0, 1612,640,480:waittimer 15764 blt 0,0,640,480,0, 1614,640,480:waittimer 15784 blt 0,0,640,480,0, 1616,640,480:waittimer 15803 blt 0,0,640,480,0, 1618,640,480:waittimer 15823 blt 0,0,640,480,0, 1620,640,480:waittimer 15842 blt 0,0,640,480,0, 1622,640,480:waittimer 15862 blt 0,0,640,480,0, 1624,640,480:waittimer 15882 blt 0,0,640,480,0, 1626,640,480:waittimer 15901 blt 0,0,640,480,0, 1628,640,480:waittimer 15921 blt 0,0,640,480,0, 1630,640,480:waittimer 15940 blt 0,0,640,480,0, 1632,640,480:waittimer 15960 blt 0,0,640,480,0, 1634,640,480:waittimer 15979 blt 0,0,640,480,0, 1636,640,480:waittimer 15999 blt 0,0,640,480,0, 1638,640,480:waittimer 16019 blt 0,0,640,480,0, 1640,640,480:waittimer 16038 blt 0,0,640,480,0, 1642,640,480:waittimer 16058 blt 0,0,640,480,0, 1644,640,480:waittimer 16077 blt 0,0,640,480,0, 1646,640,480:waittimer 16097 blt 0,0,640,480,0, 1648,640,480:waittimer 16116 blt 0,0,640,480,0, 1650,640,480:waittimer 16136 blt 0,0,640,480,0, 1652,640,480:waittimer 16155 blt 0,0,640,480,0, 1654,640,480:waittimer 16175 blt 0,0,640,480,0, 1656,640,480:waittimer 16195 blt 0,0,640,480,0, 1658,640,480:waittimer 16214 blt 0,0,640,480,0, 1660,640,480:waittimer 16234 blt 0,0,640,480,0, 1662,640,480:waittimer 16253 blt 0,0,640,480,0, 1664,640,480:waittimer 16273 blt 0,0,640,480,0, 1666,640,480:waittimer 16292 blt 0,0,640,480,0, 1668,640,480:waittimer 16312 blt 0,0,640,480,0, 1670,640,480:waittimer 16331 blt 0,0,640,480,0, 1672,640,480:waittimer 16351 blt 0,0,640,480,0, 1674,640,480:waittimer 16371 blt 0,0,640,480,0, 1676,640,480:waittimer 16390 blt 0,0,640,480,0, 1678,640,480:waittimer 16410 blt 0,0,640,480,0, 1680,640,480:waittimer 16429 blt 0,0,640,480,0, 1682,640,480:waittimer 16449 blt 0,0,640,480,0, 1684,640,480:waittimer 16468 blt 0,0,640,480,0, 1686,640,480:waittimer 16488 blt 0,0,640,480,0, 1688,640,480:waittimer 16507 blt 0,0,640,480,0, 1690,640,480:waittimer 16527 blt 0,0,640,480,0, 1692,640,480:waittimer 16547 blt 0,0,640,480,0, 1694,640,480:waittimer 16566 blt 0,0,640,480,0, 1696,640,480:waittimer 16586 blt 0,0,640,480,0, 1698,640,480:waittimer 16605 blt 0,0,640,480,0, 1700,640,480:waittimer 16625 blt 0,0,640,480,0, 1702,640,480:waittimer 16644 blt 0,0,640,480,0, 1704,640,480:waittimer 16664 blt 0,0,640,480,0, 1706,640,480:waittimer 16684 blt 0,0,640,480,0, 1708,640,480:waittimer 16703 blt 0,0,640,480,0, 1710,640,480:waittimer 16723 blt 0,0,640,480,0, 1712,640,480:waittimer 16742 blt 0,0,640,480,0, 1714,640,480:waittimer 16762 blt 0,0,640,480,0, 1716,640,480:waittimer 16781 blt 0,0,640,480,0, 1718,640,480:waittimer 16801 blt 0,0,640,480,0, 1720,640,480:waittimer 16820 blt 0,0,640,480,0, 1722,640,480:waittimer 16840 blt 0,0,640,480,0, 1724,640,480:waittimer 16860 blt 0,0,640,480,0, 1726,640,480:waittimer 16879 blt 0,0,640,480,0, 1728,640,480:waittimer 16899 blt 0,0,640,480,0, 1730,640,480:waittimer 16918 blt 0,0,640,480,0, 1732,640,480:waittimer 16938 blt 0,0,640,480,0, 1734,640,480:waittimer 16957 blt 0,0,640,480,0, 1736,640,480:waittimer 16977 blt 0,0,640,480,0, 1738,640,480:waittimer 16996 blt 0,0,640,480,0, 1740,640,480:waittimer 17016 blt 0,0,640,480,0, 1742,640,480:waittimer 17036 blt 0,0,640,480,0, 1744,640,480:waittimer 17055 blt 0,0,640,480,0, 1746,640,480:waittimer 17075 blt 0,0,640,480,0, 1748,640,480:waittimer 17094 blt 0,0,640,480,0, 1750,640,480:waittimer 17114 blt 0,0,640,480,0, 1752,640,480:waittimer 17133 blt 0,0,640,480,0, 1754,640,480:waittimer 17153 blt 0,0,640,480,0, 1756,640,480:waittimer 17173 blt 0,0,640,480,0, 1758,640,480:waittimer 17192 blt 0,0,640,480,0, 1760,640,480:waittimer 17212 blt 0,0,640,480,0, 1762,640,480:waittimer 17231 blt 0,0,640,480,0, 1764,640,480:waittimer 17251 blt 0,0,640,480,0, 1766,640,480:waittimer 17270 blt 0,0,640,480,0, 1768,640,480:waittimer 17290 blt 0,0,640,480,0, 1770,640,480:waittimer 17309 blt 0,0,640,480,0, 1772,640,480:waittimer 17329 blt 0,0,640,480,0, 1774,640,480:waittimer 17349 blt 0,0,640,480,0, 1776,640,480:waittimer 17368 blt 0,0,640,480,0, 1778,640,480:waittimer 17388 blt 0,0,640,480,0, 1780,640,480:waittimer 17407 blt 0,0,640,480,0, 1782,640,480:waittimer 17427 blt 0,0,640,480,0, 1784,640,480:waittimer 17446 blt 0,0,640,480,0, 1786,640,480:waittimer 17466 blt 0,0,640,480,0, 1788,640,480:waittimer 17485 blt 0,0,640,480,0, 1790,640,480:waittimer 17505 blt 0,0,640,480,0, 1792,640,480:waittimer 17525 blt 0,0,640,480,0, 1794,640,480:waittimer 17544 blt 0,0,640,480,0, 1796,640,480:waittimer 17564 blt 0,0,640,480,0, 1798,640,480:waittimer 17583 blt 0,0,640,480,0, 1800,640,480:waittimer 17603 blt 0,0,640,480,0, 1802,640,480:waittimer 17622 blt 0,0,640,480,0, 1804,640,480:waittimer 17642 blt 0,0,640,480,0, 1806,640,480:waittimer 17661 blt 0,0,640,480,0, 1808,640,480:waittimer 17681 blt 0,0,640,480,0, 1810,640,480:waittimer 17701 blt 0,0,640,480,0, 1812,640,480:waittimer 17720 blt 0,0,640,480,0, 1814,640,480:waittimer 17740 blt 0,0,640,480,0, 1816,640,480:waittimer 17759 blt 0,0,640,480,0, 1818,640,480:waittimer 17779 blt 0,0,640,480,0, 1820,640,480:waittimer 17798 blt 0,0,640,480,0, 1822,640,480:waittimer 17818 blt 0,0,640,480,0, 1824,640,480:waittimer 17838 blt 0,0,640,480,0, 1826,640,480:waittimer 17857 blt 0,0,640,480,0, 1828,640,480:waittimer 17877 blt 0,0,640,480,0, 1830,640,480:waittimer 17896 blt 0,0,640,480,0, 1832,640,480:waittimer 17916 blt 0,0,640,480,0, 1834,640,480:waittimer 17935 blt 0,0,640,480,0, 1836,640,480:waittimer 17955 blt 0,0,640,480,0, 1838,640,480:waittimer 17974 blt 0,0,640,480,0, 1840,640,480:waittimer 17994 blt 0,0,640,480,0, 1842,640,480:waittimer 18014 blt 0,0,640,480,0, 1844,640,480:waittimer 18033 blt 0,0,640,480,0, 1846,640,480:waittimer 18053 blt 0,0,640,480,0, 1848,640,480:waittimer 18072 blt 0,0,640,480,0, 1850,640,480:waittimer 18092 blt 0,0,640,480,0, 1852,640,480:waittimer 18111 blt 0,0,640,480,0, 1854,640,480:waittimer 18131 blt 0,0,640,480,0, 1856,640,480:waittimer 18150 blt 0,0,640,480,0, 1858,640,480:waittimer 18170 blt 0,0,640,480,0, 1860,640,480:waittimer 18190 blt 0,0,640,480,0, 1862,640,480:waittimer 18209 blt 0,0,640,480,0, 1864,640,480:waittimer 18229 blt 0,0,640,480,0, 1866,640,480:waittimer 18248 blt 0,0,640,480,0, 1868,640,480:waittimer 18268 blt 0,0,640,480,0, 1870,640,480:waittimer 18287 blt 0,0,640,480,0, 1872,640,480:waittimer 18307 blt 0,0,640,480,0, 1874,640,480:waittimer 18326 blt 0,0,640,480,0, 1876,640,480:waittimer 18346 blt 0,0,640,480,0, 1878,640,480:waittimer 18366 blt 0,0,640,480,0, 1880,640,480:waittimer 18385 blt 0,0,640,480,0, 1882,640,480:waittimer 18405 blt 0,0,640,480,0, 1884,640,480:waittimer 18424 blt 0,0,640,480,0, 1886,640,480:waittimer 18444 blt 0,0,640,480,0, 1888,640,480:waittimer 18463 blt 0,0,640,480,0, 1890,640,480:waittimer 18483 blt 0,0,640,480,0, 1892,640,480:waittimer 18503 blt 0,0,640,480,0, 1894,640,480:waittimer 18522 blt 0,0,640,480,0, 1896,640,480:waittimer 18542 blt 0,0,640,480,0, 1898,640,480:waittimer 18561 blt 0,0,640,480,0, 1900,640,480:waittimer 18581 blt 0,0,640,480,0, 1902,640,480:waittimer 18600 blt 0,0,640,480,0, 1904,640,480:waittimer 18620 blt 0,0,640,480,0, 1906,640,480:waittimer 18639 blt 0,0,640,480,0, 1908,640,480:waittimer 18659 blt 0,0,640,480,0, 1910,640,480:waittimer 18679 blt 0,0,640,480,0, 1912,640,480:waittimer 18698 blt 0,0,640,480,0, 1914,640,480:waittimer 18718 blt 0,0,640,480,0, 1916,640,480:waittimer 18737 blt 0,0,640,480,0, 1918,640,480:waittimer 18757 blt 0,0,640,480,0, 1920,640,480:waittimer 18776 blt 0,0,640,480,0, 1922,640,480:waittimer 18796 blt 0,0,640,480,0, 1924,640,480:waittimer 18815 blt 0,0,640,480,0, 1926,640,480:waittimer 18835 blt 0,0,640,480,0, 1928,640,480:waittimer 18855 blt 0,0,640,480,0, 1930,640,480:waittimer 18874 blt 0,0,640,480,0, 1932,640,480:waittimer 18894 blt 0,0,640,480,0, 1934,640,480:waittimer 18913 blt 0,0,640,480,0, 1936,640,480:waittimer 18933 blt 0,0,640,480,0, 1938,640,480:waittimer 18952 blt 0,0,640,480,0, 1940,640,480:waittimer 18972 blt 0,0,640,480,0, 1942,640,480:waittimer 18992 blt 0,0,640,480,0, 1944,640,480:waittimer 19011 blt 0,0,640,480,0, 1946,640,480:waittimer 19031 blt 0,0,640,480,0, 1948,640,480:waittimer 19050 blt 0,0,640,480,0, 1950,640,480:waittimer 19070 blt 0,0,640,480,0, 1952,640,480:waittimer 19089 blt 0,0,640,480,0, 1954,640,480:waittimer 19109 blt 0,0,640,480,0, 1956,640,480:waittimer 19128 blt 0,0,640,480,0, 1958,640,480:waittimer 19148 blt 0,0,640,480,0, 1960,640,480:waittimer 19168 blt 0,0,640,480,0, 1962,640,480:waittimer 19187 blt 0,0,640,480,0, 1964,640,480:waittimer 19207 blt 0,0,640,480,0, 1966,640,480:waittimer 19226 blt 0,0,640,480,0, 1968,640,480:waittimer 19246 blt 0,0,640,480,0, 1970,640,480:waittimer 19265 blt 0,0,640,480,0, 1972,640,480:waittimer 19285 blt 0,0,640,480,0, 1974,640,480:waittimer 19304 blt 0,0,640,480,0, 1976,640,480:waittimer 19324 blt 0,0,640,480,0, 1978,640,480:waittimer 19344 blt 0,0,640,480,0, 1980,640,480:waittimer 19363 blt 0,0,640,480,0, 1982,640,480:waittimer 19383 blt 0,0,640,480,0, 1984,640,480:waittimer 19402 blt 0,0,640,480,0, 1986,640,480:waittimer 19422 blt 0,0,640,480,0, 1988,640,480:waittimer 19441 blt 0,0,640,480,0, 1990,640,480:waittimer 19461 blt 0,0,640,480,0, 1992,640,480:waittimer 19480 blt 0,0,640,480,0, 1994,640,480:waittimer 19500 blt 0,0,640,480,0, 1996,640,480:waittimer 19520 blt 0,0,640,480,0, 1998,640,480:waittimer 19539 blt 0,0,640,480,0, 2000,640,480:waittimer 19559 blt 0,0,640,480,0, 2002,640,480:waittimer 19578 blt 0,0,640,480,0, 2004,640,480:waittimer 19598 blt 0,0,640,480,0, 2006,640,480:waittimer 19617 blt 0,0,640,480,0, 2008,640,480:waittimer 19637 blt 0,0,640,480,0, 2010,640,480:waittimer 19657 blt 0,0,640,480,0, 2012,640,480:waittimer 19676 blt 0,0,640,480,0, 2014,640,480:waittimer 19696 blt 0,0,640,480,0, 2016,640,480:waittimer 19715 blt 0,0,640,480,0, 2018,640,480:waittimer 19735 blt 0,0,640,480,0, 2020,640,480:waittimer 19754 blt 0,0,640,480,0, 2022,640,480:waittimer 19774 blt 0,0,640,480,0, 2024,640,480:waittimer 19793 blt 0,0,640,480,0, 2026,640,480:waittimer 19813 blt 0,0,640,480,0, 2028,640,480:waittimer 19833 blt 0,0,640,480,0, 2030,640,480:waittimer 19852 blt 0,0,640,480,0, 2032,640,480:waittimer 19872 blt 0,0,640,480,0, 2034,640,480:waittimer 19891 blt 0,0,640,480,0, 2036,640,480:waittimer 19911 blt 0,0,640,480,0, 2038,640,480:waittimer 19930 blt 0,0,640,480,0, 2040,640,480:waittimer 19950 blt 0,0,640,480,0, 2042,640,480:waittimer 19969 blt 0,0,640,480,0, 2044,640,480:waittimer 19989 blt 0,0,640,480,0, 2046,640,480:waittimer 20009 blt 0,0,640,480,0, 2048,640,480:waittimer 20028 blt 0,0,640,480,0, 2050,640,480:waittimer 20048 blt 0,0,640,480,0, 2052,640,480:waittimer 20067 blt 0,0,640,480,0, 2054,640,480:waittimer 20087 blt 0,0,640,480,0, 2056,640,480:waittimer 20106 blt 0,0,640,480,0, 2058,640,480:waittimer 20126 blt 0,0,640,480,0, 2060,640,480:waittimer 20146 blt 0,0,640,480,0, 2062,640,480:waittimer 20165 blt 0,0,640,480,0, 2064,640,480:waittimer 20185 blt 0,0,640,480,0, 2066,640,480:waittimer 20204 blt 0,0,640,480,0, 2068,640,480:waittimer 20224 blt 0,0,640,480,0, 2070,640,480:waittimer 20243 blt 0,0,640,480,0, 2072,640,480:waittimer 20263 blt 0,0,640,480,0, 2074,640,480:waittimer 20282 blt 0,0,640,480,0, 2076,640,480:waittimer 20302 blt 0,0,640,480,0, 2078,640,480:waittimer 20322 blt 0,0,640,480,0, 2080,640,480:waittimer 20341 blt 0,0,640,480,0, 2082,640,480:waittimer 20361 blt 0,0,640,480,0, 2084,640,480:waittimer 20380 blt 0,0,640,480,0, 2086,640,480:waittimer 20400 blt 0,0,640,480,0, 2088,640,480:waittimer 20419 blt 0,0,640,480,0, 2090,640,480:waittimer 20439 blt 0,0,640,480,0, 2092,640,480:waittimer 20458 blt 0,0,640,480,0, 2094,640,480:waittimer 20478 blt 0,0,640,480,0, 2096,640,480:waittimer 20498 blt 0,0,640,480,0, 2098,640,480:waittimer 20517 blt 0,0,640,480,0, 2100,640,480:waittimer 20537 blt 0,0,640,480,0, 2102,640,480:waittimer 20556 blt 0,0,640,480,0, 2104,640,480:waittimer 20576 blt 0,0,640,480,0, 2106,640,480:waittimer 20595 blt 0,0,640,480,0, 2108,640,480:waittimer 20615 blt 0,0,640,480,0, 2110,640,480:waittimer 20634 blt 0,0,640,480,0, 2112,640,480:waittimer 20654 blt 0,0,640,480,0, 2114,640,480:waittimer 20674 blt 0,0,640,480,0, 2116,640,480:waittimer 20693 blt 0,0,640,480,0, 2118,640,480:waittimer 20713 blt 0,0,640,480,0, 2120,640,480:waittimer 20732 blt 0,0,640,480,0, 2122,640,480:waittimer 20752 blt 0,0,640,480,0, 2124,640,480:waittimer 20771 blt 0,0,640,480,0, 2126,640,480:waittimer 20791 blt 0,0,640,480,0, 2128,640,480:waittimer 20811 blt 0,0,640,480,0, 2130,640,480:waittimer 20830 blt 0,0,640,480,0, 2132,640,480:waittimer 20850 blt 0,0,640,480,0, 2134,640,480:waittimer 20869 blt 0,0,640,480,0, 2136,640,480:waittimer 20889 blt 0,0,640,480,0, 2138,640,480:waittimer 20908 blt 0,0,640,480,0, 2140,640,480:waittimer 20928 blt 0,0,640,480,0, 2142,640,480:waittimer 20947 blt 0,0,640,480,0, 2144,640,480:waittimer 20967 blt 0,0,640,480,0, 2146,640,480:waittimer 20987 blt 0,0,640,480,0, 2148,640,480:waittimer 21006 blt 0,0,640,480,0, 2150,640,480:waittimer 21026 blt 0,0,640,480,0, 2152,640,480:waittimer 21045 blt 0,0,640,480,0, 2154,640,480:waittimer 21065 blt 0,0,640,480,0, 2156,640,480:waittimer 21084 blt 0,0,640,480,0, 2158,640,480:waittimer 21104 blt 0,0,640,480,0, 2160,640,480:waittimer 21123 blt 0,0,640,480,0, 2162,640,480:waittimer 21143 blt 0,0,640,480,0, 2164,640,480:waittimer 21163 blt 0,0,640,480,0, 2166,640,480:waittimer 21182 blt 0,0,640,480,0, 2168,640,480:waittimer 21202 blt 0,0,640,480,0, 2170,640,480:waittimer 21221 blt 0,0,640,480,0, 2172,640,480:waittimer 21241 blt 0,0,640,480,0, 2174,640,480:waittimer 21260 blt 0,0,640,480,0, 2176,640,480:waittimer 21280 blt 0,0,640,480,0, 2178,640,480:waittimer 21300 blt 0,0,640,480,0, 2180,640,480:waittimer 21319 blt 0,0,640,480,0, 2182,640,480:waittimer 21339 blt 0,0,640,480,0, 2184,640,480:waittimer 21358 blt 0,0,640,480,0, 2186,640,480:waittimer 21378 blt 0,0,640,480,0, 2188,640,480:waittimer 21397 blt 0,0,640,480,0, 2190,640,480:waittimer 21417 blt 0,0,640,480,0, 2192,640,480:waittimer 21436 blt 0,0,640,480,0, 2194,640,480:waittimer 21456 blt 0,0,640,480,0, 2196,640,480:waittimer 21476 blt 0,0,640,480,0, 2198,640,480:waittimer 21495 blt 0,0,640,480,0, 2200,640,480:waittimer 21515 blt 0,0,640,480,0, 2202,640,480:waittimer 21534 blt 0,0,640,480,0, 2204,640,480:waittimer 21554 blt 0,0,640,480,0, 2206,640,480:waittimer 21573 blt 0,0,640,480,0, 2208,640,480:waittimer 21593 blt 0,0,640,480,0, 2210,640,480:waittimer 21612 blt 0,0,640,480,0, 2212,640,480:waittimer 21632 blt 0,0,640,480,0, 2214,640,480:waittimer 21652 blt 0,0,640,480,0, 2216,640,480:waittimer 21671 blt 0,0,640,480,0, 2218,640,480:waittimer 21691 blt 0,0,640,480,0, 2220,640,480:waittimer 21710 blt 0,0,640,480,0, 2222,640,480:waittimer 21730 blt 0,0,640,480,0, 2224,640,480:waittimer 21749 blt 0,0,640,480,0, 2226,640,480:waittimer 21769 blt 0,0,640,480,0, 2228,640,480:waittimer 21788 blt 0,0,640,480,0, 2230,640,480:waittimer 21808 blt 0,0,640,480,0, 2232,640,480:waittimer 21828 blt 0,0,640,480,0, 2234,640,480:waittimer 21847 blt 0,0,640,480,0, 2236,640,480:waittimer 21867 blt 0,0,640,480,0, 2238,640,480:waittimer 21886 blt 0,0,640,480,0, 2240,640,480:waittimer 21906 blt 0,0,640,480,0, 2242,640,480:waittimer 21925 blt 0,0,640,480,0, 2244,640,480:waittimer 21945 blt 0,0,640,480,0, 2246,640,480:waittimer 21965 blt 0,0,640,480,0, 2248,640,480:waittimer 21984 blt 0,0,640,480,0, 2250,640,480:waittimer 22004 blt 0,0,640,480,0, 2252,640,480:waittimer 22023 blt 0,0,640,480,0, 2254,640,480:waittimer 22043 blt 0,0,640,480,0, 2256,640,480:waittimer 22062 blt 0,0,640,480,0, 2258,640,480:waittimer 22082 blt 0,0,640,480,0, 2260,640,480:waittimer 22101 blt 0,0,640,480,0, 2262,640,480:waittimer 22121 blt 0,0,640,480,0, 2264,640,480:waittimer 22141 blt 0,0,640,480,0, 2266,640,480:waittimer 22160 blt 0,0,640,480,0, 2268,640,480:waittimer 22180 blt 0,0,640,480,0, 2270,640,480:waittimer 22199 blt 0,0,640,480,0, 2272,640,480:waittimer 22219 blt 0,0,640,480,0, 2274,640,480:waittimer 22238 blt 0,0,640,480,0, 2276,640,480:waittimer 22258 blt 0,0,640,480,0, 2278,640,480:waittimer 22277 blt 0,0,640,480,0, 2280,640,480:waittimer 22297 blt 0,0,640,480,0, 2282,640,480:waittimer 22317 blt 0,0,640,480,0, 2284,640,480:waittimer 22336 blt 0,0,640,480,0, 2286,640,480:waittimer 22356 blt 0,0,640,480,0, 2288,640,480:waittimer 22375 blt 0,0,640,480,0, 2290,640,480:waittimer 22395 blt 0,0,640,480,0, 2292,640,480:waittimer 22414 blt 0,0,640,480,0, 2294,640,480:waittimer 22434 blt 0,0,640,480,0, 2296,640,480:waittimer 22453 blt 0,0,640,480,0, 2298,640,480:waittimer 22473 blt 0,0,640,480,0, 2300,640,480:waittimer 22493 blt 0,0,640,480,0, 2302,640,480:waittimer 22512 blt 0,0,640,480,0, 2304,640,480:waittimer 22532 blt 0,0,640,480,0, 2306,640,480:waittimer 22551 blt 0,0,640,480,0, 2308,640,480:waittimer 22571 blt 0,0,640,480,0, 2310,640,480:waittimer 22590 blt 0,0,640,480,0, 2312,640,480:waittimer 22610 blt 0,0,640,480,0, 2314,640,480:waittimer 22630 blt 0,0,640,480,0, 2316,640,480:waittimer 22649 blt 0,0,640,480,0, 2318,640,480:waittimer 22669 blt 0,0,640,480,0, 2320,640,480:waittimer 22688 blt 0,0,640,480,0, 2322,640,480:waittimer 22708 blt 0,0,640,480,0, 2324,640,480:waittimer 22727 blt 0,0,640,480,0, 2326,640,480:waittimer 22747 blt 0,0,640,480,0, 2328,640,480:waittimer 22766 blt 0,0,640,480,0, 2330,640,480:waittimer 22786 blt 0,0,640,480,0, 2332,640,480:waittimer 22806 blt 0,0,640,480,0, 2334,640,480:waittimer 22825 blt 0,0,640,480,0, 2336,640,480:waittimer 22845 blt 0,0,640,480,0, 2338,640,480:waittimer 22864 blt 0,0,640,480,0, 2340,640,480:waittimer 22884 blt 0,0,640,480,0, 2342,640,480:waittimer 22903 blt 0,0,640,480,0, 2344,640,480:waittimer 22923 blt 0,0,640,480,0, 2346,640,480:waittimer 22942 blt 0,0,640,480,0, 2348,640,480:waittimer 22962 blt 0,0,640,480,0, 2350,640,480:waittimer 22982 blt 0,0,640,480,0, 2352,640,480:waittimer 23001 blt 0,0,640,480,0, 2354,640,480:waittimer 23021 blt 0,0,640,480,0, 2356,640,480:waittimer 23040 blt 0,0,640,480,0, 2358,640,480:waittimer 23060 blt 0,0,640,480,0, 2360,640,480:waittimer 23079 blt 0,0,640,480,0, 2362,640,480:waittimer 23099 blt 0,0,640,480,0, 2364,640,480:waittimer 23119 blt 0,0,640,480,0, 2366,640,480:waittimer 23138 blt 0,0,640,480,0, 2368,640,480:waittimer 23158 blt 0,0,640,480,0, 2370,640,480:waittimer 23177 blt 0,0,640,480,0, 2372,640,480:waittimer 23197 blt 0,0,640,480,0, 2374,640,480:waittimer 23216 blt 0,0,640,480,0, 2376,640,480:waittimer 23236 blt 0,0,640,480,0, 2378,640,480:waittimer 23255 blt 0,0,640,480,0, 2380,640,480:waittimer 23275 blt 0,0,640,480,0, 2382,640,480:waittimer 23295 blt 0,0,640,480,0, 2384,640,480:waittimer 23314 blt 0,0,640,480,0, 2386,640,480:waittimer 23334 blt 0,0,640,480,0, 2388,640,480:waittimer 23353 blt 0,0,640,480,0, 2390,640,480:waittimer 23373 blt 0,0,640,480,0, 2392,640,480:waittimer 23392 blt 0,0,640,480,0, 2394,640,480:waittimer 23412 blt 0,0,640,480,0, 2396,640,480:waittimer 23431 blt 0,0,640,480,0, 2398,640,480:waittimer 23451 blt 0,0,640,480,0, 2400,640,480:waittimer 23471 blt 0,0,640,480,0, 2402,640,480:waittimer 23490 blt 0,0,640,480,0, 2404,640,480:waittimer 23510 blt 0,0,640,480,0, 2406,640,480:waittimer 23529 blt 0,0,640,480,0, 2408,640,480:waittimer 23549 blt 0,0,640,480,0, 2410,640,480:waittimer 23568 blt 0,0,640,480,0, 2412,640,480:waittimer 23588 blt 0,0,640,480,0, 2414,640,480:waittimer 23607 blt 0,0,640,480,0, 2416,640,480:waittimer 23627 blt 0,0,640,480,0, 2418,640,480:waittimer 23647 blt 0,0,640,480,0, 2420,640,480:waittimer 23666 blt 0,0,640,480,0, 2422,640,480:waittimer 23686 blt 0,0,640,480,0, 2424,640,480:waittimer 23705 blt 0,0,640,480,0, 2426,640,480:waittimer 23725 blt 0,0,640,480,0, 2428,640,480:waittimer 23744 blt 0,0,640,480,0, 2430,640,480:waittimer 23764 blt 0,0,640,480,0, 2432,640,480:waittimer 23784 blt 0,0,640,480,0, 2434,640,480:waittimer 23803 blt 0,0,640,480,0, 2436,640,480:waittimer 23823 blt 0,0,640,480,0, 2438,640,480:waittimer 23842 blt 0,0,640,480,0, 2440,640,480:waittimer 23862 blt 0,0,640,480,0, 2442,640,480:waittimer 23881 blt 0,0,640,480,0, 2444,640,480:waittimer 23901 blt 0,0,640,480,0, 2446,640,480:waittimer 23920 blt 0,0,640,480,0, 2448,640,480:waittimer 23940 blt 0,0,640,480,0, 2450,640,480:waittimer 23960 blt 0,0,640,480,0, 2452,640,480:waittimer 23979 blt 0,0,640,480,0, 2454,640,480:waittimer 23999 blt 0,0,640,480,0, 2456,640,480:waittimer 24018 blt 0,0,640,480,0, 2458,640,480:waittimer 24038 blt 0,0,640,480,0, 2460,640,480:waittimer 24057 blt 0,0,640,480,0, 2462,640,480:waittimer 24077 blt 0,0,640,480,0, 2464,640,480:waittimer 24096 blt 0,0,640,480,0, 2466,640,480:waittimer 24116 blt 0,0,640,480,0, 2468,640,480:waittimer 24136 blt 0,0,640,480,0, 2470,640,480:waittimer 24155 blt 0,0,640,480,0, 2472,640,480:waittimer 24175 blt 0,0,640,480,0, 2474,640,480:waittimer 24194 blt 0,0,640,480,0, 2476,640,480:waittimer 24214 blt 0,0,640,480,0, 2478,640,480:waittimer 24233 blt 0,0,640,480,0, 2480,640,480:waittimer 24253 blt 0,0,640,480,0, 2482,640,480:waittimer 24273 blt 0,0,640,480,0, 2484,640,480:waittimer 24292 blt 0,0,640,480,0, 2486,640,480:waittimer 24312 blt 0,0,640,480,0, 2488,640,480:waittimer 24331 blt 0,0,640,480,0, 2490,640,480:waittimer 24351 blt 0,0,640,480,0, 2492,640,480:waittimer 24370 blt 0,0,640,480,0, 2494,640,480:waittimer 24390 blt 0,0,640,480,0, 2496,640,480:waittimer 24409 blt 0,0,640,480,0, 2498,640,480:waittimer 24429 blt 0,0,640,480,0, 2500,640,480:waittimer 24449 blt 0,0,640,480,0, 2502,640,480:waittimer 24468 blt 0,0,640,480,0, 2504,640,480:waittimer 24488 blt 0,0,640,480,0, 2506,640,480:waittimer 24507 blt 0,0,640,480,0, 2508,640,480:waittimer 24527 blt 0,0,640,480,0, 2510,640,480:waittimer 24546 blt 0,0,640,480,0, 2512,640,480:waittimer 24566 blt 0,0,640,480,0, 2514,640,480:waittimer 24585 blt 0,0,640,480,0, 2516,640,480:waittimer 24605 blt 0,0,640,480,0, 2518,640,480:waittimer 24625 blt 0,0,640,480,0, 2520,640,480:waittimer 24644 blt 0,0,640,480,0, 2522,640,480:waittimer 24664 blt 0,0,640,480,0, 2524,640,480:waittimer 24683 blt 0,0,640,480,0, 2526,640,480:waittimer 24703 blt 0,0,640,480,0, 2528,640,480:waittimer 24722 blt 0,0,640,480,0, 2530,640,480:waittimer 24742 blt 0,0,640,480,0, 2532,640,480:waittimer 24761 blt 0,0,640,480,0, 2534,640,480:waittimer 24781 blt 0,0,640,480,0, 2536,640,480:waittimer 24801 blt 0,0,640,480,0, 2538,640,480:waittimer 24820 blt 0,0,640,480,0, 2540,640,480:waittimer 24840 blt 0,0,640,480,0, 2542,640,480:waittimer 24859 blt 0,0,640,480,0, 2544,640,480:waittimer 24879 blt 0,0,640,480,0, 2546,640,480:waittimer 24898 blt 0,0,640,480,0, 2548,640,480:waittimer 24918 blt 0,0,640,480,0, 2550,640,480:waittimer 24938 blt 0,0,640,480,0, 2552,640,480:waittimer 24957 blt 0,0,640,480,0, 2554,640,480:waittimer 24977 blt 0,0,640,480,0, 2556,640,480:waittimer 24996 blt 0,0,640,480,0, 2558,640,480:waittimer 25016 blt 0,0,640,480,0, 2560,640,480:waittimer 25035 blt 0,0,640,480,0, 2562,640,480:waittimer 25055 blt 0,0,640,480,0, 2564,640,480:waittimer 25074 blt 0,0,640,480,0, 2566,640,480:waittimer 25094 blt 0,0,640,480,0, 2568,640,480:waittimer 25114 blt 0,0,640,480,0, 2570,640,480:waittimer 25133 blt 0,0,640,480,0, 2572,640,480:waittimer 25153 blt 0,0,640,480,0, 2574,640,480:waittimer 25172 blt 0,0,640,480,0, 2576,640,480:waittimer 25192 blt 0,0,640,480,0, 2578,640,480:waittimer 25211 blt 0,0,640,480,0, 2580,640,480:waittimer 25231 blt 0,0,640,480,0, 2582,640,480:waittimer 25250 blt 0,0,640,480,0, 2584,640,480:waittimer 25270 blt 0,0,640,480,0, 2586,640,480:waittimer 25290 blt 0,0,640,480,0, 2588,640,480:waittimer 25309 blt 0,0,640,480,0, 2590,640,480:waittimer 25329 blt 0,0,640,480,0, 2592,640,480:waittimer 25348 blt 0,0,640,480,0, 2594,640,480:waittimer 25368 blt 0,0,640,480,0, 2596,640,480:waittimer 25387 blt 0,0,640,480,0, 2598,640,480:waittimer 25407 blt 0,0,640,480,0, 2600,640,480:waittimer 25426 blt 0,0,640,480,0, 2602,640,480:waittimer 25446 blt 0,0,640,480,0, 2604,640,480:waittimer 25466 blt 0,0,640,480,0, 2606,640,480:waittimer 25485 blt 0,0,640,480,0, 2608,640,480:waittimer 25505 blt 0,0,640,480,0, 2610,640,480:waittimer 25524 blt 0,0,640,480,0, 2612,640,480:waittimer 25544 blt 0,0,640,480,0, 2614,640,480:waittimer 25563 blt 0,0,640,480,0, 2616,640,480:waittimer 25583 blt 0,0,640,480,0, 2618,640,480:waittimer 25603 blt 0,0,640,480,0, 2620,640,480:waittimer 25622 blt 0,0,640,480,0, 2622,640,480:waittimer 25642 blt 0,0,640,480,0, 2624,640,480:waittimer 25661 blt 0,0,640,480,0, 2626,640,480:waittimer 25681 blt 0,0,640,480,0, 2628,640,480:waittimer 25700 blt 0,0,640,480,0, 2630,640,480:waittimer 25720 blt 0,0,640,480,0, 2632,640,480:waittimer 25739 blt 0,0,640,480,0, 2634,640,480:waittimer 25759 blt 0,0,640,480,0, 2636,640,480:waittimer 25779 blt 0,0,640,480,0, 2638,640,480:waittimer 25798 blt 0,0,640,480,0, 2640,640,480:waittimer 25818 blt 0,0,640,480,0, 2642,640,480:waittimer 25837 blt 0,0,640,480,0, 2644,640,480:waittimer 25857 blt 0,0,640,480,0, 2646,640,480:waittimer 25876 blt 0,0,640,480,0, 2648,640,480:waittimer 25896 blt 0,0,640,480,0, 2650,640,480:waittimer 25915 blt 0,0,640,480,0, 2652,640,480:waittimer 25935 blt 0,0,640,480,0, 2654,640,480:waittimer 25955 blt 0,0,640,480,0, 2656,640,480:waittimer 25974 blt 0,0,640,480,0, 2658,640,480:waittimer 25994 blt 0,0,640,480,0, 2660,640,480:waittimer 26013 blt 0,0,640,480,0, 2662,640,480:waittimer 26033 blt 0,0,640,480,0, 2664,640,480:waittimer 26052 blt 0,0,640,480,0, 2666,640,480:waittimer 26072 blt 0,0,640,480,0, 2668,640,480:waittimer 26092 blt 0,0,640,480,0, 2670,640,480:waittimer 26111 blt 0,0,640,480,0, 2672,640,480:waittimer 26131 blt 0,0,640,480,0, 2674,640,480:waittimer 26150 blt 0,0,640,480,0, 2676,640,480:waittimer 26170 blt 0,0,640,480,0, 2678,640,480:waittimer 26189 blt 0,0,640,480,0, 2680,640,480:waittimer 26209 blt 0,0,640,480,0, 2682,640,480:waittimer 26228 blt 0,0,640,480,0, 2684,640,480:waittimer 26248 blt 0,0,640,480,0, 2686,640,480:waittimer 26268 blt 0,0,640,480,0, 2688,640,480:waittimer 26287 blt 0,0,640,480,0, 2690,640,480:waittimer 26307 blt 0,0,640,480,0, 2692,640,480:waittimer 26326 blt 0,0,640,480,0, 2694,640,480:waittimer 26346 blt 0,0,640,480,0, 2696,640,480:waittimer 26365 blt 0,0,640,480,0, 2698,640,480:waittimer 26385 blt 0,0,640,480,0, 2700,640,480:waittimer 26404 blt 0,0,640,480,0, 2702,640,480:waittimer 26424 blt 0,0,640,480,0, 2704,640,480:waittimer 26444 blt 0,0,640,480,0, 2706,640,480:waittimer 26463 blt 0,0,640,480,0, 2708,640,480:waittimer 26483 blt 0,0,640,480,0, 2710,640,480:waittimer 26502 blt 0,0,640,480,0, 2712,640,480:waittimer 26522 blt 0,0,640,480,0, 2714,640,480:waittimer 26541 blt 0,0,640,480,0, 2716,640,480:waittimer 26561 blt 0,0,640,480,0, 2718,640,480:waittimer 26580 blt 0,0,640,480,0, 2720,640,480:waittimer 26600 blt 0,0,640,480,0, 2722,640,480:waittimer 26620 blt 0,0,640,480,0, 2724,640,480:waittimer 26639 blt 0,0,640,480,0, 2726,640,480:waittimer 26659 blt 0,0,640,480,0, 2728,640,480:waittimer 26678 blt 0,0,640,480,0, 2730,640,480:waittimer 26698 blt 0,0,640,480,0, 2732,640,480:waittimer 26717 blt 0,0,640,480,0, 2734,640,480:waittimer 26737 blt 0,0,640,480,0, 2736,640,480:waittimer 26757 blt 0,0,640,480,0, 2738,640,480:waittimer 26776 blt 0,0,640,480,0, 2740,640,480:waittimer 26796 blt 0,0,640,480,0, 2742,640,480:waittimer 26815 blt 0,0,640,480,0, 2744,640,480:waittimer 26835 blt 0,0,640,480,0, 2746,640,480:waittimer 26854 blt 0,0,640,480,0, 2748,640,480:waittimer 26874 blt 0,0,640,480,0, 2750,640,480:waittimer 26893 blt 0,0,640,480,0, 2752,640,480:waittimer 26913 blt 0,0,640,480,0, 2754,640,480:waittimer 26933 blt 0,0,640,480,0, 2756,640,480:waittimer 26952 blt 0,0,640,480,0, 2758,640,480:waittimer 26972 blt 0,0,640,480,0, 2760,640,480:waittimer 26991 blt 0,0,640,480,0, 2762,640,480:waittimer 27011 blt 0,0,640,480,0, 2764,640,480:waittimer 27030 blt 0,0,640,480,0, 2766,640,480:waittimer 27050 blt 0,0,640,480,0, 2768,640,480:waittimer 27069 blt 0,0,640,480,0, 2770,640,480:waittimer 27089 blt 0,0,640,480,0, 2772,640,480:waittimer 27109 blt 0,0,640,480,0, 2774,640,480:waittimer 27128 blt 0,0,640,480,0, 2776,640,480:waittimer 27148 blt 0,0,640,480,0, 2778,640,480:waittimer 27167 blt 0,0,640,480,0, 2780,640,480:waittimer 27187 blt 0,0,640,480,0, 2782,640,480:waittimer 27206 blt 0,0,640,480,0, 2784,640,480:waittimer 27226 blt 0,0,640,480,0, 2786,640,480:waittimer 27246 blt 0,0,640,480,0, 2788,640,480:waittimer 27265 blt 0,0,640,480,0, 2790,640,480:waittimer 27285 blt 0,0,640,480,0, 2792,640,480:waittimer 27304 blt 0,0,640,480,0, 2794,640,480:waittimer 27324 blt 0,0,640,480,0, 2796,640,480:waittimer 27343 blt 0,0,640,480,0, 2798,640,480:waittimer 27363 blt 0,0,640,480,0, 2800,640,480:waittimer 27382 blt 0,0,640,480,0, 2802,640,480:waittimer 27402 blt 0,0,640,480,0, 2804,640,480:waittimer 27422 blt 0,0,640,480,0, 2806,640,480:waittimer 27441 blt 0,0,640,480,0, 2808,640,480:waittimer 27461 blt 0,0,640,480,0, 2810,640,480:waittimer 27480 blt 0,0,640,480,0, 2812,640,480:waittimer 27500 blt 0,0,640,480,0, 2814,640,480:waittimer 27519 blt 0,0,640,480,0, 2816,640,480:waittimer 27539 blt 0,0,640,480,0, 2818,640,480:waittimer 27558 blt 0,0,640,480,0, 2820,640,480:waittimer 27578 blt 0,0,640,480,0, 2822,640,480:waittimer 27598 blt 0,0,640,480,0, 2824,640,480:waittimer 27617 blt 0,0,640,480,0, 2826,640,480:waittimer 27637 blt 0,0,640,480,0, 2828,640,480:waittimer 27656 blt 0,0,640,480,0, 2830,640,480:waittimer 27676 blt 0,0,640,480,0, 2832,640,480:waittimer 27695 blt 0,0,640,480,0, 2834,640,480:waittimer 27715 blt 0,0,640,480,0, 2836,640,480:waittimer 27734 blt 0,0,640,480,0, 2838,640,480:waittimer 27754 blt 0,0,640,480,0, 2840,640,480:waittimer 27774 blt 0,0,640,480,0, 2842,640,480:waittimer 27793 blt 0,0,640,480,0, 2844,640,480:waittimer 27813 blt 0,0,640,480,0, 2846,640,480:waittimer 27832 blt 0,0,640,480,0, 2848,640,480:waittimer 27852 blt 0,0,640,480,0, 2850,640,480:waittimer 27871 blt 0,0,640,480,0, 2852,640,480:waittimer 27891 blt 0,0,640,480,0, 2854,640,480:waittimer 27911 blt 0,0,640,480,0, 2856,640,480:waittimer 27930 blt 0,0,640,480,0, 2858,640,480:waittimer 27950 blt 0,0,640,480,0, 2860,640,480:waittimer 27969 blt 0,0,640,480,0, 2862,640,480:waittimer 27989 blt 0,0,640,480,0, 2864,640,480:waittimer 28008 blt 0,0,640,480,0, 2866,640,480:waittimer 28028 blt 0,0,640,480,0, 2868,640,480:waittimer 28047 blt 0,0,640,480,0, 2870,640,480:waittimer 28067 blt 0,0,640,480,0, 2872,640,480:waittimer 28087 blt 0,0,640,480,0, 2874,640,480:waittimer 28106 blt 0,0,640,480,0, 2876,640,480:waittimer 28126 blt 0,0,640,480,0, 2878,640,480:waittimer 28145 blt 0,0,640,480,0, 2880,640,480:waittimer 28165 blt 0,0,640,480,0, 2882,640,480:waittimer 28184 blt 0,0,640,480,0, 2884,640,480:waittimer 28204 blt 0,0,640,480,0, 2886,640,480:waittimer 28223 blt 0,0,640,480,0, 2888,640,480:waittimer 28243 blt 0,0,640,480,0, 2890,640,480:waittimer 28263 blt 0,0,640,480,0, 2892,640,480:waittimer 28282 blt 0,0,640,480,0, 2894,640,480:waittimer 28302 blt 0,0,640,480,0, 2896,640,480:waittimer 28321 blt 0,0,640,480,0, 2898,640,480:waittimer 28341 blt 0,0,640,480,0, 2900,640,480:waittimer 28360 blt 0,0,640,480,0, 2902,640,480:waittimer 28380 blt 0,0,640,480,0, 2904,640,480:waittimer 28400 blt 0,0,640,480,0, 2906,640,480:waittimer 28419 blt 0,0,640,480,0, 2908,640,480:waittimer 28439 blt 0,0,640,480,0, 2910,640,480:waittimer 28458 blt 0,0,640,480,0, 2912,640,480:waittimer 28478 blt 0,0,640,480,0, 2914,640,480:waittimer 28497 blt 0,0,640,480,0, 2916,640,480:waittimer 28517 blt 0,0,640,480,0, 2918,640,480:waittimer 28536 blt 0,0,640,480,0, 2920,640,480:waittimer 28556 blt 0,0,640,480,0, 2922,640,480:waittimer 28576 blt 0,0,640,480,0, 2924,640,480:waittimer 28595 blt 0,0,640,480,0, 2926,640,480:waittimer 28615 blt 0,0,640,480,0, 2928,640,480:waittimer 28634 blt 0,0,640,480,0, 2930,640,480:waittimer 28654 blt 0,0,640,480,0, 2932,640,480:waittimer 28673 blt 0,0,640,480,0, 2934,640,480:waittimer 28693 blt 0,0,640,480,0, 2936,640,480:waittimer 28712 blt 0,0,640,480,0, 2938,640,480:waittimer 28732 blt 0,0,640,480,0, 2940,640,480:waittimer 28752 blt 0,0,640,480,0, 2942,640,480:waittimer 28771 blt 0,0,640,480,0, 2944,640,480:waittimer 28791 blt 0,0,640,480,0, 2946,640,480:waittimer 28810 blt 0,0,640,480,0, 2948,640,480:waittimer 28830 blt 0,0,640,480,0, 2950,640,480:waittimer 28849 blt 0,0,640,480,0, 2952,640,480:waittimer 28869 blt 0,0,640,480,0, 2954,640,480:waittimer 28888 blt 0,0,640,480,0, 2956,640,480:waittimer 28908 blt 0,0,640,480,0, 2958,640,480:waittimer 28928 blt 0,0,640,480,0, 2960,640,480:waittimer 28947 blt 0,0,640,480,0, 2962,640,480:waittimer 28967 blt 0,0,640,480,0, 2964,640,480:waittimer 28986 blt 0,0,640,480,0, 2966,640,480:waittimer 29006 blt 0,0,640,480,0, 2968,640,480:waittimer 29025 blt 0,0,640,480,0, 2970,640,480:waittimer 29045 blt 0,0,640,480,0, 2972,640,480:waittimer 29065 blt 0,0,640,480,0, 2974,640,480:waittimer 29084 blt 0,0,640,480,0, 2976,640,480:waittimer 29104 blt 0,0,640,480,0, 2978,640,480:waittimer 29123 blt 0,0,640,480,0, 2980,640,480:waittimer 29143 blt 0,0,640,480,0, 2982,640,480:waittimer 29162 blt 0,0,640,480,0, 2984,640,480:waittimer 29182 blt 0,0,640,480,0, 2986,640,480:waittimer 29201 blt 0,0,640,480,0, 2988,640,480:waittimer 29221 blt 0,0,640,480,0, 2990,640,480:waittimer 29241 blt 0,0,640,480,0, 2992,640,480:waittimer 29260 blt 0,0,640,480,0, 2994,640,480:waittimer 29280 blt 0,0,640,480,0, 2996,640,480:waittimer 29299 blt 0,0,640,480,0, 2998,640,480:waittimer 29319 blt 0,0,640,480,0, 3000,640,480:waittimer 29338 blt 0,0,640,480,0, 3002,640,480:waittimer 29358 blt 0,0,640,480,0, 3004,640,480:waittimer 29377 blt 0,0,640,480,0, 3006,640,480:waittimer 29397 blt 0,0,640,480,0, 3008,640,480:waittimer 29417 blt 0,0,640,480,0, 3010,640,480:waittimer 29436 blt 0,0,640,480,0, 3012,640,480:waittimer 29456 blt 0,0,640,480,0, 3014,640,480:waittimer 29475 blt 0,0,640,480,0, 3016,640,480:waittimer 29495 blt 0,0,640,480,0, 3018,640,480:waittimer 29514 blt 0,0,640,480,0, 3020,640,480:waittimer 29534 blt 0,0,640,480,0, 3022,640,480:waittimer 29553 blt 0,0,640,480,0, 3024,640,480:waittimer 29573 blt 0,0,640,480,0, 3026,640,480:waittimer 29593 blt 0,0,640,480,0, 3028,640,480:waittimer 29612 blt 0,0,640,480,0, 3030,640,480:waittimer 29632 blt 0,0,640,480,0, 3032,640,480:waittimer 29651 blt 0,0,640,480,0, 3034,640,480:waittimer 29671 blt 0,0,640,480,0, 3036,640,480:waittimer 29690 blt 0,0,640,480,0, 3038,640,480:waittimer 29710 blt 0,0,640,480,0, 3040,640,480:waittimer 29730 blt 0,0,640,480,0, 3042,640,480:waittimer 29749 blt 0,0,640,480,0, 3044,640,480:waittimer 29769 blt 0,0,640,480,0, 3046,640,480:waittimer 29788 blt 0,0,640,480,0, 3048,640,480:waittimer 29808 blt 0,0,640,480,0, 3050,640,480:waittimer 29827 blt 0,0,640,480,0, 3052,640,480:waittimer 29847 blt 0,0,640,480,0, 3054,640,480:waittimer 29866 blt 0,0,640,480,0, 3056,640,480:waittimer 29886 blt 0,0,640,480,0, 3058,640,480:waittimer 29906 blt 0,0,640,480,0, 3060,640,480:waittimer 29925 blt 0,0,640,480,0, 3062,640,480:waittimer 29945 blt 0,0,640,480,0, 3064,640,480:waittimer 29964 blt 0,0,640,480,0, 3066,640,480:waittimer 29984 blt 0,0,640,480,0, 3068,640,480:waittimer 30003 blt 0,0,640,480,0, 3070,640,480:waittimer 30023 blt 0,0,640,480,0, 3072,640,480:waittimer 30042 blt 0,0,640,480,0, 3074,640,480:waittimer 30062 blt 0,0,640,480,0, 3076,640,480:waittimer 30082 blt 0,0,640,480,0, 3078,640,480:waittimer 30101 blt 0,0,640,480,0, 3080,640,480:waittimer 30121 blt 0,0,640,480,0, 3082,640,480:waittimer 30140 blt 0,0,640,480,0, 3084,640,480:waittimer 30160 blt 0,0,640,480,0, 3086,640,480:waittimer 30179 blt 0,0,640,480,0, 3088,640,480:waittimer 30199 blt 0,0,640,480,0, 3090,640,480:waittimer 30219 blt 0,0,640,480,0, 3092,640,480:waittimer 30238 blt 0,0,640,480,0, 3094,640,480:waittimer 30258 blt 0,0,640,480,0, 3096,640,480:waittimer 30277 blt 0,0,640,480,0, 3098,640,480:waittimer 30297 blt 0,0,640,480,0, 3100,640,480:waittimer 30316 blt 0,0,640,480,0, 3102,640,480:waittimer 30336 blt 0,0,640,480,0, 3104,640,480:waittimer 30355 blt 0,0,640,480,0, 3106,640,480:waittimer 30375 blt 0,0,640,480,0, 3108,640,480:waittimer 30395 blt 0,0,640,480,0, 3110,640,480:waittimer 30414 blt 0,0,640,480,0, 3112,640,480:waittimer 30434 blt 0,0,640,480,0, 3114,640,480:waittimer 30453 blt 0,0,640,480,0, 3116,640,480:waittimer 30473 blt 0,0,640,480,0, 3118,640,480:waittimer 30492 blt 0,0,640,480,0, 3120,640,480:waittimer 30512 blt 0,0,640,480,0, 3122,640,480:waittimer 30531 blt 0,0,640,480,0, 3124,640,480:waittimer 30551 blt 0,0,640,480,0, 3126,640,480:waittimer 30571 blt 0,0,640,480,0, 3128,640,480:waittimer 30590 blt 0,0,640,480,0, 3130,640,480:waittimer 30610 blt 0,0,640,480,0, 3132,640,480:waittimer 30629 blt 0,0,640,480,0, 3134,640,480:waittimer 30649 blt 0,0,640,480,0, 3136,640,480:waittimer 30668 blt 0,0,640,480,0, 3138,640,480:waittimer 30688 blt 0,0,640,480,0, 3140,640,480:waittimer 30707 blt 0,0,640,480,0, 3142,640,480:waittimer 30727 blt 0,0,640,480,0, 3144,640,480:waittimer 30747 blt 0,0,640,480,0, 3146,640,480:waittimer 30766 blt 0,0,640,480,0, 3148,640,480:waittimer 30786 blt 0,0,640,480,0, 3150,640,480:waittimer 30805 blt 0,0,640,480,0, 3152,640,480:waittimer 30825 blt 0,0,640,480,0, 3154,640,480:waittimer 30844 blt 0,0,640,480,0, 3156,640,480:waittimer 30864 blt 0,0,640,480,0, 3158,640,480:waittimer 30884 blt 0,0,640,480,0, 3160,640,480:waittimer 30903 blt 0,0,640,480,0, 3162,640,480:waittimer 30923 blt 0,0,640,480,0, 3164,640,480:waittimer 30942 blt 0,0,640,480,0, 3166,640,480:waittimer 30962 blt 0,0,640,480,0, 3168,640,480:waittimer 30981 blt 0,0,640,480,0, 3170,640,480:waittimer 31001 blt 0,0,640,480,0, 3172,640,480:waittimer 31020 blt 0,0,640,480,0, 3174,640,480:waittimer 31040 blt 0,0,640,480,0, 3176,640,480:waittimer 31060 blt 0,0,640,480,0, 3178,640,480:waittimer 31079 blt 0,0,640,480,0, 3180,640,480:waittimer 31099 blt 0,0,640,480,0, 3182,640,480:waittimer 31118 blt 0,0,640,480,0, 3184,640,480:waittimer 31138 blt 0,0,640,480,0, 3186,640,480:waittimer 31157 blt 0,0,640,480,0, 3188,640,480:waittimer 31177 blt 0,0,640,480,0, 3190,640,480:waittimer 31196 blt 0,0,640,480,0, 3192,640,480:waittimer 31216 blt 0,0,640,480,0, 3194,640,480:waittimer 31236 blt 0,0,640,480,0, 3196,640,480:waittimer 31255 blt 0,0,640,480,0, 3198,640,480:waittimer 31275 blt 0,0,640,480,0, 3200,640,480:waittimer 31294 blt 0,0,640,480,0, 3202,640,480:waittimer 31314 blt 0,0,640,480,0, 3204,640,480:waittimer 31333 blt 0,0,640,480,0, 3206,640,480:waittimer 31353 blt 0,0,640,480,0, 3208,640,480:waittimer 31373 blt 0,0,640,480,0, 3210,640,480:waittimer 31392 blt 0,0,640,480,0, 3212,640,480:waittimer 31412 blt 0,0,640,480,0, 3214,640,480:waittimer 31431 blt 0,0,640,480,0, 3216,640,480:waittimer 31451 blt 0,0,640,480,0, 3218,640,480:waittimer 31470 blt 0,0,640,480,0, 3220,640,480:waittimer 31490 blt 0,0,640,480,0, 3222,640,480:waittimer 31509 blt 0,0,640,480,0, 3224,640,480:waittimer 31529 blt 0,0,640,480,0, 3226,640,480:waittimer 31549 blt 0,0,640,480,0, 3228,640,480:waittimer 31568 blt 0,0,640,480,0, 3230,640,480:waittimer 31588 blt 0,0,640,480,0, 3232,640,480:waittimer 31607 blt 0,0,640,480,0, 3234,640,480:waittimer 31627 blt 0,0,640,480,0, 3236,640,480:waittimer 31646 blt 0,0,640,480,0, 3238,640,480:waittimer 31666 blt 0,0,640,480,0, 3240,640,480:waittimer 31685 blt 0,0,640,480,0, 3242,640,480:waittimer 31705 blt 0,0,640,480,0, 3244,640,480:waittimer 31725 blt 0,0,640,480,0, 3246,640,480:waittimer 31744 blt 0,0,640,480,0, 3248,640,480:waittimer 31764 blt 0,0,640,480,0, 3250,640,480:waittimer 31783 blt 0,0,640,480,0, 3252,640,480:waittimer 31803 blt 0,0,640,480,0, 3254,640,480:waittimer 31822 blt 0,0,640,480,0, 3256,640,480:waittimer 31842 blt 0,0,640,480,0, 3258,640,480:waittimer 31861 blt 0,0,640,480,0, 3260,640,480:waittimer 31881 blt 0,0,640,480,0, 3262,640,480:waittimer 31901 blt 0,0,640,480,0, 3264,640,480:waittimer 31920 blt 0,0,640,480,0, 3266,640,480:waittimer 31940 blt 0,0,640,480,0, 3268,640,480:waittimer 31959 blt 0,0,640,480,0, 3270,640,480:waittimer 31979 blt 0,0,640,480,0, 3272,640,480:waittimer 31998 blt 0,0,640,480,0, 3274,640,480:waittimer 32018 blt 0,0,640,480,0, 3276,640,480:waittimer 32038 blt 0,0,640,480,0, 3278,640,480:waittimer 32057 blt 0,0,640,480,0, 3280,640,480:waittimer 32077 blt 0,0,640,480,0, 3282,640,480:waittimer 32096 blt 0,0,640,480,0, 3284,640,480:waittimer 32116 blt 0,0,640,480,0, 3286,640,480:waittimer 32135 blt 0,0,640,480,0, 3288,640,480:waittimer 32155 blt 0,0,640,480,0, 3290,640,480:waittimer 32174 blt 0,0,640,480,0, 3292,640,480:waittimer 32194 blt 0,0,640,480,0, 3294,640,480:waittimer 32214 blt 0,0,640,480,0, 3296,640,480:waittimer 32233 blt 0,0,640,480,0, 3298,640,480:waittimer 32253 blt 0,0,640,480,0, 3300,640,480:waittimer 32272 blt 0,0,640,480,0, 3302,640,480:waittimer 32292 blt 0,0,640,480,0, 3304,640,480:waittimer 32311 blt 0,0,640,480,0, 3306,640,480:waittimer 32331 blt 0,0,640,480,0, 3308,640,480:waittimer 32350 blt 0,0,640,480,0, 3310,640,480:waittimer 32370 blt 0,0,640,480,0, 3312,640,480:waittimer 32390 blt 0,0,640,480,0, 3314,640,480:waittimer 32409 blt 0,0,640,480,0, 3316,640,480:waittimer 32429 blt 0,0,640,480,0, 3318,640,480:waittimer 32448 blt 0,0,640,480,0, 3320,640,480:waittimer 32468 blt 0,0,640,480,0, 3322,640,480:waittimer 32487 blt 0,0,640,480,0, 3324,640,480:waittimer 32507 blt 0,0,640,480,0, 3326,640,480:waittimer 32526 blt 0,0,640,480,0, 3328,640,480:waittimer 32546 blt 0,0,640,480,0, 3330,640,480:waittimer 32566 blt 0,0,640,480,0, 3332,640,480:waittimer 32585 blt 0,0,640,480,0, 3334,640,480:waittimer 32605 blt 0,0,640,480,0, 3336,640,480:waittimer 32624 blt 0,0,640,480,0, 3338,640,480:waittimer 32644 blt 0,0,640,480,0, 3340,640,480:waittimer 32663 blt 0,0,640,480,0, 3342,640,480:waittimer 32683 blt 0,0,640,480,0, 3344,640,480:waittimer 32703 blt 0,0,640,480,0, 3346,640,480:waittimer 32722 blt 0,0,640,480,0, 3348,640,480:waittimer 32742 blt 0,0,640,480,0, 3350,640,480:waittimer 32761 blt 0,0,640,480,0, 3352,640,480:waittimer 32781 blt 0,0,640,480,0, 3354,640,480:waittimer 32800 blt 0,0,640,480,0, 3356,640,480:waittimer 32820 blt 0,0,640,480,0, 3358,640,480:waittimer 32839 blt 0,0,640,480,0, 3360,640,480:waittimer 32859 blt 0,0,640,480,0, 3362,640,480:waittimer 32879 blt 0,0,640,480,0, 3364,640,480:waittimer 32898 blt 0,0,640,480,0, 3366,640,480:waittimer 32918 blt 0,0,640,480,0, 3368,640,480:waittimer 32937 blt 0,0,640,480,0, 3370,640,480:waittimer 32957 blt 0,0,640,480,0, 3372,640,480:waittimer 32976 blt 0,0,640,480,0, 3374,640,480:waittimer 32996 blt 0,0,640,480,0, 3376,640,480:waittimer 33015 blt 0,0,640,480,0, 3378,640,480:waittimer 33035 blt 0,0,640,480,0, 3380,640,480:waittimer 33055 blt 0,0,640,480,0, 3382,640,480:waittimer 33074 blt 0,0,640,480,0, 3384,640,480:waittimer 33094 blt 0,0,640,480,0, 3386,640,480:waittimer 33113 blt 0,0,640,480,0, 3388,640,480:waittimer 33133 blt 0,0,640,480,0, 3390,640,480:waittimer 33152 blt 0,0,640,480,0, 3392,640,480:waittimer 33172 blt 0,0,640,480,0, 3394,640,480:waittimer 33192 blt 0,0,640,480,0, 3396,640,480:waittimer 33211 blt 0,0,640,480,0, 3398,640,480:waittimer 33231 blt 0,0,640,480,0, 3400,640,480:waittimer 33250 blt 0,0,640,480,0, 3402,640,480:waittimer 33270 blt 0,0,640,480,0, 3404,640,480:waittimer 33289 blt 0,0,640,480,0, 3406,640,480:waittimer 33309 blt 0,0,640,480,0, 3408,640,480:waittimer 33328 blt 0,0,640,480,0, 3410,640,480:waittimer 33348 blt 0,0,640,480,0, 3412,640,480:waittimer 33368 blt 0,0,640,480,0, 3414,640,480:waittimer 33387 blt 0,0,640,480,0, 3416,640,480:waittimer 33407 blt 0,0,640,480,0, 3418,640,480:waittimer 33426 blt 0,0,640,480,0, 3420,640,480:waittimer 33446 blt 0,0,640,480,0, 3422,640,480:waittimer 33465 blt 0,0,640,480,0, 3424,640,480:waittimer 33485 blt 0,0,640,480,0, 3426,640,480:waittimer 33504 blt 0,0,640,480,0, 3428,640,480:waittimer 33524 blt 0,0,640,480,0, 3430,640,480:waittimer 33544 blt 0,0,640,480,0, 3432,640,480:waittimer 33563 blt 0,0,640,480,0, 3434,640,480:waittimer 33583 blt 0,0,640,480,0, 3436,640,480:waittimer 33602 blt 0,0,640,480,0, 3438,640,480:waittimer 33622 blt 0,0,640,480,0, 3440,640,480:waittimer 33641 blt 0,0,640,480,0, 3442,640,480:waittimer 33661 blt 0,0,640,480,0, 3444,640,480:waittimer 33680 blt 0,0,640,480,0, 3446,640,480:waittimer 33700 blt 0,0,640,480,0, 3448,640,480:waittimer 33720 blt 0,0,640,480,0, 3450,640,480:waittimer 33739 blt 0,0,640,480,0, 3452,640,480:waittimer 33759 blt 0,0,640,480,0, 3454,640,480:waittimer 33778 blt 0,0,640,480,0, 3456,640,480:waittimer 33798 blt 0,0,640,480,0, 3458,640,480:waittimer 33817 blt 0,0,640,480,0, 3460,640,480:waittimer 33837 blt 0,0,640,480,0, 3462,640,480:waittimer 33857 blt 0,0,640,480,0, 3464,640,480:waittimer 33876 blt 0,0,640,480,0, 3466,640,480:waittimer 33896 blt 0,0,640,480,0, 3468,640,480:waittimer 33915 blt 0,0,640,480,0, 3470,640,480:waittimer 33935 blt 0,0,640,480,0, 3472,640,480:waittimer 33954 blt 0,0,640,480,0, 3474,640,480:waittimer 33974 blt 0,0,640,480,0, 3476,640,480:waittimer 33993 blt 0,0,640,480,0, 3478,640,480:waittimer 34013 blt 0,0,640,480,0, 3480,640,480:waittimer 34033 blt 0,0,640,480,0, 3482,640,480:waittimer 34052 blt 0,0,640,480,0, 3484,640,480:waittimer 34072 blt 0,0,640,480,0, 3486,640,480:waittimer 34091 blt 0,0,640,480,0, 3488,640,480:waittimer 34111 blt 0,0,640,480,0, 3490,640,480:waittimer 34130 blt 0,0,640,480,0, 3492,640,480:waittimer 34150 blt 0,0,640,480,0, 3494,640,480:waittimer 34169 blt 0,0,640,480,0, 3496,640,480:waittimer 34189 blt 0,0,640,480,0, 3498,640,480:waittimer 34209 blt 0,0,640,480,0, 3500,640,480:waittimer 34228 blt 0,0,640,480,0, 3502,640,480:waittimer 34248 blt 0,0,640,480,0, 3504,640,480:waittimer 34267 blt 0,0,640,480,0, 3506,640,480:waittimer 34287 blt 0,0,640,480,0, 3508,640,480:waittimer 34306 blt 0,0,640,480,0, 3510,640,480:waittimer 34326 blt 0,0,640,480,0, 3512,640,480:waittimer 34346 blt 0,0,640,480,0, 3514,640,480:waittimer 34365 blt 0,0,640,480,0, 3516,640,480:waittimer 34385 blt 0,0,640,480,0, 3518,640,480:waittimer 34404 blt 0,0,640,480,0, 3520,640,480:waittimer 34424 blt 0,0,640,480,0, 3522,640,480:waittimer 34443 blt 0,0,640,480,0, 3524,640,480:waittimer 34463 blt 0,0,640,480,0, 3526,640,480:waittimer 34482 blt 0,0,640,480,0, 3528,640,480:waittimer 34502 blt 0,0,640,480,0, 3530,640,480:waittimer 34522 blt 0,0,640,480,0, 3532,640,480:waittimer 34541 blt 0,0,640,480,0, 3534,640,480:waittimer 34561 blt 0,0,640,480,0, 3536,640,480:waittimer 34580 blt 0,0,640,480,0, 3538,640,480:waittimer 34600 blt 0,0,640,480,0, 3540,640,480:waittimer 34619 blt 0,0,640,480,0, 3542,640,480:waittimer 34639 blt 0,0,640,480,0, 3544,640,480:waittimer 34658 blt 0,0,640,480,0, 3546,640,480:waittimer 34678 blt 0,0,640,480,0, 3548,640,480:waittimer 34698 blt 0,0,640,480,0, 3550,640,480:waittimer 34717 blt 0,0,640,480,0, 3552,640,480:waittimer 34737 blt 0,0,640,480,0, 3554,640,480:waittimer 34756 blt 0,0,640,480,0, 3556,640,480:waittimer 34776 blt 0,0,640,480,0, 3558,640,480:waittimer 34795 blt 0,0,640,480,0, 3560,640,480:waittimer 34815 blt 0,0,640,480,0, 3562,640,480:waittimer 34834 blt 0,0,640,480,0, 3564,640,480:waittimer 34854 blt 0,0,640,480,0, 3566,640,480:waittimer 34874 blt 0,0,640,480,0, 3568,640,480:waittimer 34893 blt 0,0,640,480,0, 3570,640,480:waittimer 34913 blt 0,0,640,480,0, 3572,640,480:waittimer 34932 blt 0,0,640,480,0, 3574,640,480:waittimer 34952 blt 0,0,640,480,0, 3576,640,480:waittimer 34971 blt 0,0,640,480,0, 3578,640,480:waittimer 34991 blt 0,0,640,480,0, 3580,640,480:waittimer 35011 blt 0,0,640,480,0, 3582,640,480:waittimer 35030 blt 0,0,640,480,0, 3584,640,480:waittimer 35050 blt 0,0,640,480,0, 3586,640,480:waittimer 35069 blt 0,0,640,480,0, 3588,640,480:waittimer 35089 blt 0,0,640,480,0, 3590,640,480:waittimer 35108 blt 0,0,640,480,0, 3592,640,480:waittimer 35128 blt 0,0,640,480,0, 3594,640,480:waittimer 35147 blt 0,0,640,480,0, 3596,640,480:waittimer 35167 blt 0,0,640,480,0, 3598,640,480:waittimer 35187 blt 0,0,640,480,0, 3600,640,480:waittimer 35206 blt 0,0,640,480,0, 3602,640,480:waittimer 35226 blt 0,0,640,480,0, 3604,640,480:waittimer 35245 blt 0,0,640,480,0, 3606,640,480:waittimer 35265 blt 0,0,640,480,0, 3608,640,480:waittimer 35284 blt 0,0,640,480,0, 3610,640,480:waittimer 35304 blt 0,0,640,480,0, 3612,640,480:waittimer 35323 blt 0,0,640,480,0, 3614,640,480:waittimer 35343 blt 0,0,640,480,0, 3616,640,480:waittimer 35363 blt 0,0,640,480,0, 3618,640,480:waittimer 35382 blt 0,0,640,480,0, 3620,640,480:waittimer 35402 blt 0,0,640,480,0, 3622,640,480:waittimer 35421 blt 0,0,640,480,0, 3624,640,480:waittimer 35441 blt 0,0,640,480,0, 3626,640,480:waittimer 35460 blt 0,0,640,480,0, 3628,640,480:waittimer 35480 blt 0,0,640,480,0, 3630,640,480:waittimer 35500 blt 0,0,640,480,0, 3632,640,480:waittimer 35519 blt 0,0,640,480,0, 3634,640,480:waittimer 35539 blt 0,0,640,480,0, 3636,640,480:waittimer 35558 blt 0,0,640,480,0, 3638,640,480:waittimer 35578 blt 0,0,640,480,0, 3640,640,480:waittimer 35597 blt 0,0,640,480,0, 3642,640,480:waittimer 35617 blt 0,0,640,480,0, 3644,640,480:waittimer 35636 blt 0,0,640,480,0, 3646,640,480:waittimer 35656 blt 0,0,640,480,0, 3648,640,480:waittimer 35676 blt 0,0,640,480,0, 3650,640,480:waittimer 35695 blt 0,0,640,480,0, 3652,640,480:waittimer 35715 blt 0,0,640,480,0, 3654,640,480:waittimer 35734 blt 0,0,640,480,0, 3656,640,480:waittimer 35754 blt 0,0,640,480,0, 3658,640,480:waittimer 35773 blt 0,0,640,480,0, 3660,640,480:waittimer 35793 blt 0,0,640,480,0, 3662,640,480:waittimer 35812 blt 0,0,640,480,0, 3664,640,480:waittimer 35832 blt 0,0,640,480,0, 3666,640,480:waittimer 35852 blt 0,0,640,480,0, 3668,640,480:waittimer 35871 blt 0,0,640,480,0, 3670,640,480:waittimer 35891 blt 0,0,640,480,0, 3672,640,480:waittimer 35910 blt 0,0,640,480,0, 3674,640,480:waittimer 35930 blt 0,0,640,480,0, 3676,640,480:waittimer 35949 blt 0,0,640,480,0, 3678,640,480:waittimer 35969 blt 0,0,640,480,0, 3680,640,480:waittimer 35988 blt 0,0,640,480,0, 3682,640,480:waittimer 36008 blt 0,0,640,480,0, 3684,640,480:waittimer 36028 blt 0,0,640,480,0, 3686,640,480:waittimer 36047 blt 0,0,640,480,0, 3688,640,480:waittimer 36067 blt 0,0,640,480,0, 3690,640,480:waittimer 36086 blt 0,0,640,480,0, 3692,640,480:waittimer 36106 blt 0,0,640,480,0, 3694,640,480:waittimer 36125 blt 0,0,640,480,0, 3696,640,480:waittimer 36145 blt 0,0,640,480,0, 3698,640,480:waittimer 36165 blt 0,0,640,480,0, 3700,640,480:waittimer 36184 blt 0,0,640,480,0, 3702,640,480:waittimer 36204 blt 0,0,640,480,0, 3704,640,480:waittimer 36223 blt 0,0,640,480,0, 3706,640,480:waittimer 36243 blt 0,0,640,480,0, 3708,640,480:waittimer 36262 blt 0,0,640,480,0, 3710,640,480:waittimer 36282 blt 0,0,640,480,0, 3712,640,480:waittimer 36301 blt 0,0,640,480,0, 3714,640,480:waittimer 36321 blt 0,0,640,480,0, 3716,640,480:waittimer 36341 blt 0,0,640,480,0, 3718,640,480:waittimer 36360 blt 0,0,640,480,0, 3720,640,480:waittimer 36380 blt 0,0,640,480,0, 3722,640,480:waittimer 36399 blt 0,0,640,480,0, 3724,640,480:waittimer 36419 blt 0,0,640,480,0, 3726,640,480:waittimer 36438 blt 0,0,640,480,0, 3728,640,480:waittimer 36458 blt 0,0,640,480,0, 3730,640,480:waittimer 36477 blt 0,0,640,480,0, 3732,640,480:waittimer 36497 blt 0,0,640,480,0, 3734,640,480:waittimer 36517 blt 0,0,640,480,0, 3736,640,480:waittimer 36536 blt 0,0,640,480,0, 3738,640,480:waittimer 36556 blt 0,0,640,480,0, 3740,640,480:waittimer 36575 blt 0,0,640,480,0, 3742,640,480:waittimer 36595 blt 0,0,640,480,0, 3744,640,480:waittimer 36614 blt 0,0,640,480,0, 3746,640,480:waittimer 36634 blt 0,0,640,480,0, 3748,640,480:waittimer 36653 blt 0,0,640,480,0, 3750,640,480:waittimer 36673 blt 0,0,640,480,0, 3752,640,480:waittimer 36693 blt 0,0,640,480,0, 3754,640,480:waittimer 36712 blt 0,0,640,480,0, 3756,640,480:waittimer 36732 blt 0,0,640,480,0, 3758,640,480:waittimer 36751 blt 0,0,640,480,0, 3760,640,480:waittimer 36771 blt 0,0,640,480,0, 3762,640,480:waittimer 36790 blt 0,0,640,480,0, 3764,640,480:waittimer 36810 blt 0,0,640,480,0, 3766,640,480:waittimer 36830 blt 0,0,640,480,0, 3768,640,480:waittimer 36849 blt 0,0,640,480,0, 3770,640,480:waittimer 36869 blt 0,0,640,480,0, 3772,640,480:waittimer 36888 blt 0,0,640,480,0, 3774,640,480:waittimer 36908 blt 0,0,640,480,0, 3776,640,480:waittimer 36927 blt 0,0,640,480,0, 3778,640,480:waittimer 36947 blt 0,0,640,480,0, 3780,640,480:waittimer 36966 blt 0,0,640,480,0, 3782,640,480:waittimer 36986 blt 0,0,640,480,0, 3784,640,480:waittimer 37006 blt 0,0,640,480,0, 3786,640,480:waittimer 37025 blt 0,0,640,480,0, 3788,640,480:waittimer 37045 blt 0,0,640,480,0, 3790,640,480:waittimer 37064 blt 0,0,640,480,0, 3792,640,480:waittimer 37084 blt 0,0,640,480,0, 3794,640,480:waittimer 37103 blt 0,0,640,480,0, 3796,640,480:waittimer 37123 blt 0,0,640,480,0, 3798,640,480:waittimer 37142 blt 0,0,640,480,0, 3800,640,480:waittimer 37162 blt 0,0,640,480,0, 3802,640,480:waittimer 37182 blt 0,0,640,480,0, 3804,640,480:waittimer 37201 blt 0,0,640,480,0, 3806,640,480:waittimer 37221 blt 0,0,640,480,0, 3808,640,480:waittimer 37240 blt 0,0,640,480,0, 3810,640,480:waittimer 37260 blt 0,0,640,480,0, 3812,640,480:waittimer 37279 blt 0,0,640,480,0, 3814,640,480:waittimer 37299 blt 0,0,640,480,0, 3816,640,480:waittimer 37319 blt 0,0,640,480,0, 3818,640,480:waittimer 37338 blt 0,0,640,480,0, 3820,640,480:waittimer 37358 blt 0,0,640,480,0, 3822,640,480:waittimer 37377 blt 0,0,640,480,0, 3824,640,480:waittimer 37397 blt 0,0,640,480,0, 3826,640,480:waittimer 37416 blt 0,0,640,480,0, 3828,640,480:waittimer 37436 blt 0,0,640,480,0, 3830,640,480:waittimer 37455 blt 0,0,640,480,0, 3832,640,480:waittimer 37475 blt 0,0,640,480,0, 3834,640,480:waittimer 37495 blt 0,0,640,480,0, 3836,640,480:waittimer 37514 blt 0,0,640,480,0, 3838,640,480:waittimer 37534 blt 0,0,640,480,0, 3840,640,480:waittimer 37553 blt 0,0,640,480,0, 3842,640,480:waittimer 37573 blt 0,0,640,480,0, 3844,640,480:waittimer 37592 blt 0,0,640,480,0, 3846,640,480:waittimer 37612 blt 0,0,640,480,0, 3848,640,480:waittimer 37631 blt 0,0,640,480,0, 3850,640,480:waittimer 37651 blt 0,0,640,480,0, 3852,640,480:waittimer 37671 blt 0,0,640,480,0, 3854,640,480:waittimer 37690 blt 0,0,640,480,0, 3856,640,480:waittimer 37710 blt 0,0,640,480,0, 3858,640,480:waittimer 37729 blt 0,0,640,480,0, 3860,640,480:waittimer 37749 blt 0,0,640,480,0, 3862,640,480:waittimer 37768 blt 0,0,640,480,0, 3864,640,480:waittimer 37788 blt 0,0,640,480,0, 3866,640,480:waittimer 37807 blt 0,0,640,480,0, 3868,640,480:waittimer 37827 blt 0,0,640,480,0, 3870,640,480:waittimer 37847 blt 0,0,640,480,0, 3872,640,480:waittimer 37866 blt 0,0,640,480,0, 3874,640,480:waittimer 37886 blt 0,0,640,480,0, 3876,640,480:waittimer 37905 blt 0,0,640,480,0, 3878,640,480:waittimer 37925 blt 0,0,640,480,0, 3880,640,480:waittimer 37944 blt 0,0,640,480,0, 3882,640,480:waittimer 37964 blt 0,0,640,480,0, 3884,640,480:waittimer 37984 blt 0,0,640,480,0, 3886,640,480:waittimer 38003 blt 0,0,640,480,0, 3888,640,480:waittimer 38023 blt 0,0,640,480,0, 3890,640,480:waittimer 38042 blt 0,0,640,480,0, 3892,640,480:waittimer 38062 blt 0,0,640,480,0, 3894,640,480:waittimer 38081 blt 0,0,640,480,0, 3896,640,480:waittimer 38101 blt 0,0,640,480,0, 3898,640,480:waittimer 38120 blt 0,0,640,480,0, 3900,640,480:waittimer 38140 blt 0,0,640,480,0, 3902,640,480:waittimer 38160 blt 0,0,640,480,0, 3904,640,480:waittimer 38179 blt 0,0,640,480,0, 3906,640,480:waittimer 38199 blt 0,0,640,480,0, 3908,640,480:waittimer 38218 blt 0,0,640,480,0, 3910,640,480:waittimer 38238 blt 0,0,640,480,0, 3912,640,480:waittimer 38257 blt 0,0,640,480,0, 3914,640,480:waittimer 38277 blt 0,0,640,480,0, 3916,640,480:waittimer 38296 blt 0,0,640,480,0, 3918,640,480:waittimer 38316 blt 0,0,640,480,0, 3920,640,480:waittimer 38336 blt 0,0,640,480,0, 3922,640,480:waittimer 38355 blt 0,0,640,480,0, 3924,640,480:waittimer 38375 blt 0,0,640,480,0, 3926,640,480:waittimer 38394 blt 0,0,640,480,0, 3928,640,480:waittimer 38414 blt 0,0,640,480,0, 3930,640,480:waittimer 38433 blt 0,0,640,480,0, 3932,640,480:waittimer 38453 blt 0,0,640,480,0, 3934,640,480:waittimer 38473 blt 0,0,640,480,0, 3936,640,480:waittimer 38492 blt 0,0,640,480,0, 3938,640,480:waittimer 38512 blt 0,0,640,480,0, 3940,640,480:waittimer 38531 blt 0,0,640,480,0, 3942,640,480:waittimer 38551 blt 0,0,640,480,0, 3944,640,480:waittimer 38570 blt 0,0,640,480,0, 3946,640,480:waittimer 38590 blt 0,0,640,480,0, 3948,640,480:waittimer 38609 blt 0,0,640,480,0, 3950,640,480:waittimer 38629 blt 0,0,640,480,0, 3952,640,480:waittimer 38649 blt 0,0,640,480,0, 3954,640,480:waittimer 38668 blt 0,0,640,480,0, 3956,640,480:waittimer 38688 blt 0,0,640,480,0, 3958,640,480:waittimer 38707 blt 0,0,640,480,0, 3960,640,480:waittimer 38727 blt 0,0,640,480,0, 3962,640,480:waittimer 38746 blt 0,0,640,480,0, 3964,640,480:waittimer 38766 blt 0,0,640,480,0, 3966,640,480:waittimer 38785 blt 0,0,640,480,0, 3968,640,480:waittimer 38805 blt 0,0,640,480,0, 3970,640,480:waittimer 38825 blt 0,0,640,480,0, 3972,640,480:waittimer 38844 blt 0,0,640,480,0, 3974,640,480:waittimer 38864 blt 0,0,640,480,0, 3976,640,480:waittimer 38883 blt 0,0,640,480,0, 3978,640,480:waittimer 38903 blt 0,0,640,480,0, 3980,640,480:waittimer 38922 blt 0,0,640,480,0, 3982,640,480:waittimer 38942 blt 0,0,640,480,0, 3984,640,480:waittimer 38961 blt 0,0,640,480,0, 3986,640,480:waittimer 38981 blt 0,0,640,480,0, 3988,640,480:waittimer 39001 blt 0,0,640,480,0, 3990,640,480:waittimer 39020 blt 0,0,640,480,0, 3992,640,480:waittimer 39040 blt 0,0,640,480,0, 3994,640,480:waittimer 39059 blt 0,0,640,480,0, 3996,640,480:waittimer 39079 blt 0,0,640,480,0, 3998,640,480:waittimer 39098 blt 0,0,640,480,0, 4000,640,480:waittimer 39118 blt 0,0,640,480,0, 4002,640,480:waittimer 39138 blt 0,0,640,480,0, 4004,640,480:waittimer 39157 blt 0,0,640,480,0, 4006,640,480:waittimer 39177 blt 0,0,640,480,0, 4008,640,480:waittimer 39196 blt 0,0,640,480,0, 4010,640,480:waittimer 39216 blt 0,0,640,480,0, 4012,640,480:waittimer 39235 blt 0,0,640,480,0, 4014,640,480:waittimer 39255 blt 0,0,640,480,0, 4016,640,480:waittimer 39274 blt 0,0,640,480,0, 4018,640,480:waittimer 39294 blt 0,0,640,480,0, 4020,640,480:waittimer 39314 blt 0,0,640,480,0, 4022,640,480:waittimer 39333 blt 0,0,640,480,0, 4024,640,480:waittimer 39353 blt 0,0,640,480,0, 4026,640,480:waittimer 39372 blt 0,0,640,480,0, 4028,640,480:waittimer 39392 blt 0,0,640,480,0, 4030,640,480:waittimer 39411 blt 0,0,640,480,0, 4032,640,480:waittimer 39431 blt 0,0,640,480,0, 4034,640,480:waittimer 39450 blt 0,0,640,480,0, 4036,640,480:waittimer 39470 blt 0,0,640,480,0, 4038,640,480:waittimer 39490 blt 0,0,640,480,0, 4040,640,480:waittimer 39509 blt 0,0,640,480,0, 4042,640,480:waittimer 39529 blt 0,0,640,480,0, 4044,640,480:waittimer 39548 blt 0,0,640,480,0, 4046,640,480:waittimer 39568 blt 0,0,640,480,0, 4048,640,480:waittimer 39587 blt 0,0,640,480,0, 4050,640,480:waittimer 39607 blt 0,0,640,480,0, 4052,640,480:waittimer 39626 blt 0,0,640,480,0, 4054,640,480:waittimer 39646 blt 0,0,640,480,0, 4056,640,480:waittimer 39666 blt 0,0,640,480,0, 4058,640,480:waittimer 39685 blt 0,0,640,480,0, 4060,640,480:waittimer 39705 blt 0,0,640,480,0, 4062,640,480:waittimer 39724 blt 0,0,640,480,0, 4064,640,480:waittimer 39744 blt 0,0,640,480,0, 4066,640,480:waittimer 39763 blt 0,0,640,480,0, 4068,640,480:waittimer 39783 blt 0,0,640,480,0, 4070,640,480:waittimer 39803 blt 0,0,640,480,0, 4072,640,480:waittimer 39822 blt 0,0,640,480,0, 4074,640,480:waittimer 39842 blt 0,0,640,480,0, 4076,640,480:waittimer 39861 blt 0,0,640,480,0, 4078,640,480:waittimer 39881 blt 0,0,640,480,0, 4080,640,480:waittimer 39900 blt 0,0,640,480,0, 4082,640,480:waittimer 39920 blt 0,0,640,480,0, 4084,640,480:waittimer 39939 blt 0,0,640,480,0, 4086,640,480:waittimer 39959 blt 0,0,640,480,0, 4088,640,480:waittimer 39979 blt 0,0,640,480,0, 4090,640,480:waittimer 39998 blt 0,0,640,480,0, 4092,640,480:waittimer 40018 blt 0,0,640,480,0, 4094,640,480:waittimer 40037 blt 0,0,640,480,0, 4096,640,480:waittimer 40057 blt 0,0,640,480,0, 4098,640,480:waittimer 40076 blt 0,0,640,480,0, 4100,640,480:waittimer 40096 blt 0,0,640,480,0, 4102,640,480:waittimer 40115 blt 0,0,640,480,0, 4104,640,480:waittimer 40135 blt 0,0,640,480,0, 4106,640,480:waittimer 40155 blt 0,0,640,480,0, 4108,640,480:waittimer 40174 blt 0,0,640,480,0, 4110,640,480:waittimer 40194 blt 0,0,640,480,0, 4112,640,480:waittimer 40213 blt 0,0,640,480,0, 4114,640,480:waittimer 40233 blt 0,0,640,480,0, 4116,640,480:waittimer 40252 blt 0,0,640,480,0, 4118,640,480:waittimer 40272 blt 0,0,640,480,0, 4120,640,480:waittimer 40292 blt 0,0,640,480,0, 4122,640,480:waittimer 40311 blt 0,0,640,480,0, 4124,640,480:waittimer 40331 blt 0,0,640,480,0, 4126,640,480:waittimer 40350 blt 0,0,640,480,0, 4128,640,480:waittimer 40370 blt 0,0,640,480,0, 4130,640,480:waittimer 40389 blt 0,0,640,480,0, 4132,640,480:waittimer 40409 blt 0,0,640,480,0, 4134,640,480:waittimer 40428 blt 0,0,640,480,0, 4136,640,480:waittimer 40448 blt 0,0,640,480,0, 4138,640,480:waittimer 40468 blt 0,0,640,480,0, 4140,640,480:waittimer 40487 blt 0,0,640,480,0, 4142,640,480:waittimer 40507 blt 0,0,640,480,0, 4144,640,480:waittimer 40526 blt 0,0,640,480,0, 4146,640,480:waittimer 40546 blt 0,0,640,480,0, 4148,640,480:waittimer 40565 blt 0,0,640,480,0, 4150,640,480:waittimer 40585 blt 0,0,640,480,0, 4152,640,480:waittimer 40604 blt 0,0,640,480,0, 4154,640,480:waittimer 40624 blt 0,0,640,480,0, 4156,640,480:waittimer 40644 blt 0,0,640,480,0, 4158,640,480:waittimer 40663 blt 0,0,640,480,0, 4160,640,480:waittimer 40683 blt 0,0,640,480,0, 4162,640,480:waittimer 40702 blt 0,0,640,480,0, 4164,640,480:waittimer 40722 blt 0,0,640,480,0, 4166,640,480:waittimer 40741 blt 0,0,640,480,0, 4168,640,480:waittimer 40761 blt 0,0,640,480,0, 4170,640,480:waittimer 40780 blt 0,0,640,480,0, 4172,640,480:waittimer 40800 blt 0,0,640,480,0, 4174,640,480:waittimer 40820 blt 0,0,640,480,0, 4176,640,480:waittimer 40839 blt 0,0,640,480,0, 4178,640,480:waittimer 40859 blt 0,0,640,480,0, 4180,640,480:waittimer 40878 blt 0,0,640,480,0, 4182,640,480:waittimer 40898 blt 0,0,640,480,0, 4184,640,480:waittimer 40917 blt 0,0,640,480,0, 4186,640,480:waittimer 40937 blt 0,0,640,480,0, 4188,640,480:waittimer 40957 blt 0,0,640,480,0, 4190,640,480:waittimer 40976 blt 0,0,640,480,0, 4192,640,480:waittimer 40996 blt 0,0,640,480,0, 4194,640,480:waittimer 41015 blt 0,0,640,480,0, 4196,640,480:waittimer 41035 blt 0,0,640,480,0, 4198,640,480:waittimer 41054 blt 0,0,640,480,0, 4200,640,480:waittimer 41074 blt 0,0,640,480,0, 4202,640,480:waittimer 41093 blt 0,0,640,480,0, 4204,640,480:waittimer 41113 blt 0,0,640,480,0, 4206,640,480:waittimer 41133 blt 0,0,640,480,0, 4208,640,480:waittimer 41152 blt 0,0,640,480,0, 4210,640,480:waittimer 41172 blt 0,0,640,480,0, 4212,640,480:waittimer 41191 blt 0,0,640,480,0, 4214,640,480:waittimer 41211 blt 0,0,640,480,0, 4216,640,480:waittimer 41230 blt 0,0,640,480,0, 4218,640,480:waittimer 41250 blt 0,0,640,480,0, 4220,640,480:waittimer 41269 blt 0,0,640,480,0, 4222,640,480:waittimer 41289 blt 0,0,640,480,0, 4224,640,480:waittimer 41309 blt 0,0,640,480,0, 4226,640,480:waittimer 41328 blt 0,0,640,480,0, 4228,640,480:waittimer 41348 blt 0,0,640,480,0, 4230,640,480:waittimer 41367 blt 0,0,640,480,0, 4232,640,480:waittimer 41387 blt 0,0,640,480,0, 4234,640,480:waittimer 41406 blt 0,0,640,480,0, 4236,640,480:waittimer 41426 blt 0,0,640,480,0, 4238,640,480:waittimer 41446 blt 0,0,640,480,0, 4240,640,480:waittimer 41465 blt 0,0,640,480,0, 4242,640,480:waittimer 41485 blt 0,0,640,480,0, 4244,640,480:waittimer 41504 blt 0,0,640,480,0, 4246,640,480:waittimer 41524 blt 0,0,640,480,0, 4248,640,480:waittimer 41543 blt 0,0,640,480,0, 4250,640,480:waittimer 41563 blt 0,0,640,480,0, 4252,640,480:waittimer 41582 blt 0,0,640,480,0, 4254,640,480:waittimer 41602 blt 0,0,640,480,0, 4256,640,480:waittimer 41622 blt 0,0,640,480,0, 4258,640,480:waittimer 41641 blt 0,0,640,480,0, 4260,640,480:waittimer 41661 blt 0,0,640,480,0, 4262,640,480:waittimer 41680 blt 0,0,640,480,0, 4264,640,480:waittimer 41700 blt 0,0,640,480,0, 4266,640,480:waittimer 41719 blt 0,0,640,480,0, 4268,640,480:waittimer 41739 blt 0,0,640,480,0, 4270,640,480:waittimer 41758 blt 0,0,640,480,0, 4272,640,480:waittimer 41778 blt 0,0,640,480,0, 4274,640,480:waittimer 41798 blt 0,0,640,480,0, 4276,640,480:waittimer 41817 blt 0,0,640,480,0, 4278,640,480:waittimer 41837 blt 0,0,640,480,0, 4280,640,480:waittimer 41856 blt 0,0,640,480,0, 4282,640,480:waittimer 41876 blt 0,0,640,480,0, 4284,640,480:waittimer 41895 blt 0,0,640,480,0, 4286,640,480:waittimer 41915 blt 0,0,640,480,0, 4288,640,480:waittimer 41934 blt 0,0,640,480,0, 4290,640,480:waittimer 41954 blt 0,0,640,480,0, 4292,640,480:waittimer 41974 blt 0,0,640,480,0, 4294,640,480:waittimer 41993 blt 0,0,640,480,0, 4296,640,480:waittimer 42013 blt 0,0,640,480,0, 4298,640,480:waittimer 42032 blt 0,0,640,480,0, 4300,640,480:waittimer 42052 blt 0,0,640,480,0, 4302,640,480:waittimer 42071 blt 0,0,640,480,0, 4304,640,480:waittimer 42091 blt 0,0,640,480,0, 4306,640,480:waittimer 42111 blt 0,0,640,480,0, 4308,640,480:waittimer 42130 blt 0,0,640,480,0, 4310,640,480:waittimer 42150 blt 0,0,640,480,0, 4312,640,480:waittimer 42169 blt 0,0,640,480,0, 4314,640,480:waittimer 42189 blt 0,0,640,480,0, 4316,640,480:waittimer 42208 blt 0,0,640,480,0, 4318,640,480:waittimer 42228 blt 0,0,640,480,0, 4320,640,480:waittimer 42247 blt 0,0,640,480,0, 4322,640,480:waittimer 42267 blt 0,0,640,480,0, 4324,640,480:waittimer 42287 blt 0,0,640,480,0, 4326,640,480:waittimer 42306 blt 0,0,640,480,0, 4328,640,480:waittimer 42326 blt 0,0,640,480,0, 4330,640,480:waittimer 42345 blt 0,0,640,480,0, 4332,640,480:waittimer 42365 blt 0,0,640,480,0, 4334,640,480:waittimer 42384 blt 0,0,640,480,0, 4336,640,480:waittimer 42404 blt 0,0,640,480,0, 4338,640,480:waittimer 42423 blt 0,0,640,480,0, 4340,640,480:waittimer 42443 blt 0,0,640,480,0, 4342,640,480:waittimer 42463 blt 0,0,640,480,0, 4344,640,480:waittimer 42482 blt 0,0,640,480,0, 4346,640,480:waittimer 42502 blt 0,0,640,480,0, 4348,640,480:waittimer 42521 blt 0,0,640,480,0, 4350,640,480:waittimer 42541 blt 0,0,640,480,0, 4352,640,480:waittimer 42560 blt 0,0,640,480,0, 4354,640,480:waittimer 42580 blt 0,0,640,480,0, 4356,640,480:waittimer 42600 blt 0,0,640,480,0, 4358,640,480:waittimer 42619 blt 0,0,640,480,0, 4360,640,480:waittimer 42639 blt 0,0,640,480,0, 4362,640,480:waittimer 42658 blt 0,0,640,480,0, 4364,640,480:waittimer 42678 blt 0,0,640,480,0, 4366,640,480:waittimer 42697 blt 0,0,640,480,0, 4368,640,480:waittimer 42717 blt 0,0,640,480,0, 4370,640,480:waittimer 42736 blt 0,0,640,480,0, 4372,640,480:waittimer 42756 blt 0,0,640,480,0, 4374,640,480:waittimer 42776 blt 0,0,640,480,0, 4376,640,480:waittimer 42795 blt 0,0,640,480,0, 4378,640,480:waittimer 42815 blt 0,0,640,480,0, 4380,640,480:waittimer 42834 blt 0,0,640,480,0, 4382,640,480:waittimer 42854 blt 0,0,640,480,0, 4384,640,480:waittimer 42873 blt 0,0,640,480,0, 4386,640,480:waittimer 42893 blt 0,0,640,480,0, 4388,640,480:waittimer 42912 blt 0,0,640,480,0, 4390,640,480:waittimer 42932 blt 0,0,640,480,0, 4392,640,480:waittimer 42952 blt 0,0,640,480,0, 4394,640,480:waittimer 42971 blt 0,0,640,480,0, 4396,640,480:waittimer 42991 blt 0,0,640,480,0, 4398,640,480:waittimer 43010 blt 0,0,640,480,0, 4400,640,480:waittimer 43030 blt 0,0,640,480,0, 4402,640,480:waittimer 43049 blt 0,0,640,480,0, 4404,640,480:waittimer 43069 blt 0,0,640,480,0, 4406,640,480:waittimer 43088 blt 0,0,640,480,0, 4408,640,480:waittimer 43108 blt 0,0,640,480,0, 4410,640,480:waittimer 43128 blt 0,0,640,480,0, 4412,640,480:waittimer 43147 blt 0,0,640,480,0, 4414,640,480:waittimer 43167 blt 0,0,640,480,0, 4416,640,480:waittimer 43186 blt 0,0,640,480,0, 4418,640,480:waittimer 43206 blt 0,0,640,480,0, 4420,640,480:waittimer 43225 blt 0,0,640,480,0, 4422,640,480:waittimer 43245 blt 0,0,640,480,0, 4424,640,480:waittimer 43265 blt 0,0,640,480,0, 4426,640,480:waittimer 43284 blt 0,0,640,480,0, 4428,640,480:waittimer 43304 blt 0,0,640,480,0, 4430,640,480:waittimer 43323 blt 0,0,640,480,0, 4432,640,480:waittimer 43343 blt 0,0,640,480,0, 4434,640,480:waittimer 43362 blt 0,0,640,480,0, 4436,640,480:waittimer 43382 blt 0,0,640,480,0, 4438,640,480:waittimer 43401 blt 0,0,640,480,0, 4440,640,480:waittimer 43421 blt 0,0,640,480,0, 4442,640,480:waittimer 43441 blt 0,0,640,480,0, 4444,640,480:waittimer 43460 blt 0,0,640,480,0, 4446,640,480:waittimer 43480 blt 0,0,640,480,0, 4448,640,480:waittimer 43499 blt 0,0,640,480,0, 4450,640,480:waittimer 43519 blt 0,0,640,480,0, 4452,640,480:waittimer 43538 blt 0,0,640,480,0, 4454,640,480:waittimer 43558 blt 0,0,640,480,0, 4456,640,480:waittimer 43577 blt 0,0,640,480,0, 4458,640,480:waittimer 43597 blt 0,0,640,480,0, 4460,640,480:waittimer 43617 blt 0,0,640,480,0, 4462,640,480:waittimer 43636 blt 0,0,640,480,0, 4464,640,480:waittimer 43656 blt 0,0,640,480,0, 4466,640,480:waittimer 43675 blt 0,0,640,480,0, 4468,640,480:waittimer 43695 blt 0,0,640,480,0, 4470,640,480:waittimer 43714 blt 0,0,640,480,0, 4472,640,480:waittimer 43734 blt 0,0,640,480,0, 4474,640,480:waittimer 43753 blt 0,0,640,480,0, 4476,640,480:waittimer 43773 blt 0,0,640,480,0, 4478,640,480:waittimer 43793 blt 0,0,640,480,0, 4480,640,480:waittimer 43812 blt 0,0,640,480,0, 4482,640,480:waittimer 43832 blt 0,0,640,480,0, 4484,640,480:waittimer 43851 blt 0,0,640,480,0, 4486,640,480:waittimer 43871 blt 0,0,640,480,0, 4488,640,480:waittimer 43890 blt 0,0,640,480,0, 4490,640,480:waittimer 43910 blt 0,0,640,480,0, 4492,640,480:waittimer 43930 blt 0,0,640,480,0, 4494,640,480:waittimer 43949 blt 0,0,640,480,0, 4496,640,480:waittimer 43969 blt 0,0,640,480,0, 4498,640,480:waittimer 43988 blt 0,0,640,480,0, 4500,640,480:waittimer 44008 blt 0,0,640,480,0, 4502,640,480:waittimer 44027 blt 0,0,640,480,0, 4504,640,480:waittimer 44047 blt 0,0,640,480,0, 4506,640,480:waittimer 44066 blt 0,0,640,480,0, 4508,640,480:waittimer 44086 blt 0,0,640,480,0, 4510,640,480:waittimer 44106 blt 0,0,640,480,0, 4512,640,480:waittimer 44125 blt 0,0,640,480,0, 4514,640,480:waittimer 44145 blt 0,0,640,480,0, 4516,640,480:waittimer 44164 blt 0,0,640,480,0, 4518,640,480:waittimer 44184 blt 0,0,640,480,0, 4520,640,480:waittimer 44203 blt 0,0,640,480,0, 4522,640,480:waittimer 44223 blt 0,0,640,480,0, 4524,640,480:waittimer 44242 blt 0,0,640,480,0, 4526,640,480:waittimer 44262 blt 0,0,640,480,0, 4528,640,480:waittimer 44282 blt 0,0,640,480,0, 4530,640,480:waittimer 44301 blt 0,0,640,480,0, 4532,640,480:waittimer 44321 blt 0,0,640,480,0, 4534,640,480:waittimer 44340 blt 0,0,640,480,0, 4536,640,480:waittimer 44360 blt 0,0,640,480,0, 4538,640,480:waittimer 44379 blt 0,0,640,480,0, 4540,640,480:waittimer 44399 blt 0,0,640,480,0, 4542,640,480:waittimer 44419 blt 0,0,640,480,0, 4544,640,480:waittimer 44438 blt 0,0,640,480,0, 4546,640,480:waittimer 44458 blt 0,0,640,480,0, 4548,640,480:waittimer 44477 blt 0,0,640,480,0, 4550,640,480:waittimer 44497 blt 0,0,640,480,0, 4552,640,480:waittimer 44516 blt 0,0,640,480,0, 4554,640,480:waittimer 44536 blt 0,0,640,480,0, 4556,640,480:waittimer 44555 blt 0,0,640,480,0, 4558,640,480:waittimer 44575 blt 0,0,640,480,0, 4560,640,480:waittimer 44595 blt 0,0,640,480,0, 4562,640,480:waittimer 44614 blt 0,0,640,480,0, 4564,640,480:waittimer 44634 blt 0,0,640,480,0, 4566,640,480:waittimer 44653 blt 0,0,640,480,0, 4568,640,480:waittimer 44673 blt 0,0,640,480,0, 4570,640,480:waittimer 44692 blt 0,0,640,480,0, 4572,640,480:waittimer 44712 blt 0,0,640,480,0, 4574,640,480:waittimer 44731 blt 0,0,640,480,0, 4576,640,480:waittimer 44751 blt 0,0,640,480,0, 4578,640,480:waittimer 44771 blt 0,0,640,480,0, 4580,640,480:waittimer 44790 blt 0,0,640,480,0, 4582,640,480:waittimer 44810 blt 0,0,640,480,0, 4584,640,480:waittimer 44829 blt 0,0,640,480,0, 4586,640,480:waittimer 44849 blt 0,0,640,480,0, 4588,640,480:waittimer 44868 blt 0,0,640,480,0, 4590,640,480:waittimer 44888 blt 0,0,640,480,0, 4592,640,480:waittimer 44907 blt 0,0,640,480,0, 4594,640,480:waittimer 44927 blt 0,0,640,480,0, 4596,640,480:waittimer 44947 blt 0,0,640,480,0, 4598,640,480:waittimer 44966 blt 0,0,640,480,0, 4600,640,480:waittimer 44986 blt 0,0,640,480,0, 4602,640,480:waittimer 45005 blt 0,0,640,480,0, 4604,640,480:waittimer 45025 blt 0,0,640,480,0, 4606,640,480:waittimer 45044 blt 0,0,640,480,0, 4608,640,480:waittimer 45064 blt 0,0,640,480,0, 4610,640,480:waittimer 45084 blt 0,0,640,480,0, 4612,640,480:waittimer 45103 blt 0,0,640,480,0, 4614,640,480:waittimer 45123 blt 0,0,640,480,0, 4616,640,480:waittimer 45142 blt 0,0,640,480,0, 4618,640,480:waittimer 45162 blt 0,0,640,480,0, 4620,640,480:waittimer 45181 blt 0,0,640,480,0, 4622,640,480:waittimer 45201 blt 0,0,640,480,0, 4624,640,480:waittimer 45220 blt 0,0,640,480,0, 4626,640,480:waittimer 45240 blt 0,0,640,480,0, 4628,640,480:waittimer 45260 blt 0,0,640,480,0, 4630,640,480:waittimer 45279 blt 0,0,640,480,0, 4632,640,480:waittimer 45299 blt 0,0,640,480,0, 4634,640,480:waittimer 45318 blt 0,0,640,480,0, 4636,640,480:waittimer 45338 blt 0,0,640,480,0, 4638,640,480:waittimer 45357 blt 0,0,640,480,0, 4640,640,480:waittimer 45377 blt 0,0,640,480,0, 4642,640,480:waittimer 45396 blt 0,0,640,480,0, 4644,640,480:waittimer 45416 blt 0,0,640,480,0, 4646,640,480:waittimer 45436 blt 0,0,640,480,0, 4648,640,480:waittimer 45455 blt 0,0,640,480,0, 4650,640,480:waittimer 45475 blt 0,0,640,480,0, 4652,640,480:waittimer 45494 blt 0,0,640,480,0, 4654,640,480:waittimer 45514 blt 0,0,640,480,0, 4656,640,480:waittimer 45533 blt 0,0,640,480,0, 4658,640,480:waittimer 45553 blt 0,0,640,480,0, 4660,640,480:waittimer 45573 blt 0,0,640,480,0, 4662,640,480:waittimer 45592 blt 0,0,640,480,0, 4664,640,480:waittimer 45612 blt 0,0,640,480,0, 4666,640,480:waittimer 45631 blt 0,0,640,480,0, 4668,640,480:waittimer 45651 blt 0,0,640,480,0, 4670,640,480:waittimer 45670 blt 0,0,640,480,0, 4672,640,480:waittimer 45690 blt 0,0,640,480,0, 4674,640,480:waittimer 45709 blt 0,0,640,480,0, 4676,640,480:waittimer 45729 blt 0,0,640,480,0, 4678,640,480:waittimer 45749 blt 0,0,640,480,0, 4680,640,480:waittimer 45768 blt 0,0,640,480,0, 4682,640,480:waittimer 45788 blt 0,0,640,480,0, 4684,640,480:waittimer 45807 blt 0,0,640,480,0, 4686,640,480:waittimer 45827 blt 0,0,640,480,0, 4688,640,480:waittimer 45846 blt 0,0,640,480,0, 4690,640,480:waittimer 45866 blt 0,0,640,480,0, 4692,640,480:waittimer 45885 blt 0,0,640,480,0, 4694,640,480:waittimer 45905 blt 0,0,640,480,0, 4696,640,480:waittimer 45925 blt 0,0,640,480,0, 4698,640,480:waittimer 45944 blt 0,0,640,480,0, 4700,640,480:waittimer 45964 blt 0,0,640,480,0, 4702,640,480:waittimer 45983 blt 0,0,640,480,0, 4704,640,480:waittimer 46003 blt 0,0,640,480,0, 4706,640,480:waittimer 46022 blt 0,0,640,480,0, 4708,640,480:waittimer 46042 blt 0,0,640,480,0, 4710,640,480:waittimer 46061 blt 0,0,640,480,0, 4712,640,480:waittimer 46081 blt 0,0,640,480,0, 4714,640,480:waittimer 46101 blt 0,0,640,480,0, 4716,640,480:waittimer 46120 blt 0,0,640,480,0, 4718,640,480:waittimer 46140 blt 0,0,640,480,0, 4720,640,480:waittimer 46159 blt 0,0,640,480,0, 4722,640,480:waittimer 46179 blt 0,0,640,480,0, 4724,640,480:waittimer 46198 blt 0,0,640,480,0, 4726,640,480:waittimer 46218 blt 0,0,640,480,0, 4728,640,480:waittimer 46238 blt 0,0,640,480,0, 4730,640,480:waittimer 46257 blt 0,0,640,480,0, 4732,640,480:waittimer 46277 blt 0,0,640,480,0, 4734,640,480:waittimer 46296 blt 0,0,640,480,0, 4736,640,480:waittimer 46316 blt 0,0,640,480,0, 4738,640,480:waittimer 46335 blt 0,0,640,480,0, 4740,640,480:waittimer 46355 blt 0,0,640,480,0, 4742,640,480:waittimer 46374 blt 0,0,640,480,0, 4744,640,480:waittimer 46394 blt 0,0,640,480,0, 4746,640,480:waittimer 46414 blt 0,0,640,480,0, 4748,640,480:waittimer 46433 blt 0,0,640,480,0, 4750,640,480:waittimer 46453 blt 0,0,640,480,0, 4752,640,480:waittimer 46472 blt 0,0,640,480,0, 4754,640,480:waittimer 46492 blt 0,0,640,480,0, 4756,640,480:waittimer 46511 blt 0,0,640,480,0, 4758,640,480:waittimer 46531 blt 0,0,640,480,0, 4760,640,480:waittimer 46550 blt 0,0,640,480,0, 4762,640,480:waittimer 46570 blt 0,0,640,480,0, 4764,640,480:waittimer 46590 blt 0,0,640,480,0, 4766,640,480:waittimer 46609 blt 0,0,640,480,0, 4768,640,480:waittimer 46629 blt 0,0,640,480,0, 4770,640,480:waittimer 46648 blt 0,0,640,480,0, 4772,640,480:waittimer 46668 blt 0,0,640,480,0, 4774,640,480:waittimer 46687 blt 0,0,640,480,0, 4776,640,480:waittimer 46707 blt 0,0,640,480,0, 4778,640,480:waittimer 46726 blt 0,0,640,480,0, 4780,640,480:waittimer 46746 blt 0,0,640,480,0, 4782,640,480:waittimer 46766 blt 0,0,640,480,0, 4784,640,480:waittimer 46785 blt 0,0,640,480,0, 4786,640,480:waittimer 46805 blt 0,0,640,480,0, 4788,640,480:waittimer 46824 blt 0,0,640,480,0, 4790,640,480:waittimer 46844 blt 0,0,640,480,0, 4792,640,480:waittimer 46863 blt 0,0,640,480,0, 4794,640,480:waittimer 46883 blt 0,0,640,480,0, 4796,640,480:waittimer 46903 blt 0,0,640,480,0, 4798,640,480:waittimer 46922 blt 0,0,640,480,0, 4800,640,480:waittimer 46942 blt 0,0,640,480,0, 4802,640,480:waittimer 46961 blt 0,0,640,480,0, 4804,640,480:waittimer 46981 blt 0,0,640,480,0, 4806,640,480:waittimer 47000 blt 0,0,640,480,0, 4808,640,480:waittimer 47020 blt 0,0,640,480,0, 4810,640,480:waittimer 47039 blt 0,0,640,480,0, 4812,640,480:waittimer 47059 blt 0,0,640,480,0, 4814,640,480:waittimer 47079 blt 0,0,640,480,0, 4816,640,480:waittimer 47098 blt 0,0,640,480,0, 4818,640,480:waittimer 47118 blt 0,0,640,480,0, 4820,640,480:waittimer 47137 blt 0,0,640,480,0, 4822,640,480:waittimer 47157 blt 0,0,640,480,0, 4824,640,480:waittimer 47176 blt 0,0,640,480,0, 4826,640,480:waittimer 47196 blt 0,0,640,480,0, 4828,640,480:waittimer 47215 blt 0,0,640,480,0, 4830,640,480:waittimer 47235 blt 0,0,640,480,0, 4832,640,480:waittimer 47255 blt 0,0,640,480,0, 4834,640,480:waittimer 47274 blt 0,0,640,480,0, 4836,640,480:waittimer 47294 blt 0,0,640,480,0, 4838,640,480:waittimer 47313 blt 0,0,640,480,0, 4840,640,480:waittimer 47333 blt 0,0,640,480,0, 4842,640,480:waittimer 47352 blt 0,0,640,480,0, 4844,640,480:waittimer 47372 blt 0,0,640,480,0, 4846,640,480:waittimer 47392 blt 0,0,640,480,0, 4848,640,480:waittimer 47411 blt 0,0,640,480,0, 4850,640,480:waittimer 47431 blt 0,0,640,480,0, 4852,640,480:waittimer 47450 blt 0,0,640,480,0, 4854,640,480:waittimer 47470 blt 0,0,640,480,0, 4856,640,480:waittimer 47489 blt 0,0,640,480,0, 4858,640,480:waittimer 47509 blt 0,0,640,480,0, 4860,640,480:waittimer 47528 blt 0,0,640,480,0, 4862,640,480:waittimer 47548 blt 0,0,640,480,0, 4864,640,480:waittimer 47568 blt 0,0,640,480,0, 4866,640,480:waittimer 47587 blt 0,0,640,480,0, 4868,640,480:waittimer 47607 blt 0,0,640,480,0, 4870,640,480:waittimer 47626 blt 0,0,640,480,0, 4872,640,480:waittimer 47646 blt 0,0,640,480,0, 4874,640,480:waittimer 47665 blt 0,0,640,480,0, 4876,640,480:waittimer 47685 blt 0,0,640,480,0, 4878,640,480:waittimer 47704 blt 0,0,640,480,0, 4880,640,480:waittimer 47724 blt 0,0,640,480,0, 4882,640,480:waittimer 47744 blt 0,0,640,480,0, 4884,640,480:waittimer 47763 blt 0,0,640,480,0, 4886,640,480:waittimer 47783 blt 0,0,640,480,0, 4888,640,480:waittimer 47802 blt 0,0,640,480,0, 4890,640,480:waittimer 47822 blt 0,0,640,480,0, 4892,640,480:waittimer 47841 blt 0,0,640,480,0, 4894,640,480:waittimer 47861 blt 0,0,640,480,0, 4896,640,480:waittimer 47880 blt 0,0,640,480,0, 4898,640,480:waittimer 47900 blt 0,0,640,480,0, 4900,640,480:waittimer 47920 blt 0,0,640,480,0, 4902,640,480:waittimer 47939 blt 0,0,640,480,0, 4904,640,480:waittimer 47959 blt 0,0,640,480,0, 4906,640,480:waittimer 47978 blt 0,0,640,480,0, 4908,640,480:waittimer 47998 blt 0,0,640,480,0, 4910,640,480:waittimer 48017 blt 0,0,640,480,0, 4912,640,480:waittimer 48037 blt 0,0,640,480,0, 4914,640,480:waittimer 48057 blt 0,0,640,480,0, 4916,640,480:waittimer 48076 blt 0,0,640,480,0, 4918,640,480:waittimer 48096 blt 0,0,640,480,0, 4920,640,480:waittimer 48115 blt 0,0,640,480,0, 4922,640,480:waittimer 48135 blt 0,0,640,480,0, 4924,640,480:waittimer 48154 blt 0,0,640,480,0, 4926,640,480:waittimer 48174 blt 0,0,640,480,0, 4928,640,480:waittimer 48193 blt 0,0,640,480,0, 4930,640,480:waittimer 48213 blt 0,0,640,480,0, 4932,640,480:waittimer 48233 blt 0,0,640,480,0, 4934,640,480:waittimer 48252 blt 0,0,640,480,0, 4936,640,480:waittimer 48272 blt 0,0,640,480,0, 4938,640,480:waittimer 48291 blt 0,0,640,480,0, 4940,640,480:waittimer 48311 blt 0,0,640,480,0, 4942,640,480:waittimer 48330 blt 0,0,640,480,0, 4944,640,480:waittimer 48350 blt 0,0,640,480,0, 4946,640,480:waittimer 48369 blt 0,0,640,480,0, 4948,640,480:waittimer 48389 blt 0,0,640,480,0, 4950,640,480:waittimer 48409 blt 0,0,640,480,0, 4952,640,480:waittimer 48428 blt 0,0,640,480,0, 4954,640,480:waittimer 48448 blt 0,0,640,480,0, 4956,640,480:waittimer 48467 blt 0,0,640,480,0, 4958,640,480:waittimer 48487 blt 0,0,640,480,0, 4960,640,480:waittimer 48506 blt 0,0,640,480,0, 4962,640,480:waittimer 48526 blt 0,0,640,480,0, 4964,640,480:waittimer 48546 blt 0,0,640,480,0, 4966,640,480:waittimer 48565 blt 0,0,640,480,0, 4968,640,480:waittimer 48585 blt 0,0,640,480,0, 4970,640,480:waittimer 48604 blt 0,0,640,480,0, 4972,640,480:waittimer 48624 blt 0,0,640,480,0, 4974,640,480:waittimer 48643 blt 0,0,640,480,0, 4976,640,480:waittimer 48663 blt 0,0,640,480,0, 4978,640,480:waittimer 48682 blt 0,0,640,480,0, 4980,640,480:waittimer 48702 blt 0,0,640,480,0, 4982,640,480:waittimer 48722 blt 0,0,640,480,0, 4984,640,480:waittimer 48741 blt 0,0,640,480,0, 4986,640,480:waittimer 48761 blt 0,0,640,480,0, 4988,640,480:waittimer 48780 blt 0,0,640,480,0, 4990,640,480:waittimer 48800 blt 0,0,640,480,0, 4992,640,480:waittimer 48819 blt 0,0,640,480,0, 4994,640,480:waittimer 48839 blt 0,0,640,480,0, 4996,640,480:waittimer 48858 blt 0,0,640,480,0, 4998,640,480:waittimer 48878 blt 0,0,640,480,0, 5000,640,480:waittimer 48898 blt 0,0,640,480,0, 5002,640,480:waittimer 48917 blt 0,0,640,480,0, 5004,640,480:waittimer 48937 blt 0,0,640,480,0, 5006,640,480:waittimer 48956 blt 0,0,640,480,0, 5008,640,480:waittimer 48976 blt 0,0,640,480,0, 5010,640,480:waittimer 48995 blt 0,0,640,480,0, 5012,640,480:waittimer 49015 blt 0,0,640,480,0, 5014,640,480:waittimer 49034 blt 0,0,640,480,0, 5016,640,480:waittimer 49054 blt 0,0,640,480,0, 5018,640,480:waittimer 49074 blt 0,0,640,480,0, 5020,640,480:waittimer 49093 blt 0,0,640,480,0, 5022,640,480:waittimer 49113 blt 0,0,640,480,0, 5024,640,480:waittimer 49132 blt 0,0,640,480,0, 5026,640,480:waittimer 49152 blt 0,0,640,480,0, 5028,640,480:waittimer 49171 blt 0,0,640,480,0, 5030,640,480:waittimer 49191 blt 0,0,640,480,0, 5032,640,480:waittimer 49211 blt 0,0,640,480,0, 5034,640,480:waittimer 49230 blt 0,0,640,480,0, 5036,640,480:waittimer 49250 blt 0,0,640,480,0, 5038,640,480:waittimer 49269 blt 0,0,640,480,0, 5040,640,480:waittimer 49289 blt 0,0,640,480,0, 5042,640,480:waittimer 49308 blt 0,0,640,480,0, 5044,640,480:waittimer 49328 blt 0,0,640,480,0, 5046,640,480:waittimer 49347 blt 0,0,640,480,0, 5048,640,480:waittimer 49367 blt 0,0,640,480,0, 5050,640,480:waittimer 49387 blt 0,0,640,480,0, 5052,640,480:waittimer 49406 blt 0,0,640,480,0, 5054,640,480:waittimer 49426 blt 0,0,640,480,0, 5056,640,480:waittimer 49445 blt 0,0,640,480,0, 5058,640,480:waittimer 49465 blt 0,0,640,480,0, 5060,640,480:waittimer 49484 blt 0,0,640,480,0, 5062,640,480:waittimer 49504 blt 0,0,640,480,0, 5064,640,480:waittimer 49523 blt 0,0,640,480,0, 5066,640,480:waittimer 49543 blt 0,0,640,480,0, 5068,640,480:waittimer 49563 blt 0,0,640,480,0, 5070,640,480:waittimer 49582 blt 0,0,640,480,0, 5072,640,480:waittimer 49602 blt 0,0,640,480,0, 5074,640,480:waittimer 49621 blt 0,0,640,480,0, 5076,640,480:waittimer 49641 blt 0,0,640,480,0, 5078,640,480:waittimer 49660 blt 0,0,640,480,0, 5080,640,480:waittimer 49680 blt 0,0,640,480,0, 5082,640,480:waittimer 49700 blt 0,0,640,480,0, 5084,640,480:waittimer 49719 blt 0,0,640,480,0, 5086,640,480:waittimer 49739 blt 0,0,640,480,0, 5088,640,480:waittimer 49758 blt 0,0,640,480,0, 5090,640,480:waittimer 49778 blt 0,0,640,480,0, 5092,640,480:waittimer 49797 blt 0,0,640,480,0, 5094,640,480:waittimer 49817 blt 0,0,640,480,0, 5096,640,480:waittimer 49836 blt 0,0,640,480,0, 5098,640,480:waittimer 49856 blt 0,0,640,480,0, 5100,640,480:waittimer 49876 blt 0,0,640,480,0, 5102,640,480:waittimer 49895 blt 0,0,640,480,0, 5104,640,480:waittimer 49915 blt 0,0,640,480,0, 5106,640,480:waittimer 49934 blt 0,0,640,480,0, 5108,640,480:waittimer 49954 blt 0,0,640,480,0, 5110,640,480:waittimer 49973 blt 0,0,640,480,0, 5112,640,480:waittimer 49993 blt 0,0,640,480,0, 5114,640,480:waittimer 50012 blt 0,0,640,480,0, 5116,640,480:waittimer 50032 blt 0,0,640,480,0, 5118,640,480:waittimer 50052 blt 0,0,640,480,0, 5120,640,480:waittimer 50071 blt 0,0,640,480,0, 5122,640,480:waittimer 50091 blt 0,0,640,480,0, 5124,640,480:waittimer 50110 blt 0,0,640,480,0, 5126,640,480:waittimer 50130 blt 0,0,640,480,0, 5128,640,480:waittimer 50149 blt 0,0,640,480,0, 5130,640,480:waittimer 50169 blt 0,0,640,480,0, 5132,640,480:waittimer 50188 blt 0,0,640,480,0, 5134,640,480:waittimer 50208 blt 0,0,640,480,0, 5136,640,480:waittimer 50228 blt 0,0,640,480,0, 5138,640,480:waittimer 50247 blt 0,0,640,480,0, 5140,640,480:waittimer 50267 blt 0,0,640,480,0, 5142,640,480:waittimer 50286 blt 0,0,640,480,0, 5144,640,480:waittimer 50306 blt 0,0,640,480,0, 5146,640,480:waittimer 50325 blt 0,0,640,480,0, 5148,640,480:waittimer 50345 blt 0,0,640,480,0, 5150,640,480:waittimer 50365 blt 0,0,640,480,0, 5152,640,480:waittimer 50384 blt 0,0,640,480,0, 5154,640,480:waittimer 50404 blt 0,0,640,480,0, 5156,640,480:waittimer 50423 blt 0,0,640,480,0, 5158,640,480:waittimer 50443 blt 0,0,640,480,0, 5160,640,480:waittimer 50462 blt 0,0,640,480,0, 5162,640,480:waittimer 50482 blt 0,0,640,480,0, 5164,640,480:waittimer 50501 blt 0,0,640,480,0, 5166,640,480:waittimer 50521 blt 0,0,640,480,0, 5168,640,480:waittimer 50541 blt 0,0,640,480,0, 5170,640,480:waittimer 50560 blt 0,0,640,480,0, 5172,640,480:waittimer 50580 blt 0,0,640,480,0, 5174,640,480:waittimer 50599 blt 0,0,640,480,0, 5176,640,480:waittimer 50619 blt 0,0,640,480,0, 5178,640,480:waittimer 50638 blt 0,0,640,480,0, 5180,640,480:waittimer 50658 blt 0,0,640,480,0, 5182,640,480:waittimer 50677 blt 0,0,640,480,0, 5184,640,480:waittimer 50697 blt 0,0,640,480,0, 5186,640,480:waittimer 50717 blt 0,0,640,480,0, 5188,640,480:waittimer 50736 blt 0,0,640,480,0, 5190,640,480:waittimer 50756 blt 0,0,640,480,0, 5192,640,480:waittimer 50775 blt 0,0,640,480,0, 5194,640,480:waittimer 50795 blt 0,0,640,480,0, 5196,640,480:waittimer 50814 blt 0,0,640,480,0, 5198,640,480:waittimer 50834 blt 0,0,640,480,0, 5200,640,480:waittimer 50853 blt 0,0,640,480,0, 5202,640,480:waittimer 50873 blt 0,0,640,480,0, 5204,640,480:waittimer 50893 blt 0,0,640,480,0, 5206,640,480:waittimer 50912 blt 0,0,640,480,0, 5208,640,480:waittimer 50932 blt 0,0,640,480,0, 5210,640,480:waittimer 50951 blt 0,0,640,480,0, 5212,640,480:waittimer 50971 blt 0,0,640,480,0, 5214,640,480:waittimer 50990 blt 0,0,640,480,0, 5216,640,480:waittimer 51010 blt 0,0,640,480,0, 5218,640,480:waittimer 51030 blt 0,0,640,480,0, 5220,640,480:waittimer 51049 blt 0,0,640,480,0, 5222,640,480:waittimer 51069 blt 0,0,640,480,0, 5224,640,480:waittimer 51088 blt 0,0,640,480,0, 5226,640,480:waittimer 51108 blt 0,0,640,480,0, 5228,640,480:waittimer 51127 blt 0,0,640,480,0, 5230,640,480:waittimer 51147 blt 0,0,640,480,0, 5232,640,480:waittimer 51166 blt 0,0,640,480,0, 5234,640,480:waittimer 51186 blt 0,0,640,480,0, 5236,640,480:waittimer 51206 blt 0,0,640,480,0, 5238,640,480:waittimer 51225 blt 0,0,640,480,0, 5240,640,480:waittimer 51245 blt 0,0,640,480,0, 5242,640,480:waittimer 51264 blt 0,0,640,480,0, 5244,640,480:waittimer 51284 blt 0,0,640,480,0, 5246,640,480:waittimer 51303 blt 0,0,640,480,0, 5248,640,480:waittimer 51323 blt 0,0,640,480,0, 5250,640,480:waittimer 51342 blt 0,0,640,480,0, 5252,640,480:waittimer 51362 blt 0,0,640,480,0, 5254,640,480:waittimer 51382 blt 0,0,640,480,0, 5256,640,480:waittimer 51401 blt 0,0,640,480,0, 5258,640,480:waittimer 51421 blt 0,0,640,480,0, 5260,640,480:waittimer 51440 blt 0,0,640,480,0, 5262,640,480:waittimer 51460 blt 0,0,640,480,0, 5264,640,480:waittimer 51479 blt 0,0,640,480,0, 5266,640,480:waittimer 51499 blt 0,0,640,480,0, 5268,640,480:waittimer 51519 blt 0,0,640,480,0, 5270,640,480:waittimer 51538 blt 0,0,640,480,0, 5272,640,480:waittimer 51558 blt 0,0,640,480,0, 5274,640,480:waittimer 51577 blt 0,0,640,480,0, 5276,640,480:waittimer 51597 blt 0,0,640,480,0, 5278,640,480:waittimer 51616 blt 0,0,640,480,0, 5280,640,480:waittimer 51636 blt 0,0,640,480,0, 5282,640,480:waittimer 51655 blt 0,0,640,480,0, 5284,640,480:waittimer 51675 blt 0,0,640,480,0, 5286,640,480:waittimer 51695 blt 0,0,640,480,0, 5288,640,480:waittimer 51714 blt 0,0,640,480,0, 5290,640,480:waittimer 51734 blt 0,0,640,480,0, 5292,640,480:waittimer 51753 blt 0,0,640,480,0, 5294,640,480:waittimer 51773 blt 0,0,640,480,0, 5296,640,480:waittimer 51792 blt 0,0,640,480,0, 5298,640,480:waittimer 51812 blt 0,0,640,480,0, 5300,640,480:waittimer 51831 blt 0,0,640,480,0, 5302,640,480:waittimer 51851 blt 0,0,640,480,0, 5304,640,480:waittimer 51871 blt 0,0,640,480,0, 5306,640,480:waittimer 51890 blt 0,0,640,480,0, 5308,640,480:waittimer 51910 blt 0,0,640,480,0, 5310,640,480:waittimer 51929 blt 0,0,640,480,0, 5312,640,480:waittimer 51949 blt 0,0,640,480,0, 5314,640,480:waittimer 51968 blt 0,0,640,480,0, 5316,640,480:waittimer 51988 blt 0,0,640,480,0, 5318,640,480:waittimer 52007 blt 0,0,640,480,0, 5320,640,480:waittimer 52027 blt 0,0,640,480,0, 5322,640,480:waittimer 52047 blt 0,0,640,480,0, 5324,640,480:waittimer 52066 blt 0,0,640,480,0, 5326,640,480:waittimer 52086 blt 0,0,640,480,0, 5328,640,480:waittimer 52105 blt 0,0,640,480,0, 5330,640,480:waittimer 52125 blt 0,0,640,480,0, 5332,640,480:waittimer 52144 blt 0,0,640,480,0, 5334,640,480:waittimer 52164 blt 0,0,640,480,0, 5336,640,480:waittimer 52184 blt 0,0,640,480,0, 5338,640,480:waittimer 52203 blt 0,0,640,480,0, 5340,640,480:waittimer 52223 blt 0,0,640,480,0, 5342,640,480:waittimer 52242 blt 0,0,640,480,0, 5344,640,480:waittimer 52262 blt 0,0,640,480,0, 5346,640,480:waittimer 52281 blt 0,0,640,480,0, 5348,640,480:waittimer 52301 blt 0,0,640,480,0, 5350,640,480:waittimer 52320 blt 0,0,640,480,0, 5352,640,480:waittimer 52340 blt 0,0,640,480,0, 5354,640,480:waittimer 52360 blt 0,0,640,480,0, 5356,640,480:waittimer 52379 blt 0,0,640,480,0, 5358,640,480:waittimer 52399 blt 0,0,640,480,0, 5360,640,480:waittimer 52418 blt 0,0,640,480,0, 5362,640,480:waittimer 52438 blt 0,0,640,480,0, 5364,640,480:waittimer 52457 blt 0,0,640,480,0, 5366,640,480:waittimer 52477 blt 0,0,640,480,0, 5368,640,480:waittimer 52496 blt 0,0,640,480,0, 5370,640,480:waittimer 52516 blt 0,0,640,480,0, 5372,640,480:waittimer 52536 blt 0,0,640,480,0, 5374,640,480:waittimer 52555 blt 0,0,640,480,0, 5376,640,480:waittimer 52575 blt 0,0,640,480,0, 5378,640,480:waittimer 52594 blt 0,0,640,480,0, 5380,640,480:waittimer 52614 blt 0,0,640,480,0, 5382,640,480:waittimer 52633 blt 0,0,640,480,0, 5384,640,480:waittimer 52653 blt 0,0,640,480,0, 5386,640,480:waittimer 52673 blt 0,0,640,480,0, 5388,640,480:waittimer 52692 blt 0,0,640,480,0, 5390,640,480:waittimer 52712 blt 0,0,640,480,0, 5392,640,480:waittimer 52731 blt 0,0,640,480,0, 5394,640,480:waittimer 52751 blt 0,0,640,480,0, 5396,640,480:waittimer 52770 blt 0,0,640,480,0, 5398,640,480:waittimer 52790 blt 0,0,640,480,0, 5400,640,480:waittimer 52809 blt 0,0,640,480,0, 5402,640,480:waittimer 52829 blt 0,0,640,480,0, 5404,640,480:waittimer 52849 blt 0,0,640,480,0, 5406,640,480:waittimer 52868 blt 0,0,640,480,0, 5408,640,480:waittimer 52888 blt 0,0,640,480,0, 5410,640,480:waittimer 52907 blt 0,0,640,480,0, 5412,640,480:waittimer 52927 blt 0,0,640,480,0, 5414,640,480:waittimer 52946 blt 0,0,640,480,0, 5416,640,480:waittimer 52966 blt 0,0,640,480,0, 5418,640,480:waittimer 52985 blt 0,0,640,480,0, 5420,640,480:waittimer 53005 blt 0,0,640,480,0, 5422,640,480:waittimer 53025 blt 0,0,640,480,0, 5424,640,480:waittimer 53044 blt 0,0,640,480,0, 5426,640,480:waittimer 53064 blt 0,0,640,480,0, 5428,640,480:waittimer 53083 blt 0,0,640,480,0, 5430,640,480:waittimer 53103 blt 0,0,640,480,0, 5432,640,480:waittimer 53122 blt 0,0,640,480,0, 5434,640,480:waittimer 53142 blt 0,0,640,480,0, 5436,640,480:waittimer 53161 blt 0,0,640,480,0, 5438,640,480:waittimer 53181 blt 0,0,640,480,0, 5440,640,480:waittimer 53201 blt 0,0,640,480,0, 5442,640,480:waittimer 53220 blt 0,0,640,480,0, 5444,640,480:waittimer 53240 blt 0,0,640,480,0, 5446,640,480:waittimer 53259 blt 0,0,640,480,0, 5448,640,480:waittimer 53279 blt 0,0,640,480,0, 5450,640,480:waittimer 53298 blt 0,0,640,480,0, 5452,640,480:waittimer 53318 blt 0,0,640,480,0, 5454,640,480:waittimer 53338 blt 0,0,640,480,0, 5456,640,480:waittimer 53357 blt 0,0,640,480,0, 5458,640,480:waittimer 53377 blt 0,0,640,480,0, 5460,640,480:waittimer 53396 blt 0,0,640,480,0, 5462,640,480:waittimer 53416 blt 0,0,640,480,0, 5464,640,480:waittimer 53435 blt 0,0,640,480,0, 5466,640,480:waittimer 53455 blt 0,0,640,480,0, 5468,640,480:waittimer 53474 blt 0,0,640,480,0, 5470,640,480:waittimer 53494 blt 0,0,640,480,0, 5472,640,480:waittimer 53514 blt 0,0,640,480,0, 5474,640,480:waittimer 53533 blt 0,0,640,480,0, 5476,640,480:waittimer 53553 blt 0,0,640,480,0, 5478,640,480:waittimer 53572 blt 0,0,640,480,0, 5480,640,480:waittimer 53592 blt 0,0,640,480,0, 5482,640,480:waittimer 53611 blt 0,0,640,480,0, 5484,640,480:waittimer 53631 blt 0,0,640,480,0, 5486,640,480:waittimer 53650 blt 0,0,640,480,0, 5488,640,480:waittimer 53670 blt 0,0,640,480,0, 5490,640,480:waittimer 53690 blt 0,0,640,480,0, 5492,640,480:waittimer 53709 blt 0,0,640,480,0, 5494,640,480:waittimer 53729 blt 0,0,640,480,0, 5496,640,480:waittimer 53748 blt 0,0,640,480,0, 5498,640,480:waittimer 53768 blt 0,0,640,480,0, 5500,640,480:waittimer 53787 blt 0,0,640,480,0, 5502,640,480:waittimer 53807 blt 0,0,640,480,0, 5504,640,480:waittimer 53826 blt 0,0,640,480,0, 5506,640,480:waittimer 53846 blt 0,0,640,480,0, 5508,640,480:waittimer 53866 blt 0,0,640,480,0, 5510,640,480:waittimer 53885 blt 0,0,640,480,0, 5512,640,480:waittimer 53905 blt 0,0,640,480,0, 5514,640,480:waittimer 53924 blt 0,0,640,480,0, 5516,640,480:waittimer 53944 blt 0,0,640,480,0, 5518,640,480:waittimer 53963 blt 0,0,640,480,0, 5520,640,480:waittimer 53983 blt 0,0,640,480,0, 5522,640,480:waittimer 54003 blt 0,0,640,480,0, 5524,640,480:waittimer 54022 blt 0,0,640,480,0, 5526,640,480:waittimer 54042 blt 0,0,640,480,0, 5528,640,480:waittimer 54061 blt 0,0,640,480,0, 5530,640,480:waittimer 54081 blt 0,0,640,480,0, 5532,640,480:waittimer 54100 blt 0,0,640,480,0, 5534,640,480:waittimer 54120 blt 0,0,640,480,0, 5536,640,480:waittimer 54139 blt 0,0,640,480,0, 5538,640,480:waittimer 54159 blt 0,0,640,480,0, 5540,640,480:waittimer 54179 blt 0,0,640,480,0, 5542,640,480:waittimer 54198 blt 0,0,640,480,0, 5544,640,480:waittimer 54218 blt 0,0,640,480,0, 5546,640,480:waittimer 54237 blt 0,0,640,480,0, 5548,640,480:waittimer 54257 blt 0,0,640,480,0, 5550,640,480:waittimer 54276 blt 0,0,640,480,0, 5552,640,480:waittimer 54296 blt 0,0,640,480,0, 5554,640,480:waittimer 54315 blt 0,0,640,480,0, 5556,640,480:waittimer 54335 blt 0,0,640,480,0, 5558,640,480:waittimer 54355 blt 0,0,640,480,0, 5560,640,480:waittimer 54374 blt 0,0,640,480,0, 5562,640,480:waittimer 54394 blt 0,0,640,480,0, 5564,640,480:waittimer 54413 blt 0,0,640,480,0, 5566,640,480:waittimer 54433 blt 0,0,640,480,0, 5568,640,480:waittimer 54452 blt 0,0,640,480,0, 5570,640,480:waittimer 54472 blt 0,0,640,480,0, 5572,640,480:waittimer 54492 blt 0,0,640,480,0, 5574,640,480:waittimer 54511 blt 0,0,640,480,0, 5576,640,480:waittimer 54531 blt 0,0,640,480,0, 5578,640,480:waittimer 54550 blt 0,0,640,480,0, 5580,640,480:waittimer 54570 blt 0,0,640,480,0, 5582,640,480:waittimer 54589 blt 0,0,640,480,0, 5584,640,480:waittimer 54609 blt 0,0,640,480,0, 5586,640,480:waittimer 54628 blt 0,0,640,480,0, 5588,640,480:waittimer 54648 blt 0,0,640,480,0, 5590,640,480:waittimer 54668 blt 0,0,640,480,0, 5592,640,480:waittimer 54687 blt 0,0,640,480,0, 5594,640,480:waittimer 54707 blt 0,0,640,480,0, 5596,640,480:waittimer 54726 blt 0,0,640,480,0, 5598,640,480:waittimer 54746 blt 0,0,640,480,0, 5600,640,480:waittimer 54765 blt 0,0,640,480,0, 5602,640,480:waittimer 54785 blt 0,0,640,480,0, 5604,640,480:waittimer 54804 blt 0,0,640,480,0, 5606,640,480:waittimer 54824 blt 0,0,640,480,0, 5608,640,480:waittimer 54844 blt 0,0,640,480,0, 5610,640,480:waittimer 54863 blt 0,0,640,480,0, 5612,640,480:waittimer 54883 blt 0,0,640,480,0, 5614,640,480:waittimer 54902 blt 0,0,640,480,0, 5616,640,480:waittimer 54922 blt 0,0,640,480,0, 5618,640,480:waittimer 54941 blt 0,0,640,480,0, 5620,640,480:waittimer 54961 blt 0,0,640,480,0, 5622,640,480:waittimer 54980 blt 0,0,640,480,0, 5624,640,480:waittimer 55000 blt 0,0,640,480,0, 5626,640,480:waittimer 55020 blt 0,0,640,480,0, 5628,640,480:waittimer 55039 blt 0,0,640,480,0, 5630,640,480:waittimer 55059 blt 0,0,640,480,0, 5632,640,480:waittimer 55078 blt 0,0,640,480,0, 5634,640,480:waittimer 55098 blt 0,0,640,480,0, 5636,640,480:waittimer 55117 blt 0,0,640,480,0, 5638,640,480:waittimer 55137 blt 0,0,640,480,0, 5640,640,480:waittimer 55157 blt 0,0,640,480,0, 5642,640,480:waittimer 55176 blt 0,0,640,480,0, 5644,640,480:waittimer 55196 blt 0,0,640,480,0, 5646,640,480:waittimer 55215 blt 0,0,640,480,0, 5648,640,480:waittimer 55235 blt 0,0,640,480,0, 5650,640,480:waittimer 55254 blt 0,0,640,480,0, 5652,640,480:waittimer 55274 blt 0,0,640,480,0, 5654,640,480:waittimer 55293 blt 0,0,640,480,0, 5656,640,480:waittimer 55313 blt 0,0,640,480,0, 5658,640,480:waittimer 55333 blt 0,0,640,480,0, 5660,640,480:waittimer 55352 blt 0,0,640,480,0, 5662,640,480:waittimer 55372 blt 0,0,640,480,0, 5664,640,480:waittimer 55391 blt 0,0,640,480,0, 5666,640,480:waittimer 55411 blt 0,0,640,480,0, 5668,640,480:waittimer 55430 blt 0,0,640,480,0, 5670,640,480:waittimer 55450 blt 0,0,640,480,0, 5672,640,480:waittimer 55469 blt 0,0,640,480,0, 5674,640,480:waittimer 55489 blt 0,0,640,480,0, 5676,640,480:waittimer 55509 blt 0,0,640,480,0, 5678,640,480:waittimer 55528 blt 0,0,640,480,0, 5680,640,480:waittimer 55548 blt 0,0,640,480,0, 5682,640,480:waittimer 55567 blt 0,0,640,480,0, 5684,640,480:waittimer 55587 blt 0,0,640,480,0, 5686,640,480:waittimer 55606 blt 0,0,640,480,0, 5688,640,480:waittimer 55626 blt 0,0,640,480,0, 5690,640,480:waittimer 55646 blt 0,0,640,480,0, 5692,640,480:waittimer 55665 blt 0,0,640,480,0, 5694,640,480:waittimer 55685 blt 0,0,640,480,0, 5696,640,480:waittimer 55704 blt 0,0,640,480,0, 5698,640,480:waittimer 55724 blt 0,0,640,480,0, 5700,640,480:waittimer 55743 blt 0,0,640,480,0, 5702,640,480:waittimer 55763 blt 0,0,640,480,0, 5704,640,480:waittimer 55782 blt 0,0,640,480,0, 5706,640,480:waittimer 55802 blt 0,0,640,480,0, 5708,640,480:waittimer 55822 blt 0,0,640,480,0, 5710,640,480:waittimer 55841 blt 0,0,640,480,0, 5712,640,480:waittimer 55861 blt 0,0,640,480,0, 5714,640,480:waittimer 55880 blt 0,0,640,480,0, 5716,640,480:waittimer 55900 blt 0,0,640,480,0, 5718,640,480:waittimer 55919 blt 0,0,640,480,0, 5720,640,480:waittimer 55939 blt 0,0,640,480,0, 5722,640,480:waittimer 55958 blt 0,0,640,480,0, 5724,640,480:waittimer 55978 blt 0,0,640,480,0, 5726,640,480:waittimer 55998 blt 0,0,640,480,0, 5728,640,480:waittimer 56017 blt 0,0,640,480,0, 5730,640,480:waittimer 56037 blt 0,0,640,480,0, 5732,640,480:waittimer 56056 blt 0,0,640,480,0, 5734,640,480:waittimer 56076 blt 0,0,640,480,0, 5736,640,480:waittimer 56095 blt 0,0,640,480,0, 5738,640,480:waittimer 56115 blt 0,0,640,480,0, 5740,640,480:waittimer 56134 blt 0,0,640,480,0, 5742,640,480:waittimer 56154 blt 0,0,640,480,0, 5744,640,480:waittimer 56174 blt 0,0,640,480,0, 5746,640,480:waittimer 56193 blt 0,0,640,480,0, 5748,640,480:waittimer 56213 blt 0,0,640,480,0, 5750,640,480:waittimer 56232 blt 0,0,640,480,0, 5752,640,480:waittimer 56252 blt 0,0,640,480,0, 5754,640,480:waittimer 56271 blt 0,0,640,480,0, 5756,640,480:waittimer 56291 blt 0,0,640,480,0, 5758,640,480:waittimer 56311 blt 0,0,640,480,0, 5760,640,480:waittimer 56330 blt 0,0,640,480,0, 5762,640,480:waittimer 56350 blt 0,0,640,480,0, 5764,640,480:waittimer 56369 blt 0,0,640,480,0, 5766,640,480:waittimer 56389 blt 0,0,640,480,0, 5768,640,480:waittimer 56408 blt 0,0,640,480,0, 5770,640,480:waittimer 56428 blt 0,0,640,480,0, 5772,640,480:waittimer 56447 blt 0,0,640,480,0, 5774,640,480:waittimer 56467 blt 0,0,640,480,0, 5776,640,480:waittimer 56487 blt 0,0,640,480,0, 5778,640,480:waittimer 56506 blt 0,0,640,480,0, 5780,640,480:waittimer 56526 blt 0,0,640,480,0, 5782,640,480:waittimer 56545 blt 0,0,640,480,0, 5784,640,480:waittimer 56565 blt 0,0,640,480,0, 5786,640,480:waittimer 56584 blt 0,0,640,480,0, 5788,640,480:waittimer 56604 blt 0,0,640,480,0, 5790,640,480:waittimer 56623 blt 0,0,640,480,0, 5792,640,480:waittimer 56643 blt 0,0,640,480,0, 5794,640,480:waittimer 56663 blt 0,0,640,480,0, 5796,640,480:waittimer 56682 blt 0,0,640,480,0, 5798,640,480:waittimer 56702 blt 0,0,640,480,0, 5800,640,480:waittimer 56721 blt 0,0,640,480,0, 5802,640,480:waittimer 56741 blt 0,0,640,480,0, 5804,640,480:waittimer 56760 blt 0,0,640,480,0, 5806,640,480:waittimer 56780 blt 0,0,640,480,0, 5808,640,480:waittimer 56800 blt 0,0,640,480,0, 5810,640,480:waittimer 56819 blt 0,0,640,480,0, 5812,640,480:waittimer 56839 blt 0,0,640,480,0, 5814,640,480:waittimer 56858 blt 0,0,640,480,0, 5816,640,480:waittimer 56878 blt 0,0,640,480,0, 5818,640,480:waittimer 56897 blt 0,0,640,480,0, 5820,640,480:waittimer 56917 blt 0,0,640,480,0, 5822,640,480:waittimer 56936 blt 0,0,640,480,0, 5824,640,480:waittimer 56956 blt 0,0,640,480,0, 5826,640,480:waittimer 56976 blt 0,0,640,480,0, 5828,640,480:waittimer 56995 blt 0,0,640,480,0, 5830,640,480:waittimer 57015 blt 0,0,640,480,0, 5832,640,480:waittimer 57034 blt 0,0,640,480,0, 5834,640,480:waittimer 57054 blt 0,0,640,480,0, 5836,640,480:waittimer 57073 blt 0,0,640,480,0, 5838,640,480:waittimer 57093 blt 0,0,640,480,0, 5840,640,480:waittimer 57112 blt 0,0,640,480,0, 5842,640,480:waittimer 57132 blt 0,0,640,480,0, 5844,640,480:waittimer 57152 blt 0,0,640,480,0, 5846,640,480:waittimer 57171 blt 0,0,640,480,0, 5848,640,480:waittimer 57191 blt 0,0,640,480,0, 5850,640,480:waittimer 57210 blt 0,0,640,480,0, 5852,640,480:waittimer 57230 blt 0,0,640,480,0, 5854,640,480:waittimer 57249 blt 0,0,640,480,0, 5856,640,480:waittimer 57269 blt 0,0,640,480,0, 5858,640,480:waittimer 57288 blt 0,0,640,480,0, 5860,640,480:waittimer 57308 blt 0,0,640,480,0, 5862,640,480:waittimer 57328 blt 0,0,640,480,0, 5864,640,480:waittimer 57347 blt 0,0,640,480,0, 5866,640,480:waittimer 57367 blt 0,0,640,480,0, 5868,640,480:waittimer 57386 blt 0,0,640,480,0, 5870,640,480:waittimer 57406 blt 0,0,640,480,0, 5872,640,480:waittimer 57425 blt 0,0,640,480,0, 5874,640,480:waittimer 57445 blt 0,0,640,480,0, 5876,640,480:waittimer 57465 blt 0,0,640,480,0, 5878,640,480:waittimer 57484 blt 0,0,640,480,0, 5880,640,480:waittimer 57504 blt 0,0,640,480,0, 5882,640,480:waittimer 57523 blt 0,0,640,480,0, 5884,640,480:waittimer 57543 blt 0,0,640,480,0, 5886,640,480:waittimer 57562 blt 0,0,640,480,0, 5888,640,480:waittimer 57582 blt 0,0,640,480,0, 5890,640,480:waittimer 57601 blt 0,0,640,480,0, 5892,640,480:waittimer 57621 blt 0,0,640,480,0, 5894,640,480:waittimer 57641 blt 0,0,640,480,0, 5896,640,480:waittimer 57660 blt 0,0,640,480,0, 5898,640,480:waittimer 57680 blt 0,0,640,480,0, 5900,640,480:waittimer 57699 blt 0,0,640,480,0, 5902,640,480:waittimer 57719 blt 0,0,640,480,0, 5904,640,480:waittimer 57738 blt 0,0,640,480,0, 5906,640,480:waittimer 57758 blt 0,0,640,480,0, 5908,640,480:waittimer 57777 blt 0,0,640,480,0, 5910,640,480:waittimer 57797 blt 0,0,640,480,0, 5912,640,480:waittimer 57817 blt 0,0,640,480,0, 5914,640,480:waittimer 57836 blt 0,0,640,480,0, 5916,640,480:waittimer 57856 blt 0,0,640,480,0, 5918,640,480:waittimer 57875 blt 0,0,640,480,0, 5920,640,480:waittimer 57895 blt 0,0,640,480,0, 5922,640,480:waittimer 57914 blt 0,0,640,480,0, 5924,640,480:waittimer 57934 blt 0,0,640,480,0, 5926,640,480:waittimer 57953 blt 0,0,640,480,0, 5928,640,480:waittimer 57973 blt 0,0,640,480,0, 5930,640,480:waittimer 57993 blt 0,0,640,480,0, 5932,640,480:waittimer 58012 blt 0,0,640,480,0, 5934,640,480:waittimer 58032 blt 0,0,640,480,0, 5936,640,480:waittimer 58051 blt 0,0,640,480,0, 5938,640,480:waittimer 58071 blt 0,0,640,480,0, 5940,640,480:waittimer 58090 blt 0,0,640,480,0, 5942,640,480:waittimer 58110 blt 0,0,640,480,0, 5944,640,480:waittimer 58130 blt 0,0,640,480,0, 5946,640,480:waittimer 58149 blt 0,0,640,480,0, 5948,640,480:waittimer 58169 blt 0,0,640,480,0, 5950,640,480:waittimer 58188 blt 0,0,640,480,0, 5952,640,480:waittimer 58208 blt 0,0,640,480,0, 5954,640,480:waittimer 58227 blt 0,0,640,480,0, 5956,640,480:waittimer 58247 blt 0,0,640,480,0, 5958,640,480:waittimer 58266 blt 0,0,640,480,0, 5960,640,480:waittimer 58286 blt 0,0,640,480,0, 5962,640,480:waittimer 58306 blt 0,0,640,480,0, 5964,640,480:waittimer 58325 blt 0,0,640,480,0, 5966,640,480:waittimer 58345 blt 0,0,640,480,0, 5968,640,480:waittimer 58364 blt 0,0,640,480,0, 5970,640,480:waittimer 58384 blt 0,0,640,480,0, 5972,640,480:waittimer 58403 blt 0,0,640,480,0, 5974,640,480:waittimer 58423 blt 0,0,640,480,0, 5976,640,480:waittimer 58442 blt 0,0,640,480,0, 5978,640,480:waittimer 58462 blt 0,0,640,480,0, 5980,640,480:waittimer 58482 blt 0,0,640,480,0, 5982,640,480:waittimer 58501 blt 0,0,640,480,0, 5984,640,480:waittimer 58521 blt 0,0,640,480,0, 5986,640,480:waittimer 58540 blt 0,0,640,480,0, 5988,640,480:waittimer 58560 blt 0,0,640,480,0, 5990,640,480:waittimer 58579 blt 0,0,640,480,0, 5992,640,480:waittimer 58599 blt 0,0,640,480,0, 5994,640,480:waittimer 58619 blt 0,0,640,480,0, 5996,640,480:waittimer 58638 blt 0,0,640,480,0, 5998,640,480:waittimer 58658 blt 0,0,640,480,0, 6000,640,480:waittimer 58677 blt 0,0,640,480,0, 6002,640,480:waittimer 58697 blt 0,0,640,480,0, 6004,640,480:waittimer 58716 blt 0,0,640,480,0, 6006,640,480:waittimer 58736 blt 0,0,640,480,0, 6008,640,480:waittimer 58755 blt 0,0,640,480,0, 6010,640,480:waittimer 58775 blt 0,0,640,480,0, 6012,640,480:waittimer 58795 blt 0,0,640,480,0, 6014,640,480:waittimer 58814 blt 0,0,640,480,0, 6016,640,480:waittimer 58834 blt 0,0,640,480,0, 6018,640,480:waittimer 58853 blt 0,0,640,480,0, 6020,640,480:waittimer 58873 blt 0,0,640,480,0, 6022,640,480:waittimer 58892 blt 0,0,640,480,0, 6024,640,480:waittimer 58912 blt 0,0,640,480,0, 6026,640,480:waittimer 58931 blt 0,0,640,480,0, 6028,640,480:waittimer 58951 blt 0,0,640,480,0, 6030,640,480:waittimer 58971 blt 0,0,640,480,0, 6032,640,480:waittimer 58990 blt 0,0,640,480,0, 6034,640,480:waittimer 59010 blt 0,0,640,480,0, 6036,640,480:waittimer 59029 blt 0,0,640,480,0, 6038,640,480:waittimer 59049 blt 0,0,640,480,0, 6040,640,480:waittimer 59068 blt 0,0,640,480,0, 6042,640,480:waittimer 59088 blt 0,0,640,480,0, 6044,640,480:waittimer 59107 blt 0,0,640,480,0, 6046,640,480:waittimer 59127 blt 0,0,640,480,0, 6048,640,480:waittimer 59147 blt 0,0,640,480,0, 6050,640,480:waittimer 59166 blt 0,0,640,480,0, 6052,640,480:waittimer 59186 blt 0,0,640,480,0, 6054,640,480:waittimer 59205 blt 0,0,640,480,0, 6056,640,480:waittimer 59225 blt 0,0,640,480,0, 6058,640,480:waittimer 59244 blt 0,0,640,480,0, 6060,640,480:waittimer 59264 blt 0,0,640,480,0, 6062,640,480:waittimer 59284 blt 0,0,640,480,0, 6064,640,480:waittimer 59303 blt 0,0,640,480,0, 6066,640,480:waittimer 59323 blt 0,0,640,480,0, 6068,640,480:waittimer 59342 blt 0,0,640,480,0, 6070,640,480:waittimer 59362 blt 0,0,640,480,0, 6072,640,480:waittimer 59381 blt 0,0,640,480,0, 6074,640,480:waittimer 59401 blt 0,0,640,480,0, 6076,640,480:waittimer 59420 blt 0,0,640,480,0, 6078,640,480:waittimer 59440 blt 0,0,640,480,0, 6080,640,480:waittimer 59460 blt 0,0,640,480,0, 6082,640,480:waittimer 59479 blt 0,0,640,480,0, 6084,640,480:waittimer 59499 blt 0,0,640,480,0, 6086,640,480:waittimer 59518 blt 0,0,640,480,0, 6088,640,480:waittimer 59538 blt 0,0,640,480,0, 6090,640,480:waittimer 59557 blt 0,0,640,480,0, 6092,640,480:waittimer 59577 blt 0,0,640,480,0, 6094,640,480:waittimer 59596 blt 0,0,640,480,0, 6096,640,480:waittimer 59616 blt 0,0,640,480,0, 6098,640,480:waittimer 59636 blt 0,0,640,480,0, 6100,640,480:waittimer 59655 blt 0,0,640,480,0, 6102,640,480:waittimer 59675 blt 0,0,640,480,0, 6104,640,480:waittimer 59694 blt 0,0,640,480,0, 6106,640,480:waittimer 59714 blt 0,0,640,480,0, 6108,640,480:waittimer 59733 blt 0,0,640,480,0, 6110,640,480:waittimer 59753 blt 0,0,640,480,0, 6112,640,480:waittimer 59773 blt 0,0,640,480,0, 6114,640,480:waittimer 59792 blt 0,0,640,480,0, 6116,640,480:waittimer 59812 blt 0,0,640,480,0, 6118,640,480:waittimer 59831 blt 0,0,640,480,0, 6120,640,480:waittimer 59851 blt 0,0,640,480,0, 6122,640,480:waittimer 59870 blt 0,0,640,480,0, 6124,640,480:waittimer 59890 blt 0,0,640,480,0, 6126,640,480:waittimer 59909 blt 0,0,640,480,0, 6128,640,480:waittimer 59929 blt 0,0,640,480,0, 6130,640,480:waittimer 59949 blt 0,0,640,480,0, 6132,640,480:waittimer 59968 blt 0,0,640,480,0, 6134,640,480:waittimer 59988 blt 0,0,640,480,0, 6136,640,480:waittimer 60007 blt 0,0,640,480,0, 6138,640,480:waittimer 60027 blt 0,0,640,480,0, 6140,640,480:waittimer 60046 blt 0,0,640,480,0, 6142,640,480:waittimer 60066 blt 0,0,640,480,0, 6144,640,480:waittimer 60085 blt 0,0,640,480,0, 6146,640,480:waittimer 60105 blt 0,0,640,480,0, 6148,640,480:waittimer 60125 blt 0,0,640,480,0, 6150,640,480:waittimer 60144 blt 0,0,640,480,0, 6152,640,480:waittimer 60164 blt 0,0,640,480,0, 6154,640,480:waittimer 60183 blt 0,0,640,480,0, 6156,640,480:waittimer 60203 blt 0,0,640,480,0, 6158,640,480:waittimer 60222 blt 0,0,640,480,0, 6160,640,480:waittimer 60242 blt 0,0,640,480,0, 6162,640,480:waittimer 60261 blt 0,0,640,480,0, 6164,640,480:waittimer 60281 blt 0,0,640,480,0, 6166,640,480:waittimer 60301 blt 0,0,640,480,0, 6168,640,480:waittimer 60320 blt 0,0,640,480,0, 6170,640,480:waittimer 60340 blt 0,0,640,480,0, 6172,640,480:waittimer 60359 blt 0,0,640,480,0, 6174,640,480:waittimer 60379 blt 0,0,640,480,0, 6176,640,480:waittimer 60398 blt 0,0,640,480,0, 6178,640,480:waittimer 60418 blt 0,0,640,480,0, 6180,640,480:waittimer 60438 blt 0,0,640,480,0, 6182,640,480:waittimer 60457 blt 0,0,640,480,0, 6184,640,480:waittimer 60477 blt 0,0,640,480,0, 6186,640,480:waittimer 60496 blt 0,0,640,480,0, 6188,640,480:waittimer 60516 blt 0,0,640,480,0, 6190,640,480:waittimer 60535 blt 0,0,640,480,0, 6192,640,480:waittimer 60555 blt 0,0,640,480,0, 6194,640,480:waittimer 60574 blt 0,0,640,480,0, 6196,640,480:waittimer 60594 blt 0,0,640,480,0, 6198,640,480:waittimer 60614 blt 0,0,640,480,0, 6200,640,480:waittimer 60633 blt 0,0,640,480,0, 6202,640,480:waittimer 60653 blt 0,0,640,480,0, 6204,640,480:waittimer 60672 blt 0,0,640,480,0, 6206,640,480:waittimer 60692 blt 0,0,640,480,0, 6208,640,480:waittimer 60711 blt 0,0,640,480,0, 6210,640,480:waittimer 60731 blt 0,0,640,480,0, 6212,640,480:waittimer 60750 blt 0,0,640,480,0, 6214,640,480:waittimer 60770 blt 0,0,640,480,0, 6216,640,480:waittimer 60790 blt 0,0,640,480,0, 6218,640,480:waittimer 60809 blt 0,0,640,480,0, 6220,640,480:waittimer 60829 blt 0,0,640,480,0, 6222,640,480:waittimer 60848 blt 0,0,640,480,0, 6224,640,480:waittimer 60868 blt 0,0,640,480,0, 6226,640,480:waittimer 60887 blt 0,0,640,480,0, 6228,640,480:waittimer 60907 blt 0,0,640,480,0, 6230,640,480:waittimer 60926 blt 0,0,640,480,0, 6232,640,480:waittimer 60946 blt 0,0,640,480,0, 6234,640,480:waittimer 60966 blt 0,0,640,480,0, 6236,640,480:waittimer 60985 blt 0,0,640,480,0, 6238,640,480:waittimer 61005 blt 0,0,640,480,0, 6240,640,480:waittimer 61024 blt 0,0,640,480,0, 6242,640,480:waittimer 61044 blt 0,0,640,480,0, 6244,640,480:waittimer 61063 blt 0,0,640,480,0, 6246,640,480:waittimer 61083 blt 0,0,640,480,0, 6248,640,480:waittimer 61103 blt 0,0,640,480,0, 6250,640,480:waittimer 61122 blt 0,0,640,480,0, 6252,640,480:waittimer 61142 blt 0,0,640,480,0, 6254,640,480:waittimer 61161 blt 0,0,640,480,0, 6256,640,480:waittimer 61181 blt 0,0,640,480,0, 6258,640,480:waittimer 61200 blt 0,0,640,480,0, 6260,640,480:waittimer 61220 blt 0,0,640,480,0, 6262,640,480:waittimer 61239 blt 0,0,640,480,0, 6264,640,480:waittimer 61259 blt 0,0,640,480,0, 6266,640,480:waittimer 61279 blt 0,0,640,480,0, 6268,640,480:waittimer 61298 blt 0,0,640,480,0, 6270,640,480:waittimer 61318 blt 0,0,640,480,0, 6272,640,480:waittimer 61337 blt 0,0,640,480,0, 6274,640,480:waittimer 61357 blt 0,0,640,480,0, 6276,640,480:waittimer 61376 blt 0,0,640,480,0, 6278,640,480:waittimer 61396 blt 0,0,640,480,0, 6280,640,480:waittimer 61415 blt 0,0,640,480,0, 6282,640,480:waittimer 61435 blt 0,0,640,480,0, 6284,640,480:waittimer 61455 blt 0,0,640,480,0, 6286,640,480:waittimer 61474 blt 0,0,640,480,0, 6288,640,480:waittimer 61494 blt 0,0,640,480,0, 6290,640,480:waittimer 61513 blt 0,0,640,480,0, 6292,640,480:waittimer 61533 blt 0,0,640,480,0, 6294,640,480:waittimer 61552 blt 0,0,640,480,0, 6296,640,480:waittimer 61572 blt 0,0,640,480,0, 6298,640,480:waittimer 61592 blt 0,0,640,480,0, 6300,640,480:waittimer 61611 blt 0,0,640,480,0, 6302,640,480:waittimer 61631 blt 0,0,640,480,0, 6304,640,480:waittimer 61650 blt 0,0,640,480,0, 6306,640,480:waittimer 61670 blt 0,0,640,480,0, 6308,640,480:waittimer 61689 blt 0,0,640,480,0, 6310,640,480:waittimer 61709 blt 0,0,640,480,0, 6312,640,480:waittimer 61728 blt 0,0,640,480,0, 6314,640,480:waittimer 61748 blt 0,0,640,480,0, 6316,640,480:waittimer 61768 blt 0,0,640,480,0, 6318,640,480:waittimer 61787 blt 0,0,640,480,0, 6320,640,480:waittimer 61807 blt 0,0,640,480,0, 6322,640,480:waittimer 61826 blt 0,0,640,480,0, 6324,640,480:waittimer 61846 blt 0,0,640,480,0, 6326,640,480:waittimer 61865 blt 0,0,640,480,0, 6328,640,480:waittimer 61885 blt 0,0,640,480,0, 6330,640,480:waittimer 61904 blt 0,0,640,480,0, 6332,640,480:waittimer 61924 blt 0,0,640,480,0, 6334,640,480:waittimer 61944 blt 0,0,640,480,0, 6336,640,480:waittimer 61963 blt 0,0,640,480,0, 6338,640,480:waittimer 61983 blt 0,0,640,480,0, 6340,640,480:waittimer 62002 blt 0,0,640,480,0, 6342,640,480:waittimer 62022 blt 0,0,640,480,0, 6344,640,480:waittimer 62041 blt 0,0,640,480,0, 6346,640,480:waittimer 62061 blt 0,0,640,480,0, 6348,640,480:waittimer 62080 blt 0,0,640,480,0, 6350,640,480:waittimer 62100 blt 0,0,640,480,0, 6352,640,480:waittimer 62120 blt 0,0,640,480,0, 6354,640,480:waittimer 62139 blt 0,0,640,480,0, 6356,640,480:waittimer 62159 blt 0,0,640,480,0, 6358,640,480:waittimer 62178 blt 0,0,640,480,0, 6360,640,480:waittimer 62198 blt 0,0,640,480,0, 6362,640,480:waittimer 62217 blt 0,0,640,480,0, 6364,640,480:waittimer 62237 blt 0,0,640,480,0, 6366,640,480:waittimer 62257 blt 0,0,640,480,0, 6368,640,480:waittimer 62276 blt 0,0,640,480,0, 6370,640,480:waittimer 62296 blt 0,0,640,480,0, 6372,640,480:waittimer 62315 blt 0,0,640,480,0, 6374,640,480:waittimer 62335 blt 0,0,640,480,0, 6376,640,480:waittimer 62354 blt 0,0,640,480,0, 6378,640,480:waittimer 62374 blt 0,0,640,480,0, 6380,640,480:waittimer 62393 blt 0,0,640,480,0, 6382,640,480:waittimer 62413 blt 0,0,640,480,0, 6384,640,480:waittimer 62433 blt 0,0,640,480,0, 6386,640,480:waittimer 62452 blt 0,0,640,480,0, 6388,640,480:waittimer 62472 blt 0,0,640,480,0, 6390,640,480:waittimer 62491 blt 0,0,640,480,0, 6392,640,480:waittimer 62511 blt 0,0,640,480,0, 6394,640,480:waittimer 62530 blt 0,0,640,480,0, 6396,640,480:waittimer 62550 blt 0,0,640,480,0, 6398,640,480:waittimer 62569 blt 0,0,640,480,0, 6400,640,480:waittimer 62589 blt 0,0,640,480,0, 6402,640,480:waittimer 62609 blt 0,0,640,480,0, 6404,640,480:waittimer 62628 blt 0,0,640,480,0, 6406,640,480:waittimer 62648 blt 0,0,640,480,0, 6408,640,480:waittimer 62667 blt 0,0,640,480,0, 6410,640,480:waittimer 62687 blt 0,0,640,480,0, 6412,640,480:waittimer 62706 blt 0,0,640,480,0, 6414,640,480:waittimer 62726 blt 0,0,640,480,0, 6416,640,480:waittimer 62746 blt 0,0,640,480,0, 6418,640,480:waittimer 62765 blt 0,0,640,480,0, 6420,640,480:waittimer 62785 blt 0,0,640,480,0, 6422,640,480:waittimer 62804 blt 0,0,640,480,0, 6424,640,480:waittimer 62824 blt 0,0,640,480,0, 6426,640,480:waittimer 62843 blt 0,0,640,480,0, 6428,640,480:waittimer 62863 blt 0,0,640,480,0, 6430,640,480:waittimer 62882 blt 0,0,640,480,0, 6432,640,480:waittimer 62902 blt 0,0,640,480,0, 6434,640,480:waittimer 62922 blt 0,0,640,480,0, 6436,640,480:waittimer 62941 blt 0,0,640,480,0, 6438,640,480:waittimer 62961 blt 0,0,640,480,0, 6440,640,480:waittimer 62980 blt 0,0,640,480,0, 6442,640,480:waittimer 63000 blt 0,0,640,480,0, 6444,640,480:waittimer 63019 blt 0,0,640,480,0, 6446,640,480:waittimer 63039 blt 0,0,640,480,0, 6448,640,480:waittimer 63058 blt 0,0,640,480,0, 6450,640,480:waittimer 63078 blt 0,0,640,480,0, 6452,640,480:waittimer 63098 blt 0,0,640,480,0, 6454,640,480:waittimer 63117 blt 0,0,640,480,0, 6456,640,480:waittimer 63137 blt 0,0,640,480,0, 6458,640,480:waittimer 63156 blt 0,0,640,480,0, 6460,640,480:waittimer 63176 blt 0,0,640,480,0, 6462,640,480:waittimer 63195 blt 0,0,640,480,0, 6464,640,480:waittimer 63215 blt 0,0,640,480,0, 6466,640,480:waittimer 63234 blt 0,0,640,480,0, 6468,640,480:waittimer 63254 blt 0,0,640,480,0, 6470,640,480:waittimer 63274 blt 0,0,640,480,0, 6472,640,480:waittimer 63293 blt 0,0,640,480,0, 6474,640,480:waittimer 63313 blt 0,0,640,480,0, 6476,640,480:waittimer 63332 blt 0,0,640,480,0, 6478,640,480:waittimer 63352 blt 0,0,640,480,0, 6480,640,480:waittimer 63371 blt 0,0,640,480,0, 6482,640,480:waittimer 63391 blt 0,0,640,480,0, 6484,640,480:waittimer 63411 blt 0,0,640,480,0, 6486,640,480:waittimer 63430 blt 0,0,640,480,0, 6488,640,480:waittimer 63450 blt 0,0,640,480,0, 6490,640,480:waittimer 63469 blt 0,0,640,480,0, 6492,640,480:waittimer 63489 blt 0,0,640,480,0, 6494,640,480:waittimer 63508 blt 0,0,640,480,0, 6496,640,480:waittimer 63528 blt 0,0,640,480,0, 6498,640,480:waittimer 63547 blt 0,0,640,480,0, 6500,640,480:waittimer 63567 blt 0,0,640,480,0, 6502,640,480:waittimer 63587 blt 0,0,640,480,0, 6504,640,480:waittimer 63606 blt 0,0,640,480,0, 6506,640,480:waittimer 63626 blt 0,0,640,480,0, 6508,640,480:waittimer 63645 blt 0,0,640,480,0, 6510,640,480:waittimer 63665 blt 0,0,640,480,0, 6512,640,480:waittimer 63684 blt 0,0,640,480,0, 6514,640,480:waittimer 63704 blt 0,0,640,480,0, 6516,640,480:waittimer 63723 blt 0,0,640,480,0, 6518,640,480:waittimer 63743 blt 0,0,640,480,0, 6520,640,480:waittimer 63763 blt 0,0,640,480,0, 6522,640,480:waittimer 63782 blt 0,0,640,480,0, 6524,640,480:waittimer 63802 blt 0,0,640,480,0, 6526,640,480:waittimer 63821 blt 0,0,640,480,0, 6528,640,480:waittimer 63841 blt 0,0,640,480,0, 6530,640,480:waittimer 63860 blt 0,0,640,480,0, 6532,640,480:waittimer 63880 blt 0,0,640,480,0, 6534,640,480:waittimer 63900 blt 0,0,640,480,0, 6536,640,480:waittimer 63919 blt 0,0,640,480,0, 6538,640,480:waittimer 63939 blt 0,0,640,480,0, 6540,640,480:waittimer 63958 blt 0,0,640,480,0, 6542,640,480:waittimer 63978 blt 0,0,640,480,0, 6544,640,480:waittimer 63997 blt 0,0,640,480,0, 6546,640,480:waittimer 64017 blt 0,0,640,480,0, 6548,640,480:waittimer 64036 blt 0,0,640,480,0, 6550,640,480:waittimer 64056 blt 0,0,640,480,0, 6552,640,480:waittimer 64076 blt 0,0,640,480,0, 6554,640,480:waittimer 64095 blt 0,0,640,480,0, 6556,640,480:waittimer 64115 blt 0,0,640,480,0, 6558,640,480:waittimer 64134 blt 0,0,640,480,0, 6560,640,480:waittimer 64154 blt 0,0,640,480,0, 6562,640,480:waittimer 64173 blt 0,0,640,480,0, 6564,640,480:waittimer 64193 blt 0,0,640,480,0, 6566,640,480:waittimer 64212 blt 0,0,640,480,0, 6568,640,480:waittimer 64232 blt 0,0,640,480,0, 6570,640,480:waittimer 64252 blt 0,0,640,480,0, 6572,640,480:waittimer 64271 blt 0,0,640,480,0, 6574,640,480:waittimer 64291 blt 0,0,640,480,0, 6576,640,480:waittimer 64310 blt 0,0,640,480,0, 6578,640,480:waittimer 64330 blt 0,0,640,480,0, 6580,640,480:waittimer 64349 blt 0,0,640,480,0, 6582,640,480:waittimer 64369 blt 0,0,640,480,0, 6584,640,480:waittimer 64388 blt 0,0,640,480,0, 6586,640,480:waittimer 64408 blt 0,0,640,480,0, 6588,640,480:waittimer 64428 blt 0,0,640,480,0, 6590,640,480:waittimer 64447 blt 0,0,640,480,0, 6592,640,480:waittimer 64467 blt 0,0,640,480,0, 6594,640,480:waittimer 64486 blt 0,0,640,480,0, 6596,640,480:waittimer 64506 blt 0,0,640,480,0, 6598,640,480:waittimer 64525 blt 0,0,640,480,0, 6600,640,480:waittimer 64545 blt 0,0,640,480,0, 6602,640,480:waittimer 64565 blt 0,0,640,480,0, 6604,640,480:waittimer 64584 blt 0,0,640,480,0, 6606,640,480:waittimer 64604 blt 0,0,640,480,0, 6608,640,480:waittimer 64623 blt 0,0,640,480,0, 6610,640,480:waittimer 64643 blt 0,0,640,480,0, 6612,640,480:waittimer 64662 blt 0,0,640,480,0, 6614,640,480:waittimer 64682 blt 0,0,640,480,0, 6616,640,480:waittimer 64701 blt 0,0,640,480,0, 6618,640,480:waittimer 64721 blt 0,0,640,480,0, 6620,640,480:waittimer 64741 blt 0,0,640,480,0, 6622,640,480:waittimer 64760 blt 0,0,640,480,0, 6624,640,480:waittimer 64780 blt 0,0,640,480,0, 6626,640,480:waittimer 64799 blt 0,0,640,480,0, 6628,640,480:waittimer 64819 blt 0,0,640,480,0, 6630,640,480:waittimer 64838 blt 0,0,640,480,0, 6632,640,480:waittimer 64858 blt 0,0,640,480,0, 6634,640,480:waittimer 64877 blt 0,0,640,480,0, 6636,640,480:waittimer 64897 blt 0,0,640,480,0, 6638,640,480:waittimer 64917 blt 0,0,640,480,0, 6640,640,480:waittimer 64936 blt 0,0,640,480,0, 6642,640,480:waittimer 64956 blt 0,0,640,480,0, 6644,640,480:waittimer 64975 blt 0,0,640,480,0, 6646,640,480:waittimer 64995 blt 0,0,640,480,0, 6648,640,480:waittimer 65014 blt 0,0,640,480,0, 6650,640,480:waittimer 65034 blt 0,0,640,480,0, 6652,640,480:waittimer 65053 blt 0,0,640,480,0, 6654,640,480:waittimer 65073 blt 0,0,640,480,0, 6656,640,480:waittimer 65093 blt 0,0,640,480,0, 6658,640,480:waittimer 65112 blt 0,0,640,480,0, 6660,640,480:waittimer 65132 blt 0,0,640,480,0, 6662,640,480:waittimer 65151 blt 0,0,640,480,0, 6664,640,480:waittimer 65171 blt 0,0,640,480,0, 6666,640,480:waittimer 65190 blt 0,0,640,480,0, 6668,640,480:waittimer 65210 blt 0,0,640,480,0, 6670,640,480:waittimer 65230 blt 0,0,640,480,0, 6672,640,480:waittimer 65249 blt 0,0,640,480,0, 6674,640,480:waittimer 65269 blt 0,0,640,480,0, 6676,640,480:waittimer 65288 blt 0,0,640,480,0, 6678,640,480:waittimer 65308 blt 0,0,640,480,0, 6680,640,480:waittimer 65327 blt 0,0,640,480,0, 6682,640,480:waittimer 65347 blt 0,0,640,480,0, 6684,640,480:waittimer 65366 blt 0,0,640,480,0, 6686,640,480:waittimer 65386 blt 0,0,640,480,0, 6688,640,480:waittimer 65406 blt 0,0,640,480,0, 6690,640,480:waittimer 65425 blt 0,0,640,480,0, 6692,640,480:waittimer 65445 blt 0,0,640,480,0, 6694,640,480:waittimer 65464 blt 0,0,640,480,0, 6696,640,480:waittimer 65484 blt 0,0,640,480,0, 6698,640,480:waittimer 65503 blt 0,0,640,480,0, 6700,640,480:waittimer 65523 blt 0,0,640,480,0, 6702,640,480:waittimer 65542 blt 0,0,640,480,0, 6704,640,480:waittimer 65562 blt 0,0,640,480,0, 6706,640,480:waittimer 65582 blt 0,0,640,480,0, 6708,640,480:waittimer 65601 blt 0,0,640,480,0, 6710,640,480:waittimer 65621 blt 0,0,640,480,0, 6712,640,480:waittimer 65640 blt 0,0,640,480,0, 6714,640,480:waittimer 65660 blt 0,0,640,480,0, 6716,640,480:waittimer 65679 blt 0,0,640,480,0, 6718,640,480:waittimer 65699 blt 0,0,640,480,0, 6720,640,480:waittimer 65719 blt 0,0,640,480,0, 6722,640,480:waittimer 65738 blt 0,0,640,480,0, 6724,640,480:waittimer 65758 blt 0,0,640,480,0, 6726,640,480:waittimer 65777 blt 0,0,640,480,0, 6728,640,480:waittimer 65797 blt 0,0,640,480,0, 6730,640,480:waittimer 65816 blt 0,0,640,480,0, 6732,640,480:waittimer 65836 blt 0,0,640,480,0, 6734,640,480:waittimer 65855 blt 0,0,640,480,0, 6736,640,480:waittimer 65875 blt 0,0,640,480,0, 6738,640,480:waittimer 65895 blt 0,0,640,480,0, 6740,640,480:waittimer 65914 blt 0,0,640,480,0, 6742,640,480:waittimer 65934 blt 0,0,640,480,0, 6744,640,480:waittimer 65953 blt 0,0,640,480,0, 6746,640,480:waittimer 65973 blt 0,0,640,480,0, 6748,640,480:waittimer 65992 blt 0,0,640,480,0, 6750,640,480:waittimer 66012 blt 0,0,640,480,0, 6752,640,480:waittimer 66031 blt 0,0,640,480,0, 6754,640,480:waittimer 66051 blt 0,0,640,480,0, 6756,640,480:waittimer 66071 blt 0,0,640,480,0, 6758,640,480:waittimer 66090 blt 0,0,640,480,0, 6760,640,480:waittimer 66110 blt 0,0,640,480,0, 6762,640,480:waittimer 66129 blt 0,0,640,480,0, 6764,640,480:waittimer 66149 blt 0,0,640,480,0, 6766,640,480:waittimer 66168 blt 0,0,640,480,0, 6768,640,480:waittimer 66188 blt 0,0,640,480,0, 6770,640,480:waittimer 66207 blt 0,0,640,480,0, 6772,640,480:waittimer 66227 blt 0,0,640,480,0, 6774,640,480:waittimer 66247 blt 0,0,640,480,0, 6776,640,480:waittimer 66266 blt 0,0,640,480,0, 6778,640,480:waittimer 66286 blt 0,0,640,480,0, 6780,640,480:waittimer 66305 blt 0,0,640,480,0, 6782,640,480:waittimer 66325 blt 0,0,640,480,0, 6784,640,480:waittimer 66344 blt 0,0,640,480,0, 6786,640,480:waittimer 66364 blt 0,0,640,480,0, 6788,640,480:waittimer 66384 blt 0,0,640,480,0, 6790,640,480:waittimer 66403 blt 0,0,640,480,0, 6792,640,480:waittimer 66423 blt 0,0,640,480,0, 6794,640,480:waittimer 66442 blt 0,0,640,480,0, 6796,640,480:waittimer 66462 blt 0,0,640,480,0, 6798,640,480:waittimer 66481 blt 0,0,640,480,0, 6800,640,480:waittimer 66501 blt 0,0,640,480,0, 6802,640,480:waittimer 66520 blt 0,0,640,480,0, 6804,640,480:waittimer 66540 blt 0,0,640,480,0, 6806,640,480:waittimer 66560 blt 0,0,640,480,0, 6808,640,480:waittimer 66579 blt 0,0,640,480,0, 6810,640,480:waittimer 66599 blt 0,0,640,480,0, 6812,640,480:waittimer 66618 blt 0,0,640,480,0, 6814,640,480:waittimer 66638 blt 0,0,640,480,0, 6816,640,480:waittimer 66657 blt 0,0,640,480,0, 6818,640,480:waittimer 66677 blt 0,0,640,480,0, 6820,640,480:waittimer 66696 blt 0,0,640,480,0, 6822,640,480:waittimer 66716 blt 0,0,640,480,0, 6824,640,480:waittimer 66736 blt 0,0,640,480,0, 6826,640,480:waittimer 66755 blt 0,0,640,480,0, 6828,640,480:waittimer 66775 blt 0,0,640,480,0, 6830,640,480:waittimer 66794 blt 0,0,640,480,0, 6832,640,480:waittimer 66814 blt 0,0,640,480,0, 6834,640,480:waittimer 66833 blt 0,0,640,480,0, 6836,640,480:waittimer 66853 blt 0,0,640,480,0, 6838,640,480:waittimer 66873 blt 0,0,640,480,0, 6840,640,480:waittimer 66892 blt 0,0,640,480,0, 6842,640,480:waittimer 66912 blt 0,0,640,480,0, 6844,640,480:waittimer 66931 blt 0,0,640,480,0, 6846,640,480:waittimer 66951 blt 0,0,640,480,0, 6848,640,480:waittimer 66970 blt 0,0,640,480,0, 6850,640,480:waittimer 66990 blt 0,0,640,480,0, 6852,640,480:waittimer 67009 blt 0,0,640,480,0, 6854,640,480:waittimer 67029 blt 0,0,640,480,0, 6856,640,480:waittimer 67049 blt 0,0,640,480,0, 6858,640,480:waittimer 67068 blt 0,0,640,480,0, 6860,640,480:waittimer 67088 blt 0,0,640,480,0, 6862,640,480:waittimer 67107 blt 0,0,640,480,0, 6864,640,480:waittimer 67127 blt 0,0,640,480,0, 6866,640,480:waittimer 67146 blt 0,0,640,480,0, 6868,640,480:waittimer 67166 blt 0,0,640,480,0, 6870,640,480:waittimer 67185 blt 0,0,640,480,0, 6872,640,480:waittimer 67205 blt 0,0,640,480,0, 6874,640,480:waittimer 67225 blt 0,0,640,480,0, 6876,640,480:waittimer 67244 blt 0,0,640,480,0, 6878,640,480:waittimer 67264 blt 0,0,640,480,0, 6880,640,480:waittimer 67283 blt 0,0,640,480,0, 6882,640,480:waittimer 67303 blt 0,0,640,480,0, 6884,640,480:waittimer 67322 blt 0,0,640,480,0, 6886,640,480:waittimer 67342 blt 0,0,640,480,0, 6888,640,480:waittimer 67361 blt 0,0,640,480,0, 6890,640,480:waittimer 67381 blt 0,0,640,480,0, 6892,640,480:waittimer 67401 blt 0,0,640,480,0, 6894,640,480:waittimer 67420 blt 0,0,640,480,0, 6896,640,480:waittimer 67440 blt 0,0,640,480,0, 6898,640,480:waittimer 67459 blt 0,0,640,480,0, 6900,640,480:waittimer 67479 blt 0,0,640,480,0, 6902,640,480:waittimer 67498 blt 0,0,640,480,0, 6904,640,480:waittimer 67518 blt 0,0,640,480,0, 6906,640,480:waittimer 67538 blt 0,0,640,480,0, 6908,640,480:waittimer 67557 blt 0,0,640,480,0, 6910,640,480:waittimer 67577 blt 0,0,640,480,0, 6912,640,480:waittimer 67596 blt 0,0,640,480,0, 6914,640,480:waittimer 67616 blt 0,0,640,480,0, 6916,640,480:waittimer 67635 blt 0,0,640,480,0, 6918,640,480:waittimer 67655 blt 0,0,640,480,0, 6920,640,480:waittimer 67674 blt 0,0,640,480,0, 6922,640,480:waittimer 67694 blt 0,0,640,480,0, 6924,640,480:waittimer 67714 blt 0,0,640,480,0, 6926,640,480:waittimer 67733 blt 0,0,640,480,0, 6928,640,480:waittimer 67753 blt 0,0,640,480,0, 6930,640,480:waittimer 67772 blt 0,0,640,480,0, 6932,640,480:waittimer 67792 blt 0,0,640,480,0, 6934,640,480:waittimer 67811 blt 0,0,640,480,0, 6936,640,480:waittimer 67831 blt 0,0,640,480,0, 6938,640,480:waittimer 67850 blt 0,0,640,480,0, 6940,640,480:waittimer 67870 blt 0,0,640,480,0, 6942,640,480:waittimer 67890 blt 0,0,640,480,0, 6944,640,480:waittimer 67909 blt 0,0,640,480,0, 6946,640,480:waittimer 67929 blt 0,0,640,480,0, 6948,640,480:waittimer 67948 blt 0,0,640,480,0, 6950,640,480:waittimer 67968 blt 0,0,640,480,0, 6952,640,480:waittimer 67987 blt 0,0,640,480,0, 6954,640,480:waittimer 68007 blt 0,0,640,480,0, 6956,640,480:waittimer 68026 blt 0,0,640,480,0, 6958,640,480:waittimer 68046 blt 0,0,640,480,0, 6960,640,480:waittimer 68066 blt 0,0,640,480,0, 6962,640,480:waittimer 68085 blt 0,0,640,480,0, 6964,640,480:waittimer 68105 blt 0,0,640,480,0, 6966,640,480:waittimer 68124 blt 0,0,640,480,0, 6968,640,480:waittimer 68144 blt 0,0,640,480,0, 6970,640,480:waittimer 68163 blt 0,0,640,480,0, 6972,640,480:waittimer 68183 blt 0,0,640,480,0, 6974,640,480:waittimer 68203 blt 0,0,640,480,0, 6976,640,480:waittimer 68222 blt 0,0,640,480,0, 6978,640,480:waittimer 68242 blt 0,0,640,480,0, 6980,640,480:waittimer 68261 blt 0,0,640,480,0, 6982,640,480:waittimer 68281 blt 0,0,640,480,0, 6984,640,480:waittimer 68300 blt 0,0,640,480,0, 6986,640,480:waittimer 68320 blt 0,0,640,480,0, 6988,640,480:waittimer 68339 blt 0,0,640,480,0, 6990,640,480:waittimer 68359 blt 0,0,640,480,0, 6992,640,480:waittimer 68379 blt 0,0,640,480,0, 6994,640,480:waittimer 68398 blt 0,0,640,480,0, 6996,640,480:waittimer 68418 blt 0,0,640,480,0, 6998,640,480:waittimer 68437 blt 0,0,640,480,0, 7000,640,480:waittimer 68457 blt 0,0,640,480,0, 7002,640,480:waittimer 68476 blt 0,0,640,480,0, 7004,640,480:waittimer 68496 blt 0,0,640,480,0, 7006,640,480:waittimer 68515 blt 0,0,640,480,0, 7008,640,480:waittimer 68535 blt 0,0,640,480,0, 7010,640,480:waittimer 68555 blt 0,0,640,480,0, 7012,640,480:waittimer 68574 blt 0,0,640,480,0, 7014,640,480:waittimer 68594 blt 0,0,640,480,0, 7016,640,480:waittimer 68613 blt 0,0,640,480,0, 7018,640,480:waittimer 68633 blt 0,0,640,480,0, 7020,640,480:waittimer 68652 blt 0,0,640,480,0, 7022,640,480:waittimer 68672 blt 0,0,640,480,0, 7024,640,480:waittimer 68692 blt 0,0,640,480,0, 7026,640,480:waittimer 68711 blt 0,0,640,480,0, 7028,640,480:waittimer 68731 blt 0,0,640,480,0, 7030,640,480:waittimer 68750 blt 0,0,640,480,0, 7032,640,480:waittimer 68770 blt 0,0,640,480,0, 7034,640,480:waittimer 68789 blt 0,0,640,480,0, 7036,640,480:waittimer 68809 blt 0,0,640,480,0, 7038,640,480:waittimer 68828 blt 0,0,640,480,0, 7040,640,480:waittimer 68848 blt 0,0,640,480,0, 7042,640,480:waittimer 68868 blt 0,0,640,480,0, 7044,640,480:waittimer 68887 blt 0,0,640,480,0, 7046,640,480:waittimer 68907 blt 0,0,640,480,0, 7048,640,480:waittimer 68926 blt 0,0,640,480,0, 7050,640,480:waittimer 68946 blt 0,0,640,480,0, 7052,640,480:waittimer 68965 blt 0,0,640,480,0, 7054,640,480:waittimer 68985 blt 0,0,640,480,0, 7056,640,480:waittimer 69004 blt 0,0,640,480,0, 7058,640,480:waittimer 69024 blt 0,0,640,480,0, 7060,640,480:waittimer 69044 blt 0,0,640,480,0, 7062,640,480:waittimer 69063 blt 0,0,640,480,0, 7064,640,480:waittimer 69083 blt 0,0,640,480,0, 7066,640,480:waittimer 69102 blt 0,0,640,480,0, 7068,640,480:waittimer 69122 blt 0,0,640,480,0, 7070,640,480:waittimer 69141 blt 0,0,640,480,0, 7072,640,480:waittimer 69161 blt 0,0,640,480,0, 7074,640,480:waittimer 69180 blt 0,0,640,480,0, 7076,640,480:waittimer 69200 blt 0,0,640,480,0, 7078,640,480:waittimer 69220 blt 0,0,640,480,0, 7080,640,480:waittimer 69239 blt 0,0,640,480,0, 7082,640,480:waittimer 69259 blt 0,0,640,480,0, 7084,640,480:waittimer 69278 blt 0,0,640,480,0, 7086,640,480:waittimer 69298 blt 0,0,640,480,0, 7088,640,480:waittimer 69317 blt 0,0,640,480,0, 7090,640,480:waittimer 69337 blt 0,0,640,480,0, 7092,640,480:waittimer 69357 blt 0,0,640,480,0, 7094,640,480:waittimer 69376 blt 0,0,640,480,0, 7096,640,480:waittimer 69396 blt 0,0,640,480,0, 7098,640,480:waittimer 69415 blt 0,0,640,480,0, 7100,640,480:waittimer 69435 blt 0,0,640,480,0, 7102,640,480:waittimer 69454 blt 0,0,640,480,0, 7104,640,480:waittimer 69474 blt 0,0,640,480,0, 7106,640,480:waittimer 69493 blt 0,0,640,480,0, 7108,640,480:waittimer 69513 blt 0,0,640,480,0, 7110,640,480:waittimer 69533 blt 0,0,640,480,0, 7112,640,480:waittimer 69552 blt 0,0,640,480,0, 7114,640,480:waittimer 69572 blt 0,0,640,480,0, 7116,640,480:waittimer 69591 blt 0,0,640,480,0, 7118,640,480:waittimer 69611 blt 0,0,640,480,0, 7120,640,480:waittimer 69630 blt 0,0,640,480,0, 7122,640,480:waittimer 69650 blt 0,0,640,480,0, 7124,640,480:waittimer 69669 blt 0,0,640,480,0, 7126,640,480:waittimer 69689 blt 0,0,640,480,0, 7128,640,480:waittimer 69709 blt 0,0,640,480,0, 7130,640,480:waittimer 69728 blt 0,0,640,480,0, 7132,640,480:waittimer 69748 blt 0,0,640,480,0, 7134,640,480:waittimer 69767 blt 0,0,640,480,0, 7136,640,480:waittimer 69787 blt 0,0,640,480,0, 7138,640,480:waittimer 69806 blt 0,0,640,480,0, 7140,640,480:waittimer 69826 blt 0,0,640,480,0, 7142,640,480:waittimer 69846 blt 0,0,640,480,0, 7144,640,480:waittimer 69865 blt 0,0,640,480,0, 7146,640,480:waittimer 69885 blt 0,0,640,480,0, 7148,640,480:waittimer 69904 blt 0,0,640,480,0, 7150,640,480:waittimer 69924 blt 0,0,640,480,0, 7152,640,480:waittimer 69943 blt 0,0,640,480,0, 7154,640,480:waittimer 69963 blt 0,0,640,480,0, 7156,640,480:waittimer 69982 blt 0,0,640,480,0, 7158,640,480:waittimer 70002 blt 0,0,640,480,0, 7160,640,480:waittimer 70022 blt 0,0,640,480,0, 7162,640,480:waittimer 70041 blt 0,0,640,480,0, 7164,640,480:waittimer 70061 blt 0,0,640,480,0, 7166,640,480:waittimer 70080 blt 0,0,640,480,0, 7168,640,480:waittimer 70100 blt 0,0,640,480,0, 7170,640,480:waittimer 70119 blt 0,0,640,480,0, 7172,640,480:waittimer 70139 blt 0,0,640,480,0, 7174,640,480:waittimer 70158 blt 0,0,640,480,0, 7176,640,480:waittimer 70178 blt 0,0,640,480,0, 7178,640,480:waittimer 70198 blt 0,0,640,480,0, 7180,640,480:waittimer 70217 blt 0,0,640,480,0, 7182,640,480:waittimer 70237 blt 0,0,640,480,0, 7184,640,480:waittimer 70256 blt 0,0,640,480,0, 7186,640,480:waittimer 70276 blt 0,0,640,480,0, 7188,640,480:waittimer 70295 blt 0,0,640,480,0, 7190,640,480:waittimer 70315 blt 0,0,640,480,0, 7192,640,480:waittimer 70334 blt 0,0,640,480,0, 7194,640,480:waittimer 70354 blt 0,0,640,480,0, 7196,640,480:waittimer 70374 blt 0,0,640,480,0, 7198,640,480:waittimer 70393 blt 0,0,640,480,0, 7200,640,480:waittimer 70413 blt 0,0,640,480,0, 7202,640,480:waittimer 70432 blt 0,0,640,480,0, 7204,640,480:waittimer 70452 blt 0,0,640,480,0, 7206,640,480:waittimer 70471 blt 0,0,640,480,0, 7208,640,480:waittimer 70491 blt 0,0,640,480,0, 7210,640,480:waittimer 70511 blt 0,0,640,480,0, 7212,640,480:waittimer 70530 blt 0,0,640,480,0, 7214,640,480:waittimer 70550 blt 0,0,640,480,0, 7216,640,480:waittimer 70569 blt 0,0,640,480,0, 7218,640,480:waittimer 70589 blt 0,0,640,480,0, 7220,640,480:waittimer 70608 blt 0,0,640,480,0, 7222,640,480:waittimer 70628 blt 0,0,640,480,0, 7224,640,480:waittimer 70647 blt 0,0,640,480,0, 7226,640,480:waittimer 70667 blt 0,0,640,480,0, 7228,640,480:waittimer 70687 blt 0,0,640,480,0, 7230,640,480:waittimer 70706 blt 0,0,640,480,0, 7232,640,480:waittimer 70726 blt 0,0,640,480,0, 7234,640,480:waittimer 70745 blt 0,0,640,480,0, 7236,640,480:waittimer 70765 blt 0,0,640,480,0, 7238,640,480:waittimer 70784 blt 0,0,640,480,0, 7240,640,480:waittimer 70804 blt 0,0,640,480,0, 7242,640,480:waittimer 70823 blt 0,0,640,480,0, 7244,640,480:waittimer 70843 blt 0,0,640,480,0, 7246,640,480:waittimer 70863 blt 0,0,640,480,0, 7248,640,480:waittimer 70882 blt 0,0,640,480,0, 7250,640,480:waittimer 70902 blt 0,0,640,480,0, 7252,640,480:waittimer 70921 blt 0,0,640,480,0, 7254,640,480:waittimer 70941 blt 0,0,640,480,0, 7256,640,480:waittimer 70960 blt 0,0,640,480,0, 7258,640,480:waittimer 70980 blt 0,0,640,480,0, 7260,640,480:waittimer 71000 blt 0,0,640,480,0, 7262,640,480:waittimer 71019 blt 0,0,640,480,0, 7264,640,480:waittimer 71039 blt 0,0,640,480,0, 7266,640,480:waittimer 71058 blt 0,0,640,480,0, 7268,640,480:waittimer 71078 blt 0,0,640,480,0, 7270,640,480:waittimer 71097 blt 0,0,640,480,0, 7272,640,480:waittimer 71117 blt 0,0,640,480,0, 7274,640,480:waittimer 71136 blt 0,0,640,480,0, 7276,640,480:waittimer 71156 blt 0,0,640,480,0, 7278,640,480:waittimer 71176 blt 0,0,640,480,0, 7280,640,480:waittimer 71195 blt 0,0,640,480,0, 7282,640,480:waittimer 71215 blt 0,0,640,480,0, 7284,640,480:waittimer 71234 blt 0,0,640,480,0, 7286,640,480:waittimer 71254 blt 0,0,640,480,0, 7288,640,480:waittimer 71273 blt 0,0,640,480,0, 7290,640,480:waittimer 71293 blt 0,0,640,480,0, 7292,640,480:waittimer 71312 blt 0,0,640,480,0, 7294,640,480:waittimer 71332 blt 0,0,640,480,0, 7296,640,480:waittimer 71352 blt 0,0,640,480,0, 7298,640,480:waittimer 71371 blt 0,0,640,480,0, 7300,640,480:waittimer 71391 blt 0,0,640,480,0, 7302,640,480:waittimer 71410 blt 0,0,640,480,0, 7304,640,480:waittimer 71430 blt 0,0,640,480,0, 7306,640,480:waittimer 71449 blt 0,0,640,480,0, 7308,640,480:waittimer 71469 blt 0,0,640,480,0, 7310,640,480:waittimer 71488 blt 0,0,640,480,0, 7312,640,480:waittimer 71508 blt 0,0,640,480,0, 7314,640,480:waittimer 71528 blt 0,0,640,480,0, 7316,640,480:waittimer 71547 blt 0,0,640,480,0, 7318,640,480:waittimer 71567 blt 0,0,640,480,0, 7320,640,480:waittimer 71586 blt 0,0,640,480,0, 7322,640,480:waittimer 71606 blt 0,0,640,480,0, 7324,640,480:waittimer 71625 blt 0,0,640,480,0, 7326,640,480:waittimer 71645 blt 0,0,640,480,0, 7328,640,480:waittimer 71665 blt 0,0,640,480,0, 7330,640,480:waittimer 71684 blt 0,0,640,480,0, 7332,640,480:waittimer 71704 blt 0,0,640,480,0, 7334,640,480:waittimer 71723 blt 0,0,640,480,0, 7336,640,480:waittimer 71743 blt 0,0,640,480,0, 7338,640,480:waittimer 71762 blt 0,0,640,480,0, 7340,640,480:waittimer 71782 blt 0,0,640,480,0, 7342,640,480:waittimer 71801 blt 0,0,640,480,0, 7344,640,480:waittimer 71821 blt 0,0,640,480,0, 7346,640,480:waittimer 71841 blt 0,0,640,480,0, 7348,640,480:waittimer 71860 blt 0,0,640,480,0, 7350,640,480:waittimer 71880 blt 0,0,640,480,0, 7352,640,480:waittimer 71899 blt 0,0,640,480,0, 7354,640,480:waittimer 71919 blt 0,0,640,480,0, 7356,640,480:waittimer 71938 blt 0,0,640,480,0, 7358,640,480:waittimer 71958 blt 0,0,640,480,0, 7360,640,480:waittimer 71977 blt 0,0,640,480,0, 7362,640,480:waittimer 71997 blt 0,0,640,480,0, 7364,640,480:waittimer 72017 blt 0,0,640,480,0, 7366,640,480:waittimer 72036 blt 0,0,640,480,0, 7368,640,480:waittimer 72056 blt 0,0,640,480,0, 7370,640,480:waittimer 72075 blt 0,0,640,480,0, 7372,640,480:waittimer 72095 blt 0,0,640,480,0, 7374,640,480:waittimer 72114 blt 0,0,640,480,0, 7376,640,480:waittimer 72134 blt 0,0,640,480,0, 7378,640,480:waittimer 72153 blt 0,0,640,480,0, 7380,640,480:waittimer 72173 blt 0,0,640,480,0, 7382,640,480:waittimer 72193 blt 0,0,640,480,0, 7384,640,480:waittimer 72212 blt 0,0,640,480,0, 7386,640,480:waittimer 72232 blt 0,0,640,480,0, 7388,640,480:waittimer 72251 blt 0,0,640,480,0, 7390,640,480:waittimer 72271 blt 0,0,640,480,0, 7392,640,480:waittimer 72290 blt 0,0,640,480,0, 7394,640,480:waittimer 72310 blt 0,0,640,480,0, 7396,640,480:waittimer 72330 blt 0,0,640,480,0, 7398,640,480:waittimer 72349 blt 0,0,640,480,0, 7400,640,480:waittimer 72369 blt 0,0,640,480,0, 7402,640,480:waittimer 72388 blt 0,0,640,480,0, 7404,640,480:waittimer 72408 blt 0,0,640,480,0, 7406,640,480:waittimer 72427 blt 0,0,640,480,0, 7408,640,480:waittimer 72447 blt 0,0,640,480,0, 7410,640,480:waittimer 72466 blt 0,0,640,480,0, 7412,640,480:waittimer 72486 blt 0,0,640,480,0, 7414,640,480:waittimer 72506 blt 0,0,640,480,0, 7416,640,480:waittimer 72525 blt 0,0,640,480,0, 7418,640,480:waittimer 72545 blt 0,0,640,480,0, 7420,640,480:waittimer 72564 blt 0,0,640,480,0, 7422,640,480:waittimer 72584 blt 0,0,640,480,0, 7424,640,480:waittimer 72603 blt 0,0,640,480,0, 7426,640,480:waittimer 72623 blt 0,0,640,480,0, 7428,640,480:waittimer 72642 blt 0,0,640,480,0, 7430,640,480:waittimer 72662 blt 0,0,640,480,0, 7432,640,480:waittimer 72682 blt 0,0,640,480,0, 7434,640,480:waittimer 72701 blt 0,0,640,480,0, 7436,640,480:waittimer 72721 blt 0,0,640,480,0, 7438,640,480:waittimer 72740 blt 0,0,640,480,0, 7440,640,480:waittimer 72760 blt 0,0,640,480,0, 7442,640,480:waittimer 72779 blt 0,0,640,480,0, 7444,640,480:waittimer 72799 blt 0,0,640,480,0, 7446,640,480:waittimer 72819 blt 0,0,640,480,0, 7448,640,480:waittimer 72838 blt 0,0,640,480,0, 7450,640,480:waittimer 72858 blt 0,0,640,480,0, 7452,640,480:waittimer 72877 blt 0,0,640,480,0, 7454,640,480:waittimer 72897 blt 0,0,640,480,0, 7456,640,480:waittimer 72916 blt 0,0,640,480,0, 7458,640,480:waittimer 72936 blt 0,0,640,480,0, 7460,640,480:waittimer 72955 blt 0,0,640,480,0, 7462,640,480:waittimer 72975 blt 0,0,640,480,0, 7464,640,480:waittimer 72995 blt 0,0,640,480,0, 7466,640,480:waittimer 73014 blt 0,0,640,480,0, 7468,640,480:waittimer 73034 blt 0,0,640,480,0, 7470,640,480:waittimer 73053 blt 0,0,640,480,0, 7472,640,480:waittimer 73073 blt 0,0,640,480,0, 7474,640,480:waittimer 73092 blt 0,0,640,480,0, 7476,640,480:waittimer 73112 blt 0,0,640,480,0, 7478,640,480:waittimer 73131 blt 0,0,640,480,0, 7480,640,480:waittimer 73151 blt 0,0,640,480,0, 7482,640,480:waittimer 73171 blt 0,0,640,480,0, 7484,640,480:waittimer 73190 blt 0,0,640,480,0, 7486,640,480:waittimer 73210 blt 0,0,640,480,0, 7488,640,480:waittimer 73229 blt 0,0,640,480,0, 7490,640,480:waittimer 73249 blt 0,0,640,480,0, 7492,640,480:waittimer 73268 blt 0,0,640,480,0, 7494,640,480:waittimer 73288 blt 0,0,640,480,0, 7496,640,480:waittimer 73307 blt 0,0,640,480,0, 7498,640,480:waittimer 73327 blt 0,0,640,480,0, 7500,640,480:waittimer 73347 blt 0,0,640,480,0, 7502,640,480:waittimer 73366 blt 0,0,640,480,0, 7504,640,480:waittimer 73386 blt 0,0,640,480,0, 7506,640,480:waittimer 73405 blt 0,0,640,480,0, 7508,640,480:waittimer 73425 blt 0,0,640,480,0, 7510,640,480:waittimer 73444 blt 0,0,640,480,0, 7512,640,480:waittimer 73464 blt 0,0,640,480,0, 7514,640,480:waittimer 73484 blt 0,0,640,480,0, 7516,640,480:waittimer 73503 blt 0,0,640,480,0, 7518,640,480:waittimer 73523 blt 0,0,640,480,0, 7520,640,480:waittimer 73542 blt 0,0,640,480,0, 7522,640,480:waittimer 73562 blt 0,0,640,480,0, 7524,640,480:waittimer 73581 blt 0,0,640,480,0, 7526,640,480:waittimer 73601 blt 0,0,640,480,0, 7528,640,480:waittimer 73620 blt 0,0,640,480,0, 7530,640,480:waittimer 73640 blt 0,0,640,480,0, 7532,640,480:waittimer 73660 blt 0,0,640,480,0, 7534,640,480:waittimer 73679 blt 0,0,640,480,0, 7536,640,480:waittimer 73699 blt 0,0,640,480,0, 7538,640,480:waittimer 73718 blt 0,0,640,480,0, 7540,640,480:waittimer 73738 blt 0,0,640,480,0, 7542,640,480:waittimer 73757 blt 0,0,640,480,0, 7544,640,480:waittimer 73777 blt 0,0,640,480,0, 7546,640,480:waittimer 73796 blt 0,0,640,480,0, 7548,640,480:waittimer 73816 blt 0,0,640,480,0, 7550,640,480:waittimer 73836 blt 0,0,640,480,0, 7552,640,480:waittimer 73855 blt 0,0,640,480,0, 7554,640,480:waittimer 73875 blt 0,0,640,480,0, 7556,640,480:waittimer 73894 blt 0,0,640,480,0, 7558,640,480:waittimer 73914 blt 0,0,640,480,0, 7560,640,480:waittimer 73933 blt 0,0,640,480,0, 7562,640,480:waittimer 73953 blt 0,0,640,480,0, 7564,640,480:waittimer 73973 blt 0,0,640,480,0, 7566,640,480:waittimer 73992 blt 0,0,640,480,0, 7568,640,480:waittimer 74012 blt 0,0,640,480,0, 7570,640,480:waittimer 74031 blt 0,0,640,480,0, 7572,640,480:waittimer 74051 blt 0,0,640,480,0, 7574,640,480:waittimer 74070 blt 0,0,640,480,0, 7576,640,480:waittimer 74090 blt 0,0,640,480,0, 7578,640,480:waittimer 74109 blt 0,0,640,480,0, 7580,640,480:waittimer 74129 blt 0,0,640,480,0, 7582,640,480:waittimer 74149 blt 0,0,640,480,0, 7584,640,480:waittimer 74168 blt 0,0,640,480,0, 7586,640,480:waittimer 74188 blt 0,0,640,480,0, 7588,640,480:waittimer 74207 blt 0,0,640,480,0, 7590,640,480:waittimer 74227 blt 0,0,640,480,0, 7592,640,480:waittimer 74246 blt 0,0,640,480,0, 7594,640,480:waittimer 74266 blt 0,0,640,480,0, 7596,640,480:waittimer 74285 blt 0,0,640,480,0, 7598,640,480:waittimer 74305 blt 0,0,640,480,0, 7600,640,480:waittimer 74325 blt 0,0,640,480,0, 7602,640,480:waittimer 74344 blt 0,0,640,480,0, 7604,640,480:waittimer 74364 blt 0,0,640,480,0, 7606,640,480:waittimer 74383 blt 0,0,640,480,0, 7608,640,480:waittimer 74403 blt 0,0,640,480,0, 7610,640,480:waittimer 74422 blt 0,0,640,480,0, 7612,640,480:waittimer 74442 blt 0,0,640,480,0, 7614,640,480:waittimer 74461 blt 0,0,640,480,0, 7616,640,480:waittimer 74481 blt 0,0,640,480,0, 7618,640,480:waittimer 74501 blt 0,0,640,480,0, 7620,640,480:waittimer 74520 blt 0,0,640,480,0, 7622,640,480:waittimer 74540 blt 0,0,640,480,0, 7624,640,480:waittimer 74559 blt 0,0,640,480,0, 7626,640,480:waittimer 74579 blt 0,0,640,480,0, 7628,640,480:waittimer 74598 blt 0,0,640,480,0, 7630,640,480:waittimer 74618 blt 0,0,640,480,0, 7632,640,480:waittimer 74638 blt 0,0,640,480,0, 7634,640,480:waittimer 74657 blt 0,0,640,480,0, 7636,640,480:waittimer 74677 blt 0,0,640,480,0, 7638,640,480:waittimer 74696 blt 0,0,640,480,0, 7640,640,480:waittimer 74716 blt 0,0,640,480,0, 7642,640,480:waittimer 74735 blt 0,0,640,480,0, 7644,640,480:waittimer 74755 blt 0,0,640,480,0, 7646,640,480:waittimer 74774 blt 0,0,640,480,0, 7648,640,480:waittimer 74794 blt 0,0,640,480,0, 7650,640,480:waittimer 74814 blt 0,0,640,480,0, 7652,640,480:waittimer 74833 blt 0,0,640,480,0, 7654,640,480:waittimer 74853 blt 0,0,640,480,0, 7656,640,480:waittimer 74872 blt 0,0,640,480,0, 7658,640,480:waittimer 74892 blt 0,0,640,480,0, 7660,640,480:waittimer 74911 blt 0,0,640,480,0, 7662,640,480:waittimer 74931 blt 0,0,640,480,0, 7664,640,480:waittimer 74950 blt 0,0,640,480,0, 7666,640,480:waittimer 74970 blt 0,0,640,480,0, 7668,640,480:waittimer 74990 blt 0,0,640,480,0, 7670,640,480:waittimer 75009 blt 0,0,640,480,0, 7672,640,480:waittimer 75029 blt 0,0,640,480,0, 7674,640,480:waittimer 75048 blt 0,0,640,480,0, 7676,640,480:waittimer 75068 blt 0,0,640,480,0, 7678,640,480:waittimer 75087 blt 0,0,640,480,0, 7680,640,480:waittimer 75107 blt 0,0,640,480,0, 7682,640,480:waittimer 75126 blt 0,0,640,480,0, 7684,640,480:waittimer 75146 blt 0,0,640,480,0, 7686,640,480:waittimer 75166 blt 0,0,640,480,0, 7688,640,480:waittimer 75185 blt 0,0,640,480,0, 7690,640,480:waittimer 75205 blt 0,0,640,480,0, 7692,640,480:waittimer 75224 blt 0,0,640,480,0, 7694,640,480:waittimer 75244 blt 0,0,640,480,0, 7696,640,480:waittimer 75263 blt 0,0,640,480,0, 7698,640,480:waittimer 75283 blt 0,0,640,480,0, 7700,640,480:waittimer 75303 blt 0,0,640,480,0, 7702,640,480:waittimer 75322 blt 0,0,640,480,0, 7704,640,480:waittimer 75342 blt 0,0,640,480,0, 7706,640,480:waittimer 75361 blt 0,0,640,480,0, 7708,640,480:waittimer 75381 blt 0,0,640,480,0, 7710,640,480:waittimer 75400 blt 0,0,640,480,0, 7712,640,480:waittimer 75420 blt 0,0,640,480,0, 7714,640,480:waittimer 75439 blt 0,0,640,480,0, 7716,640,480:waittimer 75459 blt 0,0,640,480,0, 7718,640,480:waittimer 75479 blt 0,0,640,480,0, 7720,640,480:waittimer 75498 blt 0,0,640,480,0, 7722,640,480:waittimer 75518 blt 0,0,640,480,0, 7724,640,480:waittimer 75537 blt 0,0,640,480,0, 7726,640,480:waittimer 75557 blt 0,0,640,480,0, 7728,640,480:waittimer 75576 blt 0,0,640,480,0, 7730,640,480:waittimer 75596 blt 0,0,640,480,0, 7732,640,480:waittimer 75615 blt 0,0,640,480,0, 7734,640,480:waittimer 75635 blt 0,0,640,480,0, 7736,640,480:waittimer 75655 blt 0,0,640,480,0, 7738,640,480:waittimer 75674 blt 0,0,640,480,0, 7740,640,480:waittimer 75694 blt 0,0,640,480,0, 7742,640,480:waittimer 75713 blt 0,0,640,480,0, 7744,640,480:waittimer 75733 blt 0,0,640,480,0, 7746,640,480:waittimer 75752 blt 0,0,640,480,0, 7748,640,480:waittimer 75772 blt 0,0,640,480,0, 7750,640,480:waittimer 75792 blt 0,0,640,480,0, 7752,640,480:waittimer 75811 blt 0,0,640,480,0, 7754,640,480:waittimer 75831 blt 0,0,640,480,0, 7756,640,480:waittimer 75850 blt 0,0,640,480,0, 7758,640,480:waittimer 75870 blt 0,0,640,480,0, 7760,640,480:waittimer 75889 blt 0,0,640,480,0, 7762,640,480:waittimer 75909 blt 0,0,640,480,0, 7764,640,480:waittimer 75928 blt 0,0,640,480,0, 7766,640,480:waittimer 75948 blt 0,0,640,480,0, 7768,640,480:waittimer 75968 blt 0,0,640,480,0, 7770,640,480:waittimer 75987 blt 0,0,640,480,0, 7772,640,480:waittimer 76007 blt 0,0,640,480,0, 7774,640,480:waittimer 76026 blt 0,0,640,480,0, 7776,640,480:waittimer 76046 blt 0,0,640,480,0, 7778,640,480:waittimer 76065 blt 0,0,640,480,0, 7780,640,480:waittimer 76085 blt 0,0,640,480,0, 7782,640,480:waittimer 76104 blt 0,0,640,480,0, 7784,640,480:waittimer 76124 blt 0,0,640,480,0, 7786,640,480:waittimer 76144 blt 0,0,640,480,0, 7788,640,480:waittimer 76163 blt 0,0,640,480,0, 7790,640,480:waittimer 76183 blt 0,0,640,480,0, 7792,640,480:waittimer 76202 blt 0,0,640,480,0, 7794,640,480:waittimer 76222 blt 0,0,640,480,0, 7796,640,480:waittimer 76241 blt 0,0,640,480,0, 7798,640,480:waittimer 76261 blt 0,0,640,480,0, 7800,640,480:waittimer 76280 blt 0,0,640,480,0, 7802,640,480:waittimer 76300 blt 0,0,640,480,0, 7804,640,480:waittimer 76320 blt 0,0,640,480,0, 7806,640,480:waittimer 76339 blt 0,0,640,480,0, 7808,640,480:waittimer 76359 blt 0,0,640,480,0, 7810,640,480:waittimer 76378 blt 0,0,640,480,0, 7812,640,480:waittimer 76398 blt 0,0,640,480,0, 7814,640,480:waittimer 76417 blt 0,0,640,480,0, 7816,640,480:waittimer 76437 blt 0,0,640,480,0, 7818,640,480:waittimer 76457 blt 0,0,640,480,0, 7820,640,480:waittimer 76476 blt 0,0,640,480,0, 7822,640,480:waittimer 76496 blt 0,0,640,480,0, 7824,640,480:waittimer 76515 blt 0,0,640,480,0, 7826,640,480:waittimer 76535 blt 0,0,640,480,0, 7828,640,480:waittimer 76554 blt 0,0,640,480,0, 7830,640,480:waittimer 76574 blt 0,0,640,480,0, 7832,640,480:waittimer 76593 blt 0,0,640,480,0, 7834,640,480:waittimer 76613 blt 0,0,640,480,0, 7836,640,480:waittimer 76633 blt 0,0,640,480,0, 7838,640,480:waittimer 76652 blt 0,0,640,480,0, 7840,640,480:waittimer 76672 blt 0,0,640,480,0, 7842,640,480:waittimer 76691 blt 0,0,640,480,0, 7844,640,480:waittimer 76711 blt 0,0,640,480,0, 7846,640,480:waittimer 76730 blt 0,0,640,480,0, 7848,640,480:waittimer 76750 blt 0,0,640,480,0, 7850,640,480:waittimer 76769 blt 0,0,640,480,0, 7852,640,480:waittimer 76789 blt 0,0,640,480,0, 7854,640,480:waittimer 76809 blt 0,0,640,480,0, 7856,640,480:waittimer 76828 blt 0,0,640,480,0, 7858,640,480:waittimer 76848 blt 0,0,640,480,0, 7860,640,480:waittimer 76867 blt 0,0,640,480,0, 7862,640,480:waittimer 76887 blt 0,0,640,480,0, 7864,640,480:waittimer 76906 blt 0,0,640,480,0, 7866,640,480:waittimer 76926 blt 0,0,640,480,0, 7868,640,480:waittimer 76946 blt 0,0,640,480,0, 7870,640,480:waittimer 76965 blt 0,0,640,480,0, 7872,640,480:waittimer 76985 blt 0,0,640,480,0, 7874,640,480:waittimer 77004 blt 0,0,640,480,0, 7876,640,480:waittimer 77024 blt 0,0,640,480,0, 7878,640,480:waittimer 77043 blt 0,0,640,480,0, 7880,640,480:waittimer 77063 blt 0,0,640,480,0, 7882,640,480:waittimer 77082 blt 0,0,640,480,0, 7884,640,480:waittimer 77102 blt 0,0,640,480,0, 7886,640,480:waittimer 77122 blt 0,0,640,480,0, 7888,640,480:waittimer 77141 blt 0,0,640,480,0, 7890,640,480:waittimer 77161 blt 0,0,640,480,0, 7892,640,480:waittimer 77180 blt 0,0,640,480,0, 7894,640,480:waittimer 77200 blt 0,0,640,480,0, 7896,640,480:waittimer 77219 blt 0,0,640,480,0, 7898,640,480:waittimer 77239 blt 0,0,640,480,0, 7900,640,480:waittimer 77258 blt 0,0,640,480,0, 7902,640,480:waittimer 77278 blt 0,0,640,480,0, 7904,640,480:waittimer 77298 blt 0,0,640,480,0, 7906,640,480:waittimer 77317 blt 0,0,640,480,0, 7908,640,480:waittimer 77337 blt 0,0,640,480,0, 7910,640,480:waittimer 77356 blt 0,0,640,480,0, 7912,640,480:waittimer 77376 blt 0,0,640,480,0, 7914,640,480:waittimer 77395 blt 0,0,640,480,0, 7916,640,480:waittimer 77415 blt 0,0,640,480,0, 7918,640,480:waittimer 77434 blt 0,0,640,480,0, 7920,640,480:waittimer 77454 blt 0,0,640,480,0, 7922,640,480:waittimer 77474 blt 0,0,640,480,0, 7924,640,480:waittimer 77493 blt 0,0,640,480,0, 7926,640,480:waittimer 77513 blt 0,0,640,480,0, 7928,640,480:waittimer 77532 blt 0,0,640,480,0, 7930,640,480:waittimer 77552 blt 0,0,640,480,0, 7932,640,480:waittimer 77571 blt 0,0,640,480,0, 7934,640,480:waittimer 77591 blt 0,0,640,480,0, 7936,640,480:waittimer 77611 blt 0,0,640,480,0, 7938,640,480:waittimer 77630 blt 0,0,640,480,0, 7940,640,480:waittimer 77650 blt 0,0,640,480,0, 7942,640,480:waittimer 77669 blt 0,0,640,480,0, 7944,640,480:waittimer 77689 blt 0,0,640,480,0, 7946,640,480:waittimer 77708 blt 0,0,640,480,0, 7948,640,480:waittimer 77728 blt 0,0,640,480,0, 7950,640,480:waittimer 77747 blt 0,0,640,480,0, 7952,640,480:waittimer 77767 blt 0,0,640,480,0, 7954,640,480:waittimer 77787 blt 0,0,640,480,0, 7956,640,480:waittimer 77806 blt 0,0,640,480,0, 7958,640,480:waittimer 77826 blt 0,0,640,480,0, 7960,640,480:waittimer 77845 blt 0,0,640,480,0, 7962,640,480:waittimer 77865 blt 0,0,640,480,0, 7964,640,480:waittimer 77884 blt 0,0,640,480,0, 7966,640,480:waittimer 77904 blt 0,0,640,480,0, 7968,640,480:waittimer 77923 blt 0,0,640,480,0, 7970,640,480:waittimer 77943 blt 0,0,640,480,0, 7972,640,480:waittimer 77963 blt 0,0,640,480,0, 7974,640,480:waittimer 77982 blt 0,0,640,480,0, 7976,640,480:waittimer 78002 blt 0,0,640,480,0, 7978,640,480:waittimer 78021 blt 0,0,640,480,0, 7980,640,480:waittimer 78041 blt 0,0,640,480,0, 7982,640,480:waittimer 78060 blt 0,0,640,480,0, 7984,640,480:waittimer 78080 blt 0,0,640,480,0, 7986,640,480:waittimer 78100 blt 0,0,640,480,0, 7988,640,480:waittimer 78119 blt 0,0,640,480,0, 7990,640,480:waittimer 78139 blt 0,0,640,480,0, 7992,640,480:waittimer 78158 blt 0,0,640,480,0, 7994,640,480:waittimer 78178 blt 0,0,640,480,0, 7996,640,480:waittimer 78197 blt 0,0,640,480,0, 7998,640,480:waittimer 78217 blt 0,0,640,480,0, 8000,640,480:waittimer 78236 blt 0,0,640,480,0, 8002,640,480:waittimer 78256 blt 0,0,640,480,0, 8004,640,480:waittimer 78276 blt 0,0,640,480,0, 8006,640,480:waittimer 78295 blt 0,0,640,480,0, 8008,640,480:waittimer 78315 blt 0,0,640,480,0, 8010,640,480:waittimer 78334 blt 0,0,640,480,0, 8012,640,480:waittimer 78354 blt 0,0,640,480,0, 8014,640,480:waittimer 78373 blt 0,0,640,480,0, 8016,640,480:waittimer 78393 blt 0,0,640,480,0, 8018,640,480:waittimer 78412 blt 0,0,640,480,0, 8020,640,480:waittimer 78432 blt 0,0,640,480,0, 8022,640,480:waittimer 78452 blt 0,0,640,480,0, 8024,640,480:waittimer 78471 blt 0,0,640,480,0, 8026,640,480:waittimer 78491 blt 0,0,640,480,0, 8028,640,480:waittimer 78510 blt 0,0,640,480,0, 8030,640,480:waittimer 78530 blt 0,0,640,480,0, 8032,640,480:waittimer 78549 blt 0,0,640,480,0, 8034,640,480:waittimer 78569 blt 0,0,640,480,0, 8036,640,480:waittimer 78588 blt 0,0,640,480,0, 8038,640,480:waittimer 78608 blt 0,0,640,480,0, 8040,640,480:waittimer 78628 blt 0,0,640,480,0, 8042,640,480:waittimer 78647 blt 0,0,640,480,0, 8044,640,480:waittimer 78667 blt 0,0,640,480,0, 8046,640,480:waittimer 78686 blt 0,0,640,480,0, 8048,640,480:waittimer 78706 blt 0,0,640,480,0, 8050,640,480:waittimer 78725 blt 0,0,640,480,0, 8052,640,480:waittimer 78745 blt 0,0,640,480,0, 8054,640,480:waittimer 78765 blt 0,0,640,480,0, 8056,640,480:waittimer 78784 blt 0,0,640,480,0, 8058,640,480:waittimer 78804 blt 0,0,640,480,0, 8060,640,480:waittimer 78823 blt 0,0,640,480,0, 8062,640,480:waittimer 78843 blt 0,0,640,480,0, 8064,640,480:waittimer 78862 blt 0,0,640,480,0, 8066,640,480:waittimer 78882 blt 0,0,640,480,0, 8068,640,480:waittimer 78901 blt 0,0,640,480,0, 8070,640,480:waittimer 78921 blt 0,0,640,480,0, 8072,640,480:waittimer 78941 blt 0,0,640,480,0, 8074,640,480:waittimer 78960 blt 0,0,640,480,0, 8076,640,480:waittimer 78980 blt 0,0,640,480,0, 8078,640,480:waittimer 78999 blt 0,0,640,480,0, 8080,640,480:waittimer 79019 blt 0,0,640,480,0, 8082,640,480:waittimer 79038 blt 0,0,640,480,0, 8084,640,480:waittimer 79058 blt 0,0,640,480,0, 8086,640,480:waittimer 79077 blt 0,0,640,480,0, 8088,640,480:waittimer 79097 blt 0,0,640,480,0, 8090,640,480:waittimer 79117 blt 0,0,640,480,0, 8092,640,480:waittimer 79136 blt 0,0,640,480,0, 8094,640,480:waittimer 79156 blt 0,0,640,480,0, 8096,640,480:waittimer 79175 blt 0,0,640,480,0, 8098,640,480:waittimer 79195 blt 0,0,640,480,0, 8100,640,480:waittimer 79214 blt 0,0,640,480,0, 8102,640,480:waittimer 79234 blt 0,0,640,480,0, 8104,640,480:waittimer 79253 blt 0,0,640,480,0, 8106,640,480:waittimer 79273 blt 0,0,640,480,0, 8108,640,480:waittimer 79293 blt 0,0,640,480,0, 8110,640,480:waittimer 79312 blt 0,0,640,480,0, 8112,640,480:waittimer 79332 blt 0,0,640,480,0, 8114,640,480:waittimer 79351 blt 0,0,640,480,0, 8116,640,480:waittimer 79371 blt 0,0,640,480,0, 8118,640,480:waittimer 79390 blt 0,0,640,480,0, 8120,640,480:waittimer 79410 blt 0,0,640,480,0, 8122,640,480:waittimer 79430 blt 0,0,640,480,0, 8124,640,480:waittimer 79449 blt 0,0,640,480,0, 8126,640,480:waittimer 79469 blt 0,0,640,480,0, 8128,640,480:waittimer 79488 blt 0,0,640,480,0, 8130,640,480:waittimer 79508 blt 0,0,640,480,0, 8132,640,480:waittimer 79527 blt 0,0,640,480,0, 8134,640,480:waittimer 79547 blt 0,0,640,480,0, 8136,640,480:waittimer 79566 blt 0,0,640,480,0, 8138,640,480:waittimer 79586 blt 0,0,640,480,0, 8140,640,480:waittimer 79606 blt 0,0,640,480,0, 8142,640,480:waittimer 79625 blt 0,0,640,480,0, 8144,640,480:waittimer 79645 blt 0,0,640,480,0, 8146,640,480:waittimer 79664 blt 0,0,640,480,0, 8148,640,480:waittimer 79684 blt 0,0,640,480,0, 8150,640,480:waittimer 79703 blt 0,0,640,480,0, 8152,640,480:waittimer 79723 blt 0,0,640,480,0, 8154,640,480:waittimer 79742 blt 0,0,640,480,0, 8156,640,480:waittimer 79762 blt 0,0,640,480,0, 8158,640,480:waittimer 79782 blt 0,0,640,480,0, 8160,640,480:waittimer 79801 blt 0,0,640,480,0, 8162,640,480:waittimer 79821 blt 0,0,640,480,0, 8164,640,480:waittimer 79840 blt 0,0,640,480,0, 8166,640,480:waittimer 79860 blt 0,0,640,480,0, 8168,640,480:waittimer 79879 blt 0,0,640,480,0, 8170,640,480:waittimer 79899 blt 0,0,640,480,0, 8172,640,480:waittimer 79919 blt 0,0,640,480,0, 8174,640,480:waittimer 79938 blt 0,0,640,480,0, 8176,640,480:waittimer 79958 blt 0,0,640,480,0, 8178,640,480:waittimer 79977 blt 0,0,640,480,0, 8180,640,480:waittimer 79997 blt 0,0,640,480,0, 8182,640,480:waittimer 80016 blt 0,0,640,480,0, 8184,640,480:waittimer 80036 blt 0,0,640,480,0, 8186,640,480:waittimer 80055 blt 0,0,640,480,0, 8188,640,480:waittimer 80075 blt 0,0,640,480,0, 8190,640,480:waittimer 80095 blt 0,0,640,480,0, 8192,640,480:waittimer 80114 blt 0,0,640,480,0, 8194,640,480:waittimer 80134 blt 0,0,640,480,0, 8196,640,480:waittimer 80153 blt 0,0,640,480,0, 8198,640,480:waittimer 80173 blt 0,0,640,480,0, 8200,640,480:waittimer 80192 blt 0,0,640,480,0, 8202,640,480:waittimer 80212 blt 0,0,640,480,0, 8204,640,480:waittimer 80231 blt 0,0,640,480,0, 8206,640,480:waittimer 80251 blt 0,0,640,480,0, 8208,640,480:waittimer 80271 blt 0,0,640,480,0, 8210,640,480:waittimer 80290 blt 0,0,640,480,0, 8212,640,480:waittimer 80310 blt 0,0,640,480,0, 8214,640,480:waittimer 80329 blt 0,0,640,480,0, 8216,640,480:waittimer 80349 blt 0,0,640,480,0, 8218,640,480:waittimer 80368 blt 0,0,640,480,0, 8220,640,480:waittimer 80388 blt 0,0,640,480,0, 8222,640,480:waittimer 80407 blt 0,0,640,480,0, 8224,640,480:waittimer 80427 blt 0,0,640,480,0, 8226,640,480:waittimer 80447 blt 0,0,640,480,0, 8228,640,480:waittimer 80466 blt 0,0,640,480,0, 8230,640,480:waittimer 80486 blt 0,0,640,480,0, 8232,640,480:waittimer 80505 blt 0,0,640,480,0, 8234,640,480:waittimer 80525 blt 0,0,640,480,0, 8236,640,480:waittimer 80544 blt 0,0,640,480,0, 8238,640,480:waittimer 80564 blt 0,0,640,480,0, 8240,640,480:waittimer 80584 blt 0,0,640,480,0, 8242,640,480:waittimer 80603 blt 0,0,640,480,0, 8244,640,480:waittimer 80623 blt 0,0,640,480,0, 8246,640,480:waittimer 80642 blt 0,0,640,480,0, 8248,640,480:waittimer 80662 blt 0,0,640,480,0, 8250,640,480:waittimer 80681 blt 0,0,640,480,0, 8252,640,480:waittimer 80701 blt 0,0,640,480,0, 8254,640,480:waittimer 80720 blt 0,0,640,480,0, 8256,640,480:waittimer 80740 blt 0,0,640,480,0, 8258,640,480:waittimer 80760 blt 0,0,640,480,0, 8260,640,480:waittimer 80779 blt 0,0,640,480,0, 8262,640,480:waittimer 80799 blt 0,0,640,480,0, 8264,640,480:waittimer 80818 blt 0,0,640,480,0, 8266,640,480:waittimer 80838 blt 0,0,640,480,0, 8268,640,480:waittimer 80857 blt 0,0,640,480,0, 8270,640,480:waittimer 80877 blt 0,0,640,480,0, 8272,640,480:waittimer 80896 blt 0,0,640,480,0, 8274,640,480:waittimer 80916 blt 0,0,640,480,0, 8276,640,480:waittimer 80936 blt 0,0,640,480,0, 8278,640,480:waittimer 80955 blt 0,0,640,480,0, 8280,640,480:waittimer 80975 blt 0,0,640,480,0, 8282,640,480:waittimer 80994 blt 0,0,640,480,0, 8284,640,480:waittimer 81014 blt 0,0,640,480,0, 8286,640,480:waittimer 81033 blt 0,0,640,480,0, 8288,640,480:waittimer 81053 blt 0,0,640,480,0, 8290,640,480:waittimer 81073 blt 0,0,640,480,0, 8292,640,480:waittimer 81092 blt 0,0,640,480,0, 8294,640,480:waittimer 81112 blt 0,0,640,480,0, 8296,640,480:waittimer 81131 blt 0,0,640,480,0, 8298,640,480:waittimer 81151 blt 0,0,640,480,0, 8300,640,480:waittimer 81170 blt 0,0,640,480,0, 8302,640,480:waittimer 81190 blt 0,0,640,480,0, 8304,640,480:waittimer 81209 blt 0,0,640,480,0, 8306,640,480:waittimer 81229 blt 0,0,640,480,0, 8308,640,480:waittimer 81249 blt 0,0,640,480,0, 8310,640,480:waittimer 81268 blt 0,0,640,480,0, 8312,640,480:waittimer 81288 blt 0,0,640,480,0, 8314,640,480:waittimer 81307 blt 0,0,640,480,0, 8316,640,480:waittimer 81327 blt 0,0,640,480,0, 8318,640,480:waittimer 81346 blt 0,0,640,480,0, 8320,640,480:waittimer 81366 blt 0,0,640,480,0, 8322,640,480:waittimer 81385 blt 0,0,640,480,0, 8324,640,480:waittimer 81405 blt 0,0,640,480,0, 8326,640,480:waittimer 81425 blt 0,0,640,480,0, 8328,640,480:waittimer 81444 blt 0,0,640,480,0, 8330,640,480:waittimer 81464 blt 0,0,640,480,0, 8332,640,480:waittimer 81483 blt 0,0,640,480,0, 8334,640,480:waittimer 81503 blt 0,0,640,480,0, 8336,640,480:waittimer 81522 blt 0,0,640,480,0, 8338,640,480:waittimer 81542 blt 0,0,640,480,0, 8340,640,480:waittimer 81561 blt 0,0,640,480,0, 8342,640,480:waittimer 81581 blt 0,0,640,480,0, 8344,640,480:waittimer 81601 blt 0,0,640,480,0, 8346,640,480:waittimer 81620 blt 0,0,640,480,0, 8348,640,480:waittimer 81640 blt 0,0,640,480,0, 8350,640,480:waittimer 81659 blt 0,0,640,480,0, 8352,640,480:waittimer 81679 blt 0,0,640,480,0, 8354,640,480:waittimer 81698 blt 0,0,640,480,0, 8356,640,480:waittimer 81718 blt 0,0,640,480,0, 8358,640,480:waittimer 81738 blt 0,0,640,480,0, 8360,640,480:waittimer 81757 blt 0,0,640,480,0, 8362,640,480:waittimer 81777 blt 0,0,640,480,0, 8364,640,480:waittimer 81796 blt 0,0,640,480,0, 8366,640,480:waittimer 81816 blt 0,0,640,480,0, 8368,640,480:waittimer 81835 blt 0,0,640,480,0, 8370,640,480:waittimer 81855 blt 0,0,640,480,0, 8372,640,480:waittimer 81874 blt 0,0,640,480,0, 8374,640,480:waittimer 81894 blt 0,0,640,480,0, 8376,640,480:waittimer 81914 blt 0,0,640,480,0, 8378,640,480:waittimer 81933 blt 0,0,640,480,0, 8380,640,480:waittimer 81953 blt 0,0,640,480,0, 8382,640,480:waittimer 81972 blt 0,0,640,480,0, 8384,640,480:waittimer 81992 blt 0,0,640,480,0, 8386,640,480:waittimer 82011 blt 0,0,640,480,0, 8388,640,480:waittimer 82031 blt 0,0,640,480,0, 8390,640,480:waittimer 82050 blt 0,0,640,480,0, 8392,640,480:waittimer 82070 blt 0,0,640,480,0, 8394,640,480:waittimer 82090 blt 0,0,640,480,0, 8396,640,480:waittimer 82109 blt 0,0,640,480,0, 8398,640,480:waittimer 82129 blt 0,0,640,480,0, 8400,640,480:waittimer 82148 blt 0,0,640,480,0, 8402,640,480:waittimer 82168 blt 0,0,640,480,0, 8404,640,480:waittimer 82187 blt 0,0,640,480,0, 8406,640,480:waittimer 82207 blt 0,0,640,480,0, 8408,640,480:waittimer 82226 blt 0,0,640,480,0, 8410,640,480:waittimer 82246 blt 0,0,640,480,0, 8412,640,480:waittimer 82266 blt 0,0,640,480,0, 8414,640,480:waittimer 82285 blt 0,0,640,480,0, 8416,640,480:waittimer 82305 blt 0,0,640,480,0, 8418,640,480:waittimer 82324 blt 0,0,640,480,0, 8420,640,480:waittimer 82344 blt 0,0,640,480,0, 8422,640,480:waittimer 82363 blt 0,0,640,480,0, 8424,640,480:waittimer 82383 blt 0,0,640,480,0, 8426,640,480:waittimer 82403 blt 0,0,640,480,0, 8428,640,480:waittimer 82422 blt 0,0,640,480,0, 8430,640,480:waittimer 82442 blt 0,0,640,480,0, 8432,640,480:waittimer 82461 blt 0,0,640,480,0, 8434,640,480:waittimer 82481 blt 0,0,640,480,0, 8436,640,480:waittimer 82500 blt 0,0,640,480,0, 8438,640,480:waittimer 82520 blt 0,0,640,480,0, 8440,640,480:waittimer 82539 blt 0,0,640,480,0, 8442,640,480:waittimer 82559 blt 0,0,640,480,0, 8444,640,480:waittimer 82579 blt 0,0,640,480,0, 8446,640,480:waittimer 82598 blt 0,0,640,480,0, 8448,640,480:waittimer 82618 blt 0,0,640,480,0, 8450,640,480:waittimer 82637 blt 0,0,640,480,0, 8452,640,480:waittimer 82657 blt 0,0,640,480,0, 8454,640,480:waittimer 82676 blt 0,0,640,480,0, 8456,640,480:waittimer 82696 blt 0,0,640,480,0, 8458,640,480:waittimer 82715 blt 0,0,640,480,0, 8460,640,480:waittimer 82735 blt 0,0,640,480,0, 8462,640,480:waittimer 82755 blt 0,0,640,480,0, 8464,640,480:waittimer 82774 blt 0,0,640,480,0, 8466,640,480:waittimer 82794 blt 0,0,640,480,0, 8468,640,480:waittimer 82813 blt 0,0,640,480,0, 8470,640,480:waittimer 82833 blt 0,0,640,480,0, 8472,640,480:waittimer 82852 blt 0,0,640,480,0, 8474,640,480:waittimer 82872 blt 0,0,640,480,0, 8476,640,480:waittimer 82892 blt 0,0,640,480,0, 8478,640,480:waittimer 82911 blt 0,0,640,480,0, 8480,640,480:waittimer 82931 blt 0,0,640,480,0, 8482,640,480:waittimer 82950 blt 0,0,640,480,0, 8484,640,480:waittimer 82970 blt 0,0,640,480,0, 8486,640,480:waittimer 82989 blt 0,0,640,480,0, 8488,640,480:waittimer 83009 blt 0,0,640,480,0, 8490,640,480:waittimer 83028 blt 0,0,640,480,0, 8492,640,480:waittimer 83048 blt 0,0,640,480,0, 8494,640,480:waittimer 83068 blt 0,0,640,480,0, 8496,640,480:waittimer 83087 blt 0,0,640,480,0, 8498,640,480:waittimer 83107 blt 0,0,640,480,0, 8500,640,480:waittimer 83126 blt 0,0,640,480,0, 8502,640,480:waittimer 83146 blt 0,0,640,480,0, 8504,640,480:waittimer 83165 blt 0,0,640,480,0, 8506,640,480:waittimer 83185 blt 0,0,640,480,0, 8508,640,480:waittimer 83204 blt 0,0,640,480,0, 8510,640,480:waittimer 83224 blt 0,0,640,480,0, 8512,640,480:waittimer 83244 blt 0,0,640,480,0, 8514,640,480:waittimer 83263 blt 0,0,640,480,0, 8516,640,480:waittimer 83283 blt 0,0,640,480,0, 8518,640,480:waittimer 83302 blt 0,0,640,480,0, 8520,640,480:waittimer 83322 blt 0,0,640,480,0, 8522,640,480:waittimer 83341 blt 0,0,640,480,0, 8524,640,480:waittimer 83361 blt 0,0,640,480,0, 8526,640,480:waittimer 83380 blt 0,0,640,480,0, 8528,640,480:waittimer 83400 blt 0,0,640,480,0, 8530,640,480:waittimer 83420 blt 0,0,640,480,0, 8532,640,480:waittimer 83439 blt 0,0,640,480,0, 8534,640,480:waittimer 83459 blt 0,0,640,480,0, 8536,640,480:waittimer 83478 blt 0,0,640,480,0, 8538,640,480:waittimer 83498 blt 0,0,640,480,0, 8540,640,480:waittimer 83517 blt 0,0,640,480,0, 8542,640,480:waittimer 83537 blt 0,0,640,480,0, 8544,640,480:waittimer 83557 blt 0,0,640,480,0, 8546,640,480:waittimer 83576 blt 0,0,640,480,0, 8548,640,480:waittimer 83596 blt 0,0,640,480,0, 8550,640,480:waittimer 83615 blt 0,0,640,480,0, 8552,640,480:waittimer 83635 blt 0,0,640,480,0, 8554,640,480:waittimer 83654 blt 0,0,640,480,0, 8556,640,480:waittimer 83674 blt 0,0,640,480,0, 8558,640,480:waittimer 83693 blt 0,0,640,480,0, 8560,640,480:waittimer 83713 blt 0,0,640,480,0, 8562,640,480:waittimer 83733 blt 0,0,640,480,0, 8564,640,480:waittimer 83752 blt 0,0,640,480,0, 8566,640,480:waittimer 83772 blt 0,0,640,480,0, 8568,640,480:waittimer 83791 blt 0,0,640,480,0, 8570,640,480:waittimer 83811 blt 0,0,640,480,0, 8572,640,480:waittimer 83830 blt 0,0,640,480,0, 8574,640,480:waittimer 83850 blt 0,0,640,480,0, 8576,640,480:waittimer 83869 blt 0,0,640,480,0, 8578,640,480:waittimer 83889 blt 0,0,640,480,0, 8580,640,480:waittimer 83909 blt 0,0,640,480,0, 8582,640,480:waittimer 83928 blt 0,0,640,480,0, 8584,640,480:waittimer 83948 blt 0,0,640,480,0, 8586,640,480:waittimer 83967 blt 0,0,640,480,0, 8588,640,480:waittimer 83987 blt 0,0,640,480,0, 8590,640,480:waittimer 84006 blt 0,0,640,480,0, 8592,640,480:waittimer 84026 blt 0,0,640,480,0, 8594,640,480:waittimer 84046 blt 0,0,640,480,0, 8596,640,480:waittimer 84065 blt 0,0,640,480,0, 8598,640,480:waittimer 84085 blt 0,0,640,480,0, 8600,640,480:waittimer 84104 blt 0,0,640,480,0, 8602,640,480:waittimer 84124 blt 0,0,640,480,0, 8604,640,480:waittimer 84143 blt 0,0,640,480,0, 8606,640,480:waittimer 84163 blt 0,0,640,480,0, 8608,640,480:waittimer 84182 blt 0,0,640,480,0, 8610,640,480:waittimer 84202 blt 0,0,640,480,0, 8612,640,480:waittimer 84222 blt 0,0,640,480,0, 8614,640,480:waittimer 84241 blt 0,0,640,480,0, 8616,640,480:waittimer 84261 blt 0,0,640,480,0, 8618,640,480:waittimer 84280 blt 0,0,640,480,0, 8620,640,480:waittimer 84300 blt 0,0,640,480,0, 8622,640,480:waittimer 84319 blt 0,0,640,480,0, 8624,640,480:waittimer 84339 blt 0,0,640,480,0, 8626,640,480:waittimer 84358 blt 0,0,640,480,0, 8628,640,480:waittimer 84378 blt 0,0,640,480,0, 8630,640,480:waittimer 84398 blt 0,0,640,480,0, 8632,640,480:waittimer 84417 blt 0,0,640,480,0, 8634,640,480:waittimer 84437 blt 0,0,640,480,0, 8636,640,480:waittimer 84456 blt 0,0,640,480,0, 8638,640,480:waittimer 84476 blt 0,0,640,480,0, 8640,640,480:waittimer 84495 blt 0,0,640,480,0, 8642,640,480:waittimer 84515 blt 0,0,640,480,0, 8644,640,480:waittimer 84534 blt 0,0,640,480,0, 8646,640,480:waittimer 84554 blt 0,0,640,480,0, 8648,640,480:waittimer 84574 blt 0,0,640,480,0, 8650,640,480:waittimer 84593 blt 0,0,640,480,0, 8652,640,480:waittimer 84613 blt 0,0,640,480,0, 8654,640,480:waittimer 84632 blt 0,0,640,480,0, 8656,640,480:waittimer 84652 blt 0,0,640,480,0, 8658,640,480:waittimer 84671 blt 0,0,640,480,0, 8660,640,480:waittimer 84691 blt 0,0,640,480,0, 8662,640,480:waittimer 84711 blt 0,0,640,480,0, 8664,640,480:waittimer 84730 blt 0,0,640,480,0, 8666,640,480:waittimer 84750 blt 0,0,640,480,0, 8668,640,480:waittimer 84769 blt 0,0,640,480,0, 8670,640,480:waittimer 84789 blt 0,0,640,480,0, 8672,640,480:waittimer 84808 blt 0,0,640,480,0, 8674,640,480:waittimer 84828 blt 0,0,640,480,0, 8676,640,480:waittimer 84847 blt 0,0,640,480,0, 8678,640,480:waittimer 84867 blt 0,0,640,480,0, 8680,640,480:waittimer 84887 blt 0,0,640,480,0, 8682,640,480:waittimer 84906 blt 0,0,640,480,0, 8684,640,480:waittimer 84926 blt 0,0,640,480,0, 8686,640,480:waittimer 84945 blt 0,0,640,480,0, 8688,640,480:waittimer 84965 blt 0,0,640,480,0, 8690,640,480:waittimer 84984 blt 0,0,640,480,0, 8692,640,480:waittimer 85004 blt 0,0,640,480,0, 8694,640,480:waittimer 85023 blt 0,0,640,480,0, 8696,640,480:waittimer 85043 blt 0,0,640,480,0, 8698,640,480:waittimer 85063 blt 0,0,640,480,0, 8700,640,480:waittimer 85082 blt 0,0,640,480,0, 8702,640,480:waittimer 85102 blt 0,0,640,480,0, 8704,640,480:waittimer 85121 blt 0,0,640,480,0, 8706,640,480:waittimer 85141 blt 0,0,640,480,0, 8708,640,480:waittimer 85160 blt 0,0,640,480,0, 8710,640,480:waittimer 85180 blt 0,0,640,480,0, 8712,640,480:waittimer 85200 blt 0,0,640,480,0, 8714,640,480:waittimer 85219 blt 0,0,640,480,0, 8716,640,480:waittimer 85239 blt 0,0,640,480,0, 8718,640,480:waittimer 85258 blt 0,0,640,480,0, 8720,640,480:waittimer 85278 blt 0,0,640,480,0, 8722,640,480:waittimer 85297 blt 0,0,640,480,0, 8724,640,480:waittimer 85317 blt 0,0,640,480,0, 8726,640,480:waittimer 85336 blt 0,0,640,480,0, 8728,640,480:waittimer 85356 blt 0,0,640,480,0, 8730,640,480:waittimer 85376 blt 0,0,640,480,0, 8732,640,480:waittimer 85395 blt 0,0,640,480,0, 8734,640,480:waittimer 85415 blt 0,0,640,480,0, 8736,640,480:waittimer 85434 blt 0,0,640,480,0, 8738,640,480:waittimer 85454 blt 0,0,640,480,0, 8740,640,480:waittimer 85473 blt 0,0,640,480,0, 8742,640,480:waittimer 85493 blt 0,0,640,480,0, 8744,640,480:waittimer 85512 blt 0,0,640,480,0, 8746,640,480:waittimer 85532 blt 0,0,640,480,0, 8748,640,480:waittimer 85552 blt 0,0,640,480,0, 8750,640,480:waittimer 85571 blt 0,0,640,480,0, 8752,640,480:waittimer 85591 blt 0,0,640,480,0, 8754,640,480:waittimer 85610 blt 0,0,640,480,0, 8756,640,480:waittimer 85630 blt 0,0,640,480,0, 8758,640,480:waittimer 85649 blt 0,0,640,480,0, 8760,640,480:waittimer 85669 blt 0,0,640,480,0, 8762,640,480:waittimer 85688 blt 0,0,640,480,0, 8764,640,480:waittimer 85708 blt 0,0,640,480,0, 8766,640,480:waittimer 85728 blt 0,0,640,480,0, 8768,640,480:waittimer 85747 blt 0,0,640,480,0, 8770,640,480:waittimer 85767 blt 0,0,640,480,0, 8772,640,480:waittimer 85786 blt 0,0,640,480,0, 8774,640,480:waittimer 85806 blt 0,0,640,480,0, 8776,640,480:waittimer 85825 blt 0,0,640,480,0, 8778,640,480:waittimer 85845 blt 0,0,640,480,0, 8780,640,480:waittimer 85865 blt 0,0,640,480,0, 8782,640,480:waittimer 85884 blt 0,0,640,480,0, 8784,640,480:waittimer 85904 blt 0,0,640,480,0, 8786,640,480:waittimer 85923 blt 0,0,640,480,0, 8788,640,480:waittimer 85943 blt 0,0,640,480,0, 8790,640,480:waittimer 85962 blt 0,0,640,480,0, 8792,640,480:waittimer 85982 blt 0,0,640,480,0, 8794,640,480:waittimer 86001 blt 0,0,640,480,0, 8796,640,480:waittimer 86021 blt 0,0,640,480,0, 8798,640,480:waittimer 86041 blt 0,0,640,480,0, 8800,640,480:waittimer 86060 blt 0,0,640,480,0, 8802,640,480:waittimer 86080 blt 0,0,640,480,0, 8804,640,480:waittimer 86099 blt 0,0,640,480,0, 8806,640,480:waittimer 86119 blt 0,0,640,480,0, 8808,640,480:waittimer 86138 blt 0,0,640,480,0, 8810,640,480:waittimer 86158 blt 0,0,640,480,0, 8812,640,480:waittimer 86177 blt 0,0,640,480,0, 8814,640,480:waittimer 86197 blt 0,0,640,480,0, 8816,640,480:waittimer 86217 blt 0,0,640,480,0, 8818,640,480:waittimer 86236 blt 0,0,640,480,0, 8820,640,480:waittimer 86256 blt 0,0,640,480,0, 8822,640,480:waittimer 86275 blt 0,0,640,480,0, 8824,640,480:waittimer 86295 blt 0,0,640,480,0, 8826,640,480:waittimer 86314 blt 0,0,640,480,0, 8828,640,480:waittimer 86334 blt 0,0,640,480,0, 8830,640,480:waittimer 86353 blt 0,0,640,480,0, 8832,640,480:waittimer 86373 blt 0,0,640,480,0, 8834,640,480:waittimer 86393 blt 0,0,640,480,0, 8836,640,480:waittimer 86412 blt 0,0,640,480,0, 8838,640,480:waittimer 86432 blt 0,0,640,480,0, 8840,640,480:waittimer 86451 blt 0,0,640,480,0, 8842,640,480:waittimer 86471 blt 0,0,640,480,0, 8844,640,480:waittimer 86490 blt 0,0,640,480,0, 8846,640,480:waittimer 86510 blt 0,0,640,480,0, 8848,640,480:waittimer 86530 blt 0,0,640,480,0, 8850,640,480:waittimer 86549 blt 0,0,640,480,0, 8852,640,480:waittimer 86569 blt 0,0,640,480,0, 8854,640,480:waittimer 86588 blt 0,0,640,480,0, 8856,640,480:waittimer 86608 blt 0,0,640,480,0, 8858,640,480:waittimer 86627 blt 0,0,640,480,0, 8860,640,480:waittimer 86647 blt 0,0,640,480,0, 8862,640,480:waittimer 86666 blt 0,0,640,480,0, 8864,640,480:waittimer 86686 blt 0,0,640,480,0, 8866,640,480:waittimer 86706 blt 0,0,640,480,0, 8868,640,480:waittimer 86725 blt 0,0,640,480,0, 8870,640,480:waittimer 86745 blt 0,0,640,480,0, 8872,640,480:waittimer 86764 blt 0,0,640,480,0, 8874,640,480:waittimer 86784 blt 0,0,640,480,0, 8876,640,480:waittimer 86803 blt 0,0,640,480,0, 8878,640,480:waittimer 86823 blt 0,0,640,480,0, 8880,640,480:waittimer 86842 blt 0,0,640,480,0, 8882,640,480:waittimer 86862 blt 0,0,640,480,0, 8884,640,480:waittimer 86882 blt 0,0,640,480,0, 8886,640,480:waittimer 86901 blt 0,0,640,480,0, 8888,640,480:waittimer 86921 blt 0,0,640,480,0, 8890,640,480:waittimer 86940 blt 0,0,640,480,0, 8892,640,480:waittimer 86960 blt 0,0,640,480,0, 8894,640,480:waittimer 86979 blt 0,0,640,480,0, 8896,640,480:waittimer 86999 blt 0,0,640,480,0, 8898,640,480:waittimer 87019 blt 0,0,640,480,0, 8900,640,480:waittimer 87038 blt 0,0,640,480,0, 8902,640,480:waittimer 87058 blt 0,0,640,480,0, 8904,640,480:waittimer 87077 blt 0,0,640,480,0, 8906,640,480:waittimer 87097 blt 0,0,640,480,0, 8908,640,480:waittimer 87116 blt 0,0,640,480,0, 8910,640,480:waittimer 87136 blt 0,0,640,480,0, 8912,640,480:waittimer 87155 blt 0,0,640,480,0, 8914,640,480:waittimer 87175 blt 0,0,640,480,0, 8916,640,480:waittimer 87195 blt 0,0,640,480,0, 8918,640,480:waittimer 87214 blt 0,0,640,480,0, 8920,640,480:waittimer 87234 blt 0,0,640,480,0, 8922,640,480:waittimer 87253 blt 0,0,640,480,0, 8924,640,480:waittimer 87273 blt 0,0,640,480,0, 8926,640,480:waittimer 87292 blt 0,0,640,480,0, 8928,640,480:waittimer 87312 blt 0,0,640,480,0, 8930,640,480:waittimer 87331 blt 0,0,640,480,0, 8932,640,480:waittimer 87351 blt 0,0,640,480,0, 8934,640,480:waittimer 87371 blt 0,0,640,480,0, 8936,640,480:waittimer 87390 blt 0,0,640,480,0, 8938,640,480:waittimer 87410 blt 0,0,640,480,0, 8940,640,480:waittimer 87429 blt 0,0,640,480,0, 8942,640,480:waittimer 87449 blt 0,0,640,480,0, 8944,640,480:waittimer 87468 blt 0,0,640,480,0, 8946,640,480:waittimer 87488 blt 0,0,640,480,0, 8948,640,480:waittimer 87507 blt 0,0,640,480,0, 8950,640,480:waittimer 87527 blt 0,0,640,480,0, 8952,640,480:waittimer 87547 blt 0,0,640,480,0, 8954,640,480:waittimer 87566 blt 0,0,640,480,0, 8956,640,480:waittimer 87586 blt 0,0,640,480,0, 8958,640,480:waittimer 87605 blt 0,0,640,480,0, 8960,640,480:waittimer 87625 blt 0,0,640,480,0, 8962,640,480:waittimer 87644 blt 0,0,640,480,0, 8964,640,480:waittimer 87664 blt 0,0,640,480,0, 8966,640,480:waittimer 87684 blt 0,0,640,480,0, 8968,640,480:waittimer 87703 blt 0,0,640,480,0, 8970,640,480:waittimer 87723 blt 0,0,640,480,0, 8972,640,480:waittimer 87742 blt 0,0,640,480,0, 8974,640,480:waittimer 87762 blt 0,0,640,480,0, 8976,640,480:waittimer 87781 blt 0,0,640,480,0, 8978,640,480:waittimer 87801 blt 0,0,640,480,0, 8980,640,480:waittimer 87820 blt 0,0,640,480,0, 8982,640,480:waittimer 87840 blt 0,0,640,480,0, 8984,640,480:waittimer 87860 blt 0,0,640,480,0, 8986,640,480:waittimer 87879 blt 0,0,640,480,0, 8988,640,480:waittimer 87899 blt 0,0,640,480,0, 8990,640,480:waittimer 87918 blt 0,0,640,480,0, 8992,640,480:waittimer 87938 blt 0,0,640,480,0, 8994,640,480:waittimer 87957 blt 0,0,640,480,0, 8996,640,480:waittimer 87977 blt 0,0,640,480,0, 8998,640,480:waittimer 87996 blt 0,0,640,480,0, 9000,640,480:waittimer 88016 blt 0,0,640,480,0, 9002,640,480:waittimer 88036 blt 0,0,640,480,0, 9004,640,480:waittimer 88055 blt 0,0,640,480,0, 9006,640,480:waittimer 88075 blt 0,0,640,480,0, 9008,640,480:waittimer 88094 blt 0,0,640,480,0, 9010,640,480:waittimer 88114 blt 0,0,640,480,0, 9012,640,480:waittimer 88133 blt 0,0,640,480,0, 9014,640,480:waittimer 88153 blt 0,0,640,480,0, 9016,640,480:waittimer 88173 blt 0,0,640,480,0, 9018,640,480:waittimer 88192 blt 0,0,640,480,0, 9020,640,480:waittimer 88212 blt 0,0,640,480,0, 9022,640,480:waittimer 88231 blt 0,0,640,480,0, 9024,640,480:waittimer 88251 blt 0,0,640,480,0, 9026,640,480:waittimer 88270 blt 0,0,640,480,0, 9028,640,480:waittimer 88290 blt 0,0,640,480,0, 9030,640,480:waittimer 88309 blt 0,0,640,480,0, 9032,640,480:waittimer 88329 blt 0,0,640,480,0, 9034,640,480:waittimer 88349 blt 0,0,640,480,0, 9036,640,480:waittimer 88368 blt 0,0,640,480,0, 9038,640,480:waittimer 88388 blt 0,0,640,480,0, 9040,640,480:waittimer 88407 blt 0,0,640,480,0, 9042,640,480:waittimer 88427 blt 0,0,640,480,0, 9044,640,480:waittimer 88446 blt 0,0,640,480,0, 9046,640,480:waittimer 88466 blt 0,0,640,480,0, 9048,640,480:waittimer 88485 blt 0,0,640,480,0, 9050,640,480:waittimer 88505 blt 0,0,640,480,0, 9052,640,480:waittimer 88525 blt 0,0,640,480,0, 9054,640,480:waittimer 88544 blt 0,0,640,480,0, 9056,640,480:waittimer 88564 blt 0,0,640,480,0, 9058,640,480:waittimer 88583 blt 0,0,640,480,0, 9060,640,480:waittimer 88603 blt 0,0,640,480,0, 9062,640,480:waittimer 88622 blt 0,0,640,480,0, 9064,640,480:waittimer 88642 blt 0,0,640,480,0, 9066,640,480:waittimer 88661 blt 0,0,640,480,0, 9068,640,480:waittimer 88681 blt 0,0,640,480,0, 9070,640,480:waittimer 88701 blt 0,0,640,480,0, 9072,640,480:waittimer 88720 blt 0,0,640,480,0, 9074,640,480:waittimer 88740 blt 0,0,640,480,0, 9076,640,480:waittimer 88759 blt 0,0,640,480,0, 9078,640,480:waittimer 88779 blt 0,0,640,480,0, 9080,640,480:waittimer 88798 blt 0,0,640,480,0, 9082,640,480:waittimer 88818 blt 0,0,640,480,0, 9084,640,480:waittimer 88838 blt 0,0,640,480,0, 9086,640,480:waittimer 88857 blt 0,0,640,480,0, 9088,640,480:waittimer 88877 blt 0,0,640,480,0, 9090,640,480:waittimer 88896 blt 0,0,640,480,0, 9092,640,480:waittimer 88916 blt 0,0,640,480,0, 9094,640,480:waittimer 88935 blt 0,0,640,480,0, 9096,640,480:waittimer 88955 blt 0,0,640,480,0, 9098,640,480:waittimer 88974 blt 0,0,640,480,0, 9100,640,480:waittimer 88994 blt 0,0,640,480,0, 9102,640,480:waittimer 89014 blt 0,0,640,480,0, 9104,640,480:waittimer 89033 blt 0,0,640,480,0, 9106,640,480:waittimer 89053 blt 0,0,640,480,0, 9108,640,480:waittimer 89072 blt 0,0,640,480,0, 9110,640,480:waittimer 89092 blt 0,0,640,480,0, 9112,640,480:waittimer 89111 blt 0,0,640,480,0, 9114,640,480:waittimer 89131 blt 0,0,640,480,0, 9116,640,480:waittimer 89150 blt 0,0,640,480,0, 9118,640,480:waittimer 89170 blt 0,0,640,480,0, 9120,640,480:waittimer 89190 blt 0,0,640,480,0, 9122,640,480:waittimer 89209 blt 0,0,640,480,0, 9124,640,480:waittimer 89229 blt 0,0,640,480,0, 9126,640,480:waittimer 89248 blt 0,0,640,480,0, 9128,640,480:waittimer 89268 blt 0,0,640,480,0, 9130,640,480:waittimer 89287 blt 0,0,640,480,0, 9132,640,480:waittimer 89307 blt 0,0,640,480,0, 9134,640,480:waittimer 89326 blt 0,0,640,480,0, 9136,640,480:waittimer 89346 blt 0,0,640,480,0, 9138,640,480:waittimer 89366 blt 0,0,640,480,0, 9140,640,480:waittimer 89385 blt 0,0,640,480,0, 9142,640,480:waittimer 89405 blt 0,0,640,480,0, 9144,640,480:waittimer 89424 blt 0,0,640,480,0, 9146,640,480:waittimer 89444 blt 0,0,640,480,0, 9148,640,480:waittimer 89463 blt 0,0,640,480,0, 9150,640,480:waittimer 89483 blt 0,0,640,480,0, 9152,640,480:waittimer 89503 blt 0,0,640,480,0, 9154,640,480:waittimer 89522 blt 0,0,640,480,0, 9156,640,480:waittimer 89542 blt 0,0,640,480,0, 9158,640,480:waittimer 89561 blt 0,0,640,480,0, 9160,640,480:waittimer 89581 blt 0,0,640,480,0, 9162,640,480:waittimer 89600 blt 0,0,640,480,0, 9164,640,480:waittimer 89620 blt 0,0,640,480,0, 9166,640,480:waittimer 89639 blt 0,0,640,480,0, 9168,640,480:waittimer 89659 blt 0,0,640,480,0, 9170,640,480:waittimer 89679 blt 0,0,640,480,0, 9172,640,480:waittimer 89698 blt 0,0,640,480,0, 9174,640,480:waittimer 89718 blt 0,0,640,480,0, 9176,640,480:waittimer 89737 blt 0,0,640,480,0, 9178,640,480:waittimer 89757 blt 0,0,640,480,0, 9180,640,480:waittimer 89776 blt 0,0,640,480,0, 9182,640,480:waittimer 89796 blt 0,0,640,480,0, 9184,640,480:waittimer 89815 blt 0,0,640,480,0, 9186,640,480:waittimer 89835 blt 0,0,640,480,0, 9188,640,480:waittimer 89855 blt 0,0,640,480,0, 9190,640,480:waittimer 89874 blt 0,0,640,480,0, 9192,640,480:waittimer 89894 blt 0,0,640,480,0, 9194,640,480:waittimer 89913 blt 0,0,640,480,0, 9196,640,480:waittimer 89933 blt 0,0,640,480,0, 9198,640,480:waittimer 89952 blt 0,0,640,480,0, 9200,640,480:waittimer 89972 blt 0,0,640,480,0, 9202,640,480:waittimer 89992 blt 0,0,640,480,0, 9204,640,480:waittimer 90011 blt 0,0,640,480,0, 9206,640,480:waittimer 90031 blt 0,0,640,480,0, 9208,640,480:waittimer 90050 blt 0,0,640,480,0, 9210,640,480:waittimer 90070 blt 0,0,640,480,0, 9212,640,480:waittimer 90089 blt 0,0,640,480,0, 9214,640,480:waittimer 90109 blt 0,0,640,480,0, 9216,640,480:waittimer 90128 blt 0,0,640,480,0, 9218,640,480:waittimer 90148 blt 0,0,640,480,0, 9220,640,480:waittimer 90168 blt 0,0,640,480,0, 9222,640,480:waittimer 90187 blt 0,0,640,480,0, 9224,640,480:waittimer 90207 blt 0,0,640,480,0, 9226,640,480:waittimer 90226 blt 0,0,640,480,0, 9228,640,480:waittimer 90246 blt 0,0,640,480,0, 9230,640,480:waittimer 90265 blt 0,0,640,480,0, 9232,640,480:waittimer 90285 blt 0,0,640,480,0, 9234,640,480:waittimer 90304 blt 0,0,640,480,0, 9236,640,480:waittimer 90324 blt 0,0,640,480,0, 9238,640,480:waittimer 90344 blt 0,0,640,480,0, 9240,640,480:waittimer 90363 blt 0,0,640,480,0, 9242,640,480:waittimer 90383 blt 0,0,640,480,0, 9244,640,480:waittimer 90402 blt 0,0,640,480,0, 9246,640,480:waittimer 90422 blt 0,0,640,480,0, 9248,640,480:waittimer 90441 blt 0,0,640,480,0, 9250,640,480:waittimer 90461 blt 0,0,640,480,0, 9252,640,480:waittimer 90480 blt 0,0,640,480,0, 9254,640,480:waittimer 90500 blt 0,0,640,480,0, 9256,640,480:waittimer 90520 blt 0,0,640,480,0, 9258,640,480:waittimer 90539 blt 0,0,640,480,0, 9260,640,480:waittimer 90559 blt 0,0,640,480,0, 9262,640,480:waittimer 90578 blt 0,0,640,480,0, 9264,640,480:waittimer 90598 blt 0,0,640,480,0, 9266,640,480:waittimer 90617 blt 0,0,640,480,0, 9268,640,480:waittimer 90637 blt 0,0,640,480,0, 9270,640,480:waittimer 90657 blt 0,0,640,480,0, 9272,640,480:waittimer 90676 blt 0,0,640,480,0, 9274,640,480:waittimer 90696 blt 0,0,640,480,0, 9276,640,480:waittimer 90715 blt 0,0,640,480,0, 9278,640,480:waittimer 90735 blt 0,0,640,480,0, 9280,640,480:waittimer 90754 blt 0,0,640,480,0, 9282,640,480:waittimer 90774 blt 0,0,640,480,0, 9284,640,480:waittimer 90793 blt 0,0,640,480,0, 9286,640,480:waittimer 90813 blt 0,0,640,480,0, 9288,640,480:waittimer 90833 blt 0,0,640,480,0, 9290,640,480:waittimer 90852 blt 0,0,640,480,0, 9292,640,480:waittimer 90872 blt 0,0,640,480,0, 9294,640,480:waittimer 90891 blt 0,0,640,480,0, 9296,640,480:waittimer 90911 blt 0,0,640,480,0, 9298,640,480:waittimer 90930 blt 0,0,640,480,0, 9300,640,480:waittimer 90950 blt 0,0,640,480,0, 9302,640,480:waittimer 90969 blt 0,0,640,480,0, 9304,640,480:waittimer 90989 blt 0,0,640,480,0, 9306,640,480:waittimer 91009 blt 0,0,640,480,0, 9308,640,480:waittimer 91028 blt 0,0,640,480,0, 9310,640,480:waittimer 91048 blt 0,0,640,480,0, 9312,640,480:waittimer 91067 blt 0,0,640,480,0, 9314,640,480:waittimer 91087 blt 0,0,640,480,0, 9316,640,480:waittimer 91106 blt 0,0,640,480,0, 9318,640,480:waittimer 91126 blt 0,0,640,480,0, 9320,640,480:waittimer 91146 blt 0,0,640,480,0, 9322,640,480:waittimer 91165 blt 0,0,640,480,0, 9324,640,480:waittimer 91185 blt 0,0,640,480,0, 9326,640,480:waittimer 91204 blt 0,0,640,480,0, 9328,640,480:waittimer 91224 blt 0,0,640,480,0, 9330,640,480:waittimer 91243 blt 0,0,640,480,0, 9332,640,480:waittimer 91263 blt 0,0,640,480,0, 9334,640,480:waittimer 91282 blt 0,0,640,480,0, 9336,640,480:waittimer 91302 blt 0,0,640,480,0, 9338,640,480:waittimer 91322 blt 0,0,640,480,0, 9340,640,480:waittimer 91341 blt 0,0,640,480,0, 9342,640,480:waittimer 91361 blt 0,0,640,480,0, 9344,640,480:waittimer 91380 blt 0,0,640,480,0, 9346,640,480:waittimer 91400 blt 0,0,640,480,0, 9348,640,480:waittimer 91419 blt 0,0,640,480,0, 9350,640,480:waittimer 91439 blt 0,0,640,480,0, 9352,640,480:waittimer 91458 blt 0,0,640,480,0, 9354,640,480:waittimer 91478 blt 0,0,640,480,0, 9356,640,480:waittimer 91498 blt 0,0,640,480,0, 9358,640,480:waittimer 91517 blt 0,0,640,480,0, 9360,640,480:waittimer 91537 blt 0,0,640,480,0, 9362,640,480:waittimer 91556 blt 0,0,640,480,0, 9364,640,480:waittimer 91576 blt 0,0,640,480,0, 9366,640,480:waittimer 91595 blt 0,0,640,480,0, 9368,640,480:waittimer 91615 blt 0,0,640,480,0, 9370,640,480:waittimer 91634 blt 0,0,640,480,0, 9372,640,480:waittimer 91654 blt 0,0,640,480,0, 9374,640,480:waittimer 91674 blt 0,0,640,480,0, 9376,640,480:waittimer 91693 blt 0,0,640,480,0, 9378,640,480:waittimer 91713 blt 0,0,640,480,0, 9380,640,480:waittimer 91732 blt 0,0,640,480,0, 9382,640,480:waittimer 91752 blt 0,0,640,480,0, 9384,640,480:waittimer 91771 blt 0,0,640,480,0, 9386,640,480:waittimer 91791 blt 0,0,640,480,0, 9388,640,480:waittimer 91811 blt 0,0,640,480,0, 9390,640,480:waittimer 91830 blt 0,0,640,480,0, 9392,640,480:waittimer 91850 blt 0,0,640,480,0, 9394,640,480:waittimer 91869 blt 0,0,640,480,0, 9396,640,480:waittimer 91889 blt 0,0,640,480,0, 9398,640,480:waittimer 91908 blt 0,0,640,480,0, 9400,640,480:waittimer 91928 blt 0,0,640,480,0, 9402,640,480:waittimer 91947 blt 0,0,640,480,0, 9404,640,480:waittimer 91967 blt 0,0,640,480,0, 9406,640,480:waittimer 91987 blt 0,0,640,480,0, 9408,640,480:waittimer 92006 blt 0,0,640,480,0, 9410,640,480:waittimer 92026 blt 0,0,640,480,0, 9412,640,480:waittimer 92045 blt 0,0,640,480,0, 9414,640,480:waittimer 92065 blt 0,0,640,480,0, 9416,640,480:waittimer 92084 blt 0,0,640,480,0, 9418,640,480:waittimer 92104 blt 0,0,640,480,0, 9420,640,480:waittimer 92123 blt 0,0,640,480,0, 9422,640,480:waittimer 92143 blt 0,0,640,480,0, 9424,640,480:waittimer 92163 blt 0,0,640,480,0, 9426,640,480:waittimer 92182 blt 0,0,640,480,0, 9428,640,480:waittimer 92202 blt 0,0,640,480,0, 9430,640,480:waittimer 92221 blt 0,0,640,480,0, 9432,640,480:waittimer 92241 blt 0,0,640,480,0, 9434,640,480:waittimer 92260 blt 0,0,640,480,0, 9436,640,480:waittimer 92280 blt 0,0,640,480,0, 9438,640,480:waittimer 92300 blt 0,0,640,480,0, 9440,640,480:waittimer 92319 blt 0,0,640,480,0, 9442,640,480:waittimer 92339 blt 0,0,640,480,0, 9444,640,480:waittimer 92358 blt 0,0,640,480,0, 9446,640,480:waittimer 92378 blt 0,0,640,480,0, 9448,640,480:waittimer 92397 blt 0,0,640,480,0, 9450,640,480:waittimer 92417 blt 0,0,640,480,0, 9452,640,480:waittimer 92436 blt 0,0,640,480,0, 9454,640,480:waittimer 92456 blt 0,0,640,480,0, 9456,640,480:waittimer 92476 blt 0,0,640,480,0, 9458,640,480:waittimer 92495 blt 0,0,640,480,0, 9460,640,480:waittimer 92515 blt 0,0,640,480,0, 9462,640,480:waittimer 92534 blt 0,0,640,480,0, 9464,640,480:waittimer 92554 blt 0,0,640,480,0, 9466,640,480:waittimer 92573 blt 0,0,640,480,0, 9468,640,480:waittimer 92593 blt 0,0,640,480,0, 9470,640,480:waittimer 92612 blt 0,0,640,480,0, 9472,640,480:waittimer 92632 blt 0,0,640,480,0, 9474,640,480:waittimer 92652 blt 0,0,640,480,0, 9476,640,480:waittimer 92671 blt 0,0,640,480,0, 9478,640,480:waittimer 92691 blt 0,0,640,480,0, 9480,640,480:waittimer 92710 blt 0,0,640,480,0, 9482,640,480:waittimer 92730 blt 0,0,640,480,0, 9484,640,480:waittimer 92749 blt 0,0,640,480,0, 9486,640,480:waittimer 92769 blt 0,0,640,480,0, 9488,640,480:waittimer 92788 blt 0,0,640,480,0, 9490,640,480:waittimer 92808 blt 0,0,640,480,0, 9492,640,480:waittimer 92828 blt 0,0,640,480,0, 9494,640,480:waittimer 92847 blt 0,0,640,480,0, 9496,640,480:waittimer 92867 blt 0,0,640,480,0, 9498,640,480:waittimer 92886 blt 0,0,640,480,0, 9500,640,480:waittimer 92906 blt 0,0,640,480,0, 9502,640,480:waittimer 92925 blt 0,0,640,480,0, 9504,640,480:waittimer 92945 blt 0,0,640,480,0, 9506,640,480:waittimer 92965 blt 0,0,640,480,0, 9508,640,480:waittimer 92984 blt 0,0,640,480,0, 9510,640,480:waittimer 93004 blt 0,0,640,480,0, 9512,640,480:waittimer 93023 blt 0,0,640,480,0, 9514,640,480:waittimer 93043 blt 0,0,640,480,0, 9516,640,480:waittimer 93062 blt 0,0,640,480,0, 9518,640,480:waittimer 93082 blt 0,0,640,480,0, 9520,640,480:waittimer 93101 blt 0,0,640,480,0, 9522,640,480:waittimer 93121 blt 0,0,640,480,0, 9524,640,480:waittimer 93141 blt 0,0,640,480,0, 9526,640,480:waittimer 93160 blt 0,0,640,480,0, 9528,640,480:waittimer 93180 blt 0,0,640,480,0, 9530,640,480:waittimer 93199 blt 0,0,640,480,0, 9532,640,480:waittimer 93219 blt 0,0,640,480,0, 9534,640,480:waittimer 93238 blt 0,0,640,480,0, 9536,640,480:waittimer 93258 blt 0,0,640,480,0, 9538,640,480:waittimer 93277 blt 0,0,640,480,0, 9540,640,480:waittimer 93297 blt 0,0,640,480,0, 9542,640,480:waittimer 93317 blt 0,0,640,480,0, 9544,640,480:waittimer 93336 blt 0,0,640,480,0, 9546,640,480:waittimer 93356 blt 0,0,640,480,0, 9548,640,480:waittimer 93375 blt 0,0,640,480,0, 9550,640,480:waittimer 93395 blt 0,0,640,480,0, 9552,640,480:waittimer 93414 blt 0,0,640,480,0, 9554,640,480:waittimer 93434 blt 0,0,640,480,0, 9556,640,480:waittimer 93453 blt 0,0,640,480,0, 9558,640,480:waittimer 93473 blt 0,0,640,480,0, 9560,640,480:waittimer 93493 blt 0,0,640,480,0, 9562,640,480:waittimer 93512 blt 0,0,640,480,0, 9564,640,480:waittimer 93532 blt 0,0,640,480,0, 9566,640,480:waittimer 93551 blt 0,0,640,480,0, 9568,640,480:waittimer 93571 blt 0,0,640,480,0, 9570,640,480:waittimer 93590 blt 0,0,640,480,0, 9572,640,480:waittimer 93610 blt 0,0,640,480,0, 9574,640,480:waittimer 93630 blt 0,0,640,480,0, 9576,640,480:waittimer 93649 blt 0,0,640,480,0, 9578,640,480:waittimer 93669 blt 0,0,640,480,0, 9580,640,480:waittimer 93688 blt 0,0,640,480,0, 9582,640,480:waittimer 93708 blt 0,0,640,480,0, 9584,640,480:waittimer 93727 blt 0,0,640,480,0, 9586,640,480:waittimer 93747 blt 0,0,640,480,0, 9588,640,480:waittimer 93766 blt 0,0,640,480,0, 9590,640,480:waittimer 93786 blt 0,0,640,480,0, 9592,640,480:waittimer 93806 blt 0,0,640,480,0, 9594,640,480:waittimer 93825 blt 0,0,640,480,0, 9596,640,480:waittimer 93845 blt 0,0,640,480,0, 9598,640,480:waittimer 93864 blt 0,0,640,480,0, 9600,640,480:waittimer 93884 blt 0,0,640,480,0, 9602,640,480:waittimer 93903 blt 0,0,640,480,0, 9604,640,480:waittimer 93923 blt 0,0,640,480,0, 9606,640,480:waittimer 93942 blt 0,0,640,480,0, 9608,640,480:waittimer 93962 blt 0,0,640,480,0, 9610,640,480:waittimer 93982 blt 0,0,640,480,0, 9612,640,480:waittimer 94001 blt 0,0,640,480,0, 9614,640,480:waittimer 94021 blt 0,0,640,480,0, 9616,640,480:waittimer 94040 blt 0,0,640,480,0, 9618,640,480:waittimer 94060 blt 0,0,640,480,0, 9620,640,480:waittimer 94079 blt 0,0,640,480,0, 9622,640,480:waittimer 94099 blt 0,0,640,480,0, 9624,640,480:waittimer 94119 blt 0,0,640,480,0, 9626,640,480:waittimer 94138 blt 0,0,640,480,0, 9628,640,480:waittimer 94158 blt 0,0,640,480,0, 9630,640,480:waittimer 94177 blt 0,0,640,480,0, 9632,640,480:waittimer 94197 blt 0,0,640,480,0, 9634,640,480:waittimer 94216 blt 0,0,640,480,0, 9636,640,480:waittimer 94236 blt 0,0,640,480,0, 9638,640,480:waittimer 94255 blt 0,0,640,480,0, 9640,640,480:waittimer 94275 blt 0,0,640,480,0, 9642,640,480:waittimer 94295 blt 0,0,640,480,0, 9644,640,480:waittimer 94314 blt 0,0,640,480,0, 9646,640,480:waittimer 94334 blt 0,0,640,480,0, 9648,640,480:waittimer 94353 blt 0,0,640,480,0, 9650,640,480:waittimer 94373 blt 0,0,640,480,0, 9652,640,480:waittimer 94392 blt 0,0,640,480,0, 9654,640,480:waittimer 94412 blt 0,0,640,480,0, 9656,640,480:waittimer 94431 blt 0,0,640,480,0, 9658,640,480:waittimer 94451 blt 0,0,640,480,0, 9660,640,480:waittimer 94471 blt 0,0,640,480,0, 9662,640,480:waittimer 94490 blt 0,0,640,480,0, 9664,640,480:waittimer 94510 blt 0,0,640,480,0, 9666,640,480:waittimer 94529 blt 0,0,640,480,0, 9668,640,480:waittimer 94549 blt 0,0,640,480,0, 9670,640,480:waittimer 94568 blt 0,0,640,480,0, 9672,640,480:waittimer 94588 blt 0,0,640,480,0, 9674,640,480:waittimer 94607 blt 0,0,640,480,0, 9676,640,480:waittimer 94627 blt 0,0,640,480,0, 9678,640,480:waittimer 94647 blt 0,0,640,480,0, 9680,640,480:waittimer 94666 blt 0,0,640,480,0, 9682,640,480:waittimer 94686 blt 0,0,640,480,0, 9684,640,480:waittimer 94705 blt 0,0,640,480,0, 9686,640,480:waittimer 94725 blt 0,0,640,480,0, 9688,640,480:waittimer 94744 blt 0,0,640,480,0, 9690,640,480:waittimer 94764 blt 0,0,640,480,0, 9692,640,480:waittimer 94784 blt 0,0,640,480,0, 9694,640,480:waittimer 94803 blt 0,0,640,480,0, 9696,640,480:waittimer 94823 blt 0,0,640,480,0, 9698,640,480:waittimer 94842 blt 0,0,640,480,0, 9700,640,480:waittimer 94862 blt 0,0,640,480,0, 9702,640,480:waittimer 94881 blt 0,0,640,480,0, 9704,640,480:waittimer 94901 blt 0,0,640,480,0, 9706,640,480:waittimer 94920 blt 0,0,640,480,0, 9708,640,480:waittimer 94940 blt 0,0,640,480,0, 9710,640,480:waittimer 94960 blt 0,0,640,480,0, 9712,640,480:waittimer 94979 blt 0,0,640,480,0, 9714,640,480:waittimer 94999 blt 0,0,640,480,0, 9716,640,480:waittimer 95018 blt 0,0,640,480,0, 9718,640,480:waittimer 95038 blt 0,0,640,480,0, 9720,640,480:waittimer 95057 blt 0,0,640,480,0, 9722,640,480:waittimer 95077 blt 0,0,640,480,0, 9724,640,480:waittimer 95096 blt 0,0,640,480,0, 9726,640,480:waittimer 95116 blt 0,0,640,480,0, 9728,640,480:waittimer 95136 blt 0,0,640,480,0, 9730,640,480:waittimer 95155 blt 0,0,640,480,0, 9732,640,480:waittimer 95175 blt 0,0,640,480,0, 9734,640,480:waittimer 95194 blt 0,0,640,480,0, 9736,640,480:waittimer 95214 blt 0,0,640,480,0, 9738,640,480:waittimer 95233 blt 0,0,640,480,0, 9740,640,480:waittimer 95253 blt 0,0,640,480,0, 9742,640,480:waittimer 95273 blt 0,0,640,480,0, 9744,640,480:waittimer 95292 blt 0,0,640,480,0, 9746,640,480:waittimer 95312 blt 0,0,640,480,0, 9748,640,480:waittimer 95331 blt 0,0,640,480,0, 9750,640,480:waittimer 95351 blt 0,0,640,480,0, 9752,640,480:waittimer 95370 blt 0,0,640,480,0, 9754,640,480:waittimer 95390 blt 0,0,640,480,0, 9756,640,480:waittimer 95409 blt 0,0,640,480,0, 9758,640,480:waittimer 95429 blt 0,0,640,480,0, 9760,640,480:waittimer 95449 blt 0,0,640,480,0, 9762,640,480:waittimer 95468 blt 0,0,640,480,0, 9764,640,480:waittimer 95488 blt 0,0,640,480,0, 9766,640,480:waittimer 95507 blt 0,0,640,480,0, 9768,640,480:waittimer 95527 blt 0,0,640,480,0, 9770,640,480:waittimer 95546 blt 0,0,640,480,0, 9772,640,480:waittimer 95566 blt 0,0,640,480,0, 9774,640,480:waittimer 95585 blt 0,0,640,480,0, 9776,640,480:waittimer 95605 blt 0,0,640,480,0, 9778,640,480:waittimer 95625 blt 0,0,640,480,0, 9780,640,480:waittimer 95644 blt 0,0,640,480,0, 9782,640,480:waittimer 95664 blt 0,0,640,480,0, 9784,640,480:waittimer 95683 blt 0,0,640,480,0, 9786,640,480:waittimer 95703 blt 0,0,640,480,0, 9788,640,480:waittimer 95722 blt 0,0,640,480,0, 9790,640,480:waittimer 95742 blt 0,0,640,480,0, 9792,640,480:waittimer 95761 blt 0,0,640,480,0, 9794,640,480:waittimer 95781 blt 0,0,640,480,0, 9796,640,480:waittimer 95801 blt 0,0,640,480,0, 9798,640,480:waittimer 95820 blt 0,0,640,480,0, 9800,640,480:waittimer 95840 blt 0,0,640,480,0, 9802,640,480:waittimer 95859 blt 0,0,640,480,0, 9804,640,480:waittimer 95879 blt 0,0,640,480,0, 9806,640,480:waittimer 95898 blt 0,0,640,480,0, 9808,640,480:waittimer 95918 blt 0,0,640,480,0, 9810,640,480:waittimer 95938 blt 0,0,640,480,0, 9812,640,480:waittimer 95957 blt 0,0,640,480,0, 9814,640,480:waittimer 95977 blt 0,0,640,480,0, 9816,640,480:waittimer 95996 blt 0,0,640,480,0, 9818,640,480:waittimer 96016 blt 0,0,640,480,0, 9820,640,480:waittimer 96035 blt 0,0,640,480,0, 9822,640,480:waittimer 96055 blt 0,0,640,480,0, 9824,640,480:waittimer 96074 blt 0,0,640,480,0, 9826,640,480:waittimer 96094 blt 0,0,640,480,0, 9828,640,480:waittimer 96114 blt 0,0,640,480,0, 9830,640,480:waittimer 96133 blt 0,0,640,480,0, 9832,640,480:waittimer 96153 blt 0,0,640,480,0, 9834,640,480:waittimer 96172 blt 0,0,640,480,0, 9836,640,480:waittimer 96192 blt 0,0,640,480,0, 9838,640,480:waittimer 96211 blt 0,0,640,480,0, 9840,640,480:waittimer 96231 blt 0,0,640,480,0, 9842,640,480:waittimer 96250 blt 0,0,640,480,0, 9844,640,480:waittimer 96270 blt 0,0,640,480,0, 9846,640,480:waittimer 96290 blt 0,0,640,480,0, 9848,640,480:waittimer 96309 blt 0,0,640,480,0, 9850,640,480:waittimer 96329 blt 0,0,640,480,0, 9852,640,480:waittimer 96348 blt 0,0,640,480,0, 9854,640,480:waittimer 96368 blt 0,0,640,480,0, 9856,640,480:waittimer 96387 blt 0,0,640,480,0, 9858,640,480:waittimer 96407 blt 0,0,640,480,0, 9860,640,480:waittimer 96426 blt 0,0,640,480,0, 9862,640,480:waittimer 96446 blt 0,0,640,480,0, 9864,640,480:waittimer 96466 blt 0,0,640,480,0, 9866,640,480:waittimer 96485 blt 0,0,640,480,0, 9868,640,480:waittimer 96505 blt 0,0,640,480,0, 9870,640,480:waittimer 96524 blt 0,0,640,480,0, 9872,640,480:waittimer 96544 blt 0,0,640,480,0, 9874,640,480:waittimer 96563 blt 0,0,640,480,0, 9876,640,480:waittimer 96583 blt 0,0,640,480,0, 9878,640,480:waittimer 96603 blt 0,0,640,480,0, 9880,640,480:waittimer 96622 blt 0,0,640,480,0, 9882,640,480:waittimer 96642 blt 0,0,640,480,0, 9884,640,480:waittimer 96661 blt 0,0,640,480,0, 9886,640,480:waittimer 96681 blt 0,0,640,480,0, 9888,640,480:waittimer 96700 blt 0,0,640,480,0, 9890,640,480:waittimer 96720 blt 0,0,640,480,0, 9892,640,480:waittimer 96739 blt 0,0,640,480,0, 9894,640,480:waittimer 96759 blt 0,0,640,480,0, 9896,640,480:waittimer 96779 blt 0,0,640,480,0, 9898,640,480:waittimer 96798 blt 0,0,640,480,0, 9900,640,480:waittimer 96818 blt 0,0,640,480,0, 9902,640,480:waittimer 96837 blt 0,0,640,480,0, 9904,640,480:waittimer 96857 blt 0,0,640,480,0, 9906,640,480:waittimer 96876 blt 0,0,640,480,0, 9908,640,480:waittimer 96896 blt 0,0,640,480,0, 9910,640,480:waittimer 96915 blt 0,0,640,480,0, 9912,640,480:waittimer 96935 blt 0,0,640,480,0, 9914,640,480:waittimer 96955 blt 0,0,640,480,0, 9916,640,480:waittimer 96974 blt 0,0,640,480,0, 9918,640,480:waittimer 96994 blt 0,0,640,480,0, 9920,640,480:waittimer 97013 blt 0,0,640,480,0, 9922,640,480:waittimer 97033 blt 0,0,640,480,0, 9924,640,480:waittimer 97052 blt 0,0,640,480,0, 9926,640,480:waittimer 97072 blt 0,0,640,480,0, 9928,640,480:waittimer 97092 blt 0,0,640,480,0, 9930,640,480:waittimer 97111 blt 0,0,640,480,0, 9932,640,480:waittimer 97131 blt 0,0,640,480,0, 9934,640,480:waittimer 97150 blt 0,0,640,480,0, 9936,640,480:waittimer 97170 blt 0,0,640,480,0, 9938,640,480:waittimer 97189 blt 0,0,640,480,0, 9940,640,480:waittimer 97209 blt 0,0,640,480,0, 9942,640,480:waittimer 97228 blt 0,0,640,480,0, 9944,640,480:waittimer 97248 blt 0,0,640,480,0, 9946,640,480:waittimer 97268 blt 0,0,640,480,0, 9948,640,480:waittimer 97287 blt 0,0,640,480,0, 9950,640,480:waittimer 97307 blt 0,0,640,480,0, 9952,640,480:waittimer 97326 blt 0,0,640,480,0, 9954,640,480:waittimer 97346 blt 0,0,640,480,0, 9956,640,480:waittimer 97365 blt 0,0,640,480,0, 9958,640,480:waittimer 97385 blt 0,0,640,480,0, 9960,640,480:waittimer 97404 blt 0,0,640,480,0, 9962,640,480:waittimer 97424 blt 0,0,640,480,0, 9964,640,480:waittimer 97444 blt 0,0,640,480,0, 9966,640,480:waittimer 97463 blt 0,0,640,480,0, 9968,640,480:waittimer 97483 blt 0,0,640,480,0, 9970,640,480:waittimer 97502 blt 0,0,640,480,0, 9972,640,480:waittimer 97522 blt 0,0,640,480,0, 9974,640,480:waittimer 97541 blt 0,0,640,480,0, 9976,640,480:waittimer 97561 blt 0,0,640,480,0, 9978,640,480:waittimer 97580 blt 0,0,640,480,0, 9980,640,480:waittimer 97600 blt 0,0,640,480,0, 9982,640,480:waittimer 97620 blt 0,0,640,480,0, 9984,640,480:waittimer 97639 blt 0,0,640,480,0, 9986,640,480:waittimer 97659 blt 0,0,640,480,0, 9988,640,480:waittimer 97678 blt 0,0,640,480,0, 9990,640,480:waittimer 97698 blt 0,0,640,480,0, 9992,640,480:waittimer 97717 blt 0,0,640,480,0, 9994,640,480:waittimer 97737 blt 0,0,640,480,0, 9996,640,480:waittimer 97757 blt 0,0,640,480,0, 9998,640,480:waittimer 97776 blt 0,0,640,480,0, 10000,640,480:waittimer 97796 blt 0,0,640,480,0, 10002,640,480:waittimer 97815 blt 0,0,640,480,0, 10004,640,480:waittimer 97835 blt 0,0,640,480,0, 10006,640,480:waittimer 97854 blt 0,0,640,480,0, 10008,640,480:waittimer 97874 blt 0,0,640,480,0, 10010,640,480:waittimer 97893 blt 0,0,640,480,0, 10012,640,480:waittimer 97913 blt 0,0,640,480,0, 10014,640,480:waittimer 97933 blt 0,0,640,480,0, 10016,640,480:waittimer 97952 blt 0,0,640,480,0, 10018,640,480:waittimer 97972 blt 0,0,640,480,0, 10020,640,480:waittimer 97991 blt 0,0,640,480,0, 10022,640,480:waittimer 98011 blt 0,0,640,480,0, 10024,640,480:waittimer 98030 blt 0,0,640,480,0, 10026,640,480:waittimer 98050 blt 0,0,640,480,0, 10028,640,480:waittimer 98069 blt 0,0,640,480,0, 10030,640,480:waittimer 98089 blt 0,0,640,480,0, 10032,640,480:waittimer 98109 blt 0,0,640,480,0, 10034,640,480:waittimer 98128 blt 0,0,640,480,0, 10036,640,480:waittimer 98148 blt 0,0,640,480,0, 10038,640,480:waittimer 98167 blt 0,0,640,480,0, 10040,640,480:waittimer 98187 blt 0,0,640,480,0, 10042,640,480:waittimer 98206 blt 0,0,640,480,0, 10044,640,480:waittimer 98226 blt 0,0,640,480,0, 10046,640,480:waittimer 98246 blt 0,0,640,480,0, 10048,640,480:waittimer 98265 blt 0,0,640,480,0, 10050,640,480:waittimer 98285 blt 0,0,640,480,0, 10052,640,480:waittimer 98304 blt 0,0,640,480,0, 10054,640,480:waittimer 98324 blt 0,0,640,480,0, 10056,640,480:waittimer 98343 blt 0,0,640,480,0, 10058,640,480:waittimer 98363 blt 0,0,640,480,0, 10060,640,480:waittimer 98382 blt 0,0,640,480,0, 10062,640,480:waittimer 98402 blt 0,0,640,480,0, 10064,640,480:waittimer 98422 blt 0,0,640,480,0, 10066,640,480:waittimer 98441 blt 0,0,640,480,0, 10068,640,480:waittimer 98461 blt 0,0,640,480,0, 10070,640,480:waittimer 98480 blt 0,0,640,480,0, 10072,640,480:waittimer 98500 blt 0,0,640,480,0, 10074,640,480:waittimer 98519 blt 0,0,640,480,0, 10076,640,480:waittimer 98539 blt 0,0,640,480,0, 10078,640,480:waittimer 98558 blt 0,0,640,480,0, 10080,640,480:waittimer 98578 blt 0,0,640,480,0, 10082,640,480:waittimer 98598 blt 0,0,640,480,0, 10084,640,480:waittimer 98617 blt 0,0,640,480,0, 10086,640,480:waittimer 98637 blt 0,0,640,480,0, 10088,640,480:waittimer 98656 blt 0,0,640,480,0, 10090,640,480:waittimer 98676 blt 0,0,640,480,0, 10092,640,480:waittimer 98695 blt 0,0,640,480,0, 10094,640,480:waittimer 98715 blt 0,0,640,480,0, 10096,640,480:waittimer 98734 blt 0,0,640,480,0, 10098,640,480:waittimer 98754 blt 0,0,640,480,0, 10100,640,480:waittimer 98774 blt 0,0,640,480,0, 10102,640,480:waittimer 98793 blt 0,0,640,480,0, 10104,640,480:waittimer 98813 blt 0,0,640,480,0, 10106,640,480:waittimer 98832 blt 0,0,640,480,0, 10108,640,480:waittimer 98852 blt 0,0,640,480,0, 10110,640,480:waittimer 98871 blt 0,0,640,480,0, 10112,640,480:waittimer 98891 blt 0,0,640,480,0, 10114,640,480:waittimer 98911 blt 0,0,640,480,0, 10116,640,480:waittimer 98930 blt 0,0,640,480,0, 10118,640,480:waittimer 98950 blt 0,0,640,480,0, 10120,640,480:waittimer 98969 blt 0,0,640,480,0, 10122,640,480:waittimer 98989 blt 0,0,640,480,0, 10124,640,480:waittimer 99008 blt 0,0,640,480,0, 10126,640,480:waittimer 99028 blt 0,0,640,480,0, 10128,640,480:waittimer 99047 blt 0,0,640,480,0, 10130,640,480:waittimer 99067 blt 0,0,640,480,0, 10132,640,480:waittimer 99087 blt 0,0,640,480,0, 10134,640,480:waittimer 99106 blt 0,0,640,480,0, 10136,640,480:waittimer 99126 blt 0,0,640,480,0, 10138,640,480:waittimer 99145 blt 0,0,640,480,0, 10140,640,480:waittimer 99165 blt 0,0,640,480,0, 10142,640,480:waittimer 99184 blt 0,0,640,480,0, 10144,640,480:waittimer 99204 blt 0,0,640,480,0, 10146,640,480:waittimer 99223 blt 0,0,640,480,0, 10148,640,480:waittimer 99243 blt 0,0,640,480,0, 10150,640,480:waittimer 99263 blt 0,0,640,480,0, 10152,640,480:waittimer 99282 blt 0,0,640,480,0, 10154,640,480:waittimer 99302 blt 0,0,640,480,0, 10156,640,480:waittimer 99321 blt 0,0,640,480,0, 10158,640,480:waittimer 99341 blt 0,0,640,480,0, 10160,640,480:waittimer 99360 blt 0,0,640,480,0, 10162,640,480:waittimer 99380 blt 0,0,640,480,0, 10164,640,480:waittimer 99400 blt 0,0,640,480,0, 10166,640,480:waittimer 99419 blt 0,0,640,480,0, 10168,640,480:waittimer 99439 blt 0,0,640,480,0, 10170,640,480:waittimer 99458 blt 0,0,640,480,0, 10172,640,480:waittimer 99478 blt 0,0,640,480,0, 10174,640,480:waittimer 99497 blt 0,0,640,480,0, 10176,640,480:waittimer 99517 blt 0,0,640,480,0, 10178,640,480:waittimer 99536 blt 0,0,640,480,0, 10180,640,480:waittimer 99556 blt 0,0,640,480,0, 10182,640,480:waittimer 99576 blt 0,0,640,480,0, 10184,640,480:waittimer 99595 blt 0,0,640,480,0, 10186,640,480:waittimer 99615 blt 0,0,640,480,0, 10188,640,480:waittimer 99634 blt 0,0,640,480,0, 10190,640,480:waittimer 99654 blt 0,0,640,480,0, 10192,640,480:waittimer 99673 blt 0,0,640,480,0, 10194,640,480:waittimer 99693 blt 0,0,640,480,0, 10196,640,480:waittimer 99712 blt 0,0,640,480,0, 10198,640,480:waittimer 99732 blt 0,0,640,480,0, 10200,640,480:waittimer 99752 blt 0,0,640,480,0, 10202,640,480:waittimer 99771 blt 0,0,640,480,0, 10204,640,480:waittimer 99791 blt 0,0,640,480,0, 10206,640,480:waittimer 99810 blt 0,0,640,480,0, 10208,640,480:waittimer 99830 blt 0,0,640,480,0, 10210,640,480:waittimer 99849 blt 0,0,640,480,0, 10212,640,480:waittimer 99869 blt 0,0,640,480,0, 10214,640,480:waittimer 99888 blt 0,0,640,480,0, 10216,640,480:waittimer 99908 blt 0,0,640,480,0, 10218,640,480:waittimer 99928 blt 0,0,640,480,0, 10220,640,480:waittimer 99947 blt 0,0,640,480,0, 10222,640,480:waittimer 99967 blt 0,0,640,480,0, 10224,640,480:waittimer 99986 blt 0,0,640,480,0, 10226,640,480:waittimer 100006 blt 0,0,640,480,0, 10228,640,480:waittimer 100025 blt 0,0,640,480,0, 10230,640,480:waittimer 100045 blt 0,0,640,480,0, 10232,640,480:waittimer 100065 blt 0,0,640,480,0, 10234,640,480:waittimer 100084 blt 0,0,640,480,0, 10236,640,480:waittimer 100104 blt 0,0,640,480,0, 10238,640,480:waittimer 100123 blt 0,0,640,480,0, 10240,640,480:waittimer 100143 blt 0,0,640,480,0, 10242,640,480:waittimer 100162 blt 0,0,640,480,0, 10244,640,480:waittimer 100182 blt 0,0,640,480,0, 10246,640,480:waittimer 100201 blt 0,0,640,480,0, 10248,640,480:waittimer 100221 blt 0,0,640,480,0, 10250,640,480:waittimer 100241 blt 0,0,640,480,0, 10252,640,480:waittimer 100260 blt 0,0,640,480,0, 10254,640,480:waittimer 100280 blt 0,0,640,480,0, 10256,640,480:waittimer 100299 blt 0,0,640,480,0, 10258,640,480:waittimer 100319 blt 0,0,640,480,0, 10260,640,480:waittimer 100338 blt 0,0,640,480,0, 10262,640,480:waittimer 100358 blt 0,0,640,480,0, 10264,640,480:waittimer 100377 blt 0,0,640,480,0, 10266,640,480:waittimer 100397 blt 0,0,640,480,0, 10268,640,480:waittimer 100417 blt 0,0,640,480,0, 10270,640,480:waittimer 100436 blt 0,0,640,480,0, 10272,640,480:waittimer 100456 blt 0,0,640,480,0, 10274,640,480:waittimer 100475 blt 0,0,640,480,0, 10276,640,480:waittimer 100495 blt 0,0,640,480,0, 10278,640,480:waittimer 100514 blt 0,0,640,480,0, 10280,640,480:waittimer 100534 blt 0,0,640,480,0, 10282,640,480:waittimer 100553 blt 0,0,640,480,0, 10284,640,480:waittimer 100573 blt 0,0,640,480,0, 10286,640,480:waittimer 100593 blt 0,0,640,480,0, 10288,640,480:waittimer 100612 blt 0,0,640,480,0, 10290,640,480:waittimer 100632 blt 0,0,640,480,0, 10292,640,480:waittimer 100651 blt 0,0,640,480,0, 10294,640,480:waittimer 100671 blt 0,0,640,480,0, 10296,640,480:waittimer 100690 blt 0,0,640,480,0, 10298,640,480:waittimer 100710 blt 0,0,640,480,0, 10300,640,480:waittimer 100730 blt 0,0,640,480,0, 10302,640,480:waittimer 100749 blt 0,0,640,480,0, 10304,640,480:waittimer 100769 blt 0,0,640,480,0, 10306,640,480:waittimer 100788 blt 0,0,640,480,0, 10308,640,480:waittimer 100808 blt 0,0,640,480,0, 10310,640,480:waittimer 100827 blt 0,0,640,480,0, 10312,640,480:waittimer 100847 blt 0,0,640,480,0, 10314,640,480:waittimer 100866 blt 0,0,640,480,0, 10316,640,480:waittimer 100886 blt 0,0,640,480,0, 10318,640,480:waittimer 100906 blt 0,0,640,480,0, 10320,640,480:waittimer 100925 blt 0,0,640,480,0, 10322,640,480:waittimer 100945 blt 0,0,640,480,0, 10324,640,480:waittimer 100964 blt 0,0,640,480,0, 10326,640,480:waittimer 100984 blt 0,0,640,480,0, 10328,640,480:waittimer 101003 blt 0,0,640,480,0, 10330,640,480:waittimer 101023 blt 0,0,640,480,0, 10332,640,480:waittimer 101042 blt 0,0,640,480,0, 10334,640,480:waittimer 101062 blt 0,0,640,480,0, 10336,640,480:waittimer 101082 blt 0,0,640,480,0, 10338,640,480:waittimer 101101 blt 0,0,640,480,0, 10340,640,480:waittimer 101121 blt 0,0,640,480,0, 10342,640,480:waittimer 101140 blt 0,0,640,480,0, 10344,640,480:waittimer 101160 blt 0,0,640,480,0, 10346,640,480:waittimer 101179 blt 0,0,640,480,0, 10348,640,480:waittimer 101199 blt 0,0,640,480,0, 10350,640,480:waittimer 101219 blt 0,0,640,480,0, 10352,640,480:waittimer 101238 blt 0,0,640,480,0, 10354,640,480:waittimer 101258 blt 0,0,640,480,0, 10356,640,480:waittimer 101277 blt 0,0,640,480,0, 10358,640,480:waittimer 101297 blt 0,0,640,480,0, 10360,640,480:waittimer 101316 blt 0,0,640,480,0, 10362,640,480:waittimer 101336 blt 0,0,640,480,0, 10364,640,480:waittimer 101355 blt 0,0,640,480,0, 10366,640,480:waittimer 101375 blt 0,0,640,480,0, 10368,640,480:waittimer 101395 blt 0,0,640,480,0, 10370,640,480:waittimer 101414 blt 0,0,640,480,0, 10372,640,480:waittimer 101434 blt 0,0,640,480,0, 10374,640,480:waittimer 101453 blt 0,0,640,480,0, 10376,640,480:waittimer 101473 blt 0,0,640,480,0, 10378,640,480:waittimer 101492 blt 0,0,640,480,0, 10380,640,480:waittimer 101512 blt 0,0,640,480,0, 10382,640,480:waittimer 101531 blt 0,0,640,480,0, 10384,640,480:waittimer 101551 blt 0,0,640,480,0, 10386,640,480:waittimer 101571 blt 0,0,640,480,0, 10388,640,480:waittimer 101590 blt 0,0,640,480,0, 10390,640,480:waittimer 101610 blt 0,0,640,480,0, 10392,640,480:waittimer 101629 blt 0,0,640,480,0, 10394,640,480:waittimer 101649 blt 0,0,640,480,0, 10396,640,480:waittimer 101668 blt 0,0,640,480,0, 10398,640,480:waittimer 101688 blt 0,0,640,480,0, 10400,640,480:waittimer 101707 blt 0,0,640,480,0, 10402,640,480:waittimer 101727 blt 0,0,640,480,0, 10404,640,480:waittimer 101747 blt 0,0,640,480,0, 10406,640,480:waittimer 101766 blt 0,0,640,480,0, 10408,640,480:waittimer 101786 blt 0,0,640,480,0, 10410,640,480:waittimer 101805 blt 0,0,640,480,0, 10412,640,480:waittimer 101825 blt 0,0,640,480,0, 10414,640,480:waittimer 101844 blt 0,0,640,480,0, 10416,640,480:waittimer 101864 blt 0,0,640,480,0, 10418,640,480:waittimer 101884 blt 0,0,640,480,0, 10420,640,480:waittimer 101903 blt 0,0,640,480,0, 10422,640,480:waittimer 101923 blt 0,0,640,480,0, 10424,640,480:waittimer 101942 blt 0,0,640,480,0, 10426,640,480:waittimer 101962 blt 0,0,640,480,0, 10428,640,480:waittimer 101981 blt 0,0,640,480,0, 10430,640,480:waittimer 102001 blt 0,0,640,480,0, 10432,640,480:waittimer 102020 blt 0,0,640,480,0, 10434,640,480:waittimer 102040 blt 0,0,640,480,0, 10436,640,480:waittimer 102060 blt 0,0,640,480,0, 10438,640,480:waittimer 102079 blt 0,0,640,480,0, 10440,640,480:waittimer 102099 blt 0,0,640,480,0, 10442,640,480:waittimer 102118 blt 0,0,640,480,0, 10444,640,480:waittimer 102138 blt 0,0,640,480,0, 10446,640,480:waittimer 102157 blt 0,0,640,480,0, 10448,640,480:waittimer 102177 blt 0,0,640,480,0, 10450,640,480:waittimer 102196 blt 0,0,640,480,0, 10452,640,480:waittimer 102216 blt 0,0,640,480,0, 10454,640,480:waittimer 102236 blt 0,0,640,480,0, 10456,640,480:waittimer 102255 blt 0,0,640,480,0, 10458,640,480:waittimer 102275 blt 0,0,640,480,0, 10460,640,480:waittimer 102294 blt 0,0,640,480,0, 10462,640,480:waittimer 102314 blt 0,0,640,480,0, 10464,640,480:waittimer 102333 blt 0,0,640,480,0, 10466,640,480:waittimer 102353 blt 0,0,640,480,0, 10468,640,480:waittimer 102373 blt 0,0,640,480,0, 10470,640,480:waittimer 102392 blt 0,0,640,480,0, 10472,640,480:waittimer 102412 blt 0,0,640,480,0, 10474,640,480:waittimer 102431 blt 0,0,640,480,0, 10476,640,480:waittimer 102451 blt 0,0,640,480,0, 10478,640,480:waittimer 102470 blt 0,0,640,480,0, 10480,640,480:waittimer 102490 blt 0,0,640,480,0, 10482,640,480:waittimer 102509 blt 0,0,640,480,0, 10484,640,480:waittimer 102529 blt 0,0,640,480,0, 10486,640,480:waittimer 102549 blt 0,0,640,480,0, 10488,640,480:waittimer 102568 blt 0,0,640,480,0, 10490,640,480:waittimer 102588 blt 0,0,640,480,0, 10492,640,480:waittimer 102607 blt 0,0,640,480,0, 10494,640,480:waittimer 102627 blt 0,0,640,480,0, 10496,640,480:waittimer 102646 blt 0,0,640,480,0, 10498,640,480:waittimer 102666 blt 0,0,640,480,0, 10500,640,480:waittimer 102685 blt 0,0,640,480,0, 10502,640,480:waittimer 102705 blt 0,0,640,480,0, 10504,640,480:waittimer 102725 blt 0,0,640,480,0, 10506,640,480:waittimer 102744 blt 0,0,640,480,0, 10508,640,480:waittimer 102764 blt 0,0,640,480,0, 10510,640,480:waittimer 102783 blt 0,0,640,480,0, 10512,640,480:waittimer 102803 blt 0,0,640,480,0, 10514,640,480:waittimer 102822 blt 0,0,640,480,0, 10516,640,480:waittimer 102842 blt 0,0,640,480,0, 10518,640,480:waittimer 102861 blt 0,0,640,480,0, 10520,640,480:waittimer 102881 blt 0,0,640,480,0, 10522,640,480:waittimer 102901 blt 0,0,640,480,0, 10524,640,480:waittimer 102920 blt 0,0,640,480,0, 10526,640,480:waittimer 102940 blt 0,0,640,480,0, 10528,640,480:waittimer 102959 blt 0,0,640,480,0, 10530,640,480:waittimer 102979 blt 0,0,640,480,0, 10532,640,480:waittimer 102998 blt 0,0,640,480,0, 10534,640,480:waittimer 103018 blt 0,0,640,480,0, 10536,640,480:waittimer 103038 blt 0,0,640,480,0, 10538,640,480:waittimer 103057 blt 0,0,640,480,0, 10540,640,480:waittimer 103077 blt 0,0,640,480,0, 10542,640,480:waittimer 103096 blt 0,0,640,480,0, 10544,640,480:waittimer 103116 blt 0,0,640,480,0, 10546,640,480:waittimer 103135 blt 0,0,640,480,0, 10548,640,480:waittimer 103155 blt 0,0,640,480,0, 10550,640,480:waittimer 103174 blt 0,0,640,480,0, 10552,640,480:waittimer 103194 blt 0,0,640,480,0, 10554,640,480:waittimer 103214 blt 0,0,640,480,0, 10556,640,480:waittimer 103233 blt 0,0,640,480,0, 10558,640,480:waittimer 103253 blt 0,0,640,480,0, 10560,640,480:waittimer 103272 blt 0,0,640,480,0, 10562,640,480:waittimer 103292 blt 0,0,640,480,0, 10564,640,480:waittimer 103311 blt 0,0,640,480,0, 10566,640,480:waittimer 103331 blt 0,0,640,480,0, 10568,640,480:waittimer 103350 blt 0,0,640,480,0, 10570,640,480:waittimer 103370 blt 0,0,640,480,0, 10572,640,480:waittimer 103390 blt 0,0,640,480,0, 10574,640,480:waittimer 103409 blt 0,0,640,480,0, 10576,640,480:waittimer 103429 blt 0,0,640,480,0, 10578,640,480:waittimer 103448 blt 0,0,640,480,0, 10580,640,480:waittimer 103468 blt 0,0,640,480,0, 10582,640,480:waittimer 103487 blt 0,0,640,480,0, 10584,640,480:waittimer 103507 blt 0,0,640,480,0, 10586,640,480:waittimer 103526 blt 0,0,640,480,0, 10588,640,480:waittimer 103546 blt 0,0,640,480,0, 10590,640,480:waittimer 103566 blt 0,0,640,480,0, 10592,640,480:waittimer 103585 blt 0,0,640,480,0, 10594,640,480:waittimer 103605 blt 0,0,640,480,0, 10596,640,480:waittimer 103624 blt 0,0,640,480,0, 10598,640,480:waittimer 103644 blt 0,0,640,480,0, 10600,640,480:waittimer 103663 blt 0,0,640,480,0, 10602,640,480:waittimer 103683 blt 0,0,640,480,0, 10604,640,480:waittimer 103703 blt 0,0,640,480,0, 10606,640,480:waittimer 103722 blt 0,0,640,480,0, 10608,640,480:waittimer 103742 blt 0,0,640,480,0, 10610,640,480:waittimer 103761 blt 0,0,640,480,0, 10612,640,480:waittimer 103781 blt 0,0,640,480,0, 10614,640,480:waittimer 103800 blt 0,0,640,480,0, 10616,640,480:waittimer 103820 blt 0,0,640,480,0, 10618,640,480:waittimer 103839 blt 0,0,640,480,0, 10620,640,480:waittimer 103859 blt 0,0,640,480,0, 10622,640,480:waittimer 103879 blt 0,0,640,480,0, 10624,640,480:waittimer 103898 blt 0,0,640,480,0, 10626,640,480:waittimer 103918 blt 0,0,640,480,0, 10628,640,480:waittimer 103937 blt 0,0,640,480,0, 10630,640,480:waittimer 103957 blt 0,0,640,480,0, 10632,640,480:waittimer 103976 blt 0,0,640,480,0, 10634,640,480:waittimer 103996 blt 0,0,640,480,0, 10636,640,480:waittimer 104015 blt 0,0,640,480,0, 10638,640,480:waittimer 104035 blt 0,0,640,480,0, 10640,640,480:waittimer 104055 blt 0,0,640,480,0, 10642,640,480:waittimer 104074 blt 0,0,640,480,0, 10644,640,480:waittimer 104094 blt 0,0,640,480,0, 10646,640,480:waittimer 104113 blt 0,0,640,480,0, 10648,640,480:waittimer 104133 blt 0,0,640,480,0, 10650,640,480:waittimer 104152 blt 0,0,640,480,0, 10652,640,480:waittimer 104172 blt 0,0,640,480,0, 10654,640,480:waittimer 104192 blt 0,0,640,480,0, 10656,640,480:waittimer 104211 blt 0,0,640,480,0, 10658,640,480:waittimer 104231 blt 0,0,640,480,0, 10660,640,480:waittimer 104250 blt 0,0,640,480,0, 10662,640,480:waittimer 104270 blt 0,0,640,480,0, 10664,640,480:waittimer 104289 blt 0,0,640,480,0, 10666,640,480:waittimer 104309 blt 0,0,640,480,0, 10668,640,480:waittimer 104328 blt 0,0,640,480,0, 10670,640,480:waittimer 104348 blt 0,0,640,480,0, 10672,640,480:waittimer 104368 blt 0,0,640,480,0, 10674,640,480:waittimer 104387 blt 0,0,640,480,0, 10676,640,480:waittimer 104407 blt 0,0,640,480,0, 10678,640,480:waittimer 104426 blt 0,0,640,480,0, 10680,640,480:waittimer 104446 blt 0,0,640,480,0, 10682,640,480:waittimer 104465 blt 0,0,640,480,0, 10684,640,480:waittimer 104485 blt 0,0,640,480,0, 10686,640,480:waittimer 104504 blt 0,0,640,480,0, 10688,640,480:waittimer 104524 blt 0,0,640,480,0, 10690,640,480:waittimer 104544 blt 0,0,640,480,0, 10692,640,480:waittimer 104563 blt 0,0,640,480,0, 10694,640,480:waittimer 104583 blt 0,0,640,480,0, 10696,640,480:waittimer 104602 blt 0,0,640,480,0, 10698,640,480:waittimer 104622 blt 0,0,640,480,0, 10700,640,480:waittimer 104641 blt 0,0,640,480,0, 10702,640,480:waittimer 104661 blt 0,0,640,480,0, 10704,640,480:waittimer 104680 blt 0,0,640,480,0, 10706,640,480:waittimer 104700 blt 0,0,640,480,0, 10708,640,480:waittimer 104720 blt 0,0,640,480,0, 10710,640,480:waittimer 104739 blt 0,0,640,480,0, 10712,640,480:waittimer 104759 blt 0,0,640,480,0, 10714,640,480:waittimer 104778 blt 0,0,640,480,0, 10716,640,480:waittimer 104798 blt 0,0,640,480,0, 10718,640,480:waittimer 104817 blt 0,0,640,480,0, 10720,640,480:waittimer 104837 blt 0,0,640,480,0, 10722,640,480:waittimer 104857 blt 0,0,640,480,0, 10724,640,480:waittimer 104876 blt 0,0,640,480,0, 10726,640,480:waittimer 104896 blt 0,0,640,480,0, 10728,640,480:waittimer 104915 blt 0,0,640,480,0, 10730,640,480:waittimer 104935 blt 0,0,640,480,0, 10732,640,480:waittimer 104954 blt 0,0,640,480,0, 10734,640,480:waittimer 104974 blt 0,0,640,480,0, 10736,640,480:waittimer 104993 blt 0,0,640,480,0, 10738,640,480:waittimer 105013 blt 0,0,640,480,0, 10740,640,480:waittimer 105033 blt 0,0,640,480,0, 10742,640,480:waittimer 105052 blt 0,0,640,480,0, 10744,640,480:waittimer 105072 blt 0,0,640,480,0, 10746,640,480:waittimer 105091 blt 0,0,640,480,0, 10748,640,480:waittimer 105111 blt 0,0,640,480,0, 10750,640,480:waittimer 105130 blt 0,0,640,480,0, 10752,640,480:waittimer 105150 blt 0,0,640,480,0, 10754,640,480:waittimer 105169 blt 0,0,640,480,0, 10756,640,480:waittimer 105189 blt 0,0,640,480,0, 10758,640,480:waittimer 105209 blt 0,0,640,480,0, 10760,640,480:waittimer 105228 blt 0,0,640,480,0, 10762,640,480:waittimer 105248 blt 0,0,640,480,0, 10764,640,480:waittimer 105267 blt 0,0,640,480,0, 10766,640,480:waittimer 105287 blt 0,0,640,480,0, 10768,640,480:waittimer 105306 blt 0,0,640,480,0, 10770,640,480:waittimer 105326 blt 0,0,640,480,0, 10772,640,480:waittimer 105346 blt 0,0,640,480,0, 10774,640,480:waittimer 105365 blt 0,0,640,480,0, 10776,640,480:waittimer 105385 blt 0,0,640,480,0, 10778,640,480:waittimer 105404 blt 0,0,640,480,0, 10780,640,480:waittimer 105424 blt 0,0,640,480,0, 10782,640,480:waittimer 105443 blt 0,0,640,480,0, 10784,640,480:waittimer 105463 blt 0,0,640,480,0, 10786,640,480:waittimer 105482 blt 0,0,640,480,0, 10788,640,480:waittimer 105502 blt 0,0,640,480,0, 10790,640,480:waittimer 105522 blt 0,0,640,480,0, 10792,640,480:waittimer 105541 blt 0,0,640,480,0, 10794,640,480:waittimer 105561 blt 0,0,640,480,0, 10796,640,480:waittimer 105580 blt 0,0,640,480,0, 10798,640,480:waittimer 105600 blt 0,0,640,480,0, 10800,640,480:waittimer 105619 blt 0,0,640,480,0, 10802,640,480:waittimer 105639 blt 0,0,640,480,0, 10804,640,480:waittimer 105658 blt 0,0,640,480,0, 10806,640,480:waittimer 105678 blt 0,0,640,480,0, 10808,640,480:waittimer 105698 blt 0,0,640,480,0, 10810,640,480:waittimer 105717 blt 0,0,640,480,0, 10812,640,480:waittimer 105737 blt 0,0,640,480,0, 10814,640,480:waittimer 105756 blt 0,0,640,480,0, 10816,640,480:waittimer 105776 blt 0,0,640,480,0, 10818,640,480:waittimer 105795 blt 0,0,640,480,0, 10820,640,480:waittimer 105815 blt 0,0,640,480,0, 10822,640,480:waittimer 105834 blt 0,0,640,480,0, 10824,640,480:waittimer 105854 blt 0,0,640,480,0, 10826,640,480:waittimer 105874 blt 0,0,640,480,0, 10828,640,480:waittimer 105893 blt 0,0,640,480,0, 10830,640,480:waittimer 105913 blt 0,0,640,480,0, 10832,640,480:waittimer 105932 blt 0,0,640,480,0, 10834,640,480:waittimer 105952 blt 0,0,640,480,0, 10836,640,480:waittimer 105971 blt 0,0,640,480,0, 10838,640,480:waittimer 105991 blt 0,0,640,480,0, 10840,640,480:waittimer 106011 blt 0,0,640,480,0, 10842,640,480:waittimer 106030 blt 0,0,640,480,0, 10844,640,480:waittimer 106050 blt 0,0,640,480,0, 10846,640,480:waittimer 106069 blt 0,0,640,480,0, 10848,640,480:waittimer 106089 blt 0,0,640,480,0, 10850,640,480:waittimer 106108 blt 0,0,640,480,0, 10852,640,480:waittimer 106128 blt 0,0,640,480,0, 10854,640,480:waittimer 106147 blt 0,0,640,480,0, 10856,640,480:waittimer 106167 blt 0,0,640,480,0, 10858,640,480:waittimer 106187 blt 0,0,640,480,0, 10860,640,480:waittimer 106206 blt 0,0,640,480,0, 10862,640,480:waittimer 106226 blt 0,0,640,480,0, 10864,640,480:waittimer 106245 blt 0,0,640,480,0, 10866,640,480:waittimer 106265 blt 0,0,640,480,0, 10868,640,480:waittimer 106284 blt 0,0,640,480,0, 10870,640,480:waittimer 106304 blt 0,0,640,480,0, 10872,640,480:waittimer 106323 blt 0,0,640,480,0, 10874,640,480:waittimer 106343 blt 0,0,640,480,0, 10876,640,480:waittimer 106363 blt 0,0,640,480,0, 10878,640,480:waittimer 106382 blt 0,0,640,480,0, 10880,640,480:waittimer 106402 blt 0,0,640,480,0, 10882,640,480:waittimer 106421 blt 0,0,640,480,0, 10884,640,480:waittimer 106441 blt 0,0,640,480,0, 10886,640,480:waittimer 106460 blt 0,0,640,480,0, 10888,640,480:waittimer 106480 blt 0,0,640,480,0, 10890,640,480:waittimer 106500 blt 0,0,640,480,0, 10892,640,480:waittimer 106519 blt 0,0,640,480,0, 10894,640,480:waittimer 106539 blt 0,0,640,480,0, 10896,640,480:waittimer 106558 blt 0,0,640,480,0, 10898,640,480:waittimer 106578 blt 0,0,640,480,0, 10900,640,480:waittimer 106597 blt 0,0,640,480,0, 10902,640,480:waittimer 106617 blt 0,0,640,480,0, 10904,640,480:waittimer 106636 blt 0,0,640,480,0, 10906,640,480:waittimer 106656 blt 0,0,640,480,0, 10908,640,480:waittimer 106676 blt 0,0,640,480,0, 10910,640,480:waittimer 106695 blt 0,0,640,480,0, 10912,640,480:waittimer 106715 blt 0,0,640,480,0, 10914,640,480:waittimer 106734 blt 0,0,640,480,0, 10916,640,480:waittimer 106754 blt 0,0,640,480,0, 10918,640,480:waittimer 106773 blt 0,0,640,480,0, 10920,640,480:waittimer 106793 blt 0,0,640,480,0, 10922,640,480:waittimer 106812 blt 0,0,640,480,0, 10924,640,480:waittimer 106832 blt 0,0,640,480,0, 10926,640,480:waittimer 106852 blt 0,0,640,480,0, 10928,640,480:waittimer 106871 blt 0,0,640,480,0, 10930,640,480:waittimer 106891 blt 0,0,640,480,0, 10932,640,480:waittimer 106910 blt 0,0,640,480,0, 10934,640,480:waittimer 106930 blt 0,0,640,480,0, 10936,640,480:waittimer 106949 blt 0,0,640,480,0, 10938,640,480:waittimer 106969 blt 0,0,640,480,0, 10940,640,480:waittimer 106988 blt 0,0,640,480,0, 10942,640,480:waittimer 107008 blt 0,0,640,480,0, 10944,640,480:waittimer 107028 blt 0,0,640,480,0, 10946,640,480:waittimer 107047 blt 0,0,640,480,0, 10948,640,480:waittimer 107067 blt 0,0,640,480,0, 10950,640,480:waittimer 107086 blt 0,0,640,480,0, 10952,640,480:waittimer 107106 blt 0,0,640,480,0, 10954,640,480:waittimer 107125 blt 0,0,640,480,0, 10956,640,480:waittimer 107145 blt 0,0,640,480,0, 10958,640,480:waittimer 107165 blt 0,0,640,480,0, 10960,640,480:waittimer 107184 blt 0,0,640,480,0, 10962,640,480:waittimer 107204 blt 0,0,640,480,0, 10964,640,480:waittimer 107223 blt 0,0,640,480,0, 10966,640,480:waittimer 107243 blt 0,0,640,480,0, 10968,640,480:waittimer 107262 blt 0,0,640,480,0, 10970,640,480:waittimer 107282 blt 0,0,640,480,0, 10972,640,480:waittimer 107301 blt 0,0,640,480,0, 10974,640,480:waittimer 107321 blt 0,0,640,480,0, 10976,640,480:waittimer 107341 blt 0,0,640,480,0, 10978,640,480:waittimer 107360 blt 0,0,640,480,0, 10980,640,480:waittimer 107380 blt 0,0,640,480,0, 10982,640,480:waittimer 107399 blt 0,0,640,480,0, 10984,640,480:waittimer 107419 blt 0,0,640,480,0, 10986,640,480:waittimer 107438 blt 0,0,640,480,0, 10988,640,480:waittimer 107458 blt 0,0,640,480,0, 10990,640,480:waittimer 107477 blt 0,0,640,480,0, 10992,640,480:waittimer 107497 blt 0,0,640,480,0, 10994,640,480:waittimer 107517 blt 0,0,640,480,0, 10996,640,480:waittimer 107536 blt 0,0,640,480,0, 10998,640,480:waittimer 107556 blt 0,0,640,480,0, 11000,640,480:waittimer 107575 blt 0,0,640,480,0, 11002,640,480:waittimer 107595 blt 0,0,640,480,0, 11004,640,480:waittimer 107614 blt 0,0,640,480,0, 11006,640,480:waittimer 107634 blt 0,0,640,480,0, 11008,640,480:waittimer 107653 blt 0,0,640,480,0, 11010,640,480:waittimer 107673 blt 0,0,640,480,0, 11012,640,480:waittimer 107693 blt 0,0,640,480,0, 11014,640,480:waittimer 107712 blt 0,0,640,480,0, 11016,640,480:waittimer 107732 blt 0,0,640,480,0, 11018,640,480:waittimer 107751 blt 0,0,640,480,0, 11020,640,480:waittimer 107771 blt 0,0,640,480,0, 11022,640,480:waittimer 107790 blt 0,0,640,480,0, 11024,640,480:waittimer 107810 blt 0,0,640,480,0, 11026,640,480:waittimer 107830 blt 0,0,640,480,0, 11028,640,480:waittimer 107849 blt 0,0,640,480,0, 11030,640,480:waittimer 107869 blt 0,0,640,480,0, 11032,640,480:waittimer 107888 blt 0,0,640,480,0, 11034,640,480:waittimer 107908 blt 0,0,640,480,0, 11036,640,480:waittimer 107927 blt 0,0,640,480,0, 11038,640,480:waittimer 107947 blt 0,0,640,480,0, 11040,640,480:waittimer 107966 blt 0,0,640,480,0, 11042,640,480:waittimer 107986 blt 0,0,640,480,0, 11044,640,480:waittimer 108006 blt 0,0,640,480,0, 11046,640,480:waittimer 108025 blt 0,0,640,480,0, 11048,640,480:waittimer 108045 blt 0,0,640,480,0, 11050,640,480:waittimer 108064 blt 0,0,640,480,0, 11052,640,480:waittimer 108084 blt 0,0,640,480,0, 11054,640,480:waittimer 108103 blt 0,0,640,480,0, 11056,640,480:waittimer 108123 blt 0,0,640,480,0, 11058,640,480:waittimer 108142 blt 0,0,640,480,0, 11060,640,480:waittimer 108162 blt 0,0,640,480,0, 11062,640,480:waittimer 108182 blt 0,0,640,480,0, 11064,640,480:waittimer 108201 blt 0,0,640,480,0, 11066,640,480:waittimer 108221 blt 0,0,640,480,0, 11068,640,480:waittimer 108240 blt 0,0,640,480,0, 11070,640,480:waittimer 108260 blt 0,0,640,480,0, 11072,640,480:waittimer 108279 blt 0,0,640,480,0, 11074,640,480:waittimer 108299 blt 0,0,640,480,0, 11076,640,480:waittimer 108319 blt 0,0,640,480,0, 11078,640,480:waittimer 108338 blt 0,0,640,480,0, 11080,640,480:waittimer 108358 blt 0,0,640,480,0, 11082,640,480:waittimer 108377 blt 0,0,640,480,0, 11084,640,480:waittimer 108397 blt 0,0,640,480,0, 11086,640,480:waittimer 108416 blt 0,0,640,480,0, 11088,640,480:waittimer 108436 blt 0,0,640,480,0, 11090,640,480:waittimer 108455 blt 0,0,640,480,0, 11092,640,480:waittimer 108475 blt 0,0,640,480,0, 11094,640,480:waittimer 108495 blt 0,0,640,480,0, 11096,640,480:waittimer 108514 blt 0,0,640,480,0, 11098,640,480:waittimer 108534 blt 0,0,640,480,0, 11100,640,480:waittimer 108553 blt 0,0,640,480,0, 11102,640,480:waittimer 108573 blt 0,0,640,480,0, 11104,640,480:waittimer 108592 blt 0,0,640,480,0, 11106,640,480:waittimer 108612 blt 0,0,640,480,0, 11108,640,480:waittimer 108631 blt 0,0,640,480,0, 11110,640,480:waittimer 108651 blt 0,0,640,480,0, 11112,640,480:waittimer 108671 blt 0,0,640,480,0, 11114,640,480:waittimer 108690 blt 0,0,640,480,0, 11116,640,480:waittimer 108710 blt 0,0,640,480,0, 11118,640,480:waittimer 108729 blt 0,0,640,480,0, 11120,640,480:waittimer 108749 blt 0,0,640,480,0, 11122,640,480:waittimer 108768 blt 0,0,640,480,0, 11124,640,480:waittimer 108788 blt 0,0,640,480,0, 11126,640,480:waittimer 108807 blt 0,0,640,480,0, 11128,640,480:waittimer 108827 blt 0,0,640,480,0, 11130,640,480:waittimer 108847 blt 0,0,640,480,0, 11132,640,480:waittimer 108866 blt 0,0,640,480,0, 11134,640,480:waittimer 108886 blt 0,0,640,480,0, 11136,640,480:waittimer 108905 blt 0,0,640,480,0, 11138,640,480:waittimer 108925 blt 0,0,640,480,0, 11140,640,480:waittimer 108944 blt 0,0,640,480,0, 11142,640,480:waittimer 108964 blt 0,0,640,480,0, 11144,640,480:waittimer 108984 blt 0,0,640,480,0, 11146,640,480:waittimer 109003 blt 0,0,640,480,0, 11148,640,480:waittimer 109023 blt 0,0,640,480,0, 11150,640,480:waittimer 109042 blt 0,0,640,480,0, 11152,640,480:waittimer 109062 blt 0,0,640,480,0, 11154,640,480:waittimer 109081 blt 0,0,640,480,0, 11156,640,480:waittimer 109101 blt 0,0,640,480,0, 11158,640,480:waittimer 109120 blt 0,0,640,480,0, 11160,640,480:waittimer 109140 blt 0,0,640,480,0, 11162,640,480:waittimer 109160 blt 0,0,640,480,0, 11164,640,480:waittimer 109179 blt 0,0,640,480,0, 11166,640,480:waittimer 109199 blt 0,0,640,480,0, 11168,640,480:waittimer 109218 blt 0,0,640,480,0, 11170,640,480:waittimer 109238 blt 0,0,640,480,0, 11172,640,480:waittimer 109257 blt 0,0,640,480,0, 11174,640,480:waittimer 109277 blt 0,0,640,480,0, 11176,640,480:waittimer 109296 blt 0,0,640,480,0, 11178,640,480:waittimer 109316 blt 0,0,640,480,0, 11180,640,480:waittimer 109336 blt 0,0,640,480,0, 11182,640,480:waittimer 109355 blt 0,0,640,480,0, 11184,640,480:waittimer 109375 blt 0,0,640,480,0, 11186,640,480:waittimer 109394 blt 0,0,640,480,0, 11188,640,480:waittimer 109414 blt 0,0,640,480,0, 11190,640,480:waittimer 109433 blt 0,0,640,480,0, 11192,640,480:waittimer 109453 blt 0,0,640,480,0, 11194,640,480:waittimer 109473 blt 0,0,640,480,0, 11196,640,480:waittimer 109492 blt 0,0,640,480,0, 11198,640,480:waittimer 109512 blt 0,0,640,480,0, 11200,640,480:waittimer 109531 blt 0,0,640,480,0, 11202,640,480:waittimer 109551 blt 0,0,640,480,0, 11204,640,480:waittimer 109570 blt 0,0,640,480,0, 11206,640,480:waittimer 109590 blt 0,0,640,480,0, 11208,640,480:waittimer 109609 blt 0,0,640,480,0, 11210,640,480:waittimer 109629 blt 0,0,640,480,0, 11212,640,480:waittimer 109649 blt 0,0,640,480,0, 11214,640,480:waittimer 109668 blt 0,0,640,480,0, 11216,640,480:waittimer 109688 blt 0,0,640,480,0, 11218,640,480:waittimer 109707 blt 0,0,640,480,0, 11220,640,480:waittimer 109727 blt 0,0,640,480,0, 11222,640,480:waittimer 109746 blt 0,0,640,480,0, 11224,640,480:waittimer 109766 blt 0,0,640,480,0, 11226,640,480:waittimer 109785 blt 0,0,640,480,0, 11228,640,480:waittimer 109805 blt 0,0,640,480,0, 11230,640,480:waittimer 109825 blt 0,0,640,480,0, 11232,640,480:waittimer 109844 blt 0,0,640,480,0, 11234,640,480:waittimer 109864 blt 0,0,640,480,0, 11236,640,480:waittimer 109883 blt 0,0,640,480,0, 11238,640,480:waittimer 109903 blt 0,0,640,480,0, 11240,640,480:waittimer 109922 blt 0,0,640,480,0, 11242,640,480:waittimer 109942 blt 0,0,640,480,0, 11244,640,480:waittimer 109961 blt 0,0,640,480,0, 11246,640,480:waittimer 109981 blt 0,0,640,480,0, 11248,640,480:waittimer 110001 blt 0,0,640,480,0, 11250,640,480:waittimer 110020 blt 0,0,640,480,0, 11252,640,480:waittimer 110040 blt 0,0,640,480,0, 11254,640,480:waittimer 110059 blt 0,0,640,480,0, 11256,640,480:waittimer 110079 blt 0,0,640,480,0, 11258,640,480:waittimer 110098 blt 0,0,640,480,0, 11260,640,480:waittimer 110118 blt 0,0,640,480,0, 11262,640,480:waittimer 110138 blt 0,0,640,480,0, 11264,640,480:waittimer 110157 blt 0,0,640,480,0, 11266,640,480:waittimer 110177 blt 0,0,640,480,0, 11268,640,480:waittimer 110196 blt 0,0,640,480,0, 11270,640,480:waittimer 110216 blt 0,0,640,480,0, 11272,640,480:waittimer 110235 blt 0,0,640,480,0, 11274,640,480:waittimer 110255 blt 0,0,640,480,0, 11276,640,480:waittimer 110274 blt 0,0,640,480,0, 11278,640,480:waittimer 110294 blt 0,0,640,480,0, 11280,640,480:waittimer 110314 blt 0,0,640,480,0, 11282,640,480:waittimer 110333 blt 0,0,640,480,0, 11284,640,480:waittimer 110353 blt 0,0,640,480,0, 11286,640,480:waittimer 110372 blt 0,0,640,480,0, 11288,640,480:waittimer 110392 blt 0,0,640,480,0, 11290,640,480:waittimer 110411 blt 0,0,640,480,0, 11292,640,480:waittimer 110431 blt 0,0,640,480,0, 11294,640,480:waittimer 110450 blt 0,0,640,480,0, 11296,640,480:waittimer 110470 blt 0,0,640,480,0, 11298,640,480:waittimer 110490 blt 0,0,640,480,0, 11300,640,480:waittimer 110509 blt 0,0,640,480,0, 11302,640,480:waittimer 110529 blt 0,0,640,480,0, 11304,640,480:waittimer 110548 blt 0,0,640,480,0, 11306,640,480:waittimer 110568 blt 0,0,640,480,0, 11308,640,480:waittimer 110587 blt 0,0,640,480,0, 11310,640,480:waittimer 110607 blt 0,0,640,480,0, 11312,640,480:waittimer 110626 blt 0,0,640,480,0, 11314,640,480:waittimer 110646 blt 0,0,640,480,0, 11316,640,480:waittimer 110666 blt 0,0,640,480,0, 11318,640,480:waittimer 110685 blt 0,0,640,480,0, 11320,640,480:waittimer 110705 blt 0,0,640,480,0, 11322,640,480:waittimer 110724 blt 0,0,640,480,0, 11324,640,480:waittimer 110744 blt 0,0,640,480,0, 11326,640,480:waittimer 110763 blt 0,0,640,480,0, 11328,640,480:waittimer 110783 blt 0,0,640,480,0, 11330,640,480:waittimer 110803 blt 0,0,640,480,0, 11332,640,480:waittimer 110822 blt 0,0,640,480,0, 11334,640,480:waittimer 110842 blt 0,0,640,480,0, 11336,640,480:waittimer 110861 blt 0,0,640,480,0, 11338,640,480:waittimer 110881 blt 0,0,640,480,0, 11340,640,480:waittimer 110900 blt 0,0,640,480,0, 11342,640,480:waittimer 110920 blt 0,0,640,480,0, 11344,640,480:waittimer 110939 blt 0,0,640,480,0, 11346,640,480:waittimer 110959 blt 0,0,640,480,0, 11348,640,480:waittimer 110979 blt 0,0,640,480,0, 11350,640,480:waittimer 110998 blt 0,0,640,480,0, 11352,640,480:waittimer 111018 blt 0,0,640,480,0, 11354,640,480:waittimer 111037 blt 0,0,640,480,0, 11356,640,480:waittimer 111057 blt 0,0,640,480,0, 11358,640,480:waittimer 111076 blt 0,0,640,480,0, 11360,640,480:waittimer 111096 blt 0,0,640,480,0, 11362,640,480:waittimer 111115 blt 0,0,640,480,0, 11364,640,480:waittimer 111135 blt 0,0,640,480,0, 11366,640,480:waittimer 111155 blt 0,0,640,480,0, 11368,640,480:waittimer 111174 blt 0,0,640,480,0, 11370,640,480:waittimer 111194 blt 0,0,640,480,0, 11372,640,480:waittimer 111213 blt 0,0,640,480,0, 11374,640,480:waittimer 111233 blt 0,0,640,480,0, 11376,640,480:waittimer 111252 blt 0,0,640,480,0, 11378,640,480:waittimer 111272 blt 0,0,640,480,0, 11380,640,480:waittimer 111292 blt 0,0,640,480,0, 11382,640,480:waittimer 111311 blt 0,0,640,480,0, 11384,640,480:waittimer 111331 blt 0,0,640,480,0, 11386,640,480:waittimer 111350 blt 0,0,640,480,0, 11388,640,480:waittimer 111370 blt 0,0,640,480,0, 11390,640,480:waittimer 111389 blt 0,0,640,480,0, 11392,640,480:waittimer 111409 blt 0,0,640,480,0, 11394,640,480:waittimer 111428 blt 0,0,640,480,0, 11396,640,480:waittimer 111448 blt 0,0,640,480,0, 11398,640,480:waittimer 111468 blt 0,0,640,480,0, 11400,640,480:waittimer 111487 blt 0,0,640,480,0, 11402,640,480:waittimer 111507 blt 0,0,640,480,0, 11404,640,480:waittimer 111526 blt 0,0,640,480,0, 11406,640,480:waittimer 111546 blt 0,0,640,480,0, 11408,640,480:waittimer 111565 blt 0,0,640,480,0, 11410,640,480:waittimer 111585 blt 0,0,640,480,0, 11412,640,480:waittimer 111604 blt 0,0,640,480,0, 11414,640,480:waittimer 111624 blt 0,0,640,480,0, 11416,640,480:waittimer 111644 blt 0,0,640,480,0, 11418,640,480:waittimer 111663 blt 0,0,640,480,0, 11420,640,480:waittimer 111683 blt 0,0,640,480,0, 11422,640,480:waittimer 111702 blt 0,0,640,480,0, 11424,640,480:waittimer 111722 blt 0,0,640,480,0, 11426,640,480:waittimer 111741 blt 0,0,640,480,0, 11428,640,480:waittimer 111761 blt 0,0,640,480,0, 11430,640,480:waittimer 111780 blt 0,0,640,480,0, 11432,640,480:waittimer 111800 blt 0,0,640,480,0, 11434,640,480:waittimer 111820 blt 0,0,640,480,0, 11436,640,480:waittimer 111839 blt 0,0,640,480,0, 11438,640,480:waittimer 111859 blt 0,0,640,480,0, 11440,640,480:waittimer 111878 blt 0,0,640,480,0, 11442,640,480:waittimer 111898 blt 0,0,640,480,0, 11444,640,480:waittimer 111917 blt 0,0,640,480,0, 11446,640,480:waittimer 111937 blt 0,0,640,480,0, 11448,640,480:waittimer 111957 blt 0,0,640,480,0, 11450,640,480:waittimer 111976 blt 0,0,640,480,0, 11452,640,480:waittimer 111996 blt 0,0,640,480,0, 11454,640,480:waittimer 112015 blt 0,0,640,480,0, 11456,640,480:waittimer 112035 blt 0,0,640,480,0, 11458,640,480:waittimer 112054 blt 0,0,640,480,0, 11460,640,480:waittimer 112074 blt 0,0,640,480,0, 11462,640,480:waittimer 112093 blt 0,0,640,480,0, 11464,640,480:waittimer 112113 blt 0,0,640,480,0, 11466,640,480:waittimer 112133 blt 0,0,640,480,0, 11468,640,480:waittimer 112152 blt 0,0,640,480,0, 11470,640,480:waittimer 112172 blt 0,0,640,480,0, 11472,640,480:waittimer 112191 blt 0,0,640,480,0, 11474,640,480:waittimer 112211 blt 0,0,640,480,0, 11476,640,480:waittimer 112230 blt 0,0,640,480,0, 11478,640,480:waittimer 112250 blt 0,0,640,480,0, 11480,640,480:waittimer 112269 blt 0,0,640,480,0, 11482,640,480:waittimer 112289 blt 0,0,640,480,0, 11484,640,480:waittimer 112309 blt 0,0,640,480,0, 11486,640,480:waittimer 112328 blt 0,0,640,480,0, 11488,640,480:waittimer 112348 blt 0,0,640,480,0, 11490,640,480:waittimer 112367 blt 0,0,640,480,0, 11492,640,480:waittimer 112387 blt 0,0,640,480,0, 11494,640,480:waittimer 112406 blt 0,0,640,480,0, 11496,640,480:waittimer 112426 blt 0,0,640,480,0, 11498,640,480:waittimer 112446 blt 0,0,640,480,0, 11500,640,480:waittimer 112465 blt 0,0,640,480,0, 11502,640,480:waittimer 112485 blt 0,0,640,480,0, 11504,640,480:waittimer 112504 blt 0,0,640,480,0, 11506,640,480:waittimer 112524 blt 0,0,640,480,0, 11508,640,480:waittimer 112543 blt 0,0,640,480,0, 11510,640,480:waittimer 112563 blt 0,0,640,480,0, 11512,640,480:waittimer 112582 blt 0,0,640,480,0, 11514,640,480:waittimer 112602 blt 0,0,640,480,0, 11516,640,480:waittimer 112622 blt 0,0,640,480,0, 11518,640,480:waittimer 112641 blt 0,0,640,480,0, 11520,640,480:waittimer 112661 blt 0,0,640,480,0, 11522,640,480:waittimer 112680 blt 0,0,640,480,0, 11524,640,480:waittimer 112700 blt 0,0,640,480,0, 11526,640,480:waittimer 112719 blt 0,0,640,480,0, 11528,640,480:waittimer 112739 blt 0,0,640,480,0, 11530,640,480:waittimer 112758 blt 0,0,640,480,0, 11532,640,480:waittimer 112778 blt 0,0,640,480,0, 11534,640,480:waittimer 112798 blt 0,0,640,480,0, 11536,640,480:waittimer 112817 blt 0,0,640,480,0, 11538,640,480:waittimer 112837 blt 0,0,640,480,0, 11540,640,480:waittimer 112856 blt 0,0,640,480,0, 11542,640,480:waittimer 112876 blt 0,0,640,480,0, 11544,640,480:waittimer 112895 blt 0,0,640,480,0, 11546,640,480:waittimer 112915 blt 0,0,640,480,0, 11548,640,480:waittimer 112934 blt 0,0,640,480,0, 11550,640,480:waittimer 112954 blt 0,0,640,480,0, 11552,640,480:waittimer 112974 blt 0,0,640,480,0, 11554,640,480:waittimer 112993 blt 0,0,640,480,0, 11556,640,480:waittimer 113013 blt 0,0,640,480,0, 11558,640,480:waittimer 113032 blt 0,0,640,480,0, 11560,640,480:waittimer 113052 blt 0,0,640,480,0, 11562,640,480:waittimer 113071 blt 0,0,640,480,0, 11564,640,480:waittimer 113091 blt 0,0,640,480,0, 11566,640,480:waittimer 113111 blt 0,0,640,480,0, 11568,640,480:waittimer 113130 blt 0,0,640,480,0, 11570,640,480:waittimer 113150 blt 0,0,640,480,0, 11572,640,480:waittimer 113169 blt 0,0,640,480,0, 11574,640,480:waittimer 113189 blt 0,0,640,480,0, 11576,640,480:waittimer 113208 blt 0,0,640,480,0, 11578,640,480:waittimer 113228 blt 0,0,640,480,0, 11580,640,480:waittimer 113247 blt 0,0,640,480,0, 11582,640,480:waittimer 113267 blt 0,0,640,480,0, 11584,640,480:waittimer 113287 blt 0,0,640,480,0, 11586,640,480:waittimer 113306 blt 0,0,640,480,0, 11588,640,480:waittimer 113326 blt 0,0,640,480,0, 11590,640,480:waittimer 113345 blt 0,0,640,480,0, 11592,640,480:waittimer 113365 blt 0,0,640,480,0, 11594,640,480:waittimer 113384 blt 0,0,640,480,0, 11596,640,480:waittimer 113404 blt 0,0,640,480,0, 11598,640,480:waittimer 113423 blt 0,0,640,480,0, 11600,640,480:waittimer 113443 blt 0,0,640,480,0, 11602,640,480:waittimer 113463 blt 0,0,640,480,0, 11604,640,480:waittimer 113482 blt 0,0,640,480,0, 11606,640,480:waittimer 113502 blt 0,0,640,480,0, 11608,640,480:waittimer 113521 blt 0,0,640,480,0, 11610,640,480:waittimer 113541 blt 0,0,640,480,0, 11612,640,480:waittimer 113560 blt 0,0,640,480,0, 11614,640,480:waittimer 113580 blt 0,0,640,480,0, 11616,640,480:waittimer 113600 blt 0,0,640,480,0, 11618,640,480:waittimer 113619 blt 0,0,640,480,0, 11620,640,480:waittimer 113639 blt 0,0,640,480,0, 11622,640,480:waittimer 113658 blt 0,0,640,480,0, 11624,640,480:waittimer 113678 blt 0,0,640,480,0, 11626,640,480:waittimer 113697 blt 0,0,640,480,0, 11628,640,480:waittimer 113717 blt 0,0,640,480,0, 11630,640,480:waittimer 113736 blt 0,0,640,480,0, 11632,640,480:waittimer 113756 blt 0,0,640,480,0, 11634,640,480:waittimer 113776 blt 0,0,640,480,0, 11636,640,480:waittimer 113795 blt 0,0,640,480,0, 11638,640,480:waittimer 113815 blt 0,0,640,480,0, 11640,640,480:waittimer 113834 blt 0,0,640,480,0, 11642,640,480:waittimer 113854 blt 0,0,640,480,0, 11644,640,480:waittimer 113873 blt 0,0,640,480,0, 11646,640,480:waittimer 113893 blt 0,0,640,480,0, 11648,640,480:waittimer 113912 blt 0,0,640,480,0, 11650,640,480:waittimer 113932 blt 0,0,640,480,0, 11652,640,480:waittimer 113952 blt 0,0,640,480,0, 11654,640,480:waittimer 113971 blt 0,0,640,480,0, 11656,640,480:waittimer 113991 blt 0,0,640,480,0, 11658,640,480:waittimer 114010 blt 0,0,640,480,0, 11660,640,480:waittimer 114030 blt 0,0,640,480,0, 11662,640,480:waittimer 114049 blt 0,0,640,480,0, 11664,640,480:waittimer 114069 blt 0,0,640,480,0, 11666,640,480:waittimer 114088 blt 0,0,640,480,0, 11668,640,480:waittimer 114108 blt 0,0,640,480,0, 11670,640,480:waittimer 114128 blt 0,0,640,480,0, 11672,640,480:waittimer 114147 blt 0,0,640,480,0, 11674,640,480:waittimer 114167 blt 0,0,640,480,0, 11676,640,480:waittimer 114186 blt 0,0,640,480,0, 11678,640,480:waittimer 114206 blt 0,0,640,480,0, 11680,640,480:waittimer 114225 blt 0,0,640,480,0, 11682,640,480:waittimer 114245 blt 0,0,640,480,0, 11684,640,480:waittimer 114265 blt 0,0,640,480,0, 11686,640,480:waittimer 114284 blt 0,0,640,480,0, 11688,640,480:waittimer 114304 blt 0,0,640,480,0, 11690,640,480:waittimer 114323 blt 0,0,640,480,0, 11692,640,480:waittimer 114343 blt 0,0,640,480,0, 11694,640,480:waittimer 114362 blt 0,0,640,480,0, 11696,640,480:waittimer 114382 blt 0,0,640,480,0, 11698,640,480:waittimer 114401 blt 0,0,640,480,0, 11700,640,480:waittimer 114421 blt 0,0,640,480,0, 11702,640,480:waittimer 114441 blt 0,0,640,480,0, 11704,640,480:waittimer 114460 blt 0,0,640,480,0, 11706,640,480:waittimer 114480 blt 0,0,640,480,0, 11708,640,480:waittimer 114499 blt 0,0,640,480,0, 11710,640,480:waittimer 114519 blt 0,0,640,480,0, 11712,640,480:waittimer 114538 blt 0,0,640,480,0, 11714,640,480:waittimer 114558 blt 0,0,640,480,0, 11716,640,480:waittimer 114577 blt 0,0,640,480,0, 11718,640,480:waittimer 114597 blt 0,0,640,480,0, 11720,640,480:waittimer 114617 blt 0,0,640,480,0, 11722,640,480:waittimer 114636 blt 0,0,640,480,0, 11724,640,480:waittimer 114656 blt 0,0,640,480,0, 11726,640,480:waittimer 114675 blt 0,0,640,480,0, 11728,640,480:waittimer 114695 blt 0,0,640,480,0, 11730,640,480:waittimer 114714 blt 0,0,640,480,0, 11732,640,480:waittimer 114734 blt 0,0,640,480,0, 11734,640,480:waittimer 114753 blt 0,0,640,480,0, 11736,640,480:waittimer 114773 blt 0,0,640,480,0, 11738,640,480:waittimer 114793 blt 0,0,640,480,0, 11740,640,480:waittimer 114812 blt 0,0,640,480,0, 11742,640,480:waittimer 114832 blt 0,0,640,480,0, 11744,640,480:waittimer 114851 blt 0,0,640,480,0, 11746,640,480:waittimer 114871 blt 0,0,640,480,0, 11748,640,480:waittimer 114890 blt 0,0,640,480,0, 11750,640,480:waittimer 114910 blt 0,0,640,480,0, 11752,640,480:waittimer 114930 blt 0,0,640,480,0, 11754,640,480:waittimer 114949 blt 0,0,640,480,0, 11756,640,480:waittimer 114969 blt 0,0,640,480,0, 11758,640,480:waittimer 114988 blt 0,0,640,480,0, 11760,640,480:waittimer 115008 blt 0,0,640,480,0, 11762,640,480:waittimer 115027 blt 0,0,640,480,0, 11764,640,480:waittimer 115047 blt 0,0,640,480,0, 11766,640,480:waittimer 115066 blt 0,0,640,480,0, 11768,640,480:waittimer 115086 blt 0,0,640,480,0, 11770,640,480:waittimer 115106 blt 0,0,640,480,0, 11772,640,480:waittimer 115125 blt 0,0,640,480,0, 11774,640,480:waittimer 115145 blt 0,0,640,480,0, 11776,640,480:waittimer 115164 blt 0,0,640,480,0, 11778,640,480:waittimer 115184 blt 0,0,640,480,0, 11780,640,480:waittimer 115203 blt 0,0,640,480,0, 11782,640,480:waittimer 115223 blt 0,0,640,480,0, 11784,640,480:waittimer 115242 blt 0,0,640,480,0, 11786,640,480:waittimer 115262 blt 0,0,640,480,0, 11788,640,480:waittimer 115282 blt 0,0,640,480,0, 11790,640,480:waittimer 115301 blt 0,0,640,480,0, 11792,640,480:waittimer 115321 blt 0,0,640,480,0, 11794,640,480:waittimer 115340 blt 0,0,640,480,0, 11796,640,480:waittimer 115360 blt 0,0,640,480,0, 11798,640,480:waittimer 115379 blt 0,0,640,480,0, 11800,640,480:waittimer 115399 blt 0,0,640,480,0, 11802,640,480:waittimer 115419 blt 0,0,640,480,0, 11804,640,480:waittimer 115438 blt 0,0,640,480,0, 11806,640,480:waittimer 115458 blt 0,0,640,480,0, 11808,640,480:waittimer 115477 blt 0,0,640,480,0, 11810,640,480:waittimer 115497 blt 0,0,640,480,0, 11812,640,480:waittimer 115516 blt 0,0,640,480,0, 11814,640,480:waittimer 115536 blt 0,0,640,480,0, 11816,640,480:waittimer 115555 blt 0,0,640,480,0, 11818,640,480:waittimer 115575 blt 0,0,640,480,0, 11820,640,480:waittimer 115595 blt 0,0,640,480,0, 11822,640,480:waittimer 115614 blt 0,0,640,480,0, 11824,640,480:waittimer 115634 blt 0,0,640,480,0, 11826,640,480:waittimer 115653 blt 0,0,640,480,0, 11828,640,480:waittimer 115673 blt 0,0,640,480,0, 11830,640,480:waittimer 115692 blt 0,0,640,480,0, 11832,640,480:waittimer 115712 blt 0,0,640,480,0, 11834,640,480:waittimer 115731 blt 0,0,640,480,0, 11836,640,480:waittimer 115751 blt 0,0,640,480,0, 11838,640,480:waittimer 115771 blt 0,0,640,480,0, 11840,640,480:waittimer 115790 blt 0,0,640,480,0, 11842,640,480:waittimer 115810 blt 0,0,640,480,0, 11844,640,480:waittimer 115829 blt 0,0,640,480,0, 11846,640,480:waittimer 115849 blt 0,0,640,480,0, 11848,640,480:waittimer 115868 blt 0,0,640,480,0, 11850,640,480:waittimer 115888 blt 0,0,640,480,0, 11852,640,480:waittimer 115907 blt 0,0,640,480,0, 11854,640,480:waittimer 115927 blt 0,0,640,480,0, 11856,640,480:waittimer 115947 blt 0,0,640,480,0, 11858,640,480:waittimer 115966 blt 0,0,640,480,0, 11860,640,480:waittimer 115986 blt 0,0,640,480,0, 11862,640,480:waittimer 116005 blt 0,0,640,480,0, 11864,640,480:waittimer 116025 blt 0,0,640,480,0, 11866,640,480:waittimer 116044 blt 0,0,640,480,0, 11868,640,480:waittimer 116064 blt 0,0,640,480,0, 11870,640,480:waittimer 116084 blt 0,0,640,480,0, 11872,640,480:waittimer 116103 blt 0,0,640,480,0, 11874,640,480:waittimer 116123 blt 0,0,640,480,0, 11876,640,480:waittimer 116142 blt 0,0,640,480,0, 11878,640,480:waittimer 116162 blt 0,0,640,480,0, 11880,640,480:waittimer 116181 blt 0,0,640,480,0, 11882,640,480:waittimer 116201 blt 0,0,640,480,0, 11884,640,480:waittimer 116220 blt 0,0,640,480,0, 11886,640,480:waittimer 116240 blt 0,0,640,480,0, 11888,640,480:waittimer 116260 blt 0,0,640,480,0, 11890,640,480:waittimer 116279 blt 0,0,640,480,0, 11892,640,480:waittimer 116299 blt 0,0,640,480,0, 11894,640,480:waittimer 116318 blt 0,0,640,480,0, 11896,640,480:waittimer 116338 blt 0,0,640,480,0, 11898,640,480:waittimer 116357 blt 0,0,640,480,0, 11900,640,480:waittimer 116377 blt 0,0,640,480,0, 11902,640,480:waittimer 116396 blt 0,0,640,480,0, 11904,640,480:waittimer 116416 blt 0,0,640,480,0, 11906,640,480:waittimer 116436 blt 0,0,640,480,0, 11908,640,480:waittimer 116455 blt 0,0,640,480,0, 11910,640,480:waittimer 116475 blt 0,0,640,480,0, 11912,640,480:waittimer 116494 blt 0,0,640,480,0, 11914,640,480:waittimer 116514 blt 0,0,640,480,0, 11916,640,480:waittimer 116533 blt 0,0,640,480,0, 11918,640,480:waittimer 116553 blt 0,0,640,480,0, 11920,640,480:waittimer 116573 blt 0,0,640,480,0, 11922,640,480:waittimer 116592 blt 0,0,640,480,0, 11924,640,480:waittimer 116612 blt 0,0,640,480,0, 11926,640,480:waittimer 116631 blt 0,0,640,480,0, 11928,640,480:waittimer 116651 blt 0,0,640,480,0, 11930,640,480:waittimer 116670 blt 0,0,640,480,0, 11932,640,480:waittimer 116690 blt 0,0,640,480,0, 11934,640,480:waittimer 116709 blt 0,0,640,480,0, 11936,640,480:waittimer 116729 blt 0,0,640,480,0, 11938,640,480:waittimer 116749 blt 0,0,640,480,0, 11940,640,480:waittimer 116768 blt 0,0,640,480,0, 11942,640,480:waittimer 116788 blt 0,0,640,480,0, 11944,640,480:waittimer 116807 blt 0,0,640,480,0, 11946,640,480:waittimer 116827 blt 0,0,640,480,0, 11948,640,480:waittimer 116846 blt 0,0,640,480,0, 11950,640,480:waittimer 116866 blt 0,0,640,480,0, 11952,640,480:waittimer 116885 blt 0,0,640,480,0, 11954,640,480:waittimer 116905 blt 0,0,640,480,0, 11956,640,480:waittimer 116925 blt 0,0,640,480,0, 11958,640,480:waittimer 116944 blt 0,0,640,480,0, 11960,640,480:waittimer 116964 blt 0,0,640,480,0, 11962,640,480:waittimer 116983 blt 0,0,640,480,0, 11964,640,480:waittimer 117003 blt 0,0,640,480,0, 11966,640,480:waittimer 117022 blt 0,0,640,480,0, 11968,640,480:waittimer 117042 blt 0,0,640,480,0, 11970,640,480:waittimer 117061 blt 0,0,640,480,0, 11972,640,480:waittimer 117081 blt 0,0,640,480,0, 11974,640,480:waittimer 117101 blt 0,0,640,480,0, 11976,640,480:waittimer 117120 blt 0,0,640,480,0, 11978,640,480:waittimer 117140 blt 0,0,640,480,0, 11980,640,480:waittimer 117159 blt 0,0,640,480,0, 11982,640,480:waittimer 117179 blt 0,0,640,480,0, 11984,640,480:waittimer 117198 blt 0,0,640,480,0, 11986,640,480:waittimer 117218 blt 0,0,640,480,0, 11988,640,480:waittimer 117238 blt 0,0,640,480,0, 11990,640,480:waittimer 117257 blt 0,0,640,480,0, 11992,640,480:waittimer 117277 blt 0,0,640,480,0, 11994,640,480:waittimer 117296 blt 0,0,640,480,0, 11996,640,480:waittimer 117316 blt 0,0,640,480,0, 11998,640,480:waittimer 117335 blt 0,0,640,480,0, 12000,640,480:waittimer 117355 blt 0,0,640,480,0, 12002,640,480:waittimer 117374 blt 0,0,640,480,0, 12004,640,480:waittimer 117394 blt 0,0,640,480,0, 12006,640,480:waittimer 117414 blt 0,0,640,480,0, 12008,640,480:waittimer 117433 blt 0,0,640,480,0, 12010,640,480:waittimer 117453 blt 0,0,640,480,0, 12012,640,480:waittimer 117472 blt 0,0,640,480,0, 12014,640,480:waittimer 117492 blt 0,0,640,480,0, 12016,640,480:waittimer 117511 blt 0,0,640,480,0, 12018,640,480:waittimer 117531 blt 0,0,640,480,0, 12020,640,480:waittimer 117550 blt 0,0,640,480,0, 12022,640,480:waittimer 117570 blt 0,0,640,480,0, 12024,640,480:waittimer 117590 blt 0,0,640,480,0, 12026,640,480:waittimer 117609 blt 0,0,640,480,0, 12028,640,480:waittimer 117629 blt 0,0,640,480,0, 12030,640,480:waittimer 117648 blt 0,0,640,480,0, 12032,640,480:waittimer 117668 blt 0,0,640,480,0, 12034,640,480:waittimer 117687 blt 0,0,640,480,0, 12036,640,480:waittimer 117707 blt 0,0,640,480,0, 12038,640,480:waittimer 117726 blt 0,0,640,480,0, 12040,640,480:waittimer 117746 blt 0,0,640,480,0, 12042,640,480:waittimer 117766 blt 0,0,640,480,0, 12044,640,480:waittimer 117785 blt 0,0,640,480,0, 12046,640,480:waittimer 117805 blt 0,0,640,480,0, 12048,640,480:waittimer 117824 blt 0,0,640,480,0, 12050,640,480:waittimer 117844 blt 0,0,640,480,0, 12052,640,480:waittimer 117863 blt 0,0,640,480,0, 12054,640,480:waittimer 117883 blt 0,0,640,480,0, 12056,640,480:waittimer 117903 blt 0,0,640,480,0, 12058,640,480:waittimer 117922 blt 0,0,640,480,0, 12060,640,480:waittimer 117942 blt 0,0,640,480,0, 12062,640,480:waittimer 117961 blt 0,0,640,480,0, 12064,640,480:waittimer 117981 blt 0,0,640,480,0, 12066,640,480:waittimer 118000 blt 0,0,640,480,0, 12068,640,480:waittimer 118020 blt 0,0,640,480,0, 12070,640,480:waittimer 118039 blt 0,0,640,480,0, 12072,640,480:waittimer 118059 blt 0,0,640,480,0, 12074,640,480:waittimer 118079 blt 0,0,640,480,0, 12076,640,480:waittimer 118098 blt 0,0,640,480,0, 12078,640,480:waittimer 118118 blt 0,0,640,480,0, 12080,640,480:waittimer 118137 blt 0,0,640,480,0, 12082,640,480:waittimer 118157 blt 0,0,640,480,0, 12084,640,480:waittimer 118176 blt 0,0,640,480,0, 12086,640,480:waittimer 118196 blt 0,0,640,480,0, 12088,640,480:waittimer 118215 blt 0,0,640,480,0, 12090,640,480:waittimer 118235 blt 0,0,640,480,0, 12092,640,480:waittimer 118255 blt 0,0,640,480,0, 12094,640,480:waittimer 118274 blt 0,0,640,480,0, 12096,640,480:waittimer 118294 blt 0,0,640,480,0, 12098,640,480:waittimer 118313 blt 0,0,640,480,0, 12100,640,480:waittimer 118333 blt 0,0,640,480,0, 12102,640,480:waittimer 118352 blt 0,0,640,480,0, 12104,640,480:waittimer 118372 blt 0,0,640,480,0, 12106,640,480:waittimer 118392 blt 0,0,640,480,0, 12108,640,480:waittimer 118411 blt 0,0,640,480,0, 12110,640,480:waittimer 118431 blt 0,0,640,480,0, 12112,640,480:waittimer 118450 blt 0,0,640,480,0, 12114,640,480:waittimer 118470 blt 0,0,640,480,0, 12116,640,480:waittimer 118489 blt 0,0,640,480,0, 12118,640,480:waittimer 118509 blt 0,0,640,480,0, 12120,640,480:waittimer 118528 blt 0,0,640,480,0, 12122,640,480:waittimer 118548 blt 0,0,640,480,0, 12124,640,480:waittimer 118568 blt 0,0,640,480,0, 12126,640,480:waittimer 118587 blt 0,0,640,480,0, 12128,640,480:waittimer 118607 blt 0,0,640,480,0, 12130,640,480:waittimer 118626 blt 0,0,640,480,0, 12132,640,480:waittimer 118646 blt 0,0,640,480,0, 12134,640,480:waittimer 118665 blt 0,0,640,480,0, 12136,640,480:waittimer 118685 blt 0,0,640,480,0, 12138,640,480:waittimer 118704 blt 0,0,640,480,0, 12140,640,480:waittimer 118724 blt 0,0,640,480,0, 12142,640,480:waittimer 118744 blt 0,0,640,480,0, 12144,640,480:waittimer 118763 blt 0,0,640,480,0, 12146,640,480:waittimer 118783 blt 0,0,640,480,0, 12148,640,480:waittimer 118802 blt 0,0,640,480,0, 12150,640,480:waittimer 118822 blt 0,0,640,480,0, 12152,640,480:waittimer 118841 blt 0,0,640,480,0, 12154,640,480:waittimer 118861 blt 0,0,640,480,0, 12156,640,480:waittimer 118880 blt 0,0,640,480,0, 12158,640,480:waittimer 118900 blt 0,0,640,480,0, 12160,640,480:waittimer 118920 blt 0,0,640,480,0, 12162,640,480:waittimer 118939 blt 0,0,640,480,0, 12164,640,480:waittimer 118959 blt 0,0,640,480,0, 12166,640,480:waittimer 118978 blt 0,0,640,480,0, 12168,640,480:waittimer 118998 blt 0,0,640,480,0, 12170,640,480:waittimer 119017 blt 0,0,640,480,0, 12172,640,480:waittimer 119037 blt 0,0,640,480,0, 12174,640,480:waittimer 119057 blt 0,0,640,480,0, 12176,640,480:waittimer 119076 blt 0,0,640,480,0, 12178,640,480:waittimer 119096 blt 0,0,640,480,0, 12180,640,480:waittimer 119115 blt 0,0,640,480,0, 12182,640,480:waittimer 119135 blt 0,0,640,480,0, 12184,640,480:waittimer 119154 blt 0,0,640,480,0, 12186,640,480:waittimer 119174 blt 0,0,640,480,0, 12188,640,480:waittimer 119193 blt 0,0,640,480,0, 12190,640,480:waittimer 119213 blt 0,0,640,480,0, 12192,640,480:waittimer 119233 blt 0,0,640,480,0, 12194,640,480:waittimer 119252 blt 0,0,640,480,0, 12196,640,480:waittimer 119272 blt 0,0,640,480,0, 12198,640,480:waittimer 119291 blt 0,0,640,480,0, 12200,640,480:waittimer 119311 blt 0,0,640,480,0, 12202,640,480:waittimer 119330 blt 0,0,640,480,0, 12204,640,480:waittimer 119350 blt 0,0,640,480,0, 12206,640,480:waittimer 119369 blt 0,0,640,480,0, 12208,640,480:waittimer 119389 blt 0,0,640,480,0, 12210,640,480:waittimer 119409 blt 0,0,640,480,0, 12212,640,480:waittimer 119428 blt 0,0,640,480,0, 12214,640,480:waittimer 119448 blt 0,0,640,480,0, 12216,640,480:waittimer 119467 blt 0,0,640,480,0, 12218,640,480:waittimer 119487 blt 0,0,640,480,0, 12220,640,480:waittimer 119506 blt 0,0,640,480,0, 12222,640,480:waittimer 119526 blt 0,0,640,480,0, 12224,640,480:waittimer 119546 blt 0,0,640,480,0, 12226,640,480:waittimer 119565 blt 0,0,640,480,0, 12228,640,480:waittimer 119585 blt 0,0,640,480,0, 12230,640,480:waittimer 119604 blt 0,0,640,480,0, 12232,640,480:waittimer 119624 blt 0,0,640,480,0, 12234,640,480:waittimer 119643 blt 0,0,640,480,0, 12236,640,480:waittimer 119663 blt 0,0,640,480,0, 12238,640,480:waittimer 119682 blt 0,0,640,480,0, 12240,640,480:waittimer 119702 blt 0,0,640,480,0, 12242,640,480:waittimer 119722 blt 0,0,640,480,0, 12244,640,480:waittimer 119741 blt 0,0,640,480,0, 12246,640,480:waittimer 119761 blt 0,0,640,480,0, 12248,640,480:waittimer 119780 blt 0,0,640,480,0, 12250,640,480:waittimer 119800 blt 0,0,640,480,0, 12252,640,480:waittimer 119819 blt 0,0,640,480,0, 12254,640,480:waittimer 119839 blt 0,0,640,480,0, 12256,640,480:waittimer 119858 blt 0,0,640,480,0, 12258,640,480:waittimer 119878 blt 0,0,640,480,0, 12260,640,480:waittimer 119898 blt 0,0,640,480,0, 12262,640,480:waittimer 119917 blt 0,0,640,480,0, 12264,640,480:waittimer 119937 blt 0,0,640,480,0, 12266,640,480:waittimer 119956 blt 0,0,640,480,0, 12268,640,480:waittimer 119976 blt 0,0,640,480,0, 12270,640,480:waittimer 119995 blt 0,0,640,480,0, 12272,640,480:waittimer 120015 blt 0,0,640,480,0, 12274,640,480:waittimer 120034 blt 0,0,640,480,0, 12276,640,480:waittimer 120054 blt 0,0,640,480,0, 12278,640,480:waittimer 120074 blt 0,0,640,480,0, 12280,640,480:waittimer 120093 blt 0,0,640,480,0, 12282,640,480:waittimer 120113 blt 0,0,640,480,0, 12284,640,480:waittimer 120132 blt 0,0,640,480,0, 12286,640,480:waittimer 120152 blt 0,0,640,480,0, 12288,640,480:waittimer 120171 blt 0,0,640,480,0, 12290,640,480:waittimer 120191 blt 0,0,640,480,0, 12292,640,480:waittimer 120211 blt 0,0,640,480,0, 12294,640,480:waittimer 120230 blt 0,0,640,480,0, 12296,640,480:waittimer 120250 blt 0,0,640,480,0, 12298,640,480:waittimer 120269 blt 0,0,640,480,0, 12300,640,480:waittimer 120289 blt 0,0,640,480,0, 12302,640,480:waittimer 120308 blt 0,0,640,480,0, 12304,640,480:waittimer 120328 blt 0,0,640,480,0, 12306,640,480:waittimer 120347 blt 0,0,640,480,0, 12308,640,480:waittimer 120367 blt 0,0,640,480,0, 12310,640,480:waittimer 120387 blt 0,0,640,480,0, 12312,640,480:waittimer 120406 blt 0,0,640,480,0, 12314,640,480:waittimer 120426 blt 0,0,640,480,0, 12316,640,480:waittimer 120445 blt 0,0,640,480,0, 12318,640,480:waittimer 120465 blt 0,0,640,480,0, 12320,640,480:waittimer 120484 blt 0,0,640,480,0, 12322,640,480:waittimer 120504 blt 0,0,640,480,0, 12324,640,480:waittimer 120523 blt 0,0,640,480,0, 12326,640,480:waittimer 120543 blt 0,0,640,480,0, 12328,640,480:waittimer 120563 blt 0,0,640,480,0, 12330,640,480:waittimer 120582 blt 0,0,640,480,0, 12332,640,480:waittimer 120602 blt 0,0,640,480,0, 12334,640,480:waittimer 120621 blt 0,0,640,480,0, 12336,640,480:waittimer 120641 blt 0,0,640,480,0, 12338,640,480:waittimer 120660 blt 0,0,640,480,0, 12340,640,480:waittimer 120680 blt 0,0,640,480,0, 12342,640,480:waittimer 120700 blt 0,0,640,480,0, 12344,640,480:waittimer 120719 blt 0,0,640,480,0, 12346,640,480:waittimer 120739 blt 0,0,640,480,0, 12348,640,480:waittimer 120758 blt 0,0,640,480,0, 12350,640,480:waittimer 120778 blt 0,0,640,480,0, 12352,640,480:waittimer 120797 blt 0,0,640,480,0, 12354,640,480:waittimer 120817 blt 0,0,640,480,0, 12356,640,480:waittimer 120836 blt 0,0,640,480,0, 12358,640,480:waittimer 120856 blt 0,0,640,480,0, 12360,640,480:waittimer 120876 blt 0,0,640,480,0, 12362,640,480:waittimer 120895 blt 0,0,640,480,0, 12364,640,480:waittimer 120915 blt 0,0,640,480,0, 12366,640,480:waittimer 120934 blt 0,0,640,480,0, 12368,640,480:waittimer 120954 blt 0,0,640,480,0, 12370,640,480:waittimer 120973 blt 0,0,640,480,0, 12372,640,480:waittimer 120993 blt 0,0,640,480,0, 12374,640,480:waittimer 121012 blt 0,0,640,480,0, 12376,640,480:waittimer 121032 blt 0,0,640,480,0, 12378,640,480:waittimer 121052 blt 0,0,640,480,0, 12380,640,480:waittimer 121071 blt 0,0,640,480,0, 12382,640,480:waittimer 121091 blt 0,0,640,480,0, 12384,640,480:waittimer 121110 blt 0,0,640,480,0, 12386,640,480:waittimer 121130 blt 0,0,640,480,0, 12388,640,480:waittimer 121149 blt 0,0,640,480,0, 12390,640,480:waittimer 121169 blt 0,0,640,480,0, 12392,640,480:waittimer 121188 blt 0,0,640,480,0, 12394,640,480:waittimer 121208 blt 0,0,640,480,0, 12396,640,480:waittimer 121228 blt 0,0,640,480,0, 12398,640,480:waittimer 121247 blt 0,0,640,480,0, 12400,640,480:waittimer 121267 blt 0,0,640,480,0, 12402,640,480:waittimer 121286 blt 0,0,640,480,0, 12404,640,480:waittimer 121306 blt 0,0,640,480,0, 12406,640,480:waittimer 121325 blt 0,0,640,480,0, 12408,640,480:waittimer 121345 blt 0,0,640,480,0, 12410,640,480:waittimer 121365 blt 0,0,640,480,0, 12412,640,480:waittimer 121384 blt 0,0,640,480,0, 12414,640,480:waittimer 121404 blt 0,0,640,480,0, 12416,640,480:waittimer 121423 blt 0,0,640,480,0, 12418,640,480:waittimer 121443 blt 0,0,640,480,0, 12420,640,480:waittimer 121462 blt 0,0,640,480,0, 12422,640,480:waittimer 121482 blt 0,0,640,480,0, 12424,640,480:waittimer 121501 blt 0,0,640,480,0, 12426,640,480:waittimer 121521 blt 0,0,640,480,0, 12428,640,480:waittimer 121541 blt 0,0,640,480,0, 12430,640,480:waittimer 121560 blt 0,0,640,480,0, 12432,640,480:waittimer 121580 blt 0,0,640,480,0, 12434,640,480:waittimer 121599 blt 0,0,640,480,0, 12436,640,480:waittimer 121619 blt 0,0,640,480,0, 12438,640,480:waittimer 121638 blt 0,0,640,480,0, 12440,640,480:waittimer 121658 blt 0,0,640,480,0, 12442,640,480:waittimer 121677 blt 0,0,640,480,0, 12444,640,480:waittimer 121697 blt 0,0,640,480,0, 12446,640,480:waittimer 121717 blt 0,0,640,480,0, 12448,640,480:waittimer 121736 blt 0,0,640,480,0, 12450,640,480:waittimer 121756 blt 0,0,640,480,0, 12452,640,480:waittimer 121775 blt 0,0,640,480,0, 12454,640,480:waittimer 121795 blt 0,0,640,480,0, 12456,640,480:waittimer 121814 blt 0,0,640,480,0, 12458,640,480:waittimer 121834 blt 0,0,640,480,0, 12460,640,480:waittimer 121853 blt 0,0,640,480,0, 12462,640,480:waittimer 121873 blt 0,0,640,480,0, 12464,640,480:waittimer 121893 blt 0,0,640,480,0, 12466,640,480:waittimer 121912 blt 0,0,640,480,0, 12468,640,480:waittimer 121932 blt 0,0,640,480,0, 12470,640,480:waittimer 121951 blt 0,0,640,480,0, 12472,640,480:waittimer 121971 blt 0,0,640,480,0, 12474,640,480:waittimer 121990 blt 0,0,640,480,0, 12476,640,480:waittimer 122010 blt 0,0,640,480,0, 12478,640,480:waittimer 122030 blt 0,0,640,480,0, 12480,640,480:waittimer 122049 blt 0,0,640,480,0, 12482,640,480:waittimer 122069 blt 0,0,640,480,0, 12484,640,480:waittimer 122088 blt 0,0,640,480,0, 12486,640,480:waittimer 122108 blt 0,0,640,480,0, 12488,640,480:waittimer 122127 blt 0,0,640,480,0, 12490,640,480:waittimer 122147 blt 0,0,640,480,0, 12492,640,480:waittimer 122166 blt 0,0,640,480,0, 12494,640,480:waittimer 122186 blt 0,0,640,480,0, 12496,640,480:waittimer 122206 blt 0,0,640,480,0, 12498,640,480:waittimer 122225 blt 0,0,640,480,0, 12500,640,480:waittimer 122245 blt 0,0,640,480,0, 12502,640,480:waittimer 122264 blt 0,0,640,480,0, 12504,640,480:waittimer 122284 blt 0,0,640,480,0, 12506,640,480:waittimer 122303 blt 0,0,640,480,0, 12508,640,480:waittimer 122323 blt 0,0,640,480,0, 12510,640,480:waittimer 122342 blt 0,0,640,480,0, 12512,640,480:waittimer 122362 blt 0,0,640,480,0, 12514,640,480:waittimer 122382 blt 0,0,640,480,0, 12516,640,480:waittimer 122401 blt 0,0,640,480,0, 12518,640,480:waittimer 122421 blt 0,0,640,480,0, 12520,640,480:waittimer 122440 blt 0,0,640,480,0, 12522,640,480:waittimer 122460 blt 0,0,640,480,0, 12524,640,480:waittimer 122479 blt 0,0,640,480,0, 12526,640,480:waittimer 122499 blt 0,0,640,480,0, 12528,640,480:waittimer 122519 blt 0,0,640,480,0, 12530,640,480:waittimer 122538 blt 0,0,640,480,0, 12532,640,480:waittimer 122558 blt 0,0,640,480,0, 12534,640,480:waittimer 122577 blt 0,0,640,480,0, 12536,640,480:waittimer 122597 blt 0,0,640,480,0, 12538,640,480:waittimer 122616 blt 0,0,640,480,0, 12540,640,480:waittimer 122636 blt 0,0,640,480,0, 12542,640,480:waittimer 122655 blt 0,0,640,480,0, 12544,640,480:waittimer 122675 blt 0,0,640,480,0, 12546,640,480:waittimer 122695 blt 0,0,640,480,0, 12548,640,480:waittimer 122714 blt 0,0,640,480,0, 12550,640,480:waittimer 122734 blt 0,0,640,480,0, 12552,640,480:waittimer 122753 blt 0,0,640,480,0, 12554,640,480:waittimer 122773 blt 0,0,640,480,0, 12556,640,480:waittimer 122792 blt 0,0,640,480,0, 12558,640,480:waittimer 122812 blt 0,0,640,480,0, 12560,640,480:waittimer 122831 blt 0,0,640,480,0, 12562,640,480:waittimer 122851 blt 0,0,640,480,0, 12564,640,480:waittimer 122871 blt 0,0,640,480,0, 12566,640,480:waittimer 122890 blt 0,0,640,480,0, 12568,640,480:waittimer 122910 blt 0,0,640,480,0, 12570,640,480:waittimer 122929 blt 0,0,640,480,0, 12572,640,480:waittimer 122949 blt 0,0,640,480,0, 12574,640,480:waittimer 122968 blt 0,0,640,480,0, 12576,640,480:waittimer 122988 blt 0,0,640,480,0, 12578,640,480:waittimer 123007 blt 0,0,640,480,0, 12580,640,480:waittimer 123027 blt 0,0,640,480,0, 12582,640,480:waittimer 123047 blt 0,0,640,480,0, 12584,640,480:waittimer 123066 blt 0,0,640,480,0, 12586,640,480:waittimer 123086 blt 0,0,640,480,0, 12588,640,480:waittimer 123105 blt 0,0,640,480,0, 12590,640,480:waittimer 123125 blt 0,0,640,480,0, 12592,640,480:waittimer 123144 blt 0,0,640,480,0, 12594,640,480:waittimer 123164 blt 0,0,640,480,0, 12596,640,480:waittimer 123184 blt 0,0,640,480,0, 12598,640,480:waittimer 123203 blt 0,0,640,480,0, 12600,640,480:waittimer 123223 blt 0,0,640,480,0, 12602,640,480:waittimer 123242 blt 0,0,640,480,0, 12604,640,480:waittimer 123262 blt 0,0,640,480,0, 12606,640,480:waittimer 123281 blt 0,0,640,480,0, 12608,640,480:waittimer 123301 blt 0,0,640,480,0, 12610,640,480:waittimer 123320 blt 0,0,640,480,0, 12612,640,480:waittimer 123340 blt 0,0,640,480,0, 12614,640,480:waittimer 123360 blt 0,0,640,480,0, 12616,640,480:waittimer 123379 blt 0,0,640,480,0, 12618,640,480:waittimer 123399 blt 0,0,640,480,0, 12620,640,480:waittimer 123418 blt 0,0,640,480,0, 12622,640,480:waittimer 123438 blt 0,0,640,480,0, 12624,640,480:waittimer 123457 blt 0,0,640,480,0, 12626,640,480:waittimer 123477 blt 0,0,640,480,0, 12628,640,480:waittimer 123496 blt 0,0,640,480,0, 12630,640,480:waittimer 123516 blt 0,0,640,480,0, 12632,640,480:waittimer 123536 blt 0,0,640,480,0, 12634,640,480:waittimer 123555 blt 0,0,640,480,0, 12636,640,480:waittimer 123575 blt 0,0,640,480,0, 12638,640,480:waittimer 123594 blt 0,0,640,480,0, 12640,640,480:waittimer 123614 blt 0,0,640,480,0, 12642,640,480:waittimer 123633 blt 0,0,640,480,0, 12644,640,480:waittimer 123653 blt 0,0,640,480,0, 12646,640,480:waittimer 123673 blt 0,0,640,480,0, 12648,640,480:waittimer 123692 blt 0,0,640,480,0, 12650,640,480:waittimer 123712 blt 0,0,640,480,0, 12652,640,480:waittimer 123731 blt 0,0,640,480,0, 12654,640,480:waittimer 123751 blt 0,0,640,480,0, 12656,640,480:waittimer 123770 blt 0,0,640,480,0, 12658,640,480:waittimer 123790 blt 0,0,640,480,0, 12660,640,480:waittimer 123809 blt 0,0,640,480,0, 12662,640,480:waittimer 123829 blt 0,0,640,480,0, 12664,640,480:waittimer 123849 blt 0,0,640,480,0, 12666,640,480:waittimer 123868 blt 0,0,640,480,0, 12668,640,480:waittimer 123888 blt 0,0,640,480,0, 12670,640,480:waittimer 123907 blt 0,0,640,480,0, 12672,640,480:waittimer 123927 blt 0,0,640,480,0, 12674,640,480:waittimer 123946 blt 0,0,640,480,0, 12676,640,480:waittimer 123966 blt 0,0,640,480,0, 12678,640,480:waittimer 123985 blt 0,0,640,480,0, 12680,640,480:waittimer 124005 blt 0,0,640,480,0, 12682,640,480:waittimer 124025 blt 0,0,640,480,0, 12684,640,480:waittimer 124044 blt 0,0,640,480,0, 12686,640,480:waittimer 124064 blt 0,0,640,480,0, 12688,640,480:waittimer 124083 blt 0,0,640,480,0, 12690,640,480:waittimer 124103 blt 0,0,640,480,0, 12692,640,480:waittimer 124122 blt 0,0,640,480,0, 12694,640,480:waittimer 124142 blt 0,0,640,480,0, 12696,640,480:waittimer 124161 blt 0,0,640,480,0, 12698,640,480:waittimer 124181 blt 0,0,640,480,0, 12700,640,480:waittimer 124201 blt 0,0,640,480,0, 12702,640,480:waittimer 124220 blt 0,0,640,480,0, 12704,640,480:waittimer 124240 blt 0,0,640,480,0, 12706,640,480:waittimer 124259 blt 0,0,640,480,0, 12708,640,480:waittimer 124279 blt 0,0,640,480,0, 12710,640,480:waittimer 124298 blt 0,0,640,480,0, 12712,640,480:waittimer 124318 blt 0,0,640,480,0, 12714,640,480:waittimer 124338 blt 0,0,640,480,0, 12716,640,480:waittimer 124357 blt 0,0,640,480,0, 12718,640,480:waittimer 124377 blt 0,0,640,480,0, 12720,640,480:waittimer 124396 blt 0,0,640,480,0, 12722,640,480:waittimer 124416 blt 0,0,640,480,0, 12724,640,480:waittimer 124435 blt 0,0,640,480,0, 12726,640,480:waittimer 124455 blt 0,0,640,480,0, 12728,640,480:waittimer 124474 blt 0,0,640,480,0, 12730,640,480:waittimer 124494 blt 0,0,640,480,0, 12732,640,480:waittimer 124514 blt 0,0,640,480,0, 12734,640,480:waittimer 124533 blt 0,0,640,480,0, 12736,640,480:waittimer 124553 blt 0,0,640,480,0, 12738,640,480:waittimer 124572 blt 0,0,640,480,0, 12740,640,480:waittimer 124592 blt 0,0,640,480,0, 12742,640,480:waittimer 124611 blt 0,0,640,480,0, 12744,640,480:waittimer 124631 blt 0,0,640,480,0, 12746,640,480:waittimer 124650 blt 0,0,640,480,0, 12748,640,480:waittimer 124670 blt 0,0,640,480,0, 12750,640,480:waittimer 124690 blt 0,0,640,480,0, 12752,640,480:waittimer 124709 blt 0,0,640,480,0, 12754,640,480:waittimer 124729 blt 0,0,640,480,0, 12756,640,480:waittimer 124748 blt 0,0,640,480,0, 12758,640,480:waittimer 124768 blt 0,0,640,480,0, 12760,640,480:waittimer 124787 blt 0,0,640,480,0, 12762,640,480:waittimer 124807 blt 0,0,640,480,0, 12764,640,480:waittimer 124826 blt 0,0,640,480,0, 12766,640,480:waittimer 124846 blt 0,0,640,480,0, 12768,640,480:waittimer 124866 blt 0,0,640,480,0, 12770,640,480:waittimer 124885 blt 0,0,640,480,0, 12772,640,480:waittimer 124905 blt 0,0,640,480,0, 12774,640,480:waittimer 124924 blt 0,0,640,480,0, 12776,640,480:waittimer 124944 blt 0,0,640,480,0, 12778,640,480:waittimer 124963 blt 0,0,640,480,0, 12780,640,480:waittimer 124983 blt 0,0,640,480,0, 12782,640,480:waittimer 125003 blt 0,0,640,480,0, 12784,640,480:waittimer 125022 blt 0,0,640,480,0, 12786,640,480:waittimer 125042 blt 0,0,640,480,0, 12788,640,480:waittimer 125061 blt 0,0,640,480,0, 12790,640,480:waittimer 125081 blt 0,0,640,480,0, 12792,640,480:waittimer 125100 blt 0,0,640,480,0, 12794,640,480:waittimer 125120 blt 0,0,640,480,0, 12796,640,480:waittimer 125139 blt 0,0,640,480,0, 12798,640,480:waittimer 125159 blt 0,0,640,480,0, 12800,640,480:waittimer 125179 blt 0,0,640,480,0, 12802,640,480:waittimer 125198 blt 0,0,640,480,0, 12804,640,480:waittimer 125218 blt 0,0,640,480,0, 12806,640,480:waittimer 125237 blt 0,0,640,480,0, 12808,640,480:waittimer 125257 blt 0,0,640,480,0, 12810,640,480:waittimer 125276 blt 0,0,640,480,0, 12812,640,480:waittimer 125296 blt 0,0,640,480,0, 12814,640,480:waittimer 125315 blt 0,0,640,480,0, 12816,640,480:waittimer 125335 blt 0,0,640,480,0, 12818,640,480:waittimer 125355 blt 0,0,640,480,0, 12820,640,480:waittimer 125374 blt 0,0,640,480,0, 12822,640,480:waittimer 125394 blt 0,0,640,480,0, 12824,640,480:waittimer 125413 blt 0,0,640,480,0, 12826,640,480:waittimer 125433 blt 0,0,640,480,0, 12828,640,480:waittimer 125452 blt 0,0,640,480,0, 12830,640,480:waittimer 125472 blt 0,0,640,480,0, 12832,640,480:waittimer 125492 blt 0,0,640,480,0, 12834,640,480:waittimer 125511 blt 0,0,640,480,0, 12836,640,480:waittimer 125531 blt 0,0,640,480,0, 12838,640,480:waittimer 125550 blt 0,0,640,480,0, 12840,640,480:waittimer 125570 blt 0,0,640,480,0, 12842,640,480:waittimer 125589 blt 0,0,640,480,0, 12844,640,480:waittimer 125609 blt 0,0,640,480,0, 12846,640,480:waittimer 125628 blt 0,0,640,480,0, 12848,640,480:waittimer 125648 blt 0,0,640,480,0, 12850,640,480:waittimer 125668 blt 0,0,640,480,0, 12852,640,480:waittimer 125687 blt 0,0,640,480,0, 12854,640,480:waittimer 125707 blt 0,0,640,480,0, 12856,640,480:waittimer 125726 blt 0,0,640,480,0, 12858,640,480:waittimer 125746 blt 0,0,640,480,0, 12860,640,480:waittimer 125765 blt 0,0,640,480,0, 12862,640,480:waittimer 125785 blt 0,0,640,480,0, 12864,640,480:waittimer 125804 blt 0,0,640,480,0, 12866,640,480:waittimer 125824 blt 0,0,640,480,0, 12868,640,480:waittimer 125844 blt 0,0,640,480,0, 12870,640,480:waittimer 125863 blt 0,0,640,480,0, 12872,640,480:waittimer 125883 blt 0,0,640,480,0, 12874,640,480:waittimer 125902 blt 0,0,640,480,0, 12876,640,480:waittimer 125922 blt 0,0,640,480,0, 12878,640,480:waittimer 125941 blt 0,0,640,480,0, 12880,640,480:waittimer 125961 blt 0,0,640,480,0, 12882,640,480:waittimer 125980 blt 0,0,640,480,0, 12884,640,480:waittimer 126000 blt 0,0,640,480,0, 12886,640,480:waittimer 126020 blt 0,0,640,480,0, 12888,640,480:waittimer 126039 blt 0,0,640,480,0, 12890,640,480:waittimer 126059 blt 0,0,640,480,0, 12892,640,480:waittimer 126078 blt 0,0,640,480,0, 12894,640,480:waittimer 126098 blt 0,0,640,480,0, 12896,640,480:waittimer 126117 blt 0,0,640,480,0, 12898,640,480:waittimer 126137 blt 0,0,640,480,0, 12900,640,480:waittimer 126157 blt 0,0,640,480,0, 12902,640,480:waittimer 126176 blt 0,0,640,480,0, 12904,640,480:waittimer 126196 blt 0,0,640,480,0, 12906,640,480:waittimer 126215 blt 0,0,640,480,0, 12908,640,480:waittimer 126235 blt 0,0,640,480,0, 12910,640,480:waittimer 126254 blt 0,0,640,480,0, 12912,640,480:waittimer 126274 blt 0,0,640,480,0, 12914,640,480:waittimer 126293 blt 0,0,640,480,0, 12916,640,480:waittimer 126313 blt 0,0,640,480,0, 12918,640,480:waittimer 126333 blt 0,0,640,480,0, 12920,640,480:waittimer 126352 blt 0,0,640,480,0, 12922,640,480:waittimer 126372 blt 0,0,640,480,0, 12924,640,480:waittimer 126391 blt 0,0,640,480,0, 12926,640,480:waittimer 126411 blt 0,0,640,480,0, 12928,640,480:waittimer 126430 blt 0,0,640,480,0, 12930,640,480:waittimer 126450 blt 0,0,640,480,0, 12932,640,480:waittimer 126469 blt 0,0,640,480,0, 12934,640,480:waittimer 126489 blt 0,0,640,480,0, 12936,640,480:waittimer 126509 blt 0,0,640,480,0, 12938,640,480:waittimer 126528 blt 0,0,640,480,0, 12940,640,480:waittimer 126548 blt 0,0,640,480,0, 12942,640,480:waittimer 126567 blt 0,0,640,480,0, 12944,640,480:waittimer 126587 blt 0,0,640,480,0, 12946,640,480:waittimer 126606 blt 0,0,640,480,0, 12948,640,480:waittimer 126626 blt 0,0,640,480,0, 12950,640,480:waittimer 126646 blt 0,0,640,480,0, 12952,640,480:waittimer 126665 blt 0,0,640,480,0, 12954,640,480:waittimer 126685 blt 0,0,640,480,0, 12956,640,480:waittimer 126704 blt 0,0,640,480,0, 12958,640,480:waittimer 126724 blt 0,0,640,480,0, 12960,640,480:waittimer 126743 blt 0,0,640,480,0, 12962,640,480:waittimer 126763 blt 0,0,640,480,0, 12964,640,480:waittimer 126782 blt 0,0,640,480,0, 12966,640,480:waittimer 126802 blt 0,0,640,480,0, 12968,640,480:waittimer 126822 blt 0,0,640,480,0, 12970,640,480:waittimer 126841 blt 0,0,640,480,0, 12972,640,480:waittimer 126861 blt 0,0,640,480,0, 12974,640,480:waittimer 126880 blt 0,0,640,480,0, 12976,640,480:waittimer 126900 blt 0,0,640,480,0, 12978,640,480:waittimer 126919 blt 0,0,640,480,0, 12980,640,480:waittimer 126939 blt 0,0,640,480,0, 12982,640,480:waittimer 126958 blt 0,0,640,480,0, 12984,640,480:waittimer 126978 blt 0,0,640,480,0, 12986,640,480:waittimer 126998 blt 0,0,640,480,0, 12988,640,480:waittimer 127017 blt 0,0,640,480,0, 12990,640,480:waittimer 127037 blt 0,0,640,480,0, 12992,640,480:waittimer 127056 blt 0,0,640,480,0, 12994,640,480:waittimer 127076 blt 0,0,640,480,0, 12996,640,480:waittimer 127095 blt 0,0,640,480,0, 12998,640,480:waittimer 127115 blt 0,0,640,480,0, 13000,640,480:waittimer 127134 blt 0,0,640,480,0, 13002,640,480:waittimer 127154 blt 0,0,640,480,0, 13004,640,480:waittimer 127174 blt 0,0,640,480,0, 13006,640,480:waittimer 127193 blt 0,0,640,480,0, 13008,640,480:waittimer 127213 blt 0,0,640,480,0, 13010,640,480:waittimer 127232 blt 0,0,640,480,0, 13012,640,480:waittimer 127252 blt 0,0,640,480,0, 13014,640,480:waittimer 127271 blt 0,0,640,480,0, 13016,640,480:waittimer 127291 blt 0,0,640,480,0, 13018,640,480:waittimer 127311 blt 0,0,640,480,0, 13020,640,480:waittimer 127330 blt 0,0,640,480,0, 13022,640,480:waittimer 127350 blt 0,0,640,480,0, 13024,640,480:waittimer 127369 blt 0,0,640,480,0, 13026,640,480:waittimer 127389 blt 0,0,640,480,0, 13028,640,480:waittimer 127408 blt 0,0,640,480,0, 13030,640,480:waittimer 127428 blt 0,0,640,480,0, 13032,640,480:waittimer 127447 blt 0,0,640,480,0, 13034,640,480:waittimer 127467 blt 0,0,640,480,0, 13036,640,480:waittimer 127487 blt 0,0,640,480,0, 13038,640,480:waittimer 127506 blt 0,0,640,480,0, 13040,640,480:waittimer 127526 blt 0,0,640,480,0, 13042,640,480:waittimer 127545 blt 0,0,640,480,0, 13044,640,480:waittimer 127565 blt 0,0,640,480,0, 13046,640,480:waittimer 127584 blt 0,0,640,480,0, 13048,640,480:waittimer 127604 blt 0,0,640,480,0, 13050,640,480:waittimer 127623 blt 0,0,640,480,0, 13052,640,480:waittimer 127643 blt 0,0,640,480,0, 13054,640,480:waittimer 127663 blt 0,0,640,480,0, 13056,640,480:waittimer 127682 blt 0,0,640,480,0, 13058,640,480:waittimer 127702 blt 0,0,640,480,0, 13060,640,480:waittimer 127721 blt 0,0,640,480,0, 13062,640,480:waittimer 127741 blt 0,0,640,480,0, 13064,640,480:waittimer 127760 blt 0,0,640,480,0, 13066,640,480:waittimer 127780 blt 0,0,640,480,0, 13068,640,480:waittimer 127800 blt 0,0,640,480,0, 13070,640,480:waittimer 127819 blt 0,0,640,480,0, 13072,640,480:waittimer 127839 blt 0,0,640,480,0, 13074,640,480:waittimer 127858 blt 0,0,640,480,0, 13076,640,480:waittimer 127878 blt 0,0,640,480,0, 13078,640,480:waittimer 127897 blt 0,0,640,480,0, 13080,640,480:waittimer 127917 blt 0,0,640,480,0, 13082,640,480:waittimer 127936 blt 0,0,640,480,0, 13084,640,480:waittimer 127956 blt 0,0,640,480,0, 13086,640,480:waittimer 127976 blt 0,0,640,480,0, 13088,640,480:waittimer 127995 blt 0,0,640,480,0, 13090,640,480:waittimer 128015 blt 0,0,640,480,0, 13092,640,480:waittimer 128034 blt 0,0,640,480,0, 13094,640,480:waittimer 128054 blt 0,0,640,480,0, 13096,640,480:waittimer 128073 blt 0,0,640,480,0, 13098,640,480:waittimer 128093 blt 0,0,640,480,0, 13100,640,480:waittimer 128112 blt 0,0,640,480,0, 13102,640,480:waittimer 128132 blt 0,0,640,480,0, 13104,640,480:waittimer 128152 blt 0,0,640,480,0, 13106,640,480:waittimer 128171 blt 0,0,640,480,0, 13108,640,480:waittimer 128191 blt 0,0,640,480,0, 13110,640,480:waittimer 128210 blt 0,0,640,480,0, 13112,640,480:waittimer 128230 blt 0,0,640,480,0, 13114,640,480:waittimer 128249 blt 0,0,640,480,0, 13116,640,480:waittimer 128269 blt 0,0,640,480,0, 13118,640,480:waittimer 128288 blt 0,0,640,480,0, 13120,640,480:waittimer 128308 blt 0,0,640,480,0, 13122,640,480:waittimer 128328 blt 0,0,640,480,0, 13124,640,480:waittimer 128347 blt 0,0,640,480,0, 13126,640,480:waittimer 128367 blt 0,0,640,480,0, 13128,640,480:waittimer 128386 blt 0,0,640,480,0, 13130,640,480:waittimer 128406 blt 0,0,640,480,0, 13132,640,480:waittimer 128425 blt 0,0,640,480,0, 13134,640,480:waittimer 128445 blt 0,0,640,480,0, 13136,640,480:waittimer 128465 blt 0,0,640,480,0, 13138,640,480:waittimer 128484 blt 0,0,640,480,0, 13140,640,480:waittimer 128504 blt 0,0,640,480,0, 13142,640,480:waittimer 128523 blt 0,0,640,480,0, 13144,640,480:waittimer 128543 blt 0,0,640,480,0, 13146,640,480:waittimer 128562 blt 0,0,640,480,0, 13148,640,480:waittimer 128582 blt 0,0,640,480,0, 13150,640,480:waittimer 128601 blt 0,0,640,480,0, 13152,640,480:waittimer 128621 blt 0,0,640,480,0, 13154,640,480:waittimer 128641 blt 0,0,640,480,0, 13156,640,480:waittimer 128660 blt 0,0,640,480,0, 13158,640,480:waittimer 128680 blt 0,0,640,480,0, 13160,640,480:waittimer 128699 blt 0,0,640,480,0, 13162,640,480:waittimer 128719 blt 0,0,640,480,0, 13164,640,480:waittimer 128738 blt 0,0,640,480,0, 13166,640,480:waittimer 128758 blt 0,0,640,480,0, 13168,640,480:waittimer 128777 blt 0,0,640,480,0, 13170,640,480:waittimer 128797 blt 0,0,640,480,0, 13172,640,480:waittimer 128817 blt 0,0,640,480,0, 13174,640,480:waittimer 128836 blt 0,0,640,480,0, 13176,640,480:waittimer 128856 blt 0,0,640,480,0, 13178,640,480:waittimer 128875 blt 0,0,640,480,0, 13180,640,480:waittimer 128895 blt 0,0,640,480,0, 13182,640,480:waittimer 128914 blt 0,0,640,480,0, 13184,640,480:waittimer 128934 blt 0,0,640,480,0, 13186,640,480:waittimer 128953 blt 0,0,640,480,0, 13188,640,480:waittimer 128973 blt 0,0,640,480,0, 13190,640,480:waittimer 128993 blt 0,0,640,480,0, 13192,640,480:waittimer 129012 blt 0,0,640,480,0, 13194,640,480:waittimer 129032 blt 0,0,640,480,0, 13196,640,480:waittimer 129051 blt 0,0,640,480,0, 13198,640,480:waittimer 129071 blt 0,0,640,480,0, 13200,640,480:waittimer 129090 blt 0,0,640,480,0, 13202,640,480:waittimer 129110 blt 0,0,640,480,0, 13204,640,480:waittimer 129130 blt 0,0,640,480,0, 13206,640,480:waittimer 129149 blt 0,0,640,480,0, 13208,640,480:waittimer 129169 blt 0,0,640,480,0, 13210,640,480:waittimer 129188 blt 0,0,640,480,0, 13212,640,480:waittimer 129208 blt 0,0,640,480,0, 13214,640,480:waittimer 129227 blt 0,0,640,480,0, 13216,640,480:waittimer 129247 blt 0,0,640,480,0, 13218,640,480:waittimer 129266 blt 0,0,640,480,0, 13220,640,480:waittimer 129286 blt 0,0,640,480,0, 13222,640,480:waittimer 129306 blt 0,0,640,480,0, 13224,640,480:waittimer 129325 blt 0,0,640,480,0, 13226,640,480:waittimer 129345 blt 0,0,640,480,0, 13228,640,480:waittimer 129364 blt 0,0,640,480,0, 13230,640,480:waittimer 129384 blt 0,0,640,480,0, 13232,640,480:waittimer 129403 blt 0,0,640,480,0, 13234,640,480:waittimer 129423 blt 0,0,640,480,0, 13236,640,480:waittimer 129442 blt 0,0,640,480,0, 13238,640,480:waittimer 129462 blt 0,0,640,480,0, 13240,640,480:waittimer 129482 blt 0,0,640,480,0, 13242,640,480:waittimer 129501 blt 0,0,640,480,0, 13244,640,480:waittimer 129521 blt 0,0,640,480,0, 13246,640,480:waittimer 129540 blt 0,0,640,480,0, 13248,640,480:waittimer 129560 blt 0,0,640,480,0, 13250,640,480:waittimer 129579 blt 0,0,640,480,0, 13252,640,480:waittimer 129599 blt 0,0,640,480,0, 13254,640,480:waittimer 129619 blt 0,0,640,480,0, 13256,640,480:waittimer 129638 blt 0,0,640,480,0, 13258,640,480:waittimer 129658 blt 0,0,640,480,0, 13260,640,480:waittimer 129677 blt 0,0,640,480,0, 13262,640,480:waittimer 129697 blt 0,0,640,480,0, 13264,640,480:waittimer 129716 blt 0,0,640,480,0, 13266,640,480:waittimer 129736 blt 0,0,640,480,0, 13268,640,480:waittimer 129755 blt 0,0,640,480,0, 13270,640,480:waittimer 129775 blt 0,0,640,480,0, 13272,640,480:waittimer 129795 blt 0,0,640,480,0, 13274,640,480:waittimer 129814 blt 0,0,640,480,0, 13276,640,480:waittimer 129834 blt 0,0,640,480,0, 13278,640,480:waittimer 129853 blt 0,0,640,480,0, 13280,640,480:waittimer 129873 blt 0,0,640,480,0, 13282,640,480:waittimer 129892 blt 0,0,640,480,0, 13284,640,480:waittimer 129912 blt 0,0,640,480,0, 13286,640,480:waittimer 129931 blt 0,0,640,480,0, 13288,640,480:waittimer 129951 blt 0,0,640,480,0, 13290,640,480:waittimer 129971 blt 0,0,640,480,0, 13292,640,480:waittimer 129990 blt 0,0,640,480,0, 13294,640,480:waittimer 130010 blt 0,0,640,480,0, 13296,640,480:waittimer 130029 blt 0,0,640,480,0, 13298,640,480:waittimer 130049 blt 0,0,640,480,0, 13300,640,480:waittimer 130068 blt 0,0,640,480,0, 13302,640,480:waittimer 130088 blt 0,0,640,480,0, 13304,640,480:waittimer 130107 blt 0,0,640,480,0, 13306,640,480:waittimer 130127 blt 0,0,640,480,0, 13308,640,480:waittimer 130147 blt 0,0,640,480,0, 13310,640,480:waittimer 130166 blt 0,0,640,480,0, 13312,640,480:waittimer 130186 blt 0,0,640,480,0, 13314,640,480:waittimer 130205 blt 0,0,640,480,0, 13316,640,480:waittimer 130225 blt 0,0,640,480,0, 13318,640,480:waittimer 130244 blt 0,0,640,480,0, 13320,640,480:waittimer 130264 blt 0,0,640,480,0, 13322,640,480:waittimer 130284 blt 0,0,640,480,0, 13324,640,480:waittimer 130303 blt 0,0,640,480,0, 13326,640,480:waittimer 130323 blt 0,0,640,480,0, 13328,640,480:waittimer 130342 blt 0,0,640,480,0, 13330,640,480:waittimer 130362 blt 0,0,640,480,0, 13332,640,480:waittimer 130381 blt 0,0,640,480,0, 13334,640,480:waittimer 130401 blt 0,0,640,480,0, 13336,640,480:waittimer 130420 blt 0,0,640,480,0, 13338,640,480:waittimer 130440 blt 0,0,640,480,0, 13340,640,480:waittimer 130460 blt 0,0,640,480,0, 13342,640,480:waittimer 130479 blt 0,0,640,480,0, 13344,640,480:waittimer 130499 blt 0,0,640,480,0, 13346,640,480:waittimer 130518 blt 0,0,640,480,0, 13348,640,480:waittimer 130538 blt 0,0,640,480,0, 13350,640,480:waittimer 130557 blt 0,0,640,480,0, 13352,640,480:waittimer 130577 blt 0,0,640,480,0, 13354,640,480:waittimer 130596 blt 0,0,640,480,0, 13356,640,480:waittimer 130616 blt 0,0,640,480,0, 13358,640,480:waittimer 130636 blt 0,0,640,480,0, 13360,640,480:waittimer 130655 blt 0,0,640,480,0, 13362,640,480:waittimer 130675 blt 0,0,640,480,0, 13364,640,480:waittimer 130694 blt 0,0,640,480,0, 13366,640,480:waittimer 130714 blt 0,0,640,480,0, 13368,640,480:waittimer 130733 blt 0,0,640,480,0, 13370,640,480:waittimer 130753 blt 0,0,640,480,0, 13372,640,480:waittimer 130773 blt 0,0,640,480,0, 13374,640,480:waittimer 130792 blt 0,0,640,480,0, 13376,640,480:waittimer 130812 blt 0,0,640,480,0, 13378,640,480:waittimer 130831 blt 0,0,640,480,0, 13380,640,480:waittimer 130851 blt 0,0,640,480,0, 13382,640,480:waittimer 130870 blt 0,0,640,480,0, 13384,640,480:waittimer 130890 blt 0,0,640,480,0, 13386,640,480:waittimer 130909 blt 0,0,640,480,0, 13388,640,480:waittimer 130929 blt 0,0,640,480,0, 13390,640,480:waittimer 130949 blt 0,0,640,480,0, 13392,640,480:waittimer 130968 blt 0,0,640,480,0, 13394,640,480:waittimer 130988 blt 0,0,640,480,0, 13396,640,480:waittimer 131007 blt 0,0,640,480,0, 13398,640,480:waittimer 131027 blt 0,0,640,480,0, 13400,640,480:waittimer 131046 blt 0,0,640,480,0, 13402,640,480:waittimer 131066 blt 0,0,640,480,0, 13404,640,480:waittimer 131085 blt 0,0,640,480,0, 13406,640,480:waittimer 131105 blt 0,0,640,480,0, 13408,640,480:waittimer 131125 blt 0,0,640,480,0, 13410,640,480:waittimer 131144 blt 0,0,640,480,0, 13412,640,480:waittimer 131164 blt 0,0,640,480,0, 13414,640,480:waittimer 131183 blt 0,0,640,480,0, 13416,640,480:waittimer 131203 blt 0,0,640,480,0, 13418,640,480:waittimer 131222 blt 0,0,640,480,0, 13420,640,480:waittimer 131242 blt 0,0,640,480,0, 13422,640,480:waittimer 131261 blt 0,0,640,480,0, 13424,640,480:waittimer 131281 blt 0,0,640,480,0, 13426,640,480:waittimer 131301 blt 0,0,640,480,0, 13428,640,480:waittimer 131320 blt 0,0,640,480,0, 13430,640,480:waittimer 131340 blt 0,0,640,480,0, 13432,640,480:waittimer 131359 blt 0,0,640,480,0, 13434,640,480:waittimer 131379 blt 0,0,640,480,0, 13436,640,480:waittimer 131398 blt 0,0,640,480,0, 13438,640,480:waittimer 131418 blt 0,0,640,480,0, 13440,640,480:waittimer 131438 blt 0,0,640,480,0, 13442,640,480:waittimer 131457 blt 0,0,640,480,0, 13444,640,480:waittimer 131477 blt 0,0,640,480,0, 13446,640,480:waittimer 131496 blt 0,0,640,480,0, 13448,640,480:waittimer 131516 blt 0,0,640,480,0, 13450,640,480:waittimer 131535 blt 0,0,640,480,0, 13452,640,480:waittimer 131555 blt 0,0,640,480,0, 13454,640,480:waittimer 131574 blt 0,0,640,480,0, 13456,640,480:waittimer 131594 blt 0,0,640,480,0, 13458,640,480:waittimer 131614 blt 0,0,640,480,0, 13460,640,480:waittimer 131633 blt 0,0,640,480,0, 13462,640,480:waittimer 131653 blt 0,0,640,480,0, 13464,640,480:waittimer 131672 blt 0,0,640,480,0, 13466,640,480:waittimer 131692 blt 0,0,640,480,0, 13468,640,480:waittimer 131711 blt 0,0,640,480,0, 13470,640,480:waittimer 131731 blt 0,0,640,480,0, 13472,640,480:waittimer 131750 blt 0,0,640,480,0, 13474,640,480:waittimer 131770 blt 0,0,640,480,0, 13476,640,480:waittimer 131790 blt 0,0,640,480,0, 13478,640,480:waittimer 131809 blt 0,0,640,480,0, 13480,640,480:waittimer 131829 blt 0,0,640,480,0, 13482,640,480:waittimer 131848 blt 0,0,640,480,0, 13484,640,480:waittimer 131868 blt 0,0,640,480,0, 13486,640,480:waittimer 131887 blt 0,0,640,480,0, 13488,640,480:waittimer 131907 blt 0,0,640,480,0, 13490,640,480:waittimer 131926 blt 0,0,640,480,0, 13492,640,480:waittimer 131946 blt 0,0,640,480,0, 13494,640,480:waittimer 131966 blt 0,0,640,480,0, 13496,640,480:waittimer 131985 blt 0,0,640,480,0, 13498,640,480:waittimer 132005 blt 0,0,640,480,0, 13500,640,480:waittimer 132024 blt 0,0,640,480,0, 13502,640,480:waittimer 132044 blt 0,0,640,480,0, 13504,640,480:waittimer 132063 blt 0,0,640,480,0, 13506,640,480:waittimer 132083 blt 0,0,640,480,0, 13508,640,480:waittimer 132103 blt 0,0,640,480,0, 13510,640,480:waittimer 132122 blt 0,0,640,480,0, 13512,640,480:waittimer 132142 blt 0,0,640,480,0, 13514,640,480:waittimer 132161 blt 0,0,640,480,0, 13516,640,480:waittimer 132181 blt 0,0,640,480,0, 13518,640,480:waittimer 132200 blt 0,0,640,480,0, 13520,640,480:waittimer 132220 blt 0,0,640,480,0, 13522,640,480:waittimer 132239 blt 0,0,640,480,0, 13524,640,480:waittimer 132259 blt 0,0,640,480,0, 13526,640,480:waittimer 132279 blt 0,0,640,480,0, 13528,640,480:waittimer 132298 blt 0,0,640,480,0, 13530,640,480:waittimer 132318 blt 0,0,640,480,0, 13532,640,480:waittimer 132337 blt 0,0,640,480,0, 13534,640,480:waittimer 132357 blt 0,0,640,480,0, 13536,640,480:waittimer 132376 blt 0,0,640,480,0, 13538,640,480:waittimer 132396 blt 0,0,640,480,0, 13540,640,480:waittimer 132415 blt 0,0,640,480,0, 13542,640,480:waittimer 132435 blt 0,0,640,480,0, 13544,640,480:waittimer 132455 blt 0,0,640,480,0, 13546,640,480:waittimer 132474 blt 0,0,640,480,0, 13548,640,480:waittimer 132494 blt 0,0,640,480,0, 13550,640,480:waittimer 132513 blt 0,0,640,480,0, 13552,640,480:waittimer 132533 blt 0,0,640,480,0, 13554,640,480:waittimer 132552 blt 0,0,640,480,0, 13556,640,480:waittimer 132572 blt 0,0,640,480,0, 13558,640,480:waittimer 132592 blt 0,0,640,480,0, 13560,640,480:waittimer 132611 blt 0,0,640,480,0, 13562,640,480:waittimer 132631 blt 0,0,640,480,0, 13564,640,480:waittimer 132650 blt 0,0,640,480,0, 13566,640,480:waittimer 132670 blt 0,0,640,480,0, 13568,640,480:waittimer 132689 blt 0,0,640,480,0, 13570,640,480:waittimer 132709 blt 0,0,640,480,0, 13572,640,480:waittimer 132728 blt 0,0,640,480,0, 13574,640,480:waittimer 132748 blt 0,0,640,480,0, 13576,640,480:waittimer 132768 blt 0,0,640,480,0, 13578,640,480:waittimer 132787 blt 0,0,640,480,0, 13580,640,480:waittimer 132807 blt 0,0,640,480,0, 13582,640,480:waittimer 132826 blt 0,0,640,480,0, 13584,640,480:waittimer 132846 blt 0,0,640,480,0, 13586,640,480:waittimer 132865 blt 0,0,640,480,0, 13588,640,480:waittimer 132885 blt 0,0,640,480,0, 13590,640,480:waittimer 132904 blt 0,0,640,480,0, 13592,640,480:waittimer 132924 blt 0,0,640,480,0, 13594,640,480:waittimer 132944 blt 0,0,640,480,0, 13596,640,480:waittimer 132963 blt 0,0,640,480,0, 13598,640,480:waittimer 132983 blt 0,0,640,480,0, 13600,640,480:waittimer 133002 blt 0,0,640,480,0, 13602,640,480:waittimer 133022 blt 0,0,640,480,0, 13604,640,480:waittimer 133041 blt 0,0,640,480,0, 13606,640,480:waittimer 133061 blt 0,0,640,480,0, 13608,640,480:waittimer 133080 blt 0,0,640,480,0, 13610,640,480:waittimer 133100 blt 0,0,640,480,0, 13612,640,480:waittimer 133120 blt 0,0,640,480,0, 13614,640,480:waittimer 133139 blt 0,0,640,480,0, 13616,640,480:waittimer 133159 blt 0,0,640,480,0, 13618,640,480:waittimer 133178 blt 0,0,640,480,0, 13620,640,480:waittimer 133198 blt 0,0,640,480,0, 13622,640,480:waittimer 133217 blt 0,0,640,480,0, 13624,640,480:waittimer 133237 blt 0,0,640,480,0, 13626,640,480:waittimer 133257 blt 0,0,640,480,0, 13628,640,480:waittimer 133276 blt 0,0,640,480,0, 13630,640,480:waittimer 133296 blt 0,0,640,480,0, 13632,640,480:waittimer 133315 blt 0,0,640,480,0, 13634,640,480:waittimer 133335 blt 0,0,640,480,0, 13636,640,480:waittimer 133354 blt 0,0,640,480,0, 13638,640,480:waittimer 133374 blt 0,0,640,480,0, 13640,640,480:waittimer 133393 blt 0,0,640,480,0, 13642,640,480:waittimer 133413 blt 0,0,640,480,0, 13644,640,480:waittimer 133433 blt 0,0,640,480,0, 13646,640,480:waittimer 133452 blt 0,0,640,480,0, 13648,640,480:waittimer 133472 blt 0,0,640,480,0, 13650,640,480:waittimer 133491 blt 0,0,640,480,0, 13652,640,480:waittimer 133511 blt 0,0,640,480,0, 13654,640,480:waittimer 133530 blt 0,0,640,480,0, 13656,640,480:waittimer 133550 blt 0,0,640,480,0, 13658,640,480:waittimer 133569 blt 0,0,640,480,0, 13660,640,480:waittimer 133589 blt 0,0,640,480,0, 13662,640,480:waittimer 133609 blt 0,0,640,480,0, 13664,640,480:waittimer 133628 blt 0,0,640,480,0, 13666,640,480:waittimer 133648 blt 0,0,640,480,0, 13668,640,480:waittimer 133667 blt 0,0,640,480,0, 13670,640,480:waittimer 133687 blt 0,0,640,480,0, 13672,640,480:waittimer 133706 blt 0,0,640,480,0, 13674,640,480:waittimer 133726 blt 0,0,640,480,0, 13676,640,480:waittimer 133746 blt 0,0,640,480,0, 13678,640,480:waittimer 133765 blt 0,0,640,480,0, 13680,640,480:waittimer 133785 blt 0,0,640,480,0, 13682,640,480:waittimer 133804 blt 0,0,640,480,0, 13684,640,480:waittimer 133824 blt 0,0,640,480,0, 13686,640,480:waittimer 133843 blt 0,0,640,480,0, 13688,640,480:waittimer 133863 blt 0,0,640,480,0, 13690,640,480:waittimer 133882 blt 0,0,640,480,0, 13692,640,480:waittimer 133902 blt 0,0,640,480,0, 13694,640,480:waittimer 133922 blt 0,0,640,480,0, 13696,640,480:waittimer 133941 blt 0,0,640,480,0, 13698,640,480:waittimer 133961 blt 0,0,640,480,0, 13700,640,480:waittimer 133980 blt 0,0,640,480,0, 13702,640,480:waittimer 134000 blt 0,0,640,480,0, 13704,640,480:waittimer 134019 blt 0,0,640,480,0, 13706,640,480:waittimer 134039 blt 0,0,640,480,0, 13708,640,480:waittimer 134058 blt 0,0,640,480,0, 13710,640,480:waittimer 134078 blt 0,0,640,480,0, 13712,640,480:waittimer 134098 blt 0,0,640,480,0, 13714,640,480:waittimer 134117 blt 0,0,640,480,0, 13716,640,480:waittimer 134137 blt 0,0,640,480,0, 13718,640,480:waittimer 134156 blt 0,0,640,480,0, 13720,640,480:waittimer 134176 blt 0,0,640,480,0, 13722,640,480:waittimer 134195 blt 0,0,640,480,0, 13724,640,480:waittimer 134215 blt 0,0,640,480,0, 13726,640,480:waittimer 134234 blt 0,0,640,480,0, 13728,640,480:waittimer 134254 blt 0,0,640,480,0, 13730,640,480:waittimer 134274 blt 0,0,640,480,0, 13732,640,480:waittimer 134293 blt 0,0,640,480,0, 13734,640,480:waittimer 134313 blt 0,0,640,480,0, 13736,640,480:waittimer 134332 blt 0,0,640,480,0, 13738,640,480:waittimer 134352 blt 0,0,640,480,0, 13740,640,480:waittimer 134371 blt 0,0,640,480,0, 13742,640,480:waittimer 134391 blt 0,0,640,480,0, 13744,640,480:waittimer 134411 blt 0,0,640,480,0, 13746,640,480:waittimer 134430 blt 0,0,640,480,0, 13748,640,480:waittimer 134450 blt 0,0,640,480,0, 13750,640,480:waittimer 134469 blt 0,0,640,480,0, 13752,640,480:waittimer 134489 blt 0,0,640,480,0, 13754,640,480:waittimer 134508 blt 0,0,640,480,0, 13756,640,480:waittimer 134528 blt 0,0,640,480,0, 13758,640,480:waittimer 134547 blt 0,0,640,480,0, 13760,640,480:waittimer 134567 blt 0,0,640,480,0, 13762,640,480:waittimer 134587 blt 0,0,640,480,0, 13764,640,480:waittimer 134606 blt 0,0,640,480,0, 13766,640,480:waittimer 134626 blt 0,0,640,480,0, 13768,640,480:waittimer 134645 blt 0,0,640,480,0, 13770,640,480:waittimer 134665 blt 0,0,640,480,0, 13772,640,480:waittimer 134684 blt 0,0,640,480,0, 13774,640,480:waittimer 134704 blt 0,0,640,480,0, 13776,640,480:waittimer 134723 blt 0,0,640,480,0, 13778,640,480:waittimer 134743 blt 0,0,640,480,0, 13780,640,480:waittimer 134763 blt 0,0,640,480,0, 13782,640,480:waittimer 134782 blt 0,0,640,480,0, 13784,640,480:waittimer 134802 blt 0,0,640,480,0, 13786,640,480:waittimer 134821 blt 0,0,640,480,0, 13788,640,480:waittimer 134841 blt 0,0,640,480,0, 13790,640,480:waittimer 134860 blt 0,0,640,480,0, 13792,640,480:waittimer 134880 blt 0,0,640,480,0, 13794,640,480:waittimer 134900 blt 0,0,640,480,0, 13796,640,480:waittimer 134919 blt 0,0,640,480,0, 13798,640,480:waittimer 134939 blt 0,0,640,480,0, 13800,640,480:waittimer 134958 blt 0,0,640,480,0, 13802,640,480:waittimer 134978 blt 0,0,640,480,0, 13804,640,480:waittimer 134997 blt 0,0,640,480,0, 13806,640,480:waittimer 135017 blt 0,0,640,480,0, 13808,640,480:waittimer 135036 blt 0,0,640,480,0, 13810,640,480:waittimer 135056 blt 0,0,640,480,0, 13812,640,480:waittimer 135076 blt 0,0,640,480,0, 13814,640,480:waittimer 135095 blt 0,0,640,480,0, 13816,640,480:waittimer 135115 blt 0,0,640,480,0, 13818,640,480:waittimer 135134 blt 0,0,640,480,0, 13820,640,480:waittimer 135154 blt 0,0,640,480,0, 13822,640,480:waittimer 135173 blt 0,0,640,480,0, 13824,640,480:waittimer 135193 blt 0,0,640,480,0, 13826,640,480:waittimer 135212 blt 0,0,640,480,0, 13828,640,480:waittimer 135232 blt 0,0,640,480,0, 13830,640,480:waittimer 135252 blt 0,0,640,480,0, 13832,640,480:waittimer 135271 blt 0,0,640,480,0, 13834,640,480:waittimer 135291 blt 0,0,640,480,0, 13836,640,480:waittimer 135310 blt 0,0,640,480,0, 13838,640,480:waittimer 135330 blt 0,0,640,480,0, 13840,640,480:waittimer 135349 blt 0,0,640,480,0, 13842,640,480:waittimer 135369 blt 0,0,640,480,0, 13844,640,480:waittimer 135388 blt 0,0,640,480,0, 13846,640,480:waittimer 135408 blt 0,0,640,480,0, 13848,640,480:waittimer 135428 blt 0,0,640,480,0, 13850,640,480:waittimer 135447 blt 0,0,640,480,0, 13852,640,480:waittimer 135467 blt 0,0,640,480,0, 13854,640,480:waittimer 135486 blt 0,0,640,480,0, 13856,640,480:waittimer 135506 blt 0,0,640,480,0, 13858,640,480:waittimer 135525 blt 0,0,640,480,0, 13860,640,480:waittimer 135545 blt 0,0,640,480,0, 13862,640,480:waittimer 135565 blt 0,0,640,480,0, 13864,640,480:waittimer 135584 blt 0,0,640,480,0, 13866,640,480:waittimer 135604 blt 0,0,640,480,0, 13868,640,480:waittimer 135623 blt 0,0,640,480,0, 13870,640,480:waittimer 135643 blt 0,0,640,480,0, 13872,640,480:waittimer 135662 blt 0,0,640,480,0, 13874,640,480:waittimer 135682 blt 0,0,640,480,0, 13876,640,480:waittimer 135701 blt 0,0,640,480,0, 13878,640,480:waittimer 135721 blt 0,0,640,480,0, 13880,640,480:waittimer 135741 blt 0,0,640,480,0, 13882,640,480:waittimer 135760 blt 0,0,640,480,0, 13884,640,480:waittimer 135780 blt 0,0,640,480,0, 13886,640,480:waittimer 135799 blt 0,0,640,480,0, 13888,640,480:waittimer 135819 blt 0,0,640,480,0, 13890,640,480:waittimer 135838 blt 0,0,640,480,0, 13892,640,480:waittimer 135858 blt 0,0,640,480,0, 13894,640,480:waittimer 135877 blt 0,0,640,480,0, 13896,640,480:waittimer 135897 blt 0,0,640,480,0, 13898,640,480:waittimer 135917 blt 0,0,640,480,0, 13900,640,480:waittimer 135936 blt 0,0,640,480,0, 13902,640,480:waittimer 135956 blt 0,0,640,480,0, 13904,640,480:waittimer 135975 blt 0,0,640,480,0, 13906,640,480:waittimer 135995 blt 0,0,640,480,0, 13908,640,480:waittimer 136014 blt 0,0,640,480,0, 13910,640,480:waittimer 136034 blt 0,0,640,480,0, 13912,640,480:waittimer 136053 blt 0,0,640,480,0, 13914,640,480:waittimer 136073 blt 0,0,640,480,0, 13916,640,480:waittimer 136093 blt 0,0,640,480,0, 13918,640,480:waittimer 136112 blt 0,0,640,480,0, 13920,640,480:waittimer 136132 blt 0,0,640,480,0, 13922,640,480:waittimer 136151 blt 0,0,640,480,0, 13924,640,480:waittimer 136171 blt 0,0,640,480,0, 13926,640,480:waittimer 136190 blt 0,0,640,480,0, 13928,640,480:waittimer 136210 blt 0,0,640,480,0, 13930,640,480:waittimer 136230 blt 0,0,640,480,0, 13932,640,480:waittimer 136249 blt 0,0,640,480,0, 13934,640,480:waittimer 136269 blt 0,0,640,480,0, 13936,640,480:waittimer 136288 blt 0,0,640,480,0, 13938,640,480:waittimer 136308 blt 0,0,640,480,0, 13940,640,480:waittimer 136327 blt 0,0,640,480,0, 13942,640,480:waittimer 136347 blt 0,0,640,480,0, 13944,640,480:waittimer 136366 blt 0,0,640,480,0, 13946,640,480:waittimer 136386 blt 0,0,640,480,0, 13948,640,480:waittimer 136406 blt 0,0,640,480,0, 13950,640,480:waittimer 136425 blt 0,0,640,480,0, 13952,640,480:waittimer 136445 blt 0,0,640,480,0, 13954,640,480:waittimer 136464 blt 0,0,640,480,0, 13956,640,480:waittimer 136484 blt 0,0,640,480,0, 13958,640,480:waittimer 136503 blt 0,0,640,480,0, 13960,640,480:waittimer 136523 blt 0,0,640,480,0, 13962,640,480:waittimer 136542 blt 0,0,640,480,0, 13964,640,480:waittimer 136562 blt 0,0,640,480,0, 13966,640,480:waittimer 136582 blt 0,0,640,480,0, 13968,640,480:waittimer 136601 blt 0,0,640,480,0, 13970,640,480:waittimer 136621 blt 0,0,640,480,0, 13972,640,480:waittimer 136640 blt 0,0,640,480,0, 13974,640,480:waittimer 136660 blt 0,0,640,480,0, 13976,640,480:waittimer 136679 blt 0,0,640,480,0, 13978,640,480:waittimer 136699 blt 0,0,640,480,0, 13980,640,480:waittimer 136719 blt 0,0,640,480,0, 13982,640,480:waittimer 136738 blt 0,0,640,480,0, 13984,640,480:waittimer 136758 blt 0,0,640,480,0, 13986,640,480:waittimer 136777 blt 0,0,640,480,0, 13988,640,480:waittimer 136797 blt 0,0,640,480,0, 13990,640,480:waittimer 136816 blt 0,0,640,480,0, 13992,640,480:waittimer 136836 blt 0,0,640,480,0, 13994,640,480:waittimer 136855 blt 0,0,640,480,0, 13996,640,480:waittimer 136875 blt 0,0,640,480,0, 13998,640,480:waittimer 136895 blt 0,0,640,480,0, 14000,640,480:waittimer 136914 blt 0,0,640,480,0, 14002,640,480:waittimer 136934 blt 0,0,640,480,0, 14004,640,480:waittimer 136953 blt 0,0,640,480,0, 14006,640,480:waittimer 136973 blt 0,0,640,480,0, 14008,640,480:waittimer 136992 blt 0,0,640,480,0, 14010,640,480:waittimer 137012 blt 0,0,640,480,0, 14012,640,480:waittimer 137031 blt 0,0,640,480,0, 14014,640,480:waittimer 137051 blt 0,0,640,480,0, 14016,640,480:waittimer 137071 blt 0,0,640,480,0, 14018,640,480:waittimer 137090 blt 0,0,640,480,0, 14020,640,480:waittimer 137110 blt 0,0,640,480,0, 14022,640,480:waittimer 137129 blt 0,0,640,480,0, 14024,640,480:waittimer 137149 blt 0,0,640,480,0, 14026,640,480:waittimer 137168 blt 0,0,640,480,0, 14028,640,480:waittimer 137188 blt 0,0,640,480,0, 14030,640,480:waittimer 137207 blt 0,0,640,480,0, 14032,640,480:waittimer 137227 blt 0,0,640,480,0, 14034,640,480:waittimer 137247 blt 0,0,640,480,0, 14036,640,480:waittimer 137266 blt 0,0,640,480,0, 14038,640,480:waittimer 137286 blt 0,0,640,480,0, 14040,640,480:waittimer 137305 blt 0,0,640,480,0, 14042,640,480:waittimer 137325 blt 0,0,640,480,0, 14044,640,480:waittimer 137344 blt 0,0,640,480,0, 14046,640,480:waittimer 137364 blt 0,0,640,480,0, 14048,640,480:waittimer 137384 blt 0,0,640,480,0, 14050,640,480:waittimer 137403 blt 0,0,640,480,0, 14052,640,480:waittimer 137423 blt 0,0,640,480,0, 14054,640,480:waittimer 137442 blt 0,0,640,480,0, 14056,640,480:waittimer 137462 blt 0,0,640,480,0, 14058,640,480:waittimer 137481 blt 0,0,640,480,0, 14060,640,480:waittimer 137501 blt 0,0,640,480,0, 14062,640,480:waittimer 137520 blt 0,0,640,480,0, 14064,640,480:waittimer 137540 blt 0,0,640,480,0, 14066,640,480:waittimer 137560 blt 0,0,640,480,0, 14068,640,480:waittimer 137579 blt 0,0,640,480,0, 14070,640,480:waittimer 137599 blt 0,0,640,480,0, 14072,640,480:waittimer 137618 blt 0,0,640,480,0, 14074,640,480:waittimer 137638 blt 0,0,640,480,0, 14076,640,480:waittimer 137657 blt 0,0,640,480,0, 14078,640,480:waittimer 137677 blt 0,0,640,480,0, 14080,640,480:waittimer 137696 blt 0,0,640,480,0, 14082,640,480:waittimer 137716 blt 0,0,640,480,0, 14084,640,480:waittimer 137736 blt 0,0,640,480,0, 14086,640,480:waittimer 137755 blt 0,0,640,480,0, 14088,640,480:waittimer 137775 blt 0,0,640,480,0, 14090,640,480:waittimer 137794 blt 0,0,640,480,0, 14092,640,480:waittimer 137814 blt 0,0,640,480,0, 14094,640,480:waittimer 137833 blt 0,0,640,480,0, 14096,640,480:waittimer 137853 blt 0,0,640,480,0, 14098,640,480:waittimer 137873 blt 0,0,640,480,0, 14100,640,480:waittimer 137892 blt 0,0,640,480,0, 14102,640,480:waittimer 137912 blt 0,0,640,480,0, 14104,640,480:waittimer 137931 blt 0,0,640,480,0, 14106,640,480:waittimer 137951 blt 0,0,640,480,0, 14108,640,480:waittimer 137970 blt 0,0,640,480,0, 14110,640,480:waittimer 137990 blt 0,0,640,480,0, 14112,640,480:waittimer 138009 blt 0,0,640,480,0, 14114,640,480:waittimer 138029 blt 0,0,640,480,0, 14116,640,480:waittimer 138049 blt 0,0,640,480,0, 14118,640,480:waittimer 138068 blt 0,0,640,480,0, 14120,640,480:waittimer 138088 blt 0,0,640,480,0, 14122,640,480:waittimer 138107 blt 0,0,640,480,0, 14124,640,480:waittimer 138127 blt 0,0,640,480,0, 14126,640,480:waittimer 138146 blt 0,0,640,480,0, 14128,640,480:waittimer 138166 blt 0,0,640,480,0, 14130,640,480:waittimer 138185 blt 0,0,640,480,0, 14132,640,480:waittimer 138205 blt 0,0,640,480,0, 14134,640,480:waittimer 138225 blt 0,0,640,480,0, 14136,640,480:waittimer 138244 blt 0,0,640,480,0, 14138,640,480:waittimer 138264 blt 0,0,640,480,0, 14140,640,480:waittimer 138283 blt 0,0,640,480,0, 14142,640,480:waittimer 138303 blt 0,0,640,480,0, 14144,640,480:waittimer 138322 blt 0,0,640,480,0, 14146,640,480:waittimer 138342 blt 0,0,640,480,0, 14148,640,480:waittimer 138361 blt 0,0,640,480,0, 14150,640,480:waittimer 138381 blt 0,0,640,480,0, 14152,640,480:waittimer 138401 blt 0,0,640,480,0, 14154,640,480:waittimer 138420 blt 0,0,640,480,0, 14156,640,480:waittimer 138440 blt 0,0,640,480,0, 14158,640,480:waittimer 138459 blt 0,0,640,480,0, 14160,640,480:waittimer 138479 blt 0,0,640,480,0, 14162,640,480:waittimer 138498 blt 0,0,640,480,0, 14164,640,480:waittimer 138518 blt 0,0,640,480,0, 14166,640,480:waittimer 138538 blt 0,0,640,480,0, 14168,640,480:waittimer 138557 blt 0,0,640,480,0, 14170,640,480:waittimer 138577 blt 0,0,640,480,0, 14172,640,480:waittimer 138596 blt 0,0,640,480,0, 14174,640,480:waittimer 138616 blt 0,0,640,480,0, 14176,640,480:waittimer 138635 blt 0,0,640,480,0, 14178,640,480:waittimer 138655 blt 0,0,640,480,0, 14180,640,480:waittimer 138674 blt 0,0,640,480,0, 14182,640,480:waittimer 138694 blt 0,0,640,480,0, 14184,640,480:waittimer 138714 blt 0,0,640,480,0, 14186,640,480:waittimer 138733 blt 0,0,640,480,0, 14188,640,480:waittimer 138753 blt 0,0,640,480,0, 14190,640,480:waittimer 138772 blt 0,0,640,480,0, 14192,640,480:waittimer 138792 blt 0,0,640,480,0, 14194,640,480:waittimer 138811 blt 0,0,640,480,0, 14196,640,480:waittimer 138831 blt 0,0,640,480,0, 14198,640,480:waittimer 138850 blt 0,0,640,480,0, 14200,640,480:waittimer 138870 blt 0,0,640,480,0, 14202,640,480:waittimer 138890 blt 0,0,640,480,0, 14204,640,480:waittimer 138909 blt 0,0,640,480,0, 14206,640,480:waittimer 138929 blt 0,0,640,480,0, 14208,640,480:waittimer 138948 blt 0,0,640,480,0, 14210,640,480:waittimer 138968 blt 0,0,640,480,0, 14212,640,480:waittimer 138987 blt 0,0,640,480,0, 14214,640,480:waittimer 139007 blt 0,0,640,480,0, 14216,640,480:waittimer 139026 blt 0,0,640,480,0, 14218,640,480:waittimer 139046 blt 0,0,640,480,0, 14220,640,480:waittimer 139066 blt 0,0,640,480,0, 14222,640,480:waittimer 139085 blt 0,0,640,480,0, 14224,640,480:waittimer 139105 blt 0,0,640,480,0, 14226,640,480:waittimer 139124 blt 0,0,640,480,0, 14228,640,480:waittimer 139144 blt 0,0,640,480,0, 14230,640,480:waittimer 139163 blt 0,0,640,480,0, 14232,640,480:waittimer 139183 blt 0,0,640,480,0, 14234,640,480:waittimer 139203 blt 0,0,640,480,0, 14236,640,480:waittimer 139222 blt 0,0,640,480,0, 14238,640,480:waittimer 139242 blt 0,0,640,480,0, 14240,640,480:waittimer 139261 blt 0,0,640,480,0, 14242,640,480:waittimer 139281 blt 0,0,640,480,0, 14244,640,480:waittimer 139300 blt 0,0,640,480,0, 14246,640,480:waittimer 139320 blt 0,0,640,480,0, 14248,640,480:waittimer 139339 blt 0,0,640,480,0, 14250,640,480:waittimer 139359 blt 0,0,640,480,0, 14252,640,480:waittimer 139379 blt 0,0,640,480,0, 14254,640,480:waittimer 139398 blt 0,0,640,480,0, 14256,640,480:waittimer 139418 blt 0,0,640,480,0, 14258,640,480:waittimer 139437 blt 0,0,640,480,0, 14260,640,480:waittimer 139457 blt 0,0,640,480,0, 14262,640,480:waittimer 139476 blt 0,0,640,480,0, 14264,640,480:waittimer 139496 blt 0,0,640,480,0, 14266,640,480:waittimer 139515 blt 0,0,640,480,0, 14268,640,480:waittimer 139535 blt 0,0,640,480,0, 14270,640,480:waittimer 139555 blt 0,0,640,480,0, 14272,640,480:waittimer 139574 blt 0,0,640,480,0, 14274,640,480:waittimer 139594 blt 0,0,640,480,0, 14276,640,480:waittimer 139613 blt 0,0,640,480,0, 14278,640,480:waittimer 139633 blt 0,0,640,480,0, 14280,640,480:waittimer 139652 blt 0,0,640,480,0, 14282,640,480:waittimer 139672 blt 0,0,640,480,0, 14284,640,480:waittimer 139692 blt 0,0,640,480,0, 14286,640,480:waittimer 139711 blt 0,0,640,480,0, 14288,640,480:waittimer 139731 blt 0,0,640,480,0, 14290,640,480:waittimer 139750 blt 0,0,640,480,0, 14292,640,480:waittimer 139770 blt 0,0,640,480,0, 14294,640,480:waittimer 139789 blt 0,0,640,480,0, 14296,640,480:waittimer 139809 blt 0,0,640,480,0, 14298,640,480:waittimer 139828 blt 0,0,640,480,0, 14300,640,480:waittimer 139848 blt 0,0,640,480,0, 14302,640,480:waittimer 139868 blt 0,0,640,480,0, 14304,640,480:waittimer 139887 blt 0,0,640,480,0, 14306,640,480:waittimer 139907 blt 0,0,640,480,0, 14308,640,480:waittimer 139926 blt 0,0,640,480,0, 14310,640,480:waittimer 139946 blt 0,0,640,480,0, 14312,640,480:waittimer 139965 blt 0,0,640,480,0, 14314,640,480:waittimer 139985 blt 0,0,640,480,0, 14316,640,480:waittimer 140004 blt 0,0,640,480,0, 14318,640,480:waittimer 140024 blt 0,0,640,480,0, 14320,640,480:waittimer 140044 blt 0,0,640,480,0, 14322,640,480:waittimer 140063 blt 0,0,640,480,0, 14324,640,480:waittimer 140083 blt 0,0,640,480,0, 14326,640,480:waittimer 140102 blt 0,0,640,480,0, 14328,640,480:waittimer 140122 blt 0,0,640,480,0, 14330,640,480:waittimer 140141 blt 0,0,640,480,0, 14332,640,480:waittimer 140161 blt 0,0,640,480,0, 14334,640,480:waittimer 140180 blt 0,0,640,480,0, 14336,640,480:waittimer 140200 blt 0,0,640,480,0, 14338,640,480:waittimer 140220 blt 0,0,640,480,0, 14340,640,480:waittimer 140239 blt 0,0,640,480,0, 14342,640,480:waittimer 140259 blt 0,0,640,480,0, 14344,640,480:waittimer 140278 blt 0,0,640,480,0, 14346,640,480:waittimer 140298 blt 0,0,640,480,0, 14348,640,480:waittimer 140317 blt 0,0,640,480,0, 14350,640,480:waittimer 140337 blt 0,0,640,480,0, 14352,640,480:waittimer 140357 blt 0,0,640,480,0, 14354,640,480:waittimer 140376 blt 0,0,640,480,0, 14356,640,480:waittimer 140396 blt 0,0,640,480,0, 14358,640,480:waittimer 140415 blt 0,0,640,480,0, 14360,640,480:waittimer 140435 blt 0,0,640,480,0, 14362,640,480:waittimer 140454 blt 0,0,640,480,0, 14364,640,480:waittimer 140474 blt 0,0,640,480,0, 14366,640,480:waittimer 140493 blt 0,0,640,480,0, 14368,640,480:waittimer 140513 blt 0,0,640,480,0, 14370,640,480:waittimer 140533 blt 0,0,640,480,0, 14372,640,480:waittimer 140552 blt 0,0,640,480,0, 14374,640,480:waittimer 140572 blt 0,0,640,480,0, 14376,640,480:waittimer 140591 blt 0,0,640,480,0, 14378,640,480:waittimer 140611 blt 0,0,640,480,0, 14380,640,480:waittimer 140630 blt 0,0,640,480,0, 14382,640,480:waittimer 140650 blt 0,0,640,480,0, 14384,640,480:waittimer 140669 blt 0,0,640,480,0, 14386,640,480:waittimer 140689 blt 0,0,640,480,0, 14388,640,480:waittimer 140709 blt 0,0,640,480,0, 14390,640,480:waittimer 140728 blt 0,0,640,480,0, 14392,640,480:waittimer 140748 blt 0,0,640,480,0, 14394,640,480:waittimer 140767 blt 0,0,640,480,0, 14396,640,480:waittimer 140787 blt 0,0,640,480,0, 14398,640,480:waittimer 140806 blt 0,0,640,480,0, 14400,640,480:waittimer 140826 blt 0,0,640,480,0, 14402,640,480:waittimer 140846 blt 0,0,640,480,0, 14404,640,480:waittimer 140865 blt 0,0,640,480,0, 14406,640,480:waittimer 140885 blt 0,0,640,480,0, 14408,640,480:waittimer 140904 blt 0,0,640,480,0, 14410,640,480:waittimer 140924 blt 0,0,640,480,0, 14412,640,480:waittimer 140943 blt 0,0,640,480,0, 14414,640,480:waittimer 140963 blt 0,0,640,480,0, 14416,640,480:waittimer 140982 blt 0,0,640,480,0, 14418,640,480:waittimer 141002 blt 0,0,640,480,0, 14420,640,480:waittimer 141022 blt 0,0,640,480,0, 14422,640,480:waittimer 141041 blt 0,0,640,480,0, 14424,640,480:waittimer 141061 blt 0,0,640,480,0, 14426,640,480:waittimer 141080 blt 0,0,640,480,0, 14428,640,480:waittimer 141100 blt 0,0,640,480,0, 14430,640,480:waittimer 141119 blt 0,0,640,480,0, 14432,640,480:waittimer 141139 blt 0,0,640,480,0, 14434,640,480:waittimer 141158 blt 0,0,640,480,0, 14436,640,480:waittimer 141178 blt 0,0,640,480,0, 14438,640,480:waittimer 141198 blt 0,0,640,480,0, 14440,640,480:waittimer 141217 blt 0,0,640,480,0, 14442,640,480:waittimer 141237 blt 0,0,640,480,0, 14444,640,480:waittimer 141256 blt 0,0,640,480,0, 14446,640,480:waittimer 141276 blt 0,0,640,480,0, 14448,640,480:waittimer 141295 blt 0,0,640,480,0, 14450,640,480:waittimer 141315 blt 0,0,640,480,0, 14452,640,480:waittimer 141334 blt 0,0,640,480,0, 14454,640,480:waittimer 141354 blt 0,0,640,480,0, 14456,640,480:waittimer 141374 blt 0,0,640,480,0, 14458,640,480:waittimer 141393 blt 0,0,640,480,0, 14460,640,480:waittimer 141413 blt 0,0,640,480,0, 14462,640,480:waittimer 141432 blt 0,0,640,480,0, 14464,640,480:waittimer 141452 blt 0,0,640,480,0, 14466,640,480:waittimer 141471 blt 0,0,640,480,0, 14468,640,480:waittimer 141491 blt 0,0,640,480,0, 14470,640,480:waittimer 141511 blt 0,0,640,480,0, 14472,640,480:waittimer 141530 blt 0,0,640,480,0, 14474,640,480:waittimer 141550 blt 0,0,640,480,0, 14476,640,480:waittimer 141569 blt 0,0,640,480,0, 14478,640,480:waittimer 141589 blt 0,0,640,480,0, 14480,640,480:waittimer 141608 blt 0,0,640,480,0, 14482,640,480:waittimer 141628 blt 0,0,640,480,0, 14484,640,480:waittimer 141647 blt 0,0,640,480,0, 14486,640,480:waittimer 141667 blt 0,0,640,480,0, 14488,640,480:waittimer 141687 blt 0,0,640,480,0, 14490,640,480:waittimer 141706 blt 0,0,640,480,0, 14492,640,480:waittimer 141726 blt 0,0,640,480,0, 14494,640,480:waittimer 141745 blt 0,0,640,480,0, 14496,640,480:waittimer 141765 blt 0,0,640,480,0, 14498,640,480:waittimer 141784 blt 0,0,640,480,0, 14500,640,480:waittimer 141804 blt 0,0,640,480,0, 14502,640,480:waittimer 141823 blt 0,0,640,480,0, 14504,640,480:waittimer 141843 blt 0,0,640,480,0, 14506,640,480:waittimer 141863 blt 0,0,640,480,0, 14508,640,480:waittimer 141882 blt 0,0,640,480,0, 14510,640,480:waittimer 141902 blt 0,0,640,480,0, 14512,640,480:waittimer 141921 blt 0,0,640,480,0, 14514,640,480:waittimer 141941 blt 0,0,640,480,0, 14516,640,480:waittimer 141960 blt 0,0,640,480,0, 14518,640,480:waittimer 141980 blt 0,0,640,480,0, 14520,640,480:waittimer 142000 saveon return ;************************************************* ; 2007年6月4日 BT作成 ; 時計用プログラム ;************************************************* *clock ;元の時間と現在の時間を入力 ;引数(進む前の時間、進んだ後の時間、進む速さ(1処理に何度進むか入力)、順送りかどうかのフラグ,座標,拡大率) getparam %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai if %clock_reverse < 10 goto *clock_iti_test_skip sub %clock_reverse,10 ;時計の座標を手入力するモード *clock_iti_test ;$tmpの内容を残す。 mov %clock_iti_flg,1 input $tmp,"中心X座標,Y座標,拡大率",$tmp,20,0 split $tmp,",",%clock_x,%clock_y,%clock_kakudai ;時計のテスト表示 lsp2 clock_lsp,clock,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,0 ;時計盤 lsp2 clock_m_lsp,clock_m,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_m ;長針(分) lsp2 clock_h_lsp,clock_h,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_h ;短針(時) lsp2 clock_c_lsp,clock_c,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,0 lsp2 10,":a;bmp\efe\Cinema_logo2.BMP",470,410,100,100,0 print 1 click この座標で処理を進めますか? select "はい",*clock_iti_test_skip,"いいえ",*clock_iti_test *clock_iti_test_skip ;if %debagu = 1 return ;初期化 mov %clock_tmp_h,-1 mov %clock_tmp_m,-1 ;処理上、0時は24時にする。 ;if %clock_h = 0 mov %clock_h,24 ;スピードは5段階くらいで調整(1は1分、2は2分、3は3分、4は4分~10分まで作る)で処理 ;mov %clock_speed,1 ;(1分1秒) ;mov %clock_reverse,1 ;時計の座標と拡大率 ;mov %clock_x,320 ;mov %clock_y,240 ;mov %clock_kakudai,100 ;時、分をそれぞれ角度に計算しなおす。 mov %tmp_m,%clock_moto_m : mul %tmp_m,-6 mov %tmp_h,%clock_moto_h : mul %tmp_h,-30 ;分数により長針の角度修正 mov %tmp1,%tmp_m : div %tmp1,12 add %tmp_h,%tmp1 lsp2 clock_lsp,clock,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,0 ;時計盤 lsp2 clock_m_lsp,clock_m,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_m ;長針(分) lsp2 clock_h_lsp,clock_h,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_h ;短針(時) lsp2 clock_c_lsp,clock_c,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,0 print 2 wait 1000 ;順送りで日をまたぐ場合は、一度0時で止める notif %clock_reverse = 0 goto *clock500 ;%clock_moto_h < %clock_h (日をまたいでいるので24時00を仮代入して処理を行う) notif %clock_moto_h > %clock_h jumpf mov %clock_tmp_h,%clock_h mov %clock_tmp_m,%clock_m mov %clock_h,24 mov %clock_m,0 ~ ;48時間表記で、23時から24時に跨ぐ時 if %clock_moto_h >= 24 jumpf if %clock_h < 24 jumpf mov %clock_tmp_h,%clock_h mov %clock_tmp_m,%clock_m mov %clock_h,24 mov %clock_m,0 ~ *clock500 ;現在の時、分まで時間を進める(1分単位で動かしてみる) ;まず何分動かすかを計算する ;分数の差を計算する(順送り) *clock_hariwo_susumeru notif %clock_reverse =0 goto *clock1000 notif %clock_h >= %clock_moto_h jumpf mov %tmp_sub,%clock_h sub %tmp_sub,%clock_moto_h mul %tmp_sub,60 add %tmp_sub,%clock_m : sub %tmp_sub,%clock_moto_m ~ notif %clock_h < %clock_moto_h jumpf mov %tmp_sub,%clock_h add %tmp_sub,24 sub %tmp_sub,%clock_moto_h mul %tmp_sub,60 add %tmp_sub,%clock_m : sub %tmp_sub,%clock_moto_m ~ *clock1000 ;分数の差を計算する(逆送り) notif %clock_reverse =1 goto *clock2000 notif %clock_moto_h >= %clock_h jumpf mov %tmp_sub,%clock_moto_h sub %tmp_sub,%clock_h mul %tmp_sub,60 add %tmp_sub,%clock_moto_m : sub %tmp_sub,%clock_m ~ notif %clock_moto_h < %clock_h jumpf mov %tmp_sub,%clock_moto_h add %tmp_sub,24 sub %tmp_sub,%clock_h mul %tmp_sub,60 add %tmp_sub,%clock_moto_m : sub %tmp_sub,%clock_m ~ *clock2000 ;分数の差が出たら、その差の分だけ分を進める処理を実行する。 mov %tmp6,%tmp_sub mod %tmp6,%clock_speed ;あまりの数を出す。4で割り切れない分のあまりは分で動かす div %tmp_sub,%clock_speed mov %tmp7,0 ;1分=6度 角度を進める、時間は5/10度進む mov %clock_kakudo_m,6 : mul %clock_kakudo_m,%clock_speed ;針が進むME(順送りと、巻き戻しで音を変える、針が進まない場合は音を鳴らさない) if %tmp_sub = 0 jumpf if %clock_reverse = 0 meplay 5,1050 if %clock_reverse = 1 meplay 5,1051 ~ for %tmp5 = 1 to %tmp_sub resettimer mov %clock_kakudo_h,5 : mul %clock_kakudo_h,%clock_speed add %clock_kakudo_h,%tmp7 mov %tmp7,%clock_kakudo_h : mod %tmp7,10 div %clock_kakudo_h,10 notif %clock_reverse =0 jumpf sub %tmp_h,%clock_kakudo_h sub %tmp_m,%clock_kakudo_m ~ notif %clock_reverse =1 jumpf ;if %tmp6 = 0 add %tmp_h,%clock_kakudo_h add %tmp_m,%clock_kakudo_m ~ amsp2 clock_m_lsp,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_m amsp2 clock_h_lsp,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_h print 1 ;1回の処理に0.1秒を想定 waittimer 50 next ;あまった分数の処理 notif %clock_reverse =0 jumpf mov %tmp7,%tmp6 mul %tmp6,6 div %tmp7,2 sub %tmp_h,%tmp7 sub %tmp_m,%tmp6 ~ notif %clock_reverse =1 jumpf mov %tmp7,%tmp6 mul %tmp6,6 div %tmp7,2 add %tmp_h,%tmp7 add %tmp_m,%tmp6 ~ amsp2 clock_m_lsp,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_m amsp2 clock_h_lsp,%clock_x,%clock_y,%clock_kakudai,%clock_kakudai,%tmp_h print 1 ;24時を跨いだ時の処理、%clock_tmp_h が-1でないのなら日を跨いでいるので日を跨ぐ処理を行う。 notif %clock_tmp_h >= 0 goto *clock5000 wait 300 ;針の音を止めて、鐘の音を鳴らす。 e_ 2,5 meplay 3,1052 wait 3000 ;%clock_tmp_hに仮代入していた時間を入れて、元時間には0時00分を入れて時計を進める処理を行う。 ;(進める時間が24時00分の場合は処理を停止して抜ける) if %clock_tmp_h = 24 && %clock_tmp_m = 0 goto *clock5000 mov %clock_h,%clock_tmp_h mov %clock_m,%clock_tmp_m mov %clock_moto_h,24 : mov %clock_moto_m,0 mov %clock_tmp_h,-1 : mov %clock_tmp_m,-1 goto *clock_hariwo_susumeru *clock5000 e_ 2,4 e_ 2,5 return ;************************************************* ;********************************************************* ;アイキャッチ ;アイキャッチ方式が三パターン ;<*eye,*eye1>は左右から表示、その後ロゴ ;********************************************************* *eye return *eye1 getparam %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai gosub *rain_off ;雨を停止する命令を入れる skipoff textoff ;テキストウィンドウ消去(エフェクトはデフォルト) if %intro_prog_flg = off jumpf ;スプライトプログラムが動いているかの確認 mov %intro_prog_flg,off ;スプライトプログラムのフラグをオフ layermessage 10,"clear/10" ;スプライトプログラムのスプライト消去 layermessage 10,"program_end/10" ;スプライトプログラムのプログラム停止 csp 10 ~ lsp 101,":c;bmp\r_click\black.bmp",0,0,150 print 1 ;ワレエフェクトと共に黒フェード lsp 100,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1022 print 1 wait 1000 ;ロゴの表示(左から右) lsp2 10,":a;bmp\efe\Cinema_logo.BMP",470,410,100,100,0 print 5 ;時計の表示 clock %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai gosub *eye_btn_exit csp -1 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 !sd texton print 2 notif %clock_iti_flg = 1 jumpf $tmp ~ mov %clock_iti_flg,0 gosub *DATA_SET return ;--------------------------------------- *eye2 getparam %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai gosub *rain_off ;雨を停止する命令を入れる ;華模様のアイキャッチを上下に表示する。 ;時計を表示して回す。 ;clock 7,10,6,13,10,0,320,240,50 ;delay 5000 lsp 100,":a;bmp\efe\hana_u.bmp",0,0 lsp 99,":a;bmp\efe\hana_d.bmp",0,364 ;lsp 700,":c;bmp\efe\cinema.bmp",0,430 print 7 lsp2 10,":a;bmp\efe\Cinema_logo2.BMP",470,410,100,100,0 print 5 delay 6000 ;lsp 101,":c;bmp\r_click\black.bmp",0,0,150 csp -1 bg black,2 delay 2000 clock %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai gosub *eye_btn_exit csp -1 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 !sd texton print 1 notif %clock_iti_flg = 1 jumpf $tmp ~ mov %clock_iti_flg,0 gosub *DATA_SET return ;--------------------------------------- *eye3 getparam %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai gosub *rain_off ;雨を停止する命令を入れる gosub *DATA_SET csp -1 lsp 100,":c;bmp\r_click\black.bmp",0,0 ;泡フェード print 99,4000,"breakup.dll/llB" lsp2 10,":a;bmp\efe\Cinema_logo2.BMP",470,410,100,100,0 print 5 clock %clock_moto_h,%clock_moto_m,%clock_h,%clock_m,%clock_speed,%clock_reverse,%clock_x,%clock_y,%clock_kakudai csp -1 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 !sd texton print 2 return ;--------------------------------------- *eye11 gosub *rain_off ;雨を停止する命令を入れる skipoff textoff ;テキストウィンドウ消去(エフェクトはデフォルト) if %intro_prog_flg = off jumpf ;スプライトプログラムが動いているかの確認 mov %intro_prog_flg,off ;スプライトプログラムのフラグをオフ layermessage 10,"clear/10" ;スプライトプログラムのスプライト消去 layermessage 10,"program_end/10" ;スプライトプログラムのプログラム停止 csp 10 ~ lsp 101,":c;bmp\r_click\black.bmp",0,0,150 print 1 ;ワレエフェクトと共に黒フェード lsp 100,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1022 print 1 wait 1000 ;ロゴの表示(左から右) lsp2 10,":a;bmp\efe\Cinema_logo.BMP",470,410,100,100,0 print 5 gosub *eye_btn_exit2 csp -1 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 !sd texton print 2 notif %clock_iti_flg = 1 jumpf $tmp ~ mov %clock_iti_flg,0 gosub *DATA_SET return ;--------------------------------------- *eye12 gosub *rain_off ;雨を停止する命令を入れる ;華模様のアイキャッチを上下に表示する。 lsp 100,":a;bmp\efe\hana_u.bmp",0,0 lsp 99,":a;bmp\efe\hana_d.bmp",0,364 print 7 lsp2 10,":a;bmp\efe\Cinema_logo2.BMP",470,410,100,100,0 print 5 delay 6000 ;lsp 101,":c;bmp\r_click\black.bmp",0,0,150 csp -1 bg black,2 delay 2000 gosub *eye_btn_exit2 csp -1 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 !sd texton print 1 notif %clock_iti_flg = 1 jumpf $tmp ~ mov %clock_iti_flg,0 gosub *DATA_SET return ;--------------------------------------- ;アイキャッチのクリック待ち、左クリックはそのまま進み右クリックなら右クリックシステムに移動する。 *eye_btn_exit gosub *DATA_SET btndef "" ;針の停止音、24時00分にはこの音は入れない if %clock_h = 24 && %clock_m = 0 jumpf seplay 9,30 ~ btntime 8000 btnwait2 %tmp if %tmp = -1 csp -1 : csp2 -1 : bg black,2 : gosub *rmenu_main : fede 0,2000 : return if %tmp >= 0 csp -1 : csp2 -1 : bg black,2 : fede 0,2000 : return if %tmp < 0 csp -1 : csp2 -1 : bg black,2 : fede 0,2000 : return goto *eye_btn_exit ;--------------------------------------- ;アイキャッチのクリック待ち、左クリックはそのまま進み右クリックなら右クリックシステムに移動する。 ;時計表示なしバージョン *eye_btn_exit2 gosub *DATA_SET btndef "" btntime 4000 btnwait2 %tmp if %tmp = -1 csp -1 : csp2 -1 : bg black,2 : gosub *rmenu_main : fede 0,2000 : return if %tmp >= 0 csp -1 : csp2 -1 : bg black,2 : fede 0,2000 : return if %tmp < 0 csp -1 : csp2 -1 : bg black,2 : fede 0,2000 : return goto *eye_btn_exit2 ;--------------------------------------- ;********************************************************* ;メタ視点での特殊処理(レイヤーの番号に注意)(880〜890を使用) ;********************************************************* ;--------------------------------------- ;メタ視_背景セット *meta_set *mset ;フィルターセット。 ;黒のフィルターを表示 lsp msp_kuro,":c;bmp\r_click\black.bmp",0,0,110 print 22 lsp msp_hana_u,":b;bmp\efe\hana_u.png",0,0,200 lsp msp_hana_d,":b;bmp\efe\hana_d.png",0,364,200 print 28 delay 1000 return ;--------------------------------------- ;メタ視_背景セット(華を表示しないバージョン) *meta_set2 *mset2 ;フィルターセット。 ;黒のフィルターを表示 lsp msp_kuro,":c;bmp\r_click\black.bmp",0,0,110 print 22 delay 1000 return ;--------------------------------------- ;メタ視_背景セット(背景切替のエフェクトを指定する) *meta_set_ef *msetef getparam %tmp11,%tmp12 ;フィルターセット ;黒のフィルターを表示 lsp msp_kuro,":c;bmp\r_click\black.bmp",0,0,110 print %tmp11 lsp msp_hana_u,":b;bmp\efe\hana_u.png",0,0,200 lsp msp_hana_d,":b;bmp\efe\hana_d.png",0,364,200 print %tmp12 delay 1000 return ;--------------------------------------- ;メタ視_背景セット(背景切替のエフェクトを指定する) *meta_set2_ef *mset2ef getparam %tmp11 ;フィルターセット ;黒のフィルターを表示 lsp msp_kuro,":c;bmp\r_click\black.bmp",0,0,110 print %tmp11 return ;--------------------------------------- ;メタ視を抜ける処理 *meta_end *mend for %meta_tmp1 = 880 to msp_kuro csp %meta_tmp1 next mov %meta_l_on,0 mov %meta_c_on,0 mov %meta_r_on,0 print 8 return ;--------------------------------------- ;メタ視を抜ける処理 *meta_end_ef *mendef getparam %tmp11 for %meta_tmp1 = 880 to msp_kuro csp %meta_tmp1 next mov %meta_l_on,0 mov %meta_c_on,0 mov %meta_r_on,0 print %tmp11 return ;--------------------------------------- ;メタ視、立ち絵の表示 *meta_ld *mld ;getparam %meta_tmp1,%ld_pass_number,%meta_tmp3 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 ; start 2x getparam %meta_tmp1,%ld_pass_number,%meta_tmp3 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 gosub *ld_data mov $witchh2, $ld_pass mldt %meta_tmp1,$witchh2,%meta_tmp3,255 return *mldt getparam %meta_tmp1,$witchh2,%meta_tmp3,%witchh15 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法, trans gosub *ld_inner if %meta_tmp1 = l mov $last_meta_l, $witchh2 : mov %last_meta_l_trans, %witchh15 : mov %meta_l_on,1 if %meta_tmp1 = c mov $last_meta_c, $witchh2 : mov %last_meta_c_trans, %witchh15 : mov %meta_c_on,1 if %meta_tmp1 = r mov $last_meta_r, $witchh2 : mov %last_meta_r_trans, %witchh15 : mov %meta_r_on,1 ; end 2x mov $witchh15,$witchh12 if %hide_new_sprites = 1 && %is_old_sprites = 0 && %hide_bgsp2_cg = 0 mov $witchh15,":r;bmp\placeholder.png" ;左の画像が前に来るようにする。レイヤー293左 294真ん中 295が右 if %meta_tmp1 = l mov %meta_tmp4,msp_l lsp msp_l,$witchh15,0,0 if %meta_tmp1 = c mov %meta_tmp4,msp_c lsp msp_c,$witchh15,0,0 if %meta_tmp1 = r mov %meta_tmp4,msp_r lsp msp_r,$witchh15,0,0 ;スプライトの画像情報を取得(%meta_tmp5に画像の幅が代入される) getspsize %meta_tmp4,%meta_tmp5,%meta_tmp6,%meta_tmp7 ;画像の幅/4 で画像中心からの幅が求められるので(立ち位置のX座標からその幅を引く) div %meta_tmp5,3 if %meta_tmp1 = l mov %x,60 if %meta_tmp1 = c mov %x,220 if %meta_tmp1 = r mov %x,380 sub %x,%meta_tmp5 amsp %meta_tmp4,%x,480-%meta_tmp6,%witchh15 print %meta_tmp3 return ;--------------------------------------- ;メタ視、立ち絵の消去 *meta_cl *mcl getparam %meta_tmp1,%meta_tmp3 ;消去立ち絵位置(l左 C中央 r右 a全部)、消去方法 ;左の画像が前に来るようにする。レイヤー293左 294真ん中 295が右 if %meta_tmp1 = l csp msp_l : mov %meta_l_on,0 if %meta_tmp1 = c csp msp_c : mov %meta_c_on,0 if %meta_tmp1 = r csp msp_r : mov %meta_r_on,0 if %meta_tmp1 = a csp msp_l : csp msp_c : csp msp_r : mov %meta_l_on,0 : mov %meta_c_on,0 : mov %meta_r_on,0 print %meta_tmp3 return ;--------------------------------------- ;メタ視、背景の切替 *meta_bg *mbg getparam $meta_tmp2,%meta_tmp3 ;ファイルパス、表示方法 mbg_special $meta_tmp2,%meta_tmp3,0 return *mbg_special getparam $meta_tmp2,%meta_tmp3,%witchh10 ;ファイルパス、表示方法 notif %witchh10 = 1 jumpf mov %text_mbg,1 mov $text_mbg,$meta_tmp2 mov $witchh4, $meta_tmp2 setLangStringC $witchh4, "" mov $meta_tmp2, $witchh4 ~ ;文字にblack、whiteを指定したら、黒、白入れて対応する。 if $meta_tmp2 = "black" lsp msp_bg,":c;bmp\r_click\black.bmp",0,0 jumpf if $meta_tmp2 = "white" lsp msp_bg,":c;bmp\r_click\white.bmp",0,0 jumpf lsp msp_bg,$meta_tmp2,0,0 ~ print %meta_tmp3 return ;--------------------------------------- ;メタ視、背景の削除 *meta_cbg *mcbg getparam %meta_tmp3 ;表示方法 ; Clear text background if needed mov %text_mbg,0 csp msp_bg print %meta_tmp3 return ;--------------------------------------- ;メタ視、華の削除 *mchana getparam %meta_tmp3 ;表示方法 csp msp_hana_d csp msp_hana_u print %meta_tmp3 return ;--------------------------------------- ;メタ視、華背景の切替 *mhana1 getparam %meta_tmp3 ;表示方法 csp msp_hana_d csp msp_hana_u lsp msp_hana_d,":a;bmp\background\efe\hana1.bmp",0,0 print %meta_tmp3 return ;--------------------------------------- ;メタ視、華背景の切替 *mhana2 getparam %meta_tmp3 ;表示方法 csp msp_hana_d csp msp_hana_u lsp msp_hana_d,":a;bmp\background\efe\hana2.bmp",0,0 print %meta_tmp3 return ;--------------------------------------- ;************************** ;蝶を上に被せる命令 *bfly1 getparam %fry_tmp1 ;表示方法 lsp bgsp2,":ba;bmp\background\efe\butterfly_4sp1.png",0,0 print %fry_tmp1 return ;-------------------- *bfly1R getparam %fry_tmp1 ;表示方法 lsp bgsp2,":ba;bmp\background\efe\butterfly_4sp1R.png",0,0 print %fry_tmp1 return ;-------------------- *bfly2 getparam %fry_tmp1 ;表示方法 lsp bgsp2,":ba;bmp\background\efe\butterfly_4sp2.png",0,0 print %fry_tmp1 return ;-------------------- *bfly2R getparam %fry_tmp1 ;表示方法 lsp bgsp2,":ba;bmp\background\efe\butterfly_4sp2R.png",0,0 print %fry_tmp1 return ;-------------------- *cbfly getparam %fry_tmp1 ;表示方法 csp bgsp2 print %fry_tmp1 return ;************************** ;************************** ;煉獄の七姉妹のブレードON *bld getparam %meta_tmp1,%ld_pass_number,%meta_tmp3 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 gosub *ld_data ;画像の幅/4 で画像中心からの幅が求められるので(立ち位置のX座標からその幅を引く) mov %meta_tmp5,511 ;(中心座標) if %meta_tmp1 = l mov %metab_l_on,1 if %meta_tmp1 = c mov %metab_c_on,1 if %meta_tmp1 = r mov %metab_r_on,1 if %meta_tmp1 = l ld_old l,$ld_pass,0 if %meta_tmp1 = c ld_old c,$ld_pass,0 if %meta_tmp1 = r ld_old r,$ld_pass,0 blade_draw %meta_tmp1 print %meta_tmp3 return *blade_draw getparam %meta_tmp1 ;立ち絵位置(l左 C中央 r右) if %is_old_sprites = 0 jumpf mov $witchh13, ":b;bmp\TATI\RG_blade\blade.png" if %meta_tmp1 = l lsp 895,$witchh13,-110,280 if %meta_tmp1 = c lsp 894,$witchh13,50,280 if %meta_tmp1 = r lsp 893,$witchh13,210,280 ~ if %is_old_sprites = 1 jumpf mov $witchh13, ":b;big\bmp\TATI\RG_blade\blade.png" if %meta_tmp1 = l lsp 895,$witchh13,-110,0 if %meta_tmp1 = c lsp 894,$witchh13,50,0 if %meta_tmp1 = r lsp 893,$witchh13,210,0 ~ return ;------------------------------ ;煉獄の七姉妹のブレードOFF *bcl getparam %meta_tmp1,%meta_tmp3 ;消去立ち絵位置(l左 C中央 r右 a全部)、消去方法 if %meta_tmp1 = l csp 895 : cl l,%meta_tmp3 : mov %metab_l_on,0 if %meta_tmp1 = c csp 894 : cl c,%meta_tmp3 : mov %metab_c_on,0 if %meta_tmp1 = r csp 893 : cl r,%meta_tmp3 : mov %metab_r_on,0 if %meta_tmp1 = a csp 895 : csp 894 : csp 893 : cl a,%meta_tmp3 : mov %metab_l_on,0 : mov %metab_c_on,0 : mov %metab_r_on,0 return *bcl_bladesonly csp 895 csp 894 csp 893 mov %metab_l_on,0 mov %metab_c_on,0 mov %metab_r_on,0 return ;********************************************************* ;右クリックカスタムシステム ;右クリックで最初に現れる関数 ;BT作成版 2007年6月20 ;********************************************************* ;---------------------------------------- ;右クリックシステムに入る前の予備メニュー *rmenu_csutom ;右クリック画面表示 ;definereset mov %r_for_title,0 textoff btndef "" lsp r_full_window_lsp,":a/2,0,3;bmp\r_click\r_btn_window.bmp",15,240 lsp r_message_lsp,":a/2,0,3;bmp\r_click\r_btn_message.bmp",15,280 lsp r_skip_lsp,":a/2,0,3;bmp\r_click\r_btn_skip.bmp",15,320 lsp r_auto_lsp,":a/2,0,3;bmp\r_click\r_btn_auto.bmp",15,360 lsp r_clear_lsp,":a/2,0,3;bmp\r_click\r_btn_clear.bmp",15,400 lsp r_system_lsp,":a/2,0,3;bmp\r_click\r_btn_system.bmp",15,440 print 1 *rmenu_btlp btndef "" spbtn r_full_window_lsp,r_full_window_lsp spbtn r_message_lsp,r_message_lsp spbtn r_skip_lsp,r_skip_lsp spbtn r_auto_lsp,r_auto_lsp spbtn r_clear_lsp,r_clear_lsp spbtn r_system_lsp,r_system_lsp btnwait2 %BtnRes if %BtnRes = r_full_window_lsp seplay 9,1001 : goto *window_size_change if %BtnRes = r_message_lsp seplay 9,1001 : goto *message_mode if %BtnRes = r_skip_lsp seplay 9,1001 : goto *skip_mode if %BtnRes = r_auto_lsp seplay 9,1001 : goto *auto_click_mode if %BtnRes = r_system_lsp seplay 9,1001 : goto *rmenu_main if %BtnRes = r_clear_lsp csp r_clear_lsp : csp r_skip_lsp : csp r_message_lsp : csp r_system_lsp : csp r_auto_lsp : csp r_full_window_lsp : seplay 9,1000 : print 1:goto *rmenu_btlp ;if %BtnRes = r_clear_lsp E_A ;デバッグでEP4に飛ぶようになっているので必ず修正する。 texton csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp btndef "" print 1 return ;goto *rmenu_custom_btlp_100 ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main btndef "" ;テスト変数 ;mov %scenario_Number,5 ;プレイしているエピソードによって、ジャンプ先を変える。 if %scenario_Number = 5 goto *rmenu_main_ep5_def ;シナリオ番号に合わせて表示TIPSを変える if %scenario_Number = 6 goto *rmenu_main_ep6_def ;シナリオ番号に合わせて表示TIPSを変える if %scenario_Number = 7 goto *rmenu_main_ep7_def ;シナリオ番号に合わせて表示TIPSを変える if %scenario_Number = 8 goto *rmenu_main_ep8_def ;シナリオ番号に合わせて表示TIPSを変える ;仮配置、86.txtに最終的に移す。 ;EP8右クリックシステム、メインメニュー *rmenu_main_ep8_def ;mov %play_scene,32030 ;mov %r_hyouji_cha,ma3_enj ;xmov %r_for_title,1 ;mov %r_hyouji_side,0 ;表示サイドを保持する、そのサイドの表示を行うようにする。 ;(各変数の初期化は別途に行う) if %r_hyouji_side = 0 goto *rmenu_main_ep8 ;人間 if %r_hyouji_side = 1 goto *rmenu_main_ep8_2 ;魔女 if %r_hyouji_side = 2 goto *rmenu_main_ep8_3 ;天草世界 ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha,sha ;mov %UMINEKOEND,40 ;mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;bg G2F_R1a,1 ;****************************************************** ;EP8 通常サイド *rmenu_main_ep8 btndef "" ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep8_def if %r_side_change = 1 lsp 0,":c;bmp\r_click\black.bmp",0,0 : wait 300 : print 10,300 : csp 0 ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp csp r_change_lsp textoff monocro off mov %load_for_title,0 mov %r_side,0 mov %r_u_tea_flg,0 mov %r_hyouji_side,0 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to 18 mov ?r[8][%tmp][r_ishou],1 next ;キャラ、Tipsフラグの初期化 for %tmp = 1 to 18 : mov ?r[8][%tmp][condition],1 :next ;mov ?r[8][RIO][condition],-1 mov ?r[8][EN2][condition],-1 mov ?r[8][bea][condition],-1 ;mov ?r[8][si][condition],-1 ;mov ?r[8][eri][condition],-1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;Tipsフラグ設定 for %tmp = 1 to 10 : mov ?tips[%tmp][tips_flg],0 : next ;ep5からはシーン変数で状態を逐次チェックして処理を行う ;シーン変数をチェックサブルーチンにて処理(84.txtを使用) if %r_for_title = 1 jumpf ;if %play_scene >= 50000 && %play_scene <= 40300 gosub *rmenu_main_ep5_scene_40190 if %play_scene = 80000 gosub *rmenu_main_ep8_scene_80000 if %play_scene = 80010 gosub *rmenu_main_ep8_scene_80010 if %play_scene = 80011 gosub *rmenu_main_ep8_scene_80011 if %play_scene = 80020 gosub *rmenu_main_ep8_scene_80020 if %play_scene = 80030 gosub *rmenu_main_ep8_scene_80030 if %play_scene = 80040 gosub *rmenu_main_ep8_scene_80040 if %play_scene = 80050 gosub *rmenu_main_ep8_scene_80050 if %play_scene = 80060 gosub *rmenu_main_ep8_scene_80060 if %play_scene = 80070 gosub *rmenu_main_ep8_scene_80070 if %play_scene = 80080 gosub *rmenu_main_ep8_scene_80080 if %play_scene = 80090 gosub *rmenu_main_ep8_scene_80090 if %play_scene = 80100 gosub *rmenu_main_ep8_scene_80100 if %play_scene = 80110 gosub *rmenu_main_ep8_scene_80110 if %play_scene = 80120 gosub *rmenu_main_ep8_scene_80120 if %play_scene = 80130 gosub *rmenu_main_ep8_scene_80130 if %play_scene = 80140 gosub *rmenu_main_ep8_scene_80140 if %play_scene = 80150 gosub *rmenu_main_ep8_scene_80150 if %play_scene = 80160 gosub *rmenu_main_ep8_scene_80160 if %play_scene = 80170 gosub *rmenu_main_ep8_scene_80170 if %play_scene = 80180 gosub *rmenu_main_ep8_scene_80180 if %play_scene = 80190 gosub *rmenu_main_ep8_scene_80190 if %play_scene = 80200 gosub *rmenu_main_ep8_scene_80200 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[8][%r_hyouji_cha][condition]= -1 mov %r_hyouji_cha,0 ;タイトルから入って来た時にはキャラを全員liveで背景はランダムで表示 ;シーン番号に3000を代入。 notif %r_for_title = 1 goto *rmenu_main_100_ep5 for %tmp = 1 to 18 mov ?r[8][%tmp][condition],1 next ; mov ?r[8][RIO][condition],1 ;リオン mov ?r[8][EN2][condition],1 ;EP8新キャラ追加テスト mov ?r[8][bea][condition],1 ;EP8新キャラ追加テスト ; mov ?r[8][eri][condition],1 ;EP8新キャラ追加テスト for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next gosub *bgm_mode_rnd_bg_mhal2 mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;キャラバック背景を表示する mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ;疑問 mov %play_scene,53000 mov %side_flg,1 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 8 mov %UMINEKOEND_TIPS_FLG,0 mov %r_hyouji_cha,but mov %r_hyouji,0 goto *rmenu_main_100_ep5 ;************************************************************** ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep8_2 btndef "" ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha_ma,ma_bea ;mov %UMINEKOEND,4 mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;mov %play_scene,2000 ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep8_2_def ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,1 mov %r_u_tea_flg,0 mov %r_s556_flg,0 mov %r_hyouji_side,1 mov %cha_text_page,1 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to ma5_ENJ mov ?r[%scenario_Number][%tmp][r_ishou],1 next ;チェック、コンディションデフォルト設定 for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][condition],-1 next ;Tipsフラグ設定 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" if %r_for_title = 1 jumpf if %play_scene = 80000 gosub *rmenu_main_ep8_2_scene_80000 ; if %play_scene >= 80000 && %play_scene <= 80000 gosub *rmenu_main_ep8_3_scene_80000 if %play_scene = 80010 gosub *rmenu_main_ep8_2_scene_80010 if %play_scene = 80011 gosub *rmenu_main_ep8_2_scene_80011 if %play_scene = 80020 gosub *rmenu_main_ep8_2_scene_80020 if %play_scene = 80030 gosub *rmenu_main_ep8_2_scene_80030 if %play_scene = 80040 gosub *rmenu_main_ep8_2_scene_80040 if %play_scene = 80050 gosub *rmenu_main_ep8_2_scene_80050 if %play_scene = 80060 gosub *rmenu_main_ep8_2_scene_80060 if %play_scene = 80070 gosub *rmenu_main_ep8_2_scene_80070 if %play_scene = 80080 gosub *rmenu_main_ep8_2_scene_80080 if %play_scene = 80090 gosub *rmenu_main_ep8_2_scene_80090 if %play_scene = 80100 gosub *rmenu_main_ep8_2_scene_80100 if %play_scene = 80110 gosub *rmenu_main_ep8_2_scene_80110 if %play_scene = 80120 gosub *rmenu_main_ep8_2_scene_80120 if %play_scene = 80130 gosub *rmenu_main_ep8_2_scene_80130 if %play_scene = 80140 gosub *rmenu_main_ep8_2_scene_80140 if %play_scene = 80150 gosub *rmenu_main_ep8_2_scene_80150 if %play_scene = 80160 gosub *rmenu_main_ep8_2_scene_80160 if %play_scene = 80170 gosub *rmenu_main_ep8_2_scene_80170 if %play_scene = 80180 gosub *rmenu_main_ep8_2_scene_80180 if %play_scene = 80190 gosub *rmenu_main_ep8_2_scene_80190 if %play_scene = 80200 gosub *rmenu_main_ep8_2_scene_80200 ;if %play_scene = 40005 gosub *rmenu_main_ep5_2_scene_40005 ;if %play_scene < 40090 && %play_scene >= 40010 gosub *rmenu_main_ep5_2_scene_40005 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha_ma][condition]= -1 mov %r_hyouji_cha_ma,0 ;背景を暗くする。 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu ;タイトルから入って来た時にはキャラを全員liveで背景はランダムは蝶の画像をランダムで表示 ;シーン番号に3000を代入。 ;Tips新要素告知フラグが立っている場合はそれをOFFにする。 notif %r_for_title = 1 goto *rmenu_main_100_ep5_2 notif %scenario_Number = 8 jumpf mov ?r[8][ma5_but][condition],1 mov ?r[8][ma5_WAL][condition],1 mov ?r[8][ma5_BEA][condition],1 ; mov ?r[8][ma5_BE2][condition],1 mov ?r[8][ma5_LAM][condition],1 mov ?r[8][ma5_BER][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_DLA][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_RON][condition],1 mov ?r[8][ma5_GAP][condition],1 mov ?r[8][ma5_eri][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_GOA][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_zep][condition],1 mov ?r[8][ma5_fur][condition],1 ; mov ?r[8][ma5_mar][condition],1 mov ?r[8][ma5_WIL][condition],1 ; mov ?r[8][ma5_CUR][condition],1 mov ?r[8][ma5_en2][condition],1 mov ?r[8][ma5_wr][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_ev2][condition],1 mov ?r[8][ma5_fea][condition],1 ~ for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next gosub *bgm_mode_rnd_bg_majo mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ; mov %side_flg,1 mov %side_flg,0 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" mov %r_hyouji_cha_ma,ma5_ber ; mov %play_scene,53000 amsp r_black_lsp,0,0,0 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 8 mov %UMINEKOEND_TIPS_FLG,0 goto *rmenu_main_100_ep5_2 ;************************************************* ; ep8用、変数の初期化 ;************************************************* *bt_ep8_def ;疑問 ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep6 for %tmp12 = 0 to 6 mov ?r[8][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ; for %tmp12 = 0 to 6 ; mov ?r[8][RIO][%tmp12],%tmp10 : inc %tmp10 ; next ; for %tmp12 = 0 to 6 ; mov ?r[8][ERI][%tmp12],%tmp10 : inc %tmp10 ; next for %tmp12 = 0 to 6 mov ?r[8][EN2][%tmp12],%tmp10 : inc %tmp10 next for %tmp12 = 0 to 6 mov ?r[8][BEA][%tmp12],%tmp10 : inc %tmp10 next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[8][kin][condition_end],2 mov $?r[8][kin][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][kin][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kin_l.bmp" mov $?r[8][kin][2],":c/2,0,3;bmp\r_click\cha_btn\ep7\ki2_l.bmp" mov ?r[8][kla][condition_end],1 mov $?r[8][kla][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][kla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kla_l.bmp" mov ?r[8][nat][condition_end],1 mov $?r[8][nat][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][nat][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nat_l.bmp" mov ?r[8][jes][condition_end],1 mov $?r[8][jes][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][jes][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\jes_l.bmp" mov ?r[8][eva][condition_end],1 mov $?r[8][eva][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][eva][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eva_l.bmp" mov ?r[8][hid][condition_end],1 mov $?r[8][hid][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][hid][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\hid_l.bmp" mov ?r[8][geo][condition_end],1 mov $?r[8][geo][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][geo][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l.bmp" mov ?r[8][rud][condition_end],1 mov $?r[8][rud][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][rud][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\rud_l.bmp" mov ?r[8][kir][condition_end],1 mov $?r[8][kir][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][kir][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kir_l.bmp" mov ?r[8][but][condition_end],1 mov $?r[8][but][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][but][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\but_l.bmp" mov ?r[8][ros][condition_end],1 mov $?r[8][ros][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][ros][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\ros_l.bmp" mov ?r[8][mar][condition_end],1 mov $?r[8][mar][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][mar][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\mar_l.bmp" mov ?r[8][nan][condition_end],1 mov $?r[8][nan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][nan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nan_l.bmp" mov ?r[8][gen][condition_end],1 mov $?r[8][gen][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][gen][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\gen_l.bmp" mov ?r[8][kum][condition_end],1 mov $?r[8][kum][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][kum][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kum_l.bmp" mov ?r[8][goh][condition_end],1 mov $?r[8][goh][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][goh][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\goh_l.bmp" mov ?r[8][sha][condition_end],1 mov $?r[8][sha][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][sha][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l.bmp" mov ?r[8][kan][condition_end],1 mov $?r[8][kan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][kan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l.bmp" ;mov ?r[8][RIO][condition_end],1 ;mov $?r[8][RIO][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" ;mov $?r[8][RIO][1],":c/2,0,3;bmp\r_click\cha_btn\ep7\RIO_l.bmp" mov ?r[8][EN2][condition_end],1 mov $?r[8][EN2][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][EN2][1],":c/2,0,3;bmp\r_click\cha_btn\ep8\EN2_l.bmp" mov ?r[8][bea][condition_end],1 mov $?r[8][bea][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[8][bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep8\bea_l2.bmp" ;mov ?r[8][si][condition_end],1 ;mov $?r[8][si][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" ;mov $?r[8][si][1],":c/2,0,3;bmp\r_click\cha_btn\ep8\si_l.bmp" ;mov ?r[8][eri][condition_end],1 ;mov $?r[8][eri][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" ;mov $?r[8][eri][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eri_l.bmp" ;一覧表示の座標を入力 ;mov ?r[8][ep8][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][ep8][itiran_y],%tmp mov ?r[8][kin][itiran_x],17 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[8][kin][itiran_y],%tmp mov ?r[8][kla][itiran_x],62 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[8][kla][itiran_y],%tmp mov ?r[8][nat][itiran_x],106 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[8][nat][itiran_y],%tmp mov ?r[8][jes][itiran_x],150 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[8][jes][itiran_y],%tmp ;mov ?r[8][rio][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][rio][itiran_y],%tmp mov ?r[8][eva][itiran_x],62 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][eva][itiran_y],%tmp mov ?r[8][hid][itiran_x],106 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][hid][itiran_y],%tmp mov ?r[8][geo][itiran_x],150 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][geo][itiran_y],%tmp mov ?r[8][bea][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][bea][itiran_y],%tmp mov ?r[8][rud][itiran_x],62 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][rud][itiran_y],%tmp mov ?r[8][kir][itiran_x],106 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][kir][itiran_y],%tmp mov ?r[8][but][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][but][itiran_y],%tmp mov ?r[8][gen][itiran_x],17 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][gen][itiran_y],%tmp ;mov ?r[8][eri][itiran_x],17 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][eri][itiran_y],%tmp mov ?r[8][nan][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][nan][itiran_y],%tmp mov ?r[8][ros][itiran_x],62 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][ros][itiran_y],%tmp mov ?r[8][mar][itiran_x],106 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][mar][itiran_y],%tmp mov ?r[8][EN2][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][En2][itiran_y],%tmp ;mov ?r[8][si][itiran_x],17 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][si][itiran_y],%tmp mov ?r[8][sha][itiran_x],17 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][sha][itiran_y],%tmp mov ?r[8][kan][itiran_x],62 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][kan][itiran_y],%tmp mov ?r[8][goh][itiran_x],106 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][goh][itiran_y],%tmp mov ?r[8][kum][itiran_x],150 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][kum][itiran_y],%tmp ;見えない場所に。 ;mov ?r[8][nan][itiran_x],1700 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][nan][itiran_y],%tmp ;mov ?r[8][gen][itiran_x],1700 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][gen][itiran_y],%tmp ;mov ?r[8][goh][itiran_x],1060 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][goh][itiran_y],%tmp ;mov ?r[8][kum][itiran_x],1500 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][kum][itiran_y],%tmp ;mov ?r[8][sha][itiran_x],1500 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][sha][itiran_y],%tmp ;mov ?r[8][kan][itiran_x],1500 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][kan][itiran_y],%tmp mov ?r[8][si][itiran_x],1700 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][si][itiran_y],%tmp ;mov ?r[8][en2][itiran_x],1700 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][en2][itiran_y],%tmp ;キャラの立ち位置、X座標を入力 mov ?r[8][kin][tati_x],380 mov ?r[8][kla][tati_x],425 mov ?r[8][nat][tati_x],430 mov ?r[8][jes][tati_x],420 mov ?r[8][nan][tati_x],425 mov ?r[8][eva][tati_x],440 mov ?r[8][hid][tati_x],415 mov ?r[8][geo][tati_x],435 mov ?r[8][rud][tati_x],455 mov ?r[8][kir][tati_x],455 mov ?r[8][but][tati_x],455 mov ?r[8][gen][tati_x],455 mov ?r[8][ros][tati_x],445 mov ?r[8][mar][tati_x],390 mov ?r[8][sha][tati_x],430 mov ?r[8][kan][tati_x],460 mov ?r[8][goh][tati_x],450 mov ?r[8][kum][tati_x],440 mov ?r[8][rio][tati_x],440 mov ?r[8][bea][tati_x],440 mov ?r[8][EN2][tati_x],440 mov ?r[8][si][tati_x],450 mov ?r[8][eri][tati_x],450 ;mov ?tips[1][tips_page_max],1 ;SSVD(仮 ;mov ?tips[2][tips_page_max],3 ;セキケン(仮 ;mov ?tips[3][tips_page_max],1 ;汎用拳銃セット(仮 mov %tips_kazu,0 ;(仮 return ;************************************************* ;************************************************* ; ep8_2(魔女サイドメニュー、変数の初期化) ;************************************************* *bt_ep8_2_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5_2 for %tmp12 = 0 to 6 mov ?r[8][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[8][ma5_lam][condition_end],1 mov $?r[8][ma5_lam][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\lam_l.bmp" mov ?r[8][ma5_ber][condition_end],1 mov $?r[8][ma5_ber][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ber_l.bmp" ;mov ?r[8][ma5_be2][condition_end],1 ;mov $?r[8][ma5_be2][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\be2_l.bmp" mov ?r[8][ma5_bea][condition_end],1 mov $?r[8][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_l.bmp" ;初代 mov $?r[8][ma5_bea][2],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l.bmp" ;二代目 ;mov $?r[8][ma5_bea][3],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l3.bmp" ;三代目 mov ?r[8][ma5_wal][condition_end],1 mov $?r[8][ma5_wal][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\wal_l.bmp" ;mov ?r[8][ma5_mar][condition_end],1 ;mov $?r[8][ma5_mar][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\mar_l.bmp" mov ?r[8][ma5_ron][condition_end],1 mov $?r[8][ma5_ron][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ron_l.bmp" mov ?r[8][ma5_gap][condition_end],1 mov $?r[8][ma5_gap][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\gap_l.bmp" mov ?r[8][ma5_but][condition_end],1 mov $?r[8][ma5_but][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\but_l.bmp" mov ?r[8][ma5_w][condition_end],1 mov $?r[8][ma5_w][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\w_l.bmp" mov ?r[8][ma5_s][condition_end],1 mov $?r[8][ma5_s][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\s_l.bmp" mov ?r[8][ma5_rg][condition_end],1 mov $?r[8][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\rg_l.bmp" ;mov $?r[8][ma5_rg][2],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\rg_l2.bmp" mov ?r[8][ma5_ZEP][condition_end],1 mov $?r[8][ma5_ZEP][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\zep_l.bmp" mov ?r[8][ma5_FUR][condition_end],1 mov $?r[8][ma5_FUR][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\fur_l.bmp" mov ?r[8][ma5_zf][condition_end],1 mov $?r[8][ma5_zf][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\zf_l.bmp" mov ?r[8][ma5_GOA][condition_end],1 mov $?r[8][ma5_GOA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\GOA_l.bmp" mov ?r[8][ma5_WIL][condition_end],1 mov $?r[8][ma5_WIL][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\WIL_l.bmp" ;mov ?r[8][ma5_CUR][condition_end],1 ;mov $?r[8][ma5_CUR][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\CUR_l.bmp" mov ?r[8][ma5_en2][condition_end],1 mov $?r[8][ma5_en2][1],":c/2,0,3;bmp\r_click\cha_btn\ep8_2\en2_l.bmp" mov ?r[8][ma5_eri][condition_end],1 mov $?r[8][ma5_eri][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\eri_l.bmp" ;mov $?r[8][ma5_eri][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\eri_d.bmp" mov ?r[8][ma5_dla][condition_end],1 mov $?r[8][ma5_dla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\dla_l.bmp" mov ?r[8][ma5_ger][condition_end],1 mov $?r[8][ma5_ger][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ger_l.bmp" mov ?r[8][ma5_cor][condition_end],1 mov $?r[8][ma5_cor][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\cor_l.bmp" mov ?r[8][ma5_k][condition_end],1 mov $?r[8][ma5_k][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\k_l.bmp" mov ?r[8][ma5_wr][condition_end],1 mov $?r[8][ma5_wr][1],":c/2,0,3;bmp\r_click\cha_btn\ep8_2\wr_l.bmp" mov ?r[8][ma5_bb][condition_end],1 mov $?r[8][ma5_bb][1],":c/2,0,3;bmp\r_click\cha_btn\ep8_2\bb_l.bmp" mov ?r[8][ma5_ev2][condition_end],1 mov $?r[8][ma5_ev2][1],":c/2,0,3;bmp\r_click\cha_btn\ep8_2\ev2_l.bmp" mov ?r[8][ma5_fea][condition_end],1 mov $?r[8][ma5_fea][1],":c/2,0,3;bmp\r_click\cha_btn\ep8_2\fea_l2.bmp" ;一覧表示の座標を入力 mov ?r[8][ma5_w][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][ma5_w][itiran_y],%tmp mov ?r[8][ma5_rg][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][ma5_rg][itiran_y],%tmp mov ?r[8][ma5_s][itiran_x],17 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][ma5_s][itiran_y],%tmp mov ?r[8][ma5_lam][itiran_x],62 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[8][ma5_lam][itiran_y],%tmp mov ?r[8][ma5_bb][itiran_x],62 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][ma5_bb][itiran_y],%tmp mov ?r[8][ma5_k][itiran_x],62 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][ma5_k][itiran_y],%tmp mov ?r[8][ma5_wr][itiran_x],62 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][ma5_wr][itiran_y],%tmp mov ?r[8][ma5_ber][itiran_x],106 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[8][ma5_ber][itiran_y],%tmp mov ?r[8][ma5_en2][itiran_x],106 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][ma5_en2][itiran_y],%tmp mov ?r[8][ma5_fea][itiran_x],106 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][ma5_fea][itiran_y],%tmp mov ?r[8][ma5_zf][itiran_x],106 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[8][ma5_zf][itiran_y],%tmp mov ?r[8][ma5_eri][itiran_x],150 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[8][ma5_eri][itiran_y],%tmp mov ?r[8][ma5_ev2][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[8][ma5_ev2][itiran_y],%tmp mov ?r[8][ma5_GOA][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][ma5_GOA][itiran_y],%tmp mov ?r[8][ma5_zep][itiran_x],17 : mov %tmp,538 : add %tmp,r_top_y : mov ?r[8][ma5_zep][itiran_y],%tmp mov ?r[8][ma5_fur][itiran_x],17 : mov %tmp,585 : add %tmp,r_top_y : mov ?r[8][ma5_fur][itiran_y],%tmp mov ?r[8][ma5_wal][itiran_x],17 : mov %tmp,548 : add %tmp,r_top_y : mov ?r[8][ma5_wal][itiran_y],%tmp mov ?r[8][ma5_ron][itiran_x],17 : mov %tmp,591 : add %tmp,r_top_y : mov ?r[8][ma5_ron][itiran_y],%tmp mov ?r[8][ma5_gap][itiran_x],17 : mov %tmp,638 : add %tmp,r_top_y : mov ?r[8][ma5_gap][itiran_y],%tmp mov ?r[8][ma5_ger][itiran_x],150 : mov %tmp,538 : add %tmp,r_top_y : mov ?r[8][ma5_ger][itiran_y],%tmp mov ?r[8][ma5_cor][itiran_x],150 : mov %tmp,585 : add %tmp,r_top_y : mov ?r[8][ma5_cor][itiran_y],%tmp ;見えない位置に mov ?r[8][ma5_dla][itiran_x],1500 : mov %tmp,101 : add %tmp,r_top_y : mov ?r[8][ma5_dla][itiran_y],%tmp mov ?r[8][ma5_but][itiran_x],1500 : mov %tmp,59 : add %tmp,r_top_y : mov ?r[8][ma5_but][itiran_y],%tmp mov ?r[8][ma5_bea][itiran_x],1500 : mov %tmp,59 : add %tmp,r_top_y : mov ?r[8][ma5_bea][itiran_y],%tmp mov ?r[8][ma5_wil][itiran_x],1500 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[8][ma5_wil][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラの立ち位置、X座標を入力 ;mov ?r[8][ma5_be2][tati_x],440 mov ?r[8][ma5_lam][tati_x],410 mov ?r[8][ma5_but][tati_x],425 mov ?r[8][ma5_dla][tati_x],405 mov ?r[8][ma5_ger][tati_x],395 mov ?r[8][ma5_cor][tati_x],403 mov ?r[8][ma5_ber][tati_x],430 mov ?r[8][ma5_bea][tati_x],380 mov ?r[8][ma5_wal][tati_x],360 mov ?r[8][ma5_ron][tati_x],420 mov ?r[8][ma5_gap][tati_x],415 mov ?r[8][ma5_s][tati_x],420 mov ?r[8][ma5_rg][tati_x],420 mov ?r[8][ma5_GOA][tati_x],403 ;mov ?r[8][ma5_mar][tati_x],403 mov ?r[8][ma5_WIL][tati_x],433 ;mov ?r[8][ma5_CUR][tati_x],393 mov ?r[8][ma5_zep][tati_x],403 mov ?r[8][ma5_fur][tati_x],403 mov ?r[8][ma5_en2][tati_x],440 mov ?r[8][ma5_eri][tati_x],393 mov ?r[8][ma5_bb][tati_x],356 mov ?r[8][ma5_wr][tati_x],400 mov ?r[8][ma5_ev2][tati_x],393 mov ?r[8][ma5_fea][tati_x],333 ;mov ?tips[1][tips_page_max],1 ;SSVD(仮 ;mov ?tips[2][tips_page_max],3 ;セキケン(仮 ;mov ?tips[2][tips_page_max],1 ;汎用拳銃セット(仮 mov %tips_kazu,0 return ;************************************************* ;************************************************* ; ep6_3(エンジェサイドメニュー、変数の初期化) ;************************************************* *bt_ep8_3_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5_2 for %tmp12 = 0 to 6 mov ?r[8][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[8][ma5_AMA][condition_end],1 mov $?r[8][ma5_AMA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\AMA_l.bmp" mov ?r[8][ma5_FEA][condition_end],1 mov $?r[8][ma5_FEA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l.bmp" mov $?r[8][ma5_FEA][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l2.bmp" mov ?r[8][ma5_enj][condition_end],1 mov $?r[8][ma5_enj][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\enj_l.bmp" mov $?r[8][ma5_enj][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\enj_l.bmp" ;一覧表示の座標を入力 mov ?r[8][ma5_FEA][itiran_x],62 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[8][ma5_FEA][itiran_y],%tmp mov ?r[8][ma5_enj][itiran_x],106 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[8][ma5_enj][itiran_y],%tmp mov ?r[8][ma5_AMA][itiran_x],106 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[8][ma5_AMA][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラの立ち位置、X座標を入力 mov ?r[8][ma5_AMA][tati_x],455 mov ?r[8][ma5_fea][tati_x],343 mov ?r[8][ma5_enj][tati_x],430 ;mov ?tips[1][tips_page_max],1 ;決闘用拳銃セット ;mov ?tips[2][tips_page_max],1 ;霊鏡 mov %tips_kazu,0 return ;************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ ;------------------------------ *r_cha_bea_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8\bea2.bmp" : mov ?r[8][kin][tati_x],380 ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7\bea2.bmp" : mov ?r[8][kin][tati_x],450 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8\bea_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\ki2_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_2.bmp" return ;------------------------------ *r_cha_kin_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kin.bmp" : mov ?r[8][kin][tati_x],380 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7\ki2.bmp" : mov ?r[8][kin][tati_x],450 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\ki2_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_2.bmp" return ;------------------------------ *r_cha_kla_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_1.bmp" return ;------------------------------ *r_cha_nat_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nat.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nat_1.bmp" return ;------------------------------ *r_cha_jes_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\jes.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\jes_1.bmp" return ;------------------------------ *r_cha_eva_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eva.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8\eva_1.bmp" return ;------------------------------ *r_cha_hid_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\hid.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\hid_1.bmp" return ;------------------------------ *r_cha_geo_ep8 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],435 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\geo_1.bmp" ;コンディションによってアイコンを変更する。 ;lsp geo_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%scenario_Number][%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%scenario_Number][%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo2.bmp" : mov ?r[geo][tati_x],435 : lsp geo_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_rud_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_2.bmp" return ;------------------------------ *r_cha_kir_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kir.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kir_1.bmp" return ;------------------------------ *r_cha_but_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\but.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\but_1.bmp" return ;------------------------------ *r_cha_gen_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_1.bmp" return ;------------------------------ *r_cha_ros_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ros.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ros_1.bmp" return ;------------------------------ *r_cha_mar_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\mar.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\mar_1.bmp" return ;------------------------------ *r_cha_nan_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_2.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_3.bmp" return ;------------------------------ *r_cha_sha_ep8 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],430 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp sha_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%scenario_Number][%r_hyouji_cha][r_ishou] = 2 && ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha2.bmp" : mov ?r[%r_hyouji_cha][tati_x],430 : lsp sha_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_kan_ep8 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],460 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp kan_btn_lsp,$?r[%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan2.bmp" : mov ?r[%r_hyouji_cha][tati_x],440 : lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_goh_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_2.bmp" return ;------------------------------ *r_cha_kum_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_2.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_3.bmp" return ;------------------------------ *r_cha_rio_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7\rio.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\rio_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_EN2_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8\EN2_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8\EN2_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_si_ep8 ;状態、進行によってコメントを変える if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf mov %ran,0 rnd2 %ran,1,3 ~ ;立ち絵 if %ran = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen.bmp" if %ran = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan.bmp" if %ran = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha.bmp" ;if %ran = 4 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh.bmp" ;テキスト if %ran = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_1.bmp" if %ran = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_1.bmp" if %ran = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_1.bmp" ;if %ran = 4 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_1.bmp" return ;------------------------------ *r_cha_eri_ep8 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\mar.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\mar_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_lam_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\lam.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\lam_1.bmp" return ;------------------------------ *r_cha_ber_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ber.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\ber_1.bmp" return ;------------------------------ *r_cha_bea_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_2.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\bea_12.bmp" return ;------------------------------ *r_cha_be2_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\be2_l.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\be2_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\be2_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\be2_2.bmp" return ;------------------------------ *r_cha_mar_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar_2.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],380 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar_2.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],410 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_2.bmp" return ;------------------------------ *r_cha_enj_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\enj.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\enj_1.bmp" return ;------------------------------ *r_cha_kin_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\kin.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\kin_1.bmp" return ;------------------------------ *r_cha_sak_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\sak.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\sak_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\sak_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\sak_2.bmp" return ;------------------------------ *r_cha_wal_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\wal.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\wal_1.bmp" return ;------------------------------ *r_cha_ron_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ron.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ron_1.bmp" return ;------------------------------ *r_cha_gap_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\gap.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\gap_1.bmp" return ;------------------------------ *r_cha_s_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" ;変化フラグが1、2の場合は556の特殊処理を入れる if %r_s556_flg = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" : mov %r_s556_flg,0 : return if %r_s556_flg = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55.bmp" : mov %r_s556_flg,0 : return ;ランダムで姉妹の内、一人を表示 if %r_for_title = 0 rnd2 %r_s_hyouji,1,3 if %r_for_title = 1 rnd2 %r_s_hyouji,1,4 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %r_s_hyouji = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s45_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" if %r_s_hyouji = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s41_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s41.bmp" if %r_s_hyouji = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s00_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s00.bmp" if %r_s_hyouji = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" return ;------------------------------ *r_cha_rg_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\lam.bmp" ;ランダムで7姉妹の内、一人を表示 rnd2 %ran,1,7 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %ran = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg1_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg1.bmp" if %ran = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg2_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg2.bmp" if %ran = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg3_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg3.bmp" if %ran = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg4_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg4.bmp" if %ran = 5 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg5_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg5.bmp" if %ran = 6 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg6_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg6.bmp" if %ran = 7 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg7_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg7.bmp" return ;------------------------------ *r_cha_k_ep8_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf mov %ran,0 rnd2 %ran,1,3 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" ;if %ran = 1 mov %r_hyouji_cha_ma,ma5_dla : mov %BtnRes,ma5_dla : return if %ran = 1 mov %r_hyouji_cha_ma,ma5_ger : mov %BtnRes,ma5_ger : return if %ran = 2 mov %r_hyouji_cha_ma,ma5_cor : mov %BtnRes,ma5_cor : return if %ran = 3 mov %r_hyouji_cha_ma,ma5_dla : mov %BtnRes,ma5_dla : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep8_2 return ;------------------------------ *r_cha_goa_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\goa_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\goa_1.bmp" return ;------------------------------ *r_cha_eri_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return mov %ran,0 rnd2 %ran,1,16 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\eri.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 && %ran = 15 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\eri.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\eri_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\eri_1.bmp" ~ ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[8][%r_hyouji_cha_ma][r_ishou] = 2 && ?r[8][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_1.bmp" ;if %ran = 15 && ?r[8][%r_hyouji_cha_ma][r_ishou] = 2 && ?r[8][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_2.bmp" ;notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf ; if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\eri_1.bmp" ; if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\eri_2.bmp" ;~ return ;------------------------------ *r_cha_dla_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return mov %ran,0 rnd2 %ran,1,16 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\dla.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 && %ran = 15 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\dla_megane.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\dla_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\dla_12.bmp" ~ return ;------------------------------ *r_cha_ger_ep8_2 ;状態、進行によってコメントを変える mov %r_hyouji_cha_ma,ma5_ger if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ger.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ger_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ger_12.bmp" ~ return ;------------------------------ *r_cha_cor_ep8_2 mov %r_hyouji_cha_ma,ma5_cor ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\cor.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\cor_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\cor_12.bmp" ~ return ;------------------------------ *r_cha_but_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\but.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\but_1.bmp" return ;------------------------------ *r_cha_zf_ep8_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf if %cha_count_flg > 0 mov %cha_count_flg,1 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %cha_count_flg = 0 mov %r_hyouji_cha_ma,ma5_ZEP : mov %BtnRes,ma5_zep : mov %cha_count_flg,1 : return if %cha_count_flg = 1 mov %r_hyouji_cha_ma,ma5_FUR : mov %BtnRes,ma5_fur : mov %cha_count_flg,0 : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep8_2 return ;------------------------------ *r_cha_zep_ep8_2 ;状態、進行によってコメントを変える mov %r_hyouji_cha_ma,ma5_zep if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\zep_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\zep_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\zep_1.bmp" ~ return ;------------------------------ *r_cha_fur_ep8_2 mov %r_hyouji_cha_ma,ma5_fur ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\fur_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\fur_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\fur_1.bmp" ~ return ;------------------------------ *r_cha_w_ep8_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf if %cha_count_flg > 1 mov %cha_count_flg,2 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %cha_count_flg = 0 mov %r_hyouji_cha_ma,ma5_WAL : mov %BtnRes,ma5_WAL : mov %cha_count_flg,1 : return if %cha_count_flg = 1 mov %r_hyouji_cha_ma,ma5_RON : mov %BtnRes,ma5_RON : mov %cha_count_flg,2 : return if %cha_count_flg = 2 mov %r_hyouji_cha_ma,ma5_GAP : mov %BtnRes,ma5_GAP : mov %cha_count_flg,0 : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep8_2 return ;------------------------------ ;------------------------------ *r_cha_WIL_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\WIL_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\WIL_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_CUR_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\CUR_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\CUR_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_en2_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_2\en2_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\en2_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_wr_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_2\wr_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\wr_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_bb_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_2\bb_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\bb_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_ev2_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_2\ev2_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\ev2_1.bmp" return ;------------------------------ ;------------------------------ *r_cha_fea_ep8_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_2\fea_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_2\fea_2.bmp" return ;------------------------------ ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep8_2 csp cha_next_lsp csp cha_back_lsp notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha_ma,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp ;SSVD notif %r_hyouji_tips = 1 goto *tips_ep8_2_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\1_1.bmp" *tips_ep8_2_1 notif %r_hyouji_tips = 2 goto *tips_ep8_2_2 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_3.bmp" *tips_ep8_2_2 notif %r_hyouji_tips = 3 goto *tips_ep8_2_3 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_3.bmp" *tips_ep8_2_3 csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;********************************************************* ;右クリックメニュー EP8 深層世界サイド ;2010/09 作成 ;********************************************************* ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep8_3 btndef "" ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha_ma,ma_bea ;mov %UMINEKOEND,4 mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;mov %play_scene,2000 ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep8_3_def ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,2 mov %r_u_tea_flg,0 mov %r_s556_flg,0 mov %r_hyouji_side,2 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][r_ishou],1 next ;チェック、コンディションデフォルト設定 for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][condition],-1 next ;Tipsフラグ設定 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" if %r_for_title = 1 jumpf if %play_scene = 80000 gosub *rmenu_main_ep8_3_scene_80000 if %play_scene = 80010 gosub *rmenu_main_ep8_3_scene_80010 if %play_scene = 80011 gosub *rmenu_main_ep8_3_scene_80011 if %play_scene = 80020 gosub *rmenu_main_ep8_3_scene_80020 if %play_scene = 80030 gosub *rmenu_main_ep8_3_scene_80030 if %play_scene = 80040 gosub *rmenu_main_ep8_3_scene_80040 if %play_scene = 80100 gosub *rmenu_main_ep8_3_scene_80100 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha_ma][condition]= -1 mov %r_hyouji_cha_ma,0 ;背景を暗くする。 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu ;タイトルから入って来た時にはキャラを全員liveで背景はランダムは蝶の画像をランダムで表示 ;シーン番号に3000を代入。 ;Tips新要素告知フラグが立っている場合はそれをOFFにする。 notif %r_for_title = 1 goto *rmenu_main_100_ep5_2 ; for %tmp = 1 to 20 ; mov ?r[%scenario_Number][%tmp][condition],1 ; next mov ?r[%scenario_Number][ma5_enj][condition],1 mov ?r[%scenario_Number][ma5_AMA][condition],1 mov ?r[%scenario_Number][ma5_FEA][condition],1 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_majo mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" mov %r_hyouji_cha_ma,ma5_enj ; mov %play_scene,53000 amsp r_black_lsp,0,0,0 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 8 mov %UMINEKOEND_TIPS_FLG,0 goto *rmenu_main_100_ep5_2 ;******************************************************************************** ; 画像初期化ルーチン *r_gazou_shokika_ep8_3 for %tmp = 100 to r_used_lsp : csp %tmp next ; csp r_bg_lsp ; csp r_black_lsp return ;******************************************************************************** ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep8_3 csp cha_next_lsp csp cha_back_lsp notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha_ma,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp ;決闘用拳銃セット notif %r_hyouji_tips = 1 goto *tips_ep8_3_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_1.bmp" ; if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\1_2.bmp" *tips_ep8_3_1 ;霊鏡 notif %r_hyouji_tips = 2 goto *tips_ep8_3_2 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_1.bmp" ; if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_2.bmp" ; if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_3.bmp" *tips_ep8_3_2 ;青鍵(セイケン) notif %r_hyouji_tips = 3 goto *tips_ep8_3_3 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_1.bmp" ; if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_2.bmp" ; if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_3.bmp" *tips_ep8_3_3 ;ノックス十戒 notif %r_hyouji_tips = 4 goto *tips_ep8_3_4 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\4_1.bmp" cell tips4_lsp,1 *tips_ep8_3_4 ; notif %r_hyouji_tips = 5 goto *tips_ep8_3_5 cell tips5_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\5_1.bmp" *tips_ep8_3_5 ; notif %r_hyouji_tips = 6 goto *tips_ep8_3_6 cell tips6_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\6_1.bmp" *tips_ep8_3_6 ; notif %r_hyouji_tips = 7 goto *tips_ep8_3_7 cell tips7_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\7_1.bmp" *tips_ep8_3_7 csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;******************************************************************************** ;******************************************************* ; ■キャラ情報表示 ; ; キャラ立ち絵 ; コメント1(名前、年齢など変化しない、しにくい部分) ; コメント2(現在状況等、状況によって変化するところ) ; キャラクター切り替えボタン ←→ ; ;******************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ *r_cha_ENJ_ep8_3 notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\enj_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\enj_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\enj_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\enj_2.bmp" return ~ notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\enj_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\enj_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\enj_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\enj_2.bmp" return ~ mov %free1, ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] itoa $Free1,%Free1 add$Free1,"," mov %free1, ?r[%scenario_Number][%r_hyouji_cha_ma][condition] itoa $Free2,%Free1 add $Free1,$Free2 caption $Free1 click end ;------------------------------ *r_cha_FEA_ep8_3 notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\fea_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\fea_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\fea_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\fea_2.bmp" return ~ notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\fea_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\fea_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\fea_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\fea_2.bmp" return ;------------------------------ *r_cha_AMA_ep8_3 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep8_3\AMA_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep8_3\AMA_1.bmp" return ;------------------------------ ;************************************************************** ; 右クリック、Tipsボタンの表示 ;************************************************************** *r_tips_botann_ep8_3 for %tmp2 = ma5_lam_btn_lsp to ma5_CUR_btn_lsp : csp %tmp2 :next for %tmp2 = tips1_lsp to tips1_lsp : csp %tmp2 :next csp r_tips_txt_lsp csp tips_next_lsp csp tips_back_lsp csp r_cha_back_lsp vsp r_hana_lsp,1 ;背景黒枠の表示 lsp r_tips1_back_lsp,r_tips1_back,12,r_top_y,150 ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_5_1,%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,r_tips_5_2,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[3][tips_flg] = 1 lsp tips3_lsp,r_tips_5_3,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[4][tips_flg] = 1 lsp tips4_lsp,r_tips_5_4,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[5][tips_flg] = 1 lsp tips5_lsp,r_tips_5_5,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[6][tips_flg] = 1 lsp tips6_lsp,r_tips_5_6,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[7][tips_flg] = 1 lsp tips7_lsp,r_tips_5_7,%tmp,%tmp1 : add %tmp1,32 return ;************************************************************** ;************************************************************** ; 右クリック、キャラ一覧の表示 ;************************************************************** *r_cha_botann_ep8_3 ;tips用ボタンの削除 mov %tmp,tips1_lsp : add %tmp,%tips_kazu for %tmp2 = tips1_lsp to %tmp : csp %tmp2 :next csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 ;背景の表示 lsp r_cha_back_lsp,$r_cha_back,12,r_top_y ;キャラアイコンの表示(コンディションによって表示を変える) mov %tmp,ma5_lam_btn_lsp for %tmp2 = 1 to cha_kazu_ep5_2 if ?r[%scenario_Number][%tmp2][condition] = -1 jumpf ;コンデション-1は完全に非表示 lsp %tmp,$?r[%scenario_Number][%tmp2][?r[%scenario_Number][%tmp2][condition]],?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;衣装によってキャラアイコンの表示を切り替える ;if %tmp2 = ma3_ev2 && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp ma3_ev2_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ev2_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;if %tmp2 = ma5_FEA && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp ma5_FEA_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep8_3\fea_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;if %tmp2 = kan && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep2\kan_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ~ inc %tmp next ;現在表示しているキャラを表示 gosub *r_cha_hyouji_ep5_2 return ;キャラ一覧、個別キャラごとの変更。 *r_cha_botann_kobetu_ep8_3 csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 mov %tmp,kin_btn_lsp : add %tmp,%r_hyouji_cha_ma : dec %tmp lsp %tmp,$?r[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]],?r[%scenario_Number][%r_hyouji_cha_ma][itiran_x],?r[%scenario_Number][%r_hyouji_cha_ma][itiran_y] return ;************************************************************** ;********************************************************* ;右クリックメニュー EP5版 ;2009/07 BT作成 ;********************************************************* ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep5_def ;mov %play_scene,32030 ;mov %r_hyouji_cha,ma3_enj ;mov %r_for_title,1 ;mov %r_hyouji_side,0 ;表示サイドを保持する、そのサイドの表示を行うようにする。 ;(各変数の初期化は別途に行う) if %r_hyouji_side = 0 goto *rmenu_main_ep5 if %r_hyouji_side = 1 goto *rmenu_main_ep5_2 ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha,sha ;mov %UMINEKOEND,40 ;mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;bg G2F_R1a,1 ;---------------------------------------- ;EP5 通常サイド *rmenu_main_ep5 btndef "" ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep5_def if %r_side_change = 1 lsp 0,":c;bmp\r_click\black.bmp",0,0 : wait 300 : print 10,300 : csp 0 ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,0 mov %r_u_tea_flg,0 mov %r_hyouji_side,0 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to 18 mov ?r[%scenario_Number][%tmp][r_ishou],1 next mov ?r[%scenario_Number][eri][r_ishou],1 ;キャラ、Tipsフラグの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next mov ?r[%scenario_Number][eri][condition],-1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;Tipsフラグ設定 for %tmp = 1 to 10 : mov ?tips[%tmp][tips_flg],0 : next ;ep5からはシーン変数で状態を逐次チェックして処理を行う ;シーン変数をチェックサブルーチンにて処理(84.txtを使用) if %r_for_title = 1 jumpf ;if %play_scene >= 50000 && %play_scene <= 40300 gosub *rmenu_main_ep5_scene_40190 if %play_scene = 50000 gosub *rmenu_main_ep5_scene_50000 if %play_scene = 50005 gosub *rmenu_main_ep5_scene_50005 if %play_scene = 50010 gosub *rmenu_main_ep5_scene_50010 if %play_scene = 50015 gosub *rmenu_main_ep5_scene_50015 if %play_scene = 50020 gosub *rmenu_main_ep5_scene_50020 if %play_scene = 50030 gosub *rmenu_main_ep5_scene_50030 if %play_scene = 50031 gosub *rmenu_main_ep5_scene_50031 if %play_scene = 50032 gosub *rmenu_main_ep5_scene_50032 if %play_scene = 50035 gosub *rmenu_main_ep5_scene_50035 if %play_scene = 50036 gosub *rmenu_main_ep5_scene_50036 if %play_scene = 50040 gosub *rmenu_main_ep5_scene_50040 if %play_scene = 50050 gosub *rmenu_main_ep5_scene_50050 if %play_scene = 50055 gosub *rmenu_main_ep5_scene_50055 if %play_scene = 50056 gosub *rmenu_main_ep5_scene_50056 if %play_scene = 50100 gosub *rmenu_main_ep5_scene_50100 if %play_scene = 50110 gosub *rmenu_main_ep5_scene_50110 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha][condition]= -1 mov %r_hyouji_cha,0 ;タイトルから入って来た時にはキャラを全員liveで背景はランダムで表示 ;シーン番号に3000を代入。 notif %r_for_title = 1 goto *rmenu_main_100_ep5 for %tmp = 1 to 18 mov ?r[%scenario_Number][%tmp][condition],1 next for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_mhal2 mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;エリカを表示する mov ?r[%scenario_Number][eri][condition],1 ;mov ?r[%scenario_Number][bea][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[%scenario_Number][bea][itiran_y],%tmp ;mov ?r[%scenario_Number][enj][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[%scenario_Number][enj][itiran_y],%tmp ;mov ?r[%scenario_Number][mar][itiran_x],106 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[%scenario_Number][mar][itiran_y],%tmp mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov %play_scene,53000 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 5 mov %UMINEKOEND_TIPS_FLG,0 mov %r_hyouji_cha,but mov %r_hyouji,0 *rmenu_main_100_ep5 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu *rmenu_sai_hyouji_ep5 textoff btndef "" if %scenario_Number = 5 gosub *bt_ep5_def if %scenario_Number = 6 gosub *bt_ep6_def if %scenario_Number = 7 gosub *bt_ep7_def if %scenario_Number = 8 gosub *bt_ep8_def ;システムボタンの表示 mov %tmp,12 : mov %tmp1,r_menu_top_y ;タイトルから入った場合で、キャラ一覧表示の時はnextボタンを表示 ;表お茶会の間はNEXTボタンを消去 ;notif %r_for_title = 1 csp r_next_lsp : add %tmp1,17 : jumpf notif %r_hyouji = 0 csp r_next_lsp : add %tmp1,17 : jumpf ;if %play_scene >= 40340 && %play_scene <= 40500 csp r_next_lsp : add %tmp1,17 : jumpf mov %tmp2,%tmp : add %tmp2,112 sub %tmp1,2 ; lsp r_next_lsp,r_next,%tmp2,%tmp1 : add %tmp1,19 ~ ;tipsボタンか、キャラ表示か ; if %r_u_tea_flg =1 jumpf ; if %r_hyouji = 0 csp r_char_lsp :lsp r_tips_lsp,r_tips,%tmp,%tmp1 : add %tmp1,32 ; if %r_hyouji = 1 csp r_tips_lsp :lsp r_char_lsp,r_char,%tmp,%tmp1 : add %tmp1,32 ; ~ if %r_u_tea_flg =1 mov %r_hyouji,0 : add %tmp1,32 ;タイトルから入った場合はセーブボタンは表示しない。 if %r_for_title = 1 jumpf lsp r_save_lsp,r_save,%tmp,%tmp1 : mov %tmp2,%tmp : add %tmp2,87 lsp r_load_lsp,r_load,%tmp2,%tmp1 :add %tmp1,32 lsp r_blog_lsp,r_blog,%tmp,%tmp1 : add %tmp1,32 ~ ;タイトル画面の場合は、liveボタンをつける ;(NEXTボタンを設置する為、全体的に17ピクセル下に表示する) notif %r_for_title = 1 jumpf ;選択しているキャラのコンディションによって切り替え lsp r_exec_lsp,r_exec,%tmp,%tmp1 : add %tmp1,32 lsp r_resu_lsp,r_resu,%tmp,%tmp1 : add %tmp1,32 ~ ;裏おつ画面の場合は、liveボタンをつける notif %r_u_tea_flg = 1 jumpf ;選択しているキャラのコンディションによって切り替え mov %tmp10,%tmp1 : sub %tmp10,128 lsp r_exec_lsp,r_exec,%tmp,%tmp10 : add %tmp10,32 lsp r_resu_lsp,r_resu,%tmp,%tmp10 ;: add %tmp1,32 ~ ;タイトルから入った場合はep移動ボタンを表示 notif %r_for_title = 1 jumpf ;現在いるエピソードのボタンを赤でブリンク(画像は表示するがボタン化はしない) ;シナリオクリアフラグに注意、2以上でEP1、4以上でep5のボタンを表示 if %UMINEKOEND >= 70 lsp r_ep5_lsp,r_ep5,%tmp,452 : mov %tmp2,%tmp : add %tmp2,63 if %UMINEKOEND >= 70 lsp r_ep6_lsp,r_ep6,%tmp2,452 : add %tmp2,63 if %UMINEKOEND >= 80 lsp r_ep7_lsp,r_ep7,%tmp2,452 : add %tmp2,63 if %UMINEKOEND >= 90 lsp r_ep8_lsp,r_ep8,%tmp2,452 if %scenario_Number = 5 cell r_ep5_lsp,1 if %scenario_Number = 6 cell r_ep6_lsp,1 if %scenario_Number = 7 cell r_ep7_lsp,1 if %scenario_Number = 8 cell r_ep8_lsp,1 ;衣装変更があるキャラを表示していてコンデションが通常なら、衣装チェンジボタンを表示 ;(キャラ表示ルーチンで対応?) if %r_hyouji = 1 jumpf ;Tips表示ならスキップ ;if %r_hyouji_cha = geo && ?r[%scenario_Number][geo][condition] = 1 lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = sha && ?r[%scenario_Number][sha][condition] = 1 lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = kan && ?r[%scenario_Number][kan][condition] = 1 lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = kan && ?r[%scenario_Number][kan][condition] = 1 lsp r_change_lsp,r_change,572,452 ~ lsp r_title_lsp,r_title,%tmp,%tmp1 : add %tmp1,32 lsp r_exit_lsp,r_exit,%tmp,%tmp1 : add %tmp1,32 ;表示形式から、tips、キャラ一覧表示かを使い分ける。 ;notif %r_hyouji = 1 jumpf ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 ; mov %tmp,12 : mov %tmp1,55 ;~ if %r_hyouji = 0 gosub *r_cha_botann_ep5 if %r_hyouji = 1 gosub *r_tips_botann_ep5 ;中央説明テキスト lsp r_txt_lsp,":a;bmp\r_click\text\txt_def2.bmp",188,r_top_y ;華飾り lsp r_hana_lsp,":a;bmp\r_click\hana3_back.bmp",300,86 ;最後に選択したキャラ、tipsに自動的にあわせる if %r_hyouji = 0 gosub *r_cha_hyouji_ep5 if %r_hyouji = 1 gosub *r_tips_hyouji_ep5 if %r_side_change = 1 print 10,500 : mov %r_side_change,0 if %r_side_change = 2 print 99,1500,"breakup.dll/urB" : mov %r_side_change,0 print 1 *rmenu_botann_saisettei_ep5 ;衣装チェンジボタンの再設定 csp r_change_lsp notif %r_for_title = 1 jumpf ;衣装変更があるキャラを表示していてコンデションが通常なら、衣装チェンジボタンを表示 ;(キャラ表示ルーチンで対応?) if %r_hyouji = 1 jumpf ;Tips表示ならスキップ ;if %r_hyouji_cha = geo && ?r[%scenario_Number][geo][condition] = 1 lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = sha && ?r[%scenario_Number][sha][condition] = 1 lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = kan && ?r[%scenario_Number][kan][condition] = 1 lsp r_change_lsp,r_change,572,452 ~ print 1 btndef "" ; spbtn r_char_lsp,r_char_lsp ; spbtn r_tips_lsp,r_tips_lsp spbtn r_save_lsp,r_save_lsp spbtn r_load_lsp,r_load_lsp spbtn r_exec_lsp,r_exec_lsp spbtn r_resu_lsp,r_resu_lsp spbtn r_blog_lsp,r_blog_lsp spbtn r_title_lsp,r_title_lsp spbtn r_exit_lsp,r_exit_lsp ;EP5用 notif %scenario_Number = 5 jumpf if ?tips[1][tips_flg] = 1 notif %r_hyouji_tips = 1 spbtn tips1_lsp,tips1_lsp if ?tips[2][tips_flg] = 1 notif %r_hyouji_tips = 2 spbtn tips2_lsp,tips2_lsp if ?tips[3][tips_flg] = 1 notif %r_hyouji_tips = 3 spbtn tips3_lsp,tips3_lsp if ?tips[4][tips_flg] = 1 notif %r_hyouji_tips = 4 spbtn tips4_lsp,tips4_lsp ~ ;EP6用 notif %scenario_Number = 6 jumpf if ?tips[1][tips_flg] = 1 notif %r_hyouji_tips = 1 spbtn tips1_lsp,tips1_lsp if ?tips[2][tips_flg] = 1 notif %r_hyouji_tips = 2 spbtn tips2_lsp,tips2_lsp ; if ?tips[3][tips_flg] = 1 notif %r_hyouji_tips = 3 spbtn tips3_lsp,tips3_lsp ; if ?tips[4][tips_flg] = 1 notif %r_hyouji_tips = 4 spbtn tips4_lsp,tips4_lsp ~ ;EP7用 notif %scenario_Number = 7 jumpf if ?tips[1][tips_flg] = 1 notif %r_hyouji_tips = 1 spbtn tips1_lsp,tips1_lsp ; if ?tips[2][tips_flg] = 1 notif %r_hyouji_tips = 2 spbtn tips2_lsp,tips2_lsp ; if ?tips[3][tips_flg] = 1 notif %r_hyouji_tips = 3 spbtn tips3_lsp,tips3_lsp ; if ?tips[4][tips_flg] = 1 notif %r_hyouji_tips = 4 spbtn tips4_lsp,tips4_lsp ~ ;EP8用 notif %scenario_Number = 8 jumpf if ?tips[1][tips_flg] = 1 notif %r_hyouji_tips = 1 spbtn tips1_lsp,tips1_lsp if ?tips[2][tips_flg] = 1 notif %r_hyouji_tips = 2 spbtn tips2_lsp,tips2_lsp if ?tips[3][tips_flg] = 1 notif %r_hyouji_tips = 3 spbtn tips3_lsp,tips3_lsp ; if ?tips[4][tips_flg] = 1 notif %r_hyouji_tips = 4 spbtn tips4_lsp,tips4_lsp ~ spbtn tips_next_lsp,tips_next_lsp spbtn tips_back_lsp,tips_back_lsp if %scenario_Number = 5 mov %tmp,kin_btn_lsp : add %tmp,cha_kazu_ep5 if %scenario_Number = 6 mov %tmp,kin_btn_lsp : add %tmp,cha_kazu_ep6 if %scenario_Number = 7 mov %tmp,kin_btn_lsp : add %tmp,cha_kazu_ep6 if %scenario_Number = 8 mov %tmp,kin_btn_lsp : add %tmp,cha_kazu_ep6 mov %tmp3,1 for %tmp2 = kin_btn_lsp to %tmp if ?r[%scenario_Number][%tmp3][condition] >= 1 spbtn %tmp2,%tmp2 inc %tmp3 next ;ヱリカ番号が離れているので、別枠。 if ?r[%scenario_Number][eri][condition] >= 1 spbtn eri_btn_lsp,eri_btn_lsp ;リオン番号が離れているので、別枠。 if ?r[%scenario_Number][rio][condition] >= 1 spbtn rio_btn_lsp,rio_btn_lsp ;6歳縁寿。42 if ?r[%scenario_Number][EN2][condition] >= 1 spbtn EN2_btn_lsp,EN2_btn_lsp ;使用人ボタン。番号が離れているので、別枠。44 if ?r[%scenario_Number][si][condition] >= 1 spbtn si_btn_lsp,si_btn_lsp ;ベアとボタン。番号が離れているので、別枠。44 if ?r[%scenario_Number][bea][condition] >= 1 spbtn bea_btn_lsp,bea_btn_lsp ;NEXT、EP、changeのボタン化 ; spbtn r_next_lsp,r_next_lsp notif %scenario_Number = 5 spbtn r_ep5_lsp,r_ep5_lsp notif %scenario_Number = 6 spbtn r_ep6_lsp,r_ep6_lsp notif %scenario_Number = 7 spbtn r_ep7_lsp,r_ep7_lsp notif %scenario_Number = 8 spbtn r_ep8_lsp,r_ep8_lsp spbtn r_change_lsp,r_change_lsp *rmenu_custom_btlp btnwait2 %BtnRes if %BtnRes = r_char_lsp mov %r_hyouji,0 : seplay 9,1000 : goto *rmenu_sai_hyouji_ep5 if %BtnRes = r_tips_lsp mov %r_hyouji,1 : seplay 9,1000 : goto *rmenu_sai_hyouji_ep5 notif %BtnRes = r_exec_lsp jumpf ;選択されているキャラを一段悪化させる(コンディションがエンドまで来ているのなら処理を飛ばす) ;コンディションが0以下(未登場なら状態を変化させない) if %r_hyouji_cha = 0 goto *rmenu_custom_btlp_50_ep5 if ?r[%scenario_Number][%r_hyouji_cha][condition] >= ?r[%scenario_Number][%r_hyouji_cha][condition_end] goto *rmenu_custom_btlp_50_ep5 if ?r[%scenario_Number][%r_hyouji_cha][condition] <= 0 goto *rmenu_custom_btlp_50_ep5 mov %tmp,?r[%scenario_Number][%r_hyouji_cha][condition] : inc %tmp : mov ?r[%scenario_Number][%r_hyouji_cha][condition],%tmp seplay 9,1100 *rmenu_custom_btlp_50_ep5 notif %r_hyouji_cha = 0 goto *rmenu_custom_btlp_100_ep5 ;キャラ全員の状態を悪化させる。 if %scenario_Number = 5 mov %Free1,cha_kazu_ep5 if %scenario_Number = 6 mov %Free1,cha_kazu_ep6 if %scenario_Number = 7 mov %Free1,cha_kazu_ep6 if %scenario_Number = 8 mov %Free1,cha_kazu_ep6 for %tmp = 1 to %Free1 if ?r[%scenario_Number][%tmp][condition] >= ?r[%scenario_Number][%tmp][condition_end] goto *rmenu_custom_btlp_90_ep5 if ?r[%scenario_Number][%tmp][condition] <= 0 goto *rmenu_custom_btlp_90_ep5 mov %tmp2,?r[%scenario_Number][%tmp][condition] : inc %tmp2 : mov ?r[%scenario_Number][%tmp][condition],%tmp2 *rmenu_custom_btlp_90_ep5 next seplay 9,1100 *rmenu_custom_btlp_100_ep5 ;メニュー表示がTIPSなら、キャラに変更してしまう if %r_hyouji = 1 csp r_char_lsp : mov %r_hyouji,0 goto *rmenu_sai_hyouji_ep5 gosub *r_cha_botann_ep5 print 1 ;チェック goto *t_tyekku_ep5 ~ notif %BtnRes = r_resu_lsp jumpf if %r_hyouji_cha = 0 goto *rmenu_custom_btlp_150_ep5 ;選択されているキャラを一段回復させる(コンディションがエンドまで来ているのなら処理を飛ばす、0は処理を飛ばす) if ?r[%scenario_Number][%r_hyouji_cha][condition] <= 1 goto *rmenu_custom_btlp_200_ep5 mov %tmp,?r[%scenario_Number][%r_hyouji_cha][condition] : dec %tmp : mov ?r[%scenario_Number][%r_hyouji_cha][condition],%tmp seplay 9,1021 *rmenu_custom_btlp_150_ep5 notif %r_hyouji_cha = 0 goto *rmenu_custom_btlp_200_ep5 ;キャラ全員の状態を悪化させる。 if %scenario_Number = 5 mov %Free1,cha_kazu_ep5 if %scenario_Number = 6 mov %Free1,cha_kazu_ep6 if %scenario_Number = 7 mov %Free1,cha_kazu_ep6 if %scenario_Number = 8 mov %Free1,cha_kazu_ep6 for %tmp = 1 to %Free1 if ?r[%scenario_Number][%tmp][condition] <= 1 goto *rmenu_custom_btlp_190_ep5 mov %tmp2,?r[%scenario_Number][%tmp][condition] : dec %tmp2 : mov ?r[%scenario_Number][%tmp][condition],%tmp2 *rmenu_custom_btlp_190_ep5 next seplay 9,1023 *rmenu_custom_btlp_200_ep5 ;メニュー表示がTIPSなら、キャラに変更してしまう if %r_hyouji = 1 csp r_char_lsp : mov %r_hyouji,0 : goto *rmenu_sai_hyouji_ep5 gosub *r_cha_botann_ep5 print 22 ;チェック goto *t_tyekku_ep5 ~ if %BtnRes = 0 mov %r_hyouji_cha,0 : goto *rmenu_botann_saisettei_ep5 if %BtnRes = r_save_lsp gosub *r_gazou_shokika_ep5 : seplay 9,1000 : gosub *savemode :goto *r_exit2_ep5 if %BtnRes = r_load_lsp gosub *r_gazou_shokika_ep5 : seplay 9,1000 : gosub *loadmode :goto *r_exit2_ep5 if %BtnRes = r_blog_lsp gosub *r_gazou_shokika_ep5 : seplay 9,1000 : gosub *text_cw_lookback : goto *return_rmenu_main if %BtnRes = r_title_lsp seplay 9,1000 : goto *rmenu_title_back_ep5 if %BtnRes = r_exit_lsp goto *r_exit_ep5 if %BtnRes = -1 goto *r_exit_ep5 ;右クリックで抜ける if %BtnRes = -10 goto *r_exit_ep5 ;ESCで抜ける ;エピソード移動ボタン(押されたボタンによって、EP5のTips、EP6のTipsを移動する) notif %BtnRes = r_ep5_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,0 mov %scenario_Number,5 ;mov %r_hyouji,1 mov %r_hyouji_tips,0 mov %tips_page,1 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,1 gosub *r_gazou_shokika_ep5 goto *rmenu_main_ep5 ~ notif %BtnRes = r_ep6_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,0 mov %scenario_Number,6 ;mov %r_hyouji,1 mov %r_hyouji_tips,0 mov %tips_page,1 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,1 gosub *r_gazou_shokika_ep5 goto *rmenu_main_ep6 ~ notif %BtnRes = r_ep7_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,0 mov %scenario_Number,7 ;mov %r_hyouji,1 mov %r_hyouji_tips,0 mov %tips_page,1 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,1 gosub *r_gazou_shokika_ep5 goto *rmenu_main_ep7 ~ notif %BtnRes = r_ep8_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,0 mov %scenario_Number,8 ;mov %r_hyouji,1 mov %r_hyouji_tips,0 mov %tips_page,1 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,1 gosub *r_gazou_shokika_ep5 goto *rmenu_main_ep8 ~ ;NEXTボタン(人間サイド、魔女サイド、3サイドの切替) notif %BtnRes = r_next_lsp jumpf ;各変数の初期化 mov %r_side,1 mov %r_hyouji,0 lsp 0,":a;bmp\efe\ware.bmp",0,0 seplay 9,1100 print 1 csp 0 mov %r_side_change,2 gosub *r_gazou_shokika_ep5 mov %cha_text_page,1 if %scenario_Number = 5 goto *rmenu_main_ep5_2 if %scenario_Number = 6 goto *rmenu_main_ep6_2 if %scenario_Number = 7 goto *rmenu_main_ep7_2 if %scenario_Number = 8 goto *rmenu_main_ep8_2 ~ ;衣装チェンジボタン(衣装のチェンジ出来るキャラはコンディションを1に初期化して、衣装チェンジを行う) notif %BtnRes = r_change_lsp jumpf mov ?r[%scenario_Number][%r_hyouji_cha][condition],1 if ?r[%scenario_Number][%r_hyouji_cha][r_ishou] = 1 mov ?r[%scenario_Number][%r_hyouji_cha][r_ishou],2 : goto *r_change_lsp_ep5_100 if ?r[%scenario_Number][%r_hyouji_cha][r_ishou] = 2 mov ?r[%scenario_Number][%r_hyouji_cha][r_ishou],1 : goto *r_change_lsp_ep5_100 *r_change_lsp_ep5_100 gosub *r_cha_hyouji_ep5 seplay 9,1001 print 1 ~ ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする if %BtnRes = kin_btn_lsp mov %r_hyouji_cha,kin : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;金蔵 if %BtnRes = kla_btn_lsp mov %r_hyouji_cha,kla : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;長男 if %BtnRes = nat_btn_lsp mov %r_hyouji_cha,nat : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;夏妃 if %BtnRes = jes_btn_lsp mov %r_hyouji_cha,jes : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ジェシカ if %BtnRes = hid_btn_lsp mov %r_hyouji_cha,hid : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;秀吉 if %BtnRes = eva_btn_lsp mov %r_hyouji_cha,eva : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;絵羽 if %BtnRes = geo_btn_lsp mov %r_hyouji_cha,geo : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;譲治 if %BtnRes = rud_btn_lsp mov %r_hyouji_cha,rud : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;留弗夫 if %BtnRes = kir_btn_lsp mov %r_hyouji_cha,kir : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;霧江 if %BtnRes = but_btn_lsp mov %r_hyouji_cha,but : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;戦人 if %BtnRes = ros_btn_lsp mov %r_hyouji_cha,ros : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;楼座 if %BtnRes = mar_btn_lsp mov %r_hyouji_cha,mar : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;真里亞 if %BtnRes = nan_btn_lsp mov %r_hyouji_cha,nan : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;南條 if %BtnRes = gen_btn_lsp mov %r_hyouji_cha,gen : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;源氏 if %BtnRes = kum_btn_lsp mov %r_hyouji_cha,kum : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;熊沢 if %BtnRes = goh_btn_lsp mov %r_hyouji_cha,goh : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;郷田 if %BtnRes = sha_btn_lsp mov %r_hyouji_cha,sha : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;紗音 if %BtnRes = kan_btn_lsp mov %r_hyouji_cha,kan : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;嘉音 ; if %BtnRes = bea_btn_lsp mov %r_hyouji_cha,bea : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ベアトリーチェ ; if %BtnRes = ber_btn_lsp mov %r_hyouji_cha,ber : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ベルンカステル ; if %BtnRes = lam_btn_lsp mov %r_hyouji_cha,lam : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ラムダデルタ ; if %BtnRes = enj_btn_lsp mov %r_hyouji_cha,enj : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;enj if %BtnRes = eri_btn_lsp mov %r_hyouji_cha,eri : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ヱリカ if %BtnRes = rio_btn_lsp mov %r_hyouji_cha,rio : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;リオ if %BtnRes = EN2_btn_lsp mov %r_hyouji_cha,EN2 : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ep8追加 if %BtnRes = si_btn_lsp mov %r_hyouji_cha,si : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ep8追加 if %BtnRes = bea_btn_lsp mov %r_hyouji_cha,bea : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;ep8追加 ;煉獄の七姉妹(表示に関してはランダム) ;if %BtnRes = ber_btn_lsp mov %r_hyouji_cha,ber : gosub *r_cha_hyouji_ep5 : seplay 9,1001 : print 1 ;Tipsが押された場合、Tips表示処理に飛ぶ *tips_sys_ep5 notif %scenario_Number = 5 goto *tips_sys_ep6 notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ notif %BtnRes = tips2_lsp jumpf notif %r_hyouji_tips = 2 mov %tips_page,1 mov %r_hyouji_tips,2 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ notif %BtnRes = tips3_lsp jumpf notif %r_hyouji_tips = 3 mov %tips_page,1 mov %r_hyouji_tips,3 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ notif %BtnRes = tips4_lsp jumpf notif %r_hyouji_tips = 4 mov %tips_page,1 mov %r_hyouji_tips,4 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ *tips_sys_ep6 notif %scenario_Number = 6 goto *tips_sys_ep7 ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ notif %BtnRes = tips2_lsp jumpf notif %r_hyouji_tips = 2 mov %tips_page,1 mov %r_hyouji_tips,2 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ *tips_sys_ep7 notif %scenario_Number = 7 goto *tips_sys_ep8 ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ *tips_sys_ep8 notif %scenario_Number = 8 goto *tips_sys_end ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ notif %BtnRes = tips2_lsp jumpf notif %r_hyouji_tips = 2 mov %tips_page,1 mov %r_hyouji_tips,2 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ notif %BtnRes = tips3_lsp jumpf notif %r_hyouji_tips = 3 mov %tips_page,1 mov %r_hyouji_tips,3 gosub *r_tips_hyouji_ep5 goto *rmenu_botann_saisettei_ep5 ~ *tips_sys_end ;NEXT、Backが押された場合はそのページを表示する。 if %BtnRes = tips_next_lsp inc %tips_page : gosub *r_tips_hyouji_ep5 : goto *rmenu_botann_saisettei_ep5 if %BtnRes = tips_back_lsp dec %tips_page : gosub *r_tips_hyouji_ep5 : goto *rmenu_botann_saisettei_ep5 goto *rmenu_botann_saisettei_ep5 ;******************************************************************************** ; 画像初期化ルーチン *r_gazou_shokika_ep5 for %tmp = 100 to r_used_lsp : csp %tmp next ; for %tmp = kin_btn_lsp to r_used_lsp : csp %tmp next csp r_bg_lsp csp r_black_lsp return ;******************************************************************************** *return_rmenu_main if %scenario_Number = 5 goto *rmenu_main_ep5 if %scenario_Number = 6 goto *rmenu_main_ep6 if %scenario_Number = 7 goto *rmenu_main_ep7 if %scenario_Number = 8 goto *rmenu_main_ep8 mesbox "シナリオ番号エラー","シナリオ番号がおかしいです" ;******************************************************************************** ; 右クリックシステムを抜ける処理 *r_exit_ep5 btndef "" gosub *r_gazou_shokika_ep5 csp r_bg_lsp csp r_black_lsp seplay 9,1100 print 1 texton if %monoflg = 1 mono 1 if %monoflg = 2 mono 2 return ;右クリックシステムを抜ける ;------------------------------------- ; 右クリックシステムを抜ける処理割れるエフェクトなし *r_exit2_ep5 btndef "" gosub *r_gazou_shokika_ep5 csp r_bg_lsp csp r_black_lsp print 1 texton if %monoflg = 1 mono 1 if %monoflg = 2 mono 2 return ;右クリックシステムを抜ける ;******************************************************************************** ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep5 notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y if %r_side_change = 0 print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp *tips_ep5_side1 ;EP5 TIPS notif %scenario_Number = 5 goto *tips_ep6_side1 notif %r_hyouji_tips = 1 goto *tips_ep5_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\1_2.bmp" *tips_ep5_1 notif %r_hyouji_tips = 2 goto *tips_ep5_2 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_3.bmp" *tips_ep5_2 notif %r_hyouji_tips = 3 goto *tips_ep5_3 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_3.bmp" *tips_ep5_3 notif %r_hyouji_tips = 4 goto *tips_ep5_4 cell tips4_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\4_1.bmp" *tips_ep5_4 ~ *tips_ep6_side1 ;EP6 TIPS notif %scenario_Number = 6 goto *tips_ep6_side1_4 notif %r_hyouji_tips = 1 goto *tips_ep6_side1_2 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_2.bmp" *tips_ep6_side1_2 notif %r_hyouji_tips = 2 goto *tips_ep6_side1_3 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_3.bmp" *tips_ep6_side1_3 notif %r_hyouji_tips = 3 goto *tips_ep6_side1_4 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\3_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_3.bmp" *tips_ep6_side1_4 *tips_ep7_side1 ;EP7 TIPS notif %scenario_Number = 7 goto *tips_ep8_side1 notif %r_hyouji_tips = 1 goto *tips_ep8_side1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_2.bmp" ;EP8 TIPS *tips_ep8_side1 notif %scenario_Number = 8 goto *tips_ep8_side1_end notif %r_hyouji_tips = 1 goto *tips_ep8_side1_2 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_2.bmp" *tips_ep8_side1_2 notif %r_hyouji_tips = 2 goto *tips_ep8_side1_3 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_3.bmp" *tips_ep8_side1_3 notif %r_hyouji_tips = 3 goto *tips_ep8_side1_4 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_3.bmp" *tips_ep8_side1_4 *tips_ep8_side1_end csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;******************************************************************************** ;キャラの立ち絵、テキストの表示、それぞれのキャラ毎に処理を行う *r_cha_hyouji_ep5 if %r_hyouji_cha = 0 return mov %r_hyouji,0 ;mov %tips_page ,1 ;mov %r_hyouji_tips,0 ;tispの表示を0(なしにする) csp r_tips_txt_lsp csp tips_next_lsp csp tips_back_lsp vsp r_hana_lsp,1 ;タイトル画面から入っていて、所定のキャラならチェンジボタンを表示する、それ以外ならボタンを消去 csp r_change_lsp notif %r_for_title = 1 jumpf ;衣装変更があるキャラを表示している場合は、チェンジボタンを表示 ;(キャラ表示ルーチンで対応?) ;if %r_hyouji_cha = geo lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = sha lsp r_change_lsp,r_change,572,452 ;if %r_hyouji_cha = kan lsp r_change_lsp,r_change,572,452 ~ ; EP5用 notif %scenario_Number = 5 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする if %r_hyouji_cha = kin : gosub *r_cha_kin_ep5 ;金蔵 if %r_hyouji_cha = kla : gosub *r_cha_kla_ep5 ;長男 if %r_hyouji_cha = nat : gosub *r_cha_nat_ep5 ;夏妃 if %r_hyouji_cha = jes : gosub *r_cha_jes_ep5 ;ジェシカ if %r_hyouji_cha = hid : gosub *r_cha_hid_ep5 ;秀吉 if %r_hyouji_cha = eva : gosub *r_cha_eva_ep5 ;絵羽 if %r_hyouji_cha = geo : gosub *r_cha_geo_ep5 ;譲治 if %r_hyouji_cha = rud : gosub *r_cha_rud_ep5 ;留弗夫 if %r_hyouji_cha = kir : gosub *r_cha_kir_ep5 ;霧江 if %r_hyouji_cha = but : gosub *r_cha_but_ep5 ;戦人 if %r_hyouji_cha = ros : gosub *r_cha_ros_ep5 ;楼座 if %r_hyouji_cha = mar : gosub *r_cha_mar_ep5 ;真里亞 if %r_hyouji_cha = nan : gosub *r_cha_nan_ep5 ;南條 if %r_hyouji_cha = gen : gosub *r_cha_gen_ep5 ;源氏 if %r_hyouji_cha = kum : gosub *r_cha_kum_ep5 ;熊沢 if %r_hyouji_cha = goh : gosub *r_cha_goh_ep5 ;郷田 if %r_hyouji_cha = sha : gosub *r_cha_sha_ep5 ;紗音 if %r_hyouji_cha = kan : gosub *r_cha_kan_ep5 ;嘉音 if %r_hyouji_cha = eri : gosub *r_cha_eri_ep5 ;ヱリカ ; if %r_hyouji_cha = dla : gosub *r_cha_dla_ep5 ;ドラノール ; if %r_hyouji_cha = ger : gosub *r_cha_ger_ep5 ;ガード ; if %r_hyouji_cha = cor : gosub *r_cha_cor_ep5 ;コーネリアス ~ ; EP6用 notif %scenario_Number = 6 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする if %r_hyouji_cha = kin : gosub *r_cha_kin_ep6 ;金蔵 if %r_hyouji_cha = kla : gosub *r_cha_kla_ep6 ;長男 if %r_hyouji_cha = nat : gosub *r_cha_nat_ep6 ;夏妃 if %r_hyouji_cha = jes : gosub *r_cha_jes_ep6 ;ジェシカ if %r_hyouji_cha = hid : gosub *r_cha_hid_ep6 ;秀吉 if %r_hyouji_cha = eva : gosub *r_cha_eva_ep6 ;絵羽 if %r_hyouji_cha = geo : gosub *r_cha_geo_ep6 ;譲治 if %r_hyouji_cha = rud : gosub *r_cha_rud_ep6 ;留弗夫 if %r_hyouji_cha = kir : gosub *r_cha_kir_ep6 ;霧江 if %r_hyouji_cha = but : gosub *r_cha_but_ep6 ;戦人 if %r_hyouji_cha = ros : gosub *r_cha_ros_ep6 ;楼座 if %r_hyouji_cha = mar : gosub *r_cha_mar_ep6 ;真里亞 if %r_hyouji_cha = nan : gosub *r_cha_nan_ep6 ;南條 if %r_hyouji_cha = gen : gosub *r_cha_gen_ep6 ;源氏 if %r_hyouji_cha = kum : gosub *r_cha_kum_ep6 ;熊沢 if %r_hyouji_cha = goh : gosub *r_cha_goh_ep6 ;郷田 if %r_hyouji_cha = sha : gosub *r_cha_sha_ep6 ;紗音 if %r_hyouji_cha = kan : gosub *r_cha_kan_ep6 ;嘉音 if %r_hyouji_cha = eri : gosub *r_cha_eri_ep6 ;ヱリカ ; if %r_hyouji_cha = dla : gosub *r_cha_dla_ep6 ;ドラノール ; if %r_hyouji_cha = ger : gosub *r_cha_ger_ep6 ;ガード ; if %r_hyouji_cha = cor : gosub *r_cha_cor_ep6 ;コーネリアス ~ ; EP6用 notif %scenario_Number = 7 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする if %r_hyouji_cha = kin : gosub *r_cha_kin_ep7 ;金蔵 if %r_hyouji_cha = kla : gosub *r_cha_kla_ep7 ;長男 if %r_hyouji_cha = nat : gosub *r_cha_nat_ep7 ;夏妃 if %r_hyouji_cha = jes : gosub *r_cha_jes_ep7 ;ジェシカ if %r_hyouji_cha = hid : gosub *r_cha_hid_ep7 ;秀吉 if %r_hyouji_cha = eva : gosub *r_cha_eva_ep7 ;絵羽 if %r_hyouji_cha = geo : gosub *r_cha_geo_ep7 ;譲治 if %r_hyouji_cha = rud : gosub *r_cha_rud_ep7 ;留弗夫 if %r_hyouji_cha = kir : gosub *r_cha_kir_ep7 ;霧江 if %r_hyouji_cha = but : gosub *r_cha_but_ep7 ;戦人 if %r_hyouji_cha = ros : gosub *r_cha_ros_ep7 ;楼座 if %r_hyouji_cha = mar : gosub *r_cha_mar_ep7 ;真里亞 if %r_hyouji_cha = nan : gosub *r_cha_nan_ep7 ;南條 if %r_hyouji_cha = gen : gosub *r_cha_gen_ep7 ;源氏 if %r_hyouji_cha = kum : gosub *r_cha_kum_ep7 ;熊沢 if %r_hyouji_cha = goh : gosub *r_cha_goh_ep7 ;郷田 if %r_hyouji_cha = sha : gosub *r_cha_sha_ep7 ;紗音 if %r_hyouji_cha = kan : gosub *r_cha_kan_ep7 ;嘉音 if %r_hyouji_cha = rio : gosub *r_cha_rio_ep7 ;ヱリカ ~ ; EP8用 notif %scenario_Number = 8 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする if %r_hyouji_cha = kin : gosub *r_cha_kin_ep8 ;金蔵 if %r_hyouji_cha = kla : gosub *r_cha_kla_ep8 ;長男 if %r_hyouji_cha = nat : gosub *r_cha_nat_ep8 ;夏妃 if %r_hyouji_cha = jes : gosub *r_cha_jes_ep8 ;ジェシカ if %r_hyouji_cha = hid : gosub *r_cha_hid_ep8 ;秀吉 if %r_hyouji_cha = eva : gosub *r_cha_eva_ep8 ;絵羽 if %r_hyouji_cha = geo : gosub *r_cha_geo_ep8 ;譲治 if %r_hyouji_cha = rud : gosub *r_cha_rud_ep8 ;留弗夫 if %r_hyouji_cha = kir : gosub *r_cha_kir_ep8 ;霧江 if %r_hyouji_cha = but : gosub *r_cha_but_ep8 ;戦人 if %r_hyouji_cha = ros : gosub *r_cha_ros_ep8 ;楼座 if %r_hyouji_cha = mar : gosub *r_cha_mar_ep8 ;真里亞 if %r_hyouji_cha = nan : gosub *r_cha_nan_ep8 ;南條 if %r_hyouji_cha = gen : gosub *r_cha_gen_ep8 ;源氏 if %r_hyouji_cha = kum : gosub *r_cha_kum_ep8 ;熊沢 if %r_hyouji_cha = goh : gosub *r_cha_goh_ep8 ;郷田 if %r_hyouji_cha = sha : gosub *r_cha_sha_ep8 ;紗音 if %r_hyouji_cha = kan : gosub *r_cha_kan_ep8 ;嘉音 if %r_hyouji_cha = rio : gosub *r_cha_rio_ep8 ;リオン if %r_hyouji_cha = EN2 : gosub *r_cha_EN2_ep8 ;EP8追加キャラ if %r_hyouji_cha = si : gosub *r_cha_si_ep8 ;使用人ボタン if %r_hyouji_cha = eri : gosub *r_cha_eri_ep8 ;エリカ if %r_hyouji_cha = bea : gosub *r_cha_bea_ep8 ;bea ~ ;(表示処理) lsp cha_lsp,$r_cha_tati_path,?r[%scenario_Number][%r_hyouji_cha][tati_x],0 lsp r_cha_txt_lsp,$r_txt_path,188,r_top_y return ;******************************************************************************** ;******************************************************************************** ;******************************************************* ; ■キャラ情報表示 ; ; キャラ立ち絵 ; コメント1(名前、年齢など変化しない、しにくい部分) ; コメント2(現在状況等、状況によって変化するところ) ; キャラクター切り替えボタン ←→ ; ;******************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ *r_cha_kin_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kin.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kin_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_2.bmp" return ;------------------------------ *r_cha_kla_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla_m.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_3.bmp" return ;------------------------------ *r_cha_nat_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nat.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nat_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nat_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nat_2.bmp" return ;------------------------------ *r_cha_jes_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\jes.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\jes_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\jes_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\jes_2.bmp" return ;------------------------------ *r_cha_eva_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eva.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eva_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eva_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eva_2.bmp" return ;------------------------------ *r_cha_hid_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\hid.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\hid_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\hid_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\hid_2.bmp" return ;------------------------------ *r_cha_geo_ep5 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],435 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\geo_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\geo_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp geo_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%scenario_Number][%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%scenario_Number][%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo2.bmp" : mov ?r[geo][tati_x],435 : lsp geo_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_rud_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_2.bmp" return ;------------------------------ *r_cha_kir_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kir.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kir_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kir_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kir_2.bmp" return ;------------------------------ *r_cha_but_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\but.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\but_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\but_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\but_2.bmp" return ;------------------------------ *r_cha_gen_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_2.bmp" return ;------------------------------ *r_cha_ros_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ros.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ros_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ros_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ros_2.bmp" return ;------------------------------ *r_cha_mar_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\mar.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\mar_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\mar_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\mar_2.bmp" return ;------------------------------ *r_cha_nan_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_2.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_3.bmp" return ;------------------------------ *r_cha_sha_ep5 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],430 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp sha_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%scenario_Number][%r_hyouji_cha][r_ishou] = 2 && ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha2.bmp" : mov ?r[%r_hyouji_cha][tati_x],430 : lsp sha_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_kan_ep5 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],460 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp kan_btn_lsp,$?r[%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan2.bmp" : mov ?r[%r_hyouji_cha][tati_x],440 : lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_goh_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_2.bmp" return ;------------------------------ *r_cha_kum_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_2.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_3.bmp" return ;------------------------------ *r_cha_eri_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_dla_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\dla.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\dla_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_ger_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ger.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ger_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ger_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_cor_ep5 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\cor.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\cor_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\cor_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ ;************************************************************** ; 右クリック、Tipsボタンの表示 ;************************************************************** *r_tips_botann_ep5 for %tmp2 = kin_btn_lsp to si_btn_lsp : csp %tmp2 :next for %tmp2 = tips1_lsp to tips1_lsp : csp %tmp2 :next csp r_tips_txt_lsp csp tips_next_lsp csp tips_back_lsp csp r_cha_back_lsp vsp r_hana_lsp,1 ;背景黒枠の表示 lsp r_tips1_back_lsp,r_tips1_back,12,r_top_y,150 ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 notif %scenario_Number = 5 jumpf mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_5_1,%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,r_tips_5_2,%tmp,%tmp1 : add %tmp1,32 if ?tips[3][tips_flg] = 1 lsp tips3_lsp,r_tips_5_3,%tmp,%tmp1 : add %tmp1,32 if ?tips[4][tips_flg] = 1 lsp tips4_lsp,r_tips_5_4,%tmp,%tmp1 : add %tmp1,32 ~ notif %scenario_Number = 6 jumpf mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_6_1,%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,r_tips_6_2,%tmp,%tmp1 : add %tmp1,32 ~ notif %scenario_Number = 7 jumpf mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_7_1,%tmp,%tmp1 : add %tmp1,32 ~ notif %scenario_Number = 8 jumpf ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,":a/2,0,3;bmp\r_click\tips_btn\tips8_1.bmp",%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,":a/2,0,3;bmp\r_click\tips_btn\tips8_2.bmp",%tmp,%tmp1 : add %tmp1,32 if ?tips[3][tips_flg] = 1 lsp tips3_lsp,":a/2,0,3;bmp\r_click\tips_btn\tips8_3.bmp",%tmp,%tmp1 : add %tmp1,32 ~ return ;************************************************************** ;************************************************************** ; 右クリック、キャラ一覧の表示 ;************************************************************** *r_cha_botann_ep5 ;tips用ボタンの削除 mov %tmp,tips1_lsp : add %tmp,%tips_kazu for %tmp2 = tips1_lsp to %tmp : csp %tmp2 :next csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 ;背景の表示 lsp r_cha_back_lsp,$r_cha_back,12,r_top_y ;キャラアイコンの表示(コンディションによって表示を変える) mov %tmp,kin_btn_lsp for %tmp2 = 1 to 18 if ?r[%scenario_Number][%tmp2][condition] = -1 jumpf ;コンデション-1は完全に非表示 lsp %tmp,$?r[%scenario_Number][%tmp2][?r[%scenario_Number][%tmp2][condition]],?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;衣装が絵に応じてキャラアイコンを変える ;if %tmp2 = enj && ?r[%tmp2][condition] = 1 && ?r[%tmp2][r_ishou] = 2 lsp enj_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\enj_l2.bmp",?r[%tmp2][itiran_x],?r[%tmp2][itiran_y] ;if %tmp2 = geo && ?r[%tmp2][condition] = 1 && ?r[%tmp2][r_ishou] = 2 lsp geo_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l2.bmp",?r[%tmp2][itiran_x],?r[%tmp2][itiran_y] ;if %tmp2 = kan && ?r[%tmp2][condition] = 1 && ?r[%tmp2][r_ishou] = 2 lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l2.bmp",?r[%tmp2][itiran_x],?r[%tmp2][itiran_y] ~ inc %tmp next if ?r[%scenario_Number][eri][condition] <= 0 jumpf ;コンデション-1は完全に非表示 ; mov %tmp2,eri : itoa $Free1,?r[%scenario_Number][eri][condition] : caption $Free1 ; if ?r[%scenario_Number][eri][condition] = 1 lsp eri_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\eri_l.bmp",?r[%scenario_Number][eri][itiran_x],?r[%scenario_Number][eri][itiran_y] ; if ?r[%scenario_Number][eri][condition] = 2 lsp eri_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep6\eri_d.bmp",?r[%scenario_Number][eri][itiran_x],?r[%scenario_Number][eri][itiran_y] lsp eri_btn_lsp,$?r[%scenario_Number][eri][?r[%scenario_Number][eri][condition]],?r[%scenario_Number][eri][itiran_x],?r[%scenario_Number][eri][itiran_y] ~ ;リオンの登録? if ?r[%scenario_Number][rio][condition] <= 0 jumpf ;コンデション-1は完全に非表示 lsp rio_btn_lsp,$?r[%scenario_Number][rio][?r[%scenario_Number][rio][condition]],?r[%scenario_Number][rio][itiran_x],?r[%scenario_Number][rio][itiran_y] ~ ;6歳縁寿の登録 if ?r[%scenario_Number][EN2][condition] <= 0 jumpf ;コンデション-1は完全に非表示 lsp EN2_btn_lsp,$?r[%scenario_Number][EN2][?r[%scenario_Number][EN2][condition]],?r[%scenario_Number][EN2][itiran_x],?r[%scenario_Number][EN2][itiran_y] ~ ;使用人のまとめボックス if ?r[%scenario_Number][si][condition] <= 0 jumpf ;コンデション-1は完全に非表示 lsp si_btn_lsp,$?r[%scenario_Number][si][?r[%scenario_Number][si][condition]],?r[%scenario_Number][si][itiran_x],?r[%scenario_Number][si][itiran_y] ~ ;bea if ?r[%scenario_Number][bea][condition] <= 0 jumpf ;コンデション-1は完全に非表示 lsp bea_btn_lsp,$?r[%scenario_Number][bea][?r[%scenario_Number][bea][condition]],?r[%scenario_Number][bea][itiran_x],?r[%scenario_Number][bea][itiran_y] ~ ;現在表示しているキャラを表示 gosub *r_cha_hyouji_ep5 return ;キャラ一覧、個別キャラごとの変更。 *r_cha_botann_kobetu_ep5 csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 mov %tmp,kin_btn_lsp : add %tmp,%r_hyouji_cha : dec %tmp lsp %tmp,$?r[%scenario_Number][%r_hyouji_cha][?r[%scenario_Number][%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%scenario_Number][%r_hyouji_cha][itiran_y] return ;************************************************************** ;************************************************************** ; 裏お茶会用のチェック ;************************************************************** *t_tyekku_ep5 goto *rmenu_botann_saisettei_ep5 ;************************************************************** ;************************************************************** ; 右クリック、タイトルバック機能 ; 確認メッセージを出して、処理を行う。 ;************************************************************** *rmenu_title_back_ep5 ;確認メッセージの表示 lsp title_back_bg_lsp,title_back_bg,193,171,230 lsp title_back_yes_lsp,title_back_yes,216,216 lsp title_back_no_lsp,title_back_no,216,254 print 1 btndef "" spbtn title_back_yes_lsp,title_back_yes_lsp spbtn title_back_no_lsp,title_back_no_lsp *rmenu_title_back_btn_lp_ep5 btnwait2 %tmp notif %tmp = title_back_yes_lsp jumpf btndef "" csp -1 E_A mov %title_skip,1 seplay 9,18 bg black,18,3000,"bmp\r_click\efe\kannon_r.bmp" delay 3000 texton reset ~ if %tmp = title_back_no_lsp csp title_back_bg_lsp : csp title_back_yes_lsp :csp title_back_no_lsp : seplay 9,1000 : goto *rmenu_sai_hyouji_ep5 if %tmp = 0 csp title_back_bg_lsp : csp title_back_yes_lsp :csp title_back_no_lsp goto *rmenu_sai_hyouji_ep5 if %tmp = -1 csp title_back_bg_lsp : csp title_back_yes_lsp :csp title_back_no_lsp goto *rmenu_sai_hyouji_ep5 goto *rmenu_title_back_btn_lp_ep5 ;************************************************************** ;********************************************************* ;右クリックメニュー EP5_2 魔女サイド ;2009/07 作成 ;********************************************************* ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep5_2 btndef "" ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha_ma,ma_bea ;mov %UMINEKOEND,4 mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;mov %play_scene,2000 ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep5_2_def ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,1 mov %r_u_tea_flg,0 mov %r_s556_flg,0 mov %r_hyouji_side,1 mov %cha_text_page,1 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to ma5_enj mov ?r[%scenario_Number][%tmp][r_ishou],1 next ;チェック、コンディションデフォルト設定 for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][condition],-1 next ;Tipsフラグ設定 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" if %r_for_title = 1 jumpf if %play_scene = 50000 gosub *rmenu_main_ep5_2_scene_50000 if %play_scene = 50005 gosub *rmenu_main_ep5_2_scene_50005 if %play_scene = 50010 gosub *rmenu_main_ep5_2_scene_50010 if %play_scene = 50015 gosub *rmenu_main_ep5_2_scene_50015 if %play_scene = 50020 gosub *rmenu_main_ep5_2_scene_50020 if %play_scene = 50030 gosub *rmenu_main_ep5_2_scene_50030 if %play_scene = 50031 gosub *rmenu_main_ep5_2_scene_50031 if %play_scene = 50032 gosub *rmenu_main_ep5_2_scene_50032 if %play_scene = 50035 gosub *rmenu_main_ep5_2_scene_50035 if %play_scene = 50036 gosub *rmenu_main_ep5_2_scene_50036 if %play_scene = 50040 gosub *rmenu_main_ep5_2_scene_50040 if %play_scene = 50050 gosub *rmenu_main_ep5_2_scene_50050 if %play_scene = 50055 gosub *rmenu_main_ep5_2_scene_50055 if %play_scene = 50056 gosub *rmenu_main_ep5_2_scene_50056 if %play_scene = 50100 gosub *rmenu_main_ep5_2_scene_50100 if %play_scene = 50110 gosub *rmenu_main_ep5_2_scene_50110 ;if %play_scene = 40005 gosub *rmenu_main_ep5_2_scene_40005 ;if %play_scene < 40090 && %play_scene >= 40010 gosub *rmenu_main_ep5_2_scene_40005 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha_ma][condition]= -1 mov %r_hyouji_cha_ma,0 ;背景を暗くする。 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu ;タイトルから入って来た時にはキャラを全員liveで背景はランダムは蝶の画像をランダムで表示 ;シーン番号に3000を代入。 ;Tips新要素告知フラグが立っている場合はそれをOFFにする。 notif %r_for_title = 1 goto *rmenu_main_100_ep5_2 notif %scenario_Number = 5 jumpf mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ~ for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_majo mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov %r_hyouji_cha_ma,ma5_ber mov %play_scene,53000 amsp r_black_lsp,0,0,0 mov %side_flg,0 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 6 mov %UMINEKOEND_TIPS_FLG,0 *rmenu_main_100_ep5_2 *rmenu_sai_hyouji_ep5_2 textoff btndef "" csp r_change_lsp ;システムボタンの表示 mov %tmp,12 : mov %tmp1,r_menu_top_y ;タイトルから入った場合で、キャラ一覧表示の時はnextボタンを表示 notif %r_hyouji = 0 csp r_next_lsp : add %tmp1,17 : goto *rmenu_sai_hyouji_ep5_2_2 mov %tmp2,%tmp : add %tmp2,52 sub %tmp1,2 lsp r_next2_lsp,r_next2,%tmp2,%tmp1 add %tmp2,60 if %r_hyouji_side = 1 lsp r_next_lsp,r_next,%tmp2,%tmp1 if %side_flg = 0 csp r_next_lsp add %tmp1,19 *rmenu_sai_hyouji_ep5_2_2 ;tipsボタンか、キャラ表示か if %r_u_tea_flg =1 jumpf if %r_hyouji = 0 csp r_char_lsp :lsp r_tips_lsp,r_tips,%tmp,%tmp1 : add %tmp1,32 if %r_hyouji = 1 csp r_tips_lsp :lsp r_char_lsp,r_char,%tmp,%tmp1 : add %tmp1,32 ~ if %r_u_tea_flg =1 mov %r_hyouji,0 : add %tmp1,32 ;タイトルから入った場合はセーブボタンは表示しない。 if %r_for_title = 1 jumpf lsp r_save_lsp,r_save,%tmp,%tmp1 : mov %tmp2,%tmp : add %tmp2,87 lsp r_load_lsp,r_load,%tmp2,%tmp1 :add %tmp1,32 lsp r_blog_lsp,r_blog,%tmp,%tmp1 : add %tmp1,32 ~ ;タイトル画面の場合は、liveボタンをつける ;(NEXTボタンを設置する為、全体的に17ピクセル下に表示する) notif %r_for_title = 1 jumpf ;選択しているキャラのコンディションによって切り替え lsp r_exec_lsp,r_exec,%tmp,%tmp1 : add %tmp1,32 lsp r_resu_lsp,r_resu,%tmp,%tmp1 : add %tmp1,32 ~ ;タイトルから入った場合はep移動ボタンを表示 notif %r_for_title = 1 jumpf ;現在いるエピソードのボタンを赤でブリンク(画像は表示するがボタン化はしない) ;シナリオクリアフラグに注意、2以上でEP1、4以上でEP2のボタンを表示 if %UMINEKOEND >= 70 lsp r_ep5_lsp,r_ep5,%tmp,452 : mov %tmp2,%tmp : add %tmp2,63 if %UMINEKOEND >= 70 lsp r_ep6_lsp,r_ep6,%tmp2,452 : add %tmp2,63 if %UMINEKOEND >= 80 lsp r_ep7_lsp,r_ep7,%tmp2,452 : add %tmp2,63 if %UMINEKOEND >= 90 lsp r_ep8_lsp,r_ep8,%tmp2,452 if %scenario_Number = 5 cell r_ep5_lsp,1 if %scenario_Number = 6 cell r_ep6_lsp,1 if %scenario_Number = 7 cell r_ep7_lsp,1 if %scenario_Number = 8 cell r_ep8_lsp,1 ;衣装変更があるキャラを表示していてコンデションが通常なら、衣装チェンジボタンを表示 ;(キャラ表示ルーチンで対応?) if %r_hyouji = 1 jumpf ;Tips表示ならスキップ ;EP6専用 縁寿 新魔女 ;if %r_hyouji_cha_ma = kan && ?r[%scenario_Number][kan][condition] = 1 lsp r_change_lsp,r_change,572,452 ~ lsp r_title_lsp,r_title,%tmp,%tmp1 : add %tmp1,32 lsp r_exit_lsp,r_exit,%tmp,%tmp1 : add %tmp1,32 if %r_hyouji = 0 gosub *r_cha_botann_ep5_2 if %r_hyouji = 1 gosub *r_tips_botann_ep5_2 ;中央説明テキスト lsp r_txt_lsp,":a;bmp\r_click\text\txt_def2.bmp",188,r_top_y ;華飾り lsp r_hana_lsp,r_hana,300,86 ;最後に選択したキャラ、tipsに自動的にあわせる if %r_hyouji = 0 gosub *r_cha_hyouji_ep5_2 if %r_hyouji = 1 gosub *r_tips_hyouji_ep5_2 if %r_side_change = 2 se1v 52,100 : print 99,1500,"whirl.dll/l" : mov %r_side_change,0 print 1 *rmenu_botann_saisettei_ep5_2 ;ボタンの再設定 btndef "" if ?r_cha_text[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]] < 2 mov %cha_page_mode,0 : jumpf notif ?r_cha_text[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]] = %cha_text_page spbtn cha_next_lsp,cha_next_lsp notif %cha_text_page = 1 spbtn cha_back_lsp,cha_back_lsp mov %cha_page_mode,1 ~ ;特例措置、後に根本的解決が必要かもしれない。 mov %EN2_Isyou,1 if ?r_cha_text[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]] = %cha_text_page csp cha_next_lsp if %cha_text_page = 1 csp cha_back_lsp spbtn r_char_lsp,r_char_lsp spbtn r_tips_lsp,r_tips_lsp spbtn r_save_lsp,r_save_lsp spbtn r_load_lsp,r_load_lsp spbtn r_exec_lsp,r_exec_lsp spbtn r_resu_lsp,r_resu_lsp spbtn r_blog_lsp,r_blog_lsp spbtn r_title_lsp,r_title_lsp spbtn r_exit_lsp,r_exit_lsp if ?tips[1][tips_flg] = 1 notif %r_hyouji_tips = 1 spbtn tips1_lsp,tips1_lsp if ?tips[2][tips_flg] = 1 notif %r_hyouji_tips = 2 spbtn tips2_lsp,tips2_lsp if ?tips[3][tips_flg] = 1 notif %r_hyouji_tips = 3 spbtn tips3_lsp,tips3_lsp if ?tips[4][tips_flg] = 1 notif %r_hyouji_tips = 4 spbtn tips4_lsp,tips4_lsp ;if ?tips[5][tips_flg] = 1 notif %r_hyouji_tips = 5 spbtn tips5_lsp,tips5_lsp ;if ?tips[6][tips_flg] = 1 notif %r_hyouji_tips = 6 spbtn tips6_lsp,tips6_lsp ;if ?tips[7][tips_flg] = 1 notif %r_hyouji_tips = 7 spbtn tips7_lsp,tips7_lsp spbtn tips_next_lsp,tips_next_lsp spbtn tips_back_lsp,tips_back_lsp mov %tmp,ma5_lam_btn_lsp : add %tmp,cha_kazu_ep5_2 mov %tmp3,1 mov %Free1,ma5_lam for %tmp2 = ma5_lam_btn_lsp to %tmp if ?r[%scenario_Number][%tmp3][condition] >= 1 spbtn %tmp2,%free1 inc %tmp3 : inc %free1 next ;NEXT、EP、changeのボタン化 spbtn r_next2_lsp,r_next2_lsp notif %side_flg = 1 jumpf if %r_hyouji_side = 1 spbtn r_next_lsp,r_next_lsp ~ notif %scenario_Number = 5 spbtn r_ep5_lsp,r_ep5_lsp notif %scenario_Number = 6 spbtn r_ep6_lsp,r_ep6_lsp notif %scenario_Number = 7 spbtn r_ep7_lsp,r_ep7_lsp notif %scenario_Number = 8 spbtn r_ep8_lsp,r_ep8_lsp notif %scenario_Number = 5 spbtn r_change_lsp,r_change_lsp *rmenu_custom_btlp_ep5_2 btnwait2 %BtnRes if %BtnRes = r_char_lsp mov %r_hyouji,0 : seplay 9,1000 : goto *rmenu_sai_hyouji_ep5_2 if %BtnRes = r_tips_lsp mov %r_hyouji,1 : seplay 9,1000 : goto *rmenu_sai_hyouji_ep5_2 notif %BtnRes = r_exec_lsp jumpf ;選択キャラがシエスタで、尚且つ556なら、状態を死亡に変化させる。 if %r_hyouji_cha_ma = ma5_s && %r_s_hyouji = 4 mov %r_s556_flg,1 : seplay 9,1100 : goto *rmenu_custom_btlp_100_ep5_2 ;選択されているキャラを一段悪化させる(コンディションがエンドまで来ているのなら処理を飛ばす) ;コンディションが0以下(未登場なら状態を変化させない) if %r_hyouji_cha_ma = 0 goto *rmenu_custom_btlp_50_ep5_2 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] >= ?r[%scenario_Number][%r_hyouji_cha_ma][condition_end] goto *rmenu_custom_btlp_50_ep5_2 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] <= 0 goto *rmenu_custom_btlp_50_ep5_2 mov %tmp,?r[%scenario_Number][%r_hyouji_cha_ma][condition] : inc %tmp : mov ?r[%scenario_Number][%r_hyouji_cha_ma][condition],%tmp seplay 9,1100 *rmenu_custom_btlp_50_ep5_2 notif %r_hyouji_cha_ma = 0 goto *rmenu_custom_btlp_100_ep5_2 ;キャラ全員の状態を悪化させる。 for %tmp = 1 to cha_kazu_ep5_2 if ?r[%scenario_Number][%tmp][condition] >= ?r[%scenario_Number][%tmp][condition_end] goto *rmenu_custom_btlp_90_ep5_2 if ?r[%scenario_Number][%tmp][condition] <= 0 goto *rmenu_custom_btlp_90_ep5_2 mov %tmp2,?r[%scenario_Number][%tmp][condition] : inc %tmp2 : mov ?r[%scenario_Number][%tmp][condition],%tmp2 *rmenu_custom_btlp_90_ep5_2 next seplay 9,1100 *rmenu_custom_btlp_100_ep5_2 ;メニュー表示がTIPSなら、キャラに変更してしまう if %r_hyouji = 1 csp r_char_lsp : mov %r_hyouji,0 : goto *rmenu_sai_hyouji_ep5_2 gosub *r_cha_botann_ep5_2 print 1 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = r_resu_lsp jumpf ;選択キャラがシエスタで、尚且つ556なら、状態を死亡に変化させる。 if %r_hyouji_cha_ma = ma5_s && %r_s_hyouji = 4 mov %r_s556_flg,2 : seplay 9,1021 : goto *rmenu_custom_btlp_200_ep5_2 if %r_hyouji_cha_ma = ma5_s goto *rmenu_botann_saisettei_ep5_2 if %r_hyouji_cha_ma = 0 goto *rmenu_custom_btlp_150_ep5_2 ;選択されているキャラを一段回復させる(コンディションがエンドまで来ているのなら処理を飛ばす、0は処理を飛ばす) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] <= 1 goto *rmenu_custom_btlp_200_ep5_2 mov %tmp,?r[%scenario_Number][%r_hyouji_cha_ma][condition] : dec %tmp : mov ?r[%scenario_Number][%r_hyouji_cha_ma][condition],%tmp seplay 9,1021 *rmenu_custom_btlp_150_ep5_2 notif %r_hyouji_cha_ma = 0 goto *rmenu_custom_btlp_200_ep5_2 ;キャラ全員の状態を悪化させる。 for %tmp = 1 to cha_kazu_ep5_2 if ?r[%scenario_Number][%tmp][condition] <= 1 goto *rmenu_custom_btlp_190_ep5_2 mov %tmp2,?r[%scenario_Number][%tmp][condition] : dec %tmp2 : mov ?r[%scenario_Number][%tmp][condition],%tmp2 *rmenu_custom_btlp_190_ep5_2 next seplay 9,1023 *rmenu_custom_btlp_200_ep5_2 ;メニュー表示がTIPSなら、キャラに変更してしまう if %r_hyouji = 1 csp r_char_lsp : mov %r_hyouji,0 : goto *rmenu_sai_hyouji_ep5_2 gosub *r_cha_botann_ep5_2 print 22 goto *rmenu_botann_saisettei_ep5_2 ~ if %BtnRes = 0 && %cha_page_mode = 1 goto *rmenu_botann_saisettei_ep5_2 if %BtnRes = 0 mov %r_hyouji_cha_ma,0 : goto *rmenu_botann_saisettei_ep5_2 if %BtnRes = r_save_lsp gosub *r_gazou_shokika_ep5_2 : seplay 9,1000 : gosub *savemode :goto *r_exit2_ep5_2 if %BtnRes = r_load_lsp gosub *r_gazou_shokika_ep5_2 : seplay 9,1000 : gosub *loadmode :goto *r_exit2_ep5_2 if %BtnRes = r_blog_lsp gosub *r_gazou_shokika_ep5_2 : seplay 9,1000 : gosub *text_cw_lookback : goto *return_rmenu_main2 if %BtnRes = r_title_lsp seplay 9,1000 : goto *rmenu_title_back_ep5_2 if %BtnRes = r_exit_lsp goto *r_exit_ep5_2 if %BtnRes = -1 goto *r_exit_ep5_2 ;右クリックで抜ける if %BtnRes = -10 goto *r_exit_ep5_2 ;ESCで抜ける ;エピソード移動ボタン(押されたボタンによって、EP5のTips、EP6のTipsを移動する) notif %BtnRes = r_ep5_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,0 ;mov %r_hyouji,1 mov %r_hyouji_side,1 mov %r_hyouji_tips,0 mov %tips_page,1 mov %scenario_Number,5 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,2 gosub *r_gazou_shokika_ep5_2 goto *rmenu_main_ep5_2 ~ ;エピソード移動ボタン(押されたボタンによって、魔女空間に移動する) notif %BtnRes = r_ep6_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,1 ;mov %r_hyouji,0 mov %r_hyouji_tips,0 mov %tips_page,1 mov %scenario_Number,6 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,2 gosub *r_gazou_shokika_ep5_2 goto *rmenu_main_ep6_2 ~ notif %BtnRes = r_ep7_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,1 ;mov %r_hyouji,0 mov %r_hyouji_tips,0 mov %tips_page,1 mov %scenario_Number,7 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,2 gosub *r_gazou_shokika_ep5_2 goto *rmenu_main_ep7_2 ~ notif %BtnRes = r_ep8_lsp jumpf ;各変数の初期化 mov %r_hyouji_cha,0 mov %r_side,1 ;mov %r_hyouji,0 mov %r_hyouji_tips,0 mov %tips_page,1 mov %scenario_Number,8 lsp 0,":a;bmp\efe\ware.bmp",0,0 E_A seplay 9,1100 print 1 csp 0 mov %r_side_change,2 gosub *r_gazou_shokika_ep5_2 goto *rmenu_main_ep8_2 ~ ;NEXTボタン(魔女サイドから第三サイドへ切替 進む) notif %BtnRes = r_next_lsp jumpf ;各変数の初期化 ; mov %r_hyouji,0 ; lsp 0,":a;bmp\efe\ware.bmp",0,0 ; se2 52 ; print 99,1000,"breakup.dll/lrb" ; csp 0 ; mov %cha_text_page,1 mov %r_hyouji,0 lsp 0,":a;bmp\efe\ware.bmp",0,0 se1 22 print 99,1000,"trvswave.dll/" csp 0 mov %cha_text_page,1 ; if %play_scene < 40010 mov %r_side_change,2 : gosub *r_gazou_shokika_ep5 : goto *rmenu_main_ep5 notif %r_hyouji_side = 1 jumpf if %scenario_Number = 6 mov %r_hyouji_side,2 : mov %r_side_change,2 : gosub *r_gazou_shokika_ep6_3 : goto *rmenu_main_ep6_3 if %scenario_Number = 8 mov %r_hyouji_side,2 : mov %r_side_change,2 : gosub *r_gazou_shokika_ep8_3 : goto *rmenu_main_ep8_3 ; if %scenario_Number = 6 && %r_hyouji_side = 2 mov %r_side_change,1 : mov %r_hyouji_side,1 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep6_2 ~ ;NEXTボタン(人間サイド、魔女サイドの切替 戻る) notif %BtnRes = r_next2_lsp goto *r_next_btn_skip_100_ep5_2 ;各変数の初期化 mov %r_hyouji,0 ; mov %r_hyouji_cha,but lsp 0,":a;bmp\efe\ware.bmp",0,0 se1 22 print 99,1000,"trvswave.dll/" csp 0 mov %cha_text_page,1 ; if %play_scene < 40010 mov %r_side_change,2 : gosub *r_gazou_shokika_ep5 : goto *rmenu_main_ep5 if %scenario_Number = 5 mov %r_side,0 : mov %r_side_change,1 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep5 notif %r_hyouji_side = 1 jumpf if %scenario_Number = 6 mov %r_hyouji_side,0 : mov %r_side_change,1 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep6 if %scenario_Number = 7 mov %r_hyouji_side,0 : mov %r_side_change,1 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep7 if %scenario_Number = 8 mov %r_hyouji_side,0 : mov %r_side_change,1 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep8 ~ notif %r_hyouji_side = 2 jumpf if %scenario_Number = 6 mov %r_hyouji_side,1 : mov %r_side_change,2 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep6_2 if %scenario_Number = 7 mov %r_hyouji_side,1 : mov %r_side_change,2 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep7_2 if %scenario_Number = 8 mov %r_hyouji_side,1 : mov %r_side_change,2 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep8_2 ~ ; notif %r_hyouji_side = 3 jumpf ; if %scenario_Number = 8 mov %r_hyouji_side,2 : mov %r_side_change,3 : gosub *r_gazou_shokika_ep5 :goto *rmenu_main_ep8_3 ; ~ ; mov %r_side_change,1 : gosub *r_gazou_shokika_ep5 : goto *rmenu_main_ep5 *r_next_btn_skip_100_ep5_2 ;衣装チェンジボタン(衣装のチェンジ出来るキャラはコンディションを1に初期化して、衣装チェンジを行う) notif %BtnRes = r_change_lsp jumpf notif %scenario_Number = 6 goto *change_isyou_ep7 mov %r_hyouji_cha_ma,%r_hyouji_cha_enj mov ?r[%scenario_Number][%r_hyouji_cha_ma][condition],1 if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],2 : goto *r_change_lsp_100_ep5_2 if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 goto *r_change_lsp_100_ep5_2 *change_isyou_ep7 notif %scenario_Number = 7 goto *change_isyou_ep8 mov %r_hyouji_cha_ma,%r_hyouji_cha_enj mov ?r[%scenario_Number][%r_hyouji_cha_ma][condition],1 notif %r_hyouji_cha_ma = ma5_bea goto *change_isyou_ep7_rg if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],2 : goto *r_change_lsp_100_ep5_2 if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 ; if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 3 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 goto *r_change_lsp_100_ep5_2 *change_isyou_ep7_rg mov %r_hyouji_cha_ma,%r_hyouji_cha_enj if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],2 : goto *r_change_lsp_100_ep5_2 if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 goto *r_change_lsp_100_ep5_2 *change_isyou_ep8 notif %scenario_Number = 8 goto *change_isyou_ep8_2 mov %r_hyouji_cha_ma,%r_hyouji_cha_enj mov ?r[%scenario_Number][%r_hyouji_cha_ma][condition],1 notif %r_hyouji_cha_ma = ma5_bea goto *change_isyou_ep8_rg if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],2 : goto *r_change_lsp_100_ep5_2 if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 ; if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 3 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 goto *r_change_lsp_100_ep5_2 *change_isyou_ep8_rg ; mov %r_hyouji_cha_ma,%r_hyouji_cha_enj ; if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],2 : goto *r_change_lsp_100_ep5_2 ; if ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 mov ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou],1 : goto *r_change_lsp_100_ep5_2 *change_isyou_ep8_2 goto *r_change_lsp_100_ep5_2 *r_change_lsp_100_ep5_2 ;衣装チェンジでアイコン変化 notif %r_for_title = 1 goto *r_change_lsp_100_ep6_2 ;EP6 フェザリーヌ ; if %scenario_Number = 6 && %r_hyouji_side = 2 && ?r[6][ma5_fea][r_ishou] = 2 lsp ma5_FEA_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l2.bmp",?r[%scenario_Number][ma5_fea][itiran_x],?r[%scenario_Number][ma5_fea][itiran_y] : gosub *r_cha_botann_ep5_2 :jumpf ; if %scenario_Number = 6 && %r_hyouji_side = 2 && ?r[6][ma5_fea][r_ishou] = 1 lsp ma5_FEA_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l.bmp",?r[%scenario_Number][ma5_fea][itiran_x],?r[%scenario_Number][ma5_fea][itiran_y]: gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 6 && %r_hyouji_side = 2 && ?r[6][ma5_fea][r_ishou] = 2 mov $?r[6][ma5_FEA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l2.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 6 && %r_hyouji_side = 2 && ?r[6][ma5_fea][r_ishou] = 1 mov $?r[6][ma5_FEA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 7 && %r_hyouji_side = 1 && ?r[7][ma5_bea][r_ishou] = 1 && %r_hyouji_cha_ma = ma5_bea mov $?r[7][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 7 && %r_hyouji_side = 1 && ?r[7][ma5_bea][r_ishou] = 2 && %r_hyouji_cha_ma = ma5_bea mov $?r[7][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf ; if %scenario_Number = 7 && %r_hyouji_side = 1 && ?r[7][ma5_bea][r_ishou] = 3 && %r_hyouji_cha_ma = ma5_bea mov $?r[7][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l3.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 7 && %r_hyouji_side = 1 && ?r[7][ma5_rg][r_ishou] = 1 && %r_hyouji_cha_ma = ma5_rg mov $?r[7][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\rg_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 7 && %r_hyouji_side = 1 && ?r[7][ma5_rg][r_ishou] = 2 && %r_hyouji_cha_ma = ma5_rg mov $?r[7][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\rg_l2.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 8 && %r_hyouji_side = 1 && ?r[8][ma5_bea][r_ishou] = 1 && %r_hyouji_cha_ma = ma5_bea mov $?r[8][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf if %scenario_Number = 8 && %r_hyouji_side = 1 && ?r[8][ma5_bea][r_ishou] = 2 && %r_hyouji_cha_ma = ma5_bea mov $?r[8][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf ; if %scenario_Number = 8 && %r_hyouji_side = 1 && ?r[8][ma5_bea][r_ishou] = 3 && %r_hyouji_cha_ma = ma5_bea mov $?r[8][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l3.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf ; if %scenario_Number = 8 && %r_hyouji_side = 1 && ?r[8][ma5_rg][r_ishou] = 1 && %r_hyouji_cha_ma = ma5_rg mov $?r[8][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\rg_l.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf ; if %scenario_Number = 8 && %r_hyouji_side = 1 && ?r[8][ma5_rg][r_ishou] = 2 && %r_hyouji_cha_ma = ma5_rg mov $?r[8][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\rg_l2.bmp" : seplay 9,1001 : gosub *r_cha_botann_ep5_2 :jumpf *r_change_lsp_100_ep6_2 gosub *r_cha_hyouji_ep5_2 seplay 9,1001 print 1 ~ ;キャラページ移動ボタン if %BtnRes = cha_next_lsp inc %cha_text_page : mov %cha_page_flg,%r_hyouji_cha_ma : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 : jumpf if %BtnRes = cha_back_lsp dec %cha_text_page : mov %cha_page_flg,%r_hyouji_cha_ma : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 : jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする ; if %BtnRes = ma5_k gosub *r_cha_k_ep5_2 ; if %BtnRes = ma5_zf gosub *r_cha_zf_ep6_2 if %BtnRes = ma5_w mov %r_hyouji_cha_ma,ma5_w : gosub *r_cha_hyouji_ep5_2 if %BtnRes = ma5_k mov %r_hyouji_cha_ma,ma5_k : gosub *r_cha_hyouji_ep5_2 if %BtnRes = ma5_zf mov %r_hyouji_cha_ma,ma5_zf : gosub *r_cha_hyouji_ep5_2 if %BtnRes = ma5_lam mov %r_hyouji_cha_ma,ma5_lam : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_ber mov %r_hyouji_cha_ma,ma5_ber : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_bea mov %r_hyouji_cha_ma,ma5_bea : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_be2 mov %r_hyouji_cha_ma,ma5_be2 : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_wal mov %r_hyouji_cha_ma,ma5_wal : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_ron mov %r_hyouji_cha_ma,ma5_ron : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_gap mov %r_hyouji_cha_ma,ma5_gap : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_s mov %r_hyouji_cha_ma,ma5_s : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_rg mov %r_hyouji_cha_ma,ma5_rg : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_eri mov %r_hyouji_cha_ma,ma5_eri : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_but mov %r_hyouji_cha_ma,ma5_but : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_dla mov %r_hyouji_cha_ma,ma5_dla : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_ger mov %r_hyouji_cha_ma,ma5_ger : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_cor mov %r_hyouji_cha_ma,ma5_cor : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_enj mov %r_hyouji_cha_ma,ma5_enj : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_mar mov %r_hyouji_cha_ma,ma5_mar : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_GOA mov %r_hyouji_cha_ma,ma5_GOA : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_ZEP mov %r_hyouji_cha_ma,ma5_ZEP : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_FUR mov %r_hyouji_cha_ma,ma5_FUR : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_AMA mov %r_hyouji_cha_ma,ma5_AMA : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_FEA mov %r_hyouji_cha_ma,ma5_FEA : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_WIL mov %r_hyouji_cha_ma,ma5_WIL : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_CUR mov %r_hyouji_cha_ma,ma5_CUR : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_EN2 mov %r_hyouji_cha_ma,ma5_EN2 : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_bb mov %r_hyouji_cha_ma,ma5_bb : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_wr mov %r_hyouji_cha_ma,ma5_wr : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 if %BtnRes = ma5_ev2 mov %r_hyouji_cha_ma,ma5_ev2 : gosub *r_cha_hyouji_ep5_2 : seplay 9,1001 : print 1 ~ *tips_sys_ep5_2 notif %scenario_Number = 5 goto *tips_sys_ep6_2 ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = tips2_lsp jumpf notif %r_hyouji_tips = 2 mov %tips_page,1 mov %r_hyouji_tips,2 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = tips3_lsp jumpf notif %r_hyouji_tips = 3 mov %tips_page,1 mov %r_hyouji_tips,3 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = tips4_lsp jumpf notif %r_hyouji_tips = 4 mov %tips_page,1 mov %r_hyouji_tips,4 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ *tips_sys_ep6_2 notif %scenario_Number = 6 goto *tips_sys_ep7_2 ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = tips2_lsp jumpf notif %r_hyouji_tips = 2 mov %tips_page,1 mov %r_hyouji_tips,2 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ *tips_sys_ep7_2 notif %scenario_Number = 7 goto *tips_sys_ep8_2 ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ *tips_sys_ep8_2 notif %scenario_Number = 8 goto *tips_sys_end_2 ;Tipsが押された場合、Tips表示処理に飛ぶ notif %BtnRes = tips1_lsp jumpf notif %r_hyouji_tips = 1 mov %tips_page,1 mov %r_hyouji_tips,1 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = tips2_lsp jumpf notif %r_hyouji_tips = 2 mov %tips_page,1 mov %r_hyouji_tips,2 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ notif %BtnRes = tips3_lsp jumpf notif %r_hyouji_tips = 3 mov %tips_page,1 mov %r_hyouji_tips,3 gosub *r_tips_hyouji_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ~ *tips_sys_end_2 ;NEXT、Backが押された場合はそのページを表示する。 if %BtnRes = tips_next_lsp inc %tips_page : gosub *r_tips_hyouji_ep5_2 : goto *rmenu_botann_saisettei_ep5_2 if %BtnRes = tips_back_lsp dec %tips_page : gosub *r_tips_hyouji_ep5_2 : goto *rmenu_botann_saisettei_ep5_2 goto *rmenu_botann_saisettei_ep5_2 ;******************************************************************************** ; 画像初期化ルーチン *r_gazou_shokika_ep5_2 for %tmp = 100 to r_used_lsp : csp %tmp next csp r_bg_lsp csp r_black_lsp return ;******************************************************************************** ; 右クリックシステムを抜ける処理 *r_exit_ep5_2 btndef "" gosub *r_gazou_shokika_ep5_2 csp r_bg_lsp csp r_black_lsp seplay 9,1100 print 1 texton if %monoflg = 1 mono 1 if %monoflg = 2 mono 2 return ;右クリックシステムを抜ける ;------------------------------------- ; 右クリックシステムを抜ける処理割れるエフェクトなし *r_exit2_ep5_2 btndef "" gosub *r_gazou_shokika_ep5_2 csp r_bg_lsp csp r_black_lsp mov %BtnRes,0 print 1 texton if %monoflg = 1 mono 1 if %monoflg = 2 mono 2 return ;右クリックシステムを抜ける ;******************************************************************************** *return_rmenu_main2 if %scenario_Number = 5 goto *rmenu_main_ep5_2 if %scenario_Number = 6 && %r_hyouji_side = 1 goto *rmenu_main_ep6_2 if %scenario_Number = 6 && %r_hyouji_side = 2 goto *rmenu_main_ep6_3 if %scenario_Number = 7 goto *rmenu_main_ep7_2 if %scenario_Number = 8 goto *rmenu_main_ep8_2 mesbox "シナリオ番号エラー","シナリオ番号がおかしいです" ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep5_2 csp cha_next_lsp csp cha_back_lsp notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha_ma,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp *tips_ep5_side2 ;EP5 TIPS notif %scenario_Number = 5 goto *tips_ep6_side2 ;アイゼルネ・ユングフラウ notif %r_hyouji_tips = 1 goto *tips_ep5_2_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\1_2.bmp" *tips_ep5_2_1 ;赤鍵(セキケン) notif %r_hyouji_tips = 2 goto *tips_ep5_2_2 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_3.bmp" *tips_ep5_2_2 ;青鍵(セイケン) notif %r_hyouji_tips = 3 goto *tips_ep5_2_3 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_3.bmp" *tips_ep5_2_3 ;ノックス十戒 notif %r_hyouji_tips = 4 goto *tips_ep5_2_4 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\4_1.bmp" cell tips4_lsp,1 *tips_ep5_2_4 *tips_ep6_side2 ;EP6 TIPS notif %scenario_Number = 6 goto *tips_ep7_side2 notif %r_hyouji_tips = 1 goto *tips_ep6_side2_2 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_2.bmp" *tips_ep6_side2_2 notif %r_hyouji_tips = 2 goto *tips_ep6_side2_3 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_3.bmp" *tips_ep6_side2_3 *tips_ep7_side2 ;EP7 TIPS notif %scenario_Number = 7 goto *tips_ep8_side2 notif %r_hyouji_tips = 1 goto *tips_ep8_side2 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_2.bmp" ;EP8 TIPS *tips_ep8_side2 notif %scenario_Number = 8 goto *tips_end notif %r_hyouji_tips = 1 goto *tips_ep8_side2_2 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\1_2.bmp" *tips_ep8_side2_2 notif %r_hyouji_tips = 2 goto *tips_ep8_side2_3 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\2_3.bmp" *tips_ep8_side2_3 notif %r_hyouji_tips = 3 goto *tips_end cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_2.bmp" if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep8\3_3.bmp" *tips_end csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;******************************************************************************** ;キャラの立ち絵、テキストの表示、それぞれのキャラ毎に処理を行う *r_cha_hyouji_ep5_2 if %r_hyouji_cha_ma = 0 return mov %r_hyouji,0 ;mov %tips_page ,1 ;mov %r_hyouji_tips,0 ;tispの表示を0(なしにする) csp r_tips_txt_lsp csp tips_next_lsp csp tips_back_lsp vsp r_hana_lsp,1 csp cha_back_lsp csp cha_next_lsp csp r_change_lsp ;タイトル画面から入っていて、所定のキャラならチェンジボタンを表示する、それ以外ならボタンを消去 csp r_change_lsp notif %r_for_title = 1 jumpf ;衣装変更があるキャラを表示している場合は、チェンジボタンを表示 ;(キャラ表示ルーチンで対応?) ; if %scenario_Number = 6 && %r_hyouji_cha_ma = ma5_ENJ lsp r_change_lsp,r_change,572,452 if %scenario_Number = 6 && %r_hyouji_cha_ma = ma5_FEA lsp r_change_lsp,r_change,572,452 if %scenario_Number = 6 && ?r[6][ma5_eri][condition] = 1 && %r_hyouji_cha_ma = ma5_eri lsp r_change_lsp,r_change,572,452 if %scenario_Number = 7 && %r_hyouji_cha_ma = ma5_bea lsp r_change_lsp,r_change,572,452 if %scenario_Number = 7 && %r_hyouji_cha_ma = ma5_rg lsp r_change_lsp,r_change,572,452 ~ if %scenario_Number = 8 && %r_hyouji_cha_ma = ma5_bea lsp r_change_lsp,r_change,572,452 ; if %scenario_Number = 8 && %r_hyouji_cha_ma = ma5_rg lsp r_change_lsp,r_change,572,452 if %cha_text_page > ?r_cha_text[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]] mov %cha_text_page,1 notif %cha_page_flg = %r_hyouji_cha_ma mov %cha_text_page,1 notif %scenario_Number = 5 jumpf mov %r_hyouji_cha_enj,0 ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする if %r_hyouji_cha_ma = ma5_k : gosub *r_cha_k_ep5_2 if %r_hyouji_cha_ma = ma5_lam : gosub *r_cha_lam_ep5_2 if %r_hyouji_cha_ma = ma5_ber : gosub *r_cha_ber_ep5_2 if %r_hyouji_cha_ma = ma5_bea : gosub *r_cha_bea_ep5_2 if %r_hyouji_cha_ma = ma5_wal : gosub *r_cha_wal_ep5_2 if %r_hyouji_cha_ma = ma5_ron : gosub *r_cha_ron_ep5_2 if %r_hyouji_cha_ma = ma5_gap : gosub *r_cha_gap_ep5_2 if %r_hyouji_cha_ma = ma5_s : gosub *r_cha_s_ep5_2 if %r_hyouji_cha_ma = ma5_rg : gosub *r_cha_rg_ep5_2 if %r_hyouji_cha_ma = ma5_eri : gosub *r_cha_eri_ep5_2 if %r_hyouji_cha_ma = ma5_dla : gosub *r_cha_dla_ep5_2 if %r_hyouji_cha_ma = ma5_ger : gosub *r_cha_ger_ep5_2 if %r_hyouji_cha_ma = ma5_cor : gosub *r_cha_cor_ep5_2 if %r_hyouji_cha_ma = ma5_but : gosub *r_cha_but_ep5_2 ~ notif %scenario_Number = 6 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする mov %r_hyouji_cha_enj,%r_hyouji_cha_ma notif %r_hyouji_side = 1 jumpf ;キャラ表示サイドが魔女サイド以外ならジャンプ if %r_hyouji_cha_ma = ma5_w : gosub *r_cha_w_ep6_2 if %r_hyouji_cha_ma = ma5_k : gosub *r_cha_k_ep6_2 if %r_hyouji_cha_ma = ma5_lam : gosub *r_cha_lam_ep6_2 if %r_hyouji_cha_ma = ma5_ber : gosub *r_cha_ber_ep6_2 if %r_hyouji_cha_ma = ma5_be2 : gosub *r_cha_be2_ep6_2 if %r_hyouji_cha_ma = ma5_bea : gosub *r_cha_bea_ep6_2 if %r_hyouji_cha_ma = ma5_wal : gosub *r_cha_wal_ep6_2 if %r_hyouji_cha_ma = ma5_ron : gosub *r_cha_ron_ep6_2 if %r_hyouji_cha_ma = ma5_gap : gosub *r_cha_gap_ep6_2 if %r_hyouji_cha_ma = ma5_s : gosub *r_cha_s_ep6_2 if %r_hyouji_cha_ma = ma5_rg : gosub *r_cha_rg_ep6_2 if %r_hyouji_cha_ma = ma5_eri : gosub *r_cha_eri_ep6_2 if %r_hyouji_cha_ma = ma5_dla : gosub *r_cha_dla_ep6_2 if %r_hyouji_cha_ma = ma5_ger : gosub *r_cha_ger_ep6_2 if %r_hyouji_cha_ma = ma5_cor : gosub *r_cha_cor_ep6_2 if %r_hyouji_cha_ma = ma5_but : gosub *r_cha_but_ep6_2 if %r_hyouji_cha_ma = ma5_zf : gosub *r_cha_zf_ep6_2 if %r_hyouji_cha_ma = ma5_ZEP : gosub *r_cha_ZEP_ep6_2 if %r_hyouji_cha_ma = ma5_FUR : gosub *r_cha_FUR_ep6_2 if %r_hyouji_cha_ma = ma5_GOA : gosub *r_cha_GOA_ep6_2 ~ notif %scenario_Number = 6 jumpf notif %r_hyouji_side = 2 jumpf if %r_hyouji_cha_ma = ma5_ENJ : gosub *r_cha_enj_ep6_3 if %r_hyouji_cha_ma = ma5_AMA : gosub *r_cha_AMA_ep6_3 if %r_hyouji_cha_ma = ma5_FEA : gosub *r_cha_FEA_ep6_3 ~ notif %scenario_Number = 7 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする mov %r_hyouji_cha_enj,%r_hyouji_cha_ma notif %r_hyouji_side = 1 jumpf ;キャラ表示サイドが魔女サイド以外ならジャンプ if %r_hyouji_cha_ma = ma5_w : gosub *r_cha_w_ep7_2 if %r_hyouji_cha_ma = ma5_ber : gosub *r_cha_ber_ep7_2 if %r_hyouji_cha_ma = ma5_bea : gosub *r_cha_bea_ep7_2 if %r_hyouji_cha_ma = ma5_wal : gosub *r_cha_wal_ep7_2 if %r_hyouji_cha_ma = ma5_ron : gosub *r_cha_ron_ep7_2 if %r_hyouji_cha_ma = ma5_gap : gosub *r_cha_gap_ep7_2 if %r_hyouji_cha_ma = ma5_s : gosub *r_cha_s_ep7_2 if %r_hyouji_cha_ma = ma5_rg : gosub *r_cha_rg_ep7_2 if %r_hyouji_cha_ma = ma5_mar : gosub *r_cha_mar_ep7_2 if %r_hyouji_cha_ma = ma5_zf : gosub *r_cha_zf_ep7_2 if %r_hyouji_cha_ma = ma5_ZEP : gosub *r_cha_ZEP_ep7_2 if %r_hyouji_cha_ma = ma5_FUR : gosub *r_cha_FUR_ep7_2 if %r_hyouji_cha_ma = ma5_GOA : gosub *r_cha_GOA_ep7_2 if %r_hyouji_cha_ma = ma5_mar : gosub *r_cha_mar_ep7_2 if %r_hyouji_cha_ma = ma5_WIL : gosub *r_cha_WIL_ep7_2 if %r_hyouji_cha_ma = ma5_CUR : gosub *r_cha_CUR_ep7_2 ~ notif %scenario_Number = 8 jumpf ;キャラアイコンが押された場合、それぞれのキャラへとジャンプする mov %r_hyouji_cha_enj,%r_hyouji_cha_ma notif %r_hyouji_side = 1 jumpf ;キャラ表示サイドが魔女サイド以外ならジャンプ ; if %r_hyouji_cha_ma = ma5_mar : gosub *r_cha_mar_ep8_2 if %r_hyouji_cha_ma = ma5_w : gosub *r_cha_w_ep8_2 if %r_hyouji_cha_ma = ma5_k : gosub *r_cha_k_ep8_2 if %r_hyouji_cha_ma = ma5_lam : gosub *r_cha_lam_ep8_2 if %r_hyouji_cha_ma = ma5_ber : gosub *r_cha_ber_ep8_2 ; if %r_hyouji_cha_ma = ma5_be2 : gosub *r_cha_be2_ep8_2 if %r_hyouji_cha_ma = ma5_bea : gosub *r_cha_bea_ep8_2 if %r_hyouji_cha_ma = ma5_wal : gosub *r_cha_wal_ep8_2 if %r_hyouji_cha_ma = ma5_ron : gosub *r_cha_ron_ep8_2 if %r_hyouji_cha_ma = ma5_gap : gosub *r_cha_gap_ep8_2 if %r_hyouji_cha_ma = ma5_s : gosub *r_cha_s_ep8_2 if %r_hyouji_cha_ma = ma5_rg : gosub *r_cha_rg_ep8_2 if %r_hyouji_cha_ma = ma5_eri : gosub *r_cha_eri_ep8_2 if %r_hyouji_cha_ma = ma5_dla : gosub *r_cha_dla_ep8_2 if %r_hyouji_cha_ma = ma5_ger : gosub *r_cha_ger_ep8_2 if %r_hyouji_cha_ma = ma5_cor : gosub *r_cha_cor_ep8_2 if %r_hyouji_cha_ma = ma5_but : gosub *r_cha_but_ep8_2 if %r_hyouji_cha_ma = ma5_zf : gosub *r_cha_zf_ep8_2 if %r_hyouji_cha_ma = ma5_ZEP : gosub *r_cha_ZEP_ep8_2 if %r_hyouji_cha_ma = ma5_FUR : gosub *r_cha_FUR_ep8_2 if %r_hyouji_cha_ma = ma5_GOA : gosub *r_cha_GOA_ep8_2 if %r_hyouji_cha_ma = ma5_WIL : gosub *r_cha_WIL_ep8_2 ; if %r_hyouji_cha_ma = ma5_CUR : gosub *r_cha_CUR_ep8_2 if %r_hyouji_cha_ma = ma5_EN2 : gosub *r_cha_EN2_ep8_2 if %r_hyouji_cha_ma = ma5_bb : gosub *r_cha_bb_ep8_2 if %r_hyouji_cha_ma = ma5_wr : gosub *r_cha_wr_ep8_2 if %r_hyouji_cha_ma = ma5_ev2 : gosub *r_cha_ev2_ep8_2 if %r_hyouji_cha_ma = ma5_Fea : gosub *r_cha_fea_ep8_2 ~ notif %scenario_Number = 8 jumpf notif %r_hyouji_side = 2 jumpf if %r_hyouji_cha_ma = ma5_ENJ : gosub *r_cha_enj_ep8_3 if %r_hyouji_cha_ma = ma5_AMA : gosub *r_cha_AMA_ep8_3 if %r_hyouji_cha_ma = ma5_FEA : gosub *r_cha_FEA_ep8_3 ~ if ?r_cha_text[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]] > %cha_text_page lsp cha_next_lsp,tips_next,200,407 if %cha_text_page > 1 lsp cha_back_lsp,tips_back,250,407 ;(表示処理) lsp cha_lsp,$r_cha_tati_path,?r[%scenario_Number][%r_hyouji_cha_ma][tati_x],0 lsp r_cha_txt_lsp,$r_txt_path,188,r_top_y return ;******************************************************************************** ;******************************************************************************** ;******************************************************* ; ■キャラ情報表示 ; ; キャラ立ち絵 ; コメント1(名前、年齢など変化しない、しにくい部分) ; コメント2(現在状況等、状況によって変化するところ) ; キャラクター切り替えボタン ←→ ; ;******************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ *r_cha_lam_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\lam.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\lam_1.bmp" return ;------------------------------ *r_cha_ber_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ber.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ber_1.bmp" return ;------------------------------ *r_cha_bea_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\bea_11.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\bea_12.bmp" return ;------------------------------ *r_cha_mar_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],380 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar_2.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],410 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_2.bmp" return ;------------------------------ *r_cha_enj_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\enj.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\enj_1.bmp" return ;------------------------------ *r_cha_kin_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\kin.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\kin_1.bmp" return ;------------------------------ *r_cha_sak_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\sak.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\sak_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\sak_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\sak_2.bmp" return ;------------------------------ *r_cha_wal_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\wal.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\wal_1.bmp" return ;------------------------------ *r_cha_ron_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ron.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ron_1.bmp" return ;------------------------------ *r_cha_gap_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\gap.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\gap_1.bmp" return ;------------------------------ *r_cha_s_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" ;変化フラグが1、2の場合は556の特殊処理を入れる if %r_s556_flg = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" : mov %r_s556_flg,0 : return if %r_s556_flg = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55.bmp" : mov %r_s556_flg,0 : return ;ランダムで姉妹の内、一人を表示 if %r_for_title = 0 rnd2 %r_s_hyouji,1,3 if %r_for_title = 1 rnd2 %r_s_hyouji,1,4 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %r_s_hyouji = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s45_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" if %r_s_hyouji = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s41_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s41.bmp" if %r_s_hyouji = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s00_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s00.bmp" if %r_s_hyouji = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" return ;------------------------------ *r_cha_rg_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\lam.bmp" ;ランダムで7姉妹の内、一人を表示 rnd2 %ran,1,7 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %ran = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg1_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg1.bmp" if %ran = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg2_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg2.bmp" if %ran = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg3_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg3.bmp" if %ran = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg4_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg4.bmp" if %ran = 5 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg5_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg5.bmp" if %ran = 6 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg6_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg6.bmp" if %ran = 7 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg7_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg7.bmp" return ;------------------------------ *r_cha_k_ep5_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf mov %ran,0 rnd2 %ran,1,2 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %ran = 1 mov %r_hyouji_cha_ma,ma5_ger : mov %BtnRes,ma5_ger : return if %ran = 2 mov %r_hyouji_cha_ma,ma5_cor : mov %BtnRes,ma5_cor : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep5_2 return ;------------------------------ *r_cha_goa_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\goa.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\goa_1.bmp" return ;------------------------------ *r_cha_eri_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\eri.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\eri_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\eri_12.bmp" ~ return ;------------------------------ *r_cha_dla_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return mov %ran,0 rnd2 %ran,1,16 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\dla.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 && %ran = 15 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\dla_megane.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\dla_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\dla_12.bmp" ~ return ;------------------------------ *r_cha_ger_ep5_2 ;状態、進行によってコメントを変える mov %r_hyouji_cha_ma,ma5_ger if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ger.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ger_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ger_12.bmp" ~ return ;------------------------------ *r_cha_cor_ep5_2 mov %r_hyouji_cha_ma,ma5_cor ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\cor.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\cor_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\cor_12.bmp" ~ return ;------------------------------ *r_cha_but_ep5_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\but.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\but_1.bmp" return ;------------------------------ ;************************************************************** ; 右クリック、Tipsボタンの表示 ;************************************************************** *r_tips_botann_ep5_2 mov %tmp,ma5_lam_btn_lsp : add %tmp,cha_kazu_ep5_2 for %tmp2 = ma5_lam_btn_lsp to %tmp : csp %tmp2 :next for %tmp2 = tips1_lsp to tips1_lsp : csp %tmp2 :next csp r_tips_txt_lsp csp tips_next_lsp csp tips_back_lsp csp r_cha_back_lsp vsp r_hana_lsp,1 csp r_next2_lsp ;背景黒枠の表示 lsp r_tips1_back_lsp,r_tips1_back,12,r_top_y,150 notif %scenario_Number = 5 jumpf ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_5_1,%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,r_tips_5_2,%tmp,%tmp1 : add %tmp1,32 if ?tips[3][tips_flg] = 1 lsp tips3_lsp,r_tips_5_3,%tmp,%tmp1 : add %tmp1,32 if ?tips[4][tips_flg] = 1 lsp tips4_lsp,r_tips_5_4,%tmp,%tmp1 : add %tmp1,32 ~ notif %scenario_Number = 6 jumpf ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_6_1,%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,r_tips_6_2,%tmp,%tmp1 : add %tmp1,32 ~ notif %scenario_Number = 7 jumpf ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_7_1,%tmp,%tmp1 : add %tmp1,32 ~ notif %scenario_Number = 8 jumpf ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,":a/2,0,3;bmp\r_click\tips_btn\tips8_1.bmp",%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,":a/2,0,3;bmp\r_click\tips_btn\tips8_2.bmp",%tmp,%tmp1 : add %tmp1,32 if ?tips[3][tips_flg] = 1 lsp tips3_lsp,":a/2,0,3;bmp\r_click\tips_btn\tips8_3.bmp",%tmp,%tmp1 : add %tmp1,32 ~ return ;************************************************************** ;************************************************************** ; 右クリック、キャラ一覧の表示 ;************************************************************** *r_cha_botann_ep5_2 ;tips用ボタンの削除 mov %tmp,tips1_lsp : add %tmp,%tips_kazu for %tmp2 = tips1_lsp to %tmp : csp %tmp2 :next csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 ;背景の表示 lsp r_cha_back_lsp,$r_cha_back,12,r_top_y ;キャラアイコンの表示(コンディションによって表示を変える) mov %tmp,ma5_lam_btn_lsp for %tmp2 = 1 to cha_kazu_ep5_2 if ?r[%scenario_Number][%tmp2][condition] = -1 jumpf ;コンデション-1は完全に非表示 lsp %tmp,$?r[%scenario_Number][%tmp2][?r[%scenario_Number][%tmp2][condition]],?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;衣装によってキャラアイコンの表示を切り替える ;if %tmp2 = ma3_ev2 && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp ma3_ev2_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ev2_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;if %tmp2 = geo && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp geo_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep2\geo_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;if %tmp2 = kan && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep2\kan_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ~ inc %tmp next ;現在表示しているキャラを表示 gosub *r_cha_hyouji_ep5_2 return ;キャラ一覧、個別キャラごとの変更。 *r_cha_botann_kobetu_ep5_2 csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 mov %tmp,kin_btn_lsp : add %tmp,%r_hyouji_cha_ma : dec %tmp lsp %tmp,$?r[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]],?r[%scenario_Number][%r_hyouji_cha_ma][itiran_x],?r[%scenario_Number][%r_hyouji_cha_ma][itiran_y] return ;************************************************************** ;************************************************************** ; 右クリック、タイトルバック機能 ; 確認メッセージを出して、処理を行う。 ;************************************************************** *rmenu_title_back_ep5_2 ;確認メッセージの表示 lsp title_back_bg_lsp,title_back_bg,193,171,230 lsp title_back_yes_lsp,title_back_yes,216,216 lsp title_back_no_lsp,title_back_no,216,254 print 1 btndef "" spbtn title_back_yes_lsp,title_back_yes_lsp spbtn title_back_no_lsp,title_back_no_lsp *rmenu_title_back_btn_lp_ep5_2 btnwait2 %tmp notif %tmp = title_back_yes_lsp jumpf btndef "" csp -1 E_A mov %title_skip,1 seplay 9,18 bg black,18,3000,"bmp\r_click\efe\kannon_r.bmp" delay 3000 texton reset ~ if %tmp = title_back_no_lsp csp title_back_bg_lsp : csp title_back_yes_lsp :csp title_back_no_lsp : seplay 9,1000 : goto *rmenu_sai_hyouji_ep5_2 if %tmp = 0 csp title_back_bg_lsp : csp title_back_yes_lsp :csp title_back_no_lsp goto *rmenu_sai_hyouji_ep5_2 if %tmp = -1 csp title_back_bg_lsp : csp title_back_yes_lsp :csp title_back_no_lsp goto *rmenu_sai_hyouji_ep5_2 goto *rmenu_title_back_btn_lp_ep5_2 ;************************************************************** ;右クリックシステム、メインメニュー *rmenu_main_ep6_def ;mov %play_scene,32030 ;mov %r_hyouji_cha,ma3_enj ;mov %r_for_title,1 ;mov %r_hyouji_side,0 ;表示サイドを保持する、そのサイドの表示を行うようにする。 ;(各変数の初期化は別途に行う) if %r_hyouji_side = 0 goto *rmenu_main_ep6 if %r_hyouji_side = 1 goto *rmenu_main_ep6_2 if %r_hyouji_side = 2 goto *rmenu_main_ep6_3 ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha,sha ;mov %UMINEKOEND,40 ;mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;bg G2F_R1a,1 ;****************************************************** ;EP6 通常サイド *rmenu_main_ep6 btndef "" ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep6_def if %r_side_change = 1 lsp 0,":c;bmp\r_click\black.bmp",0,0 : wait 300 : print 10,300 : csp 0 ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,0 mov %r_u_tea_flg,0 mov %r_hyouji_side,0 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to 18 mov ?r[6][%tmp][r_ishou],1 next mov ?r[6][eri][r_ishou],1 ;キャラ、Tipsフラグの初期化 for %tmp = 1 to 18 : mov ?r[6][%tmp][condition],1 : next mov ?r[6][eri][condition],-1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;Tipsフラグ設定 for %tmp = 1 to 10 : mov ?tips[%tmp][tips_flg],0 : next ;ep5からはシーン変数で状態を逐次チェックして処理を行う ;シーン変数をチェックサブルーチンにて処理(84.txtを使用) if %r_for_title = 1 jumpf ;if %play_scene >= 50000 && %play_scene <= 40300 gosub *rmenu_main_ep5_scene_40190 if %play_scene = 60000 gosub *rmenu_main_ep6_scene_60000 if %play_scene = 60010 gosub *rmenu_main_ep6_scene_60010 if %play_scene = 60011 gosub *rmenu_main_ep6_scene_60011 if %play_scene = 60012 gosub *rmenu_main_ep6_scene_60012 if %play_scene = 60013 gosub *rmenu_main_ep6_scene_60013 if %play_scene = 60020 gosub *rmenu_main_ep6_scene_60020 if %play_scene = 60030 gosub *rmenu_main_ep6_scene_60030 if %play_scene = 60031 gosub *rmenu_main_ep6_scene_60031 if %play_scene = 60040 gosub *rmenu_main_ep6_scene_60040 if %play_scene = 60050 gosub *rmenu_main_ep6_scene_60050 if %play_scene = 60060 gosub *rmenu_main_ep6_scene_60060 if %play_scene = 60070 gosub *rmenu_main_ep6_scene_60070 if %play_scene = 60071 gosub *rmenu_main_ep6_scene_60071 if %play_scene = 60080 gosub *rmenu_main_ep6_scene_60080 if %play_scene = 60090 gosub *rmenu_main_ep6_scene_60090 if %play_scene = 60100 gosub *rmenu_main_ep6_scene_60100 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[6][%r_hyouji_cha][condition]= -1 mov %r_hyouji_cha,0 ;タイトルから入って来た時にはキャラを全員liveで背景はランダムで表示 ;シーン番号に3000を代入。 notif %r_for_title = 1 goto *rmenu_main_100_ep5 for %tmp = 1 to 18 mov ?r[6][%tmp][condition],1 next for %tmp = 1 to 2 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_mhal2 mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;エリカを表示する mov ?r[6][eri][condition],1 ;mov ?r[6][bea][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][bea][itiran_y],%tmp ;mov ?r[6][enj][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[6][enj][itiran_y],%tmp ;mov ?r[6][mar][itiran_x],106 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[6][mar][itiran_y],%tmp mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov %play_scene,53000 mov %side_flg,1 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 6 mov %UMINEKOEND_TIPS_FLG,0 mov %r_hyouji_cha,but mov %r_hyouji,0 goto *rmenu_main_100_ep5 ;************************************************************** ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep6_2 btndef "" ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha_ma,ma_bea ;mov %UMINEKOEND,4 mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;mov %play_scene,2000 ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep6_2_def ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,1 mov %r_u_tea_flg,0 mov %r_s556_flg,0 mov %r_hyouji_side,1 mov %cha_text_page,1 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to ma5_cor mov ?r[%scenario_Number][%tmp][r_ishou],1 next ;チェック、コンディションデフォルト設定 for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][condition],-1 next ;Tipsフラグ設定 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" if %r_for_title = 1 jumpf if %play_scene = 60000 gosub *rmenu_main_ep6_2_scene_60000 if %play_scene = 60010 gosub *rmenu_main_ep6_2_scene_60010 if %play_scene = 60011 gosub *rmenu_main_ep6_2_scene_60011 if %play_scene = 60012 gosub *rmenu_main_ep6_2_scene_60012 if %play_scene = 60013 gosub *rmenu_main_ep6_2_scene_60013 if %play_scene = 60020 gosub *rmenu_main_ep6_2_scene_60020 if %play_scene = 60030 gosub *rmenu_main_ep6_2_scene_60030 if %play_scene = 60031 gosub *rmenu_main_ep6_2_scene_60031 if %play_scene = 60040 gosub *rmenu_main_ep6_2_scene_60040 if %play_scene = 60050 gosub *rmenu_main_ep6_2_scene_60050 if %play_scene = 60060 gosub *rmenu_main_ep6_2_scene_60060 if %play_scene = 60070 gosub *rmenu_main_ep6_2_scene_60070 if %play_scene = 60071 gosub *rmenu_main_ep6_2_scene_60071 if %play_scene = 60080 gosub *rmenu_main_ep6_2_scene_60080 if %play_scene = 60090 gosub *rmenu_main_ep6_2_scene_60090 if %play_scene = 60100 gosub *rmenu_main_ep6_2_scene_60100 ;if %play_scene = 40005 gosub *rmenu_main_ep5_2_scene_40005 ;if %play_scene < 40090 && %play_scene >= 40010 gosub *rmenu_main_ep5_2_scene_40005 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha_ma][condition]= -1 mov %r_hyouji_cha_ma,0 ;背景を暗くする。 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu ;タイトルから入って来た時にはキャラを全員liveで背景はランダムは蝶の画像をランダムで表示 ;シーン番号に3000を代入。 ;Tips新要素告知フラグが立っている場合はそれをOFFにする。 notif %r_for_title = 1 goto *rmenu_main_100_ep5_2 notif %scenario_Number = 6 jumpf mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_s][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_zep][condition],1 mov ?r[6][ma5_fur][condition],1 ; mov ?r[6][ma5_LIG][condition],1 ~ for %tmp = 1 to 2 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_majo mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 mov %side_flg,1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %r_hyouji_cha_ma,ma5_ber ; mov %play_scene,53000 amsp r_black_lsp,0,0,0 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 6 mov %UMINEKOEND_TIPS_FLG,0 goto *rmenu_main_100_ep5_2 ;************************************************* ; ep6用、変数の初期化 ;************************************************* *bt_ep6_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep6 for %tmp12 = 0 to 6 mov ?r[6][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[6][kin][condition_end],1 mov $?r[6][kin][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][kin][1],":c/2,0,3;bmp\r_click\cha_btn\ep6\kin_m.bmp" mov ?r[6][kla][condition_end],1 mov $?r[6][kla][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][kla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kla_l.bmp" mov ?r[6][nat][condition_end],3 mov $?r[6][nat][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][nat][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nat_l.bmp" mov $?r[6][nat][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\nat_d1.bmp" mov $?r[6][nat][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\nat_d.bmp" mov ?r[6][jes][condition_end],1 mov $?r[6][jes][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][jes][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\jes_l.bmp" mov ?r[6][eva][condition_end],3 mov $?r[6][eva][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][eva][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eva_l.bmp" mov $?r[6][eva][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\eva_d1.bmp" mov $?r[6][eva][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\eva_d.bmp" mov ?r[6][hid][condition_end],1 mov $?r[6][hid][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][hid][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\hid_l.bmp" mov ?r[6][geo][condition_end],1 mov $?r[6][geo][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][geo][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l.bmp" mov ?r[6][rud][condition_end],1 mov $?r[6][rud][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][rud][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\rud_l.bmp" mov ?r[6][kir][condition_end],3 mov $?r[6][kir][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][kir][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kir_l.bmp" mov $?r[6][kir][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\kir_d1.bmp" mov $?r[6][kir][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\kir_d.bmp" mov ?r[6][but][condition_end],2 mov $?r[6][but][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][but][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\but_l.bmp" mov $?r[6][but][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\but_d1.bmp" mov $?r[6][but][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\but_d.bmp" mov ?r[6][ros][condition_end],3 mov $?r[6][ros][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][ros][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\ros_l.bmp" mov $?r[6][ros][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\ros_d1.bmp" mov $?r[6][ros][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\ros_d.bmp" mov ?r[6][mar][condition_end],3 mov $?r[6][mar][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][mar][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\mar_l.bmp" mov $?r[6][mar][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\mar_d1.bmp" mov $?r[6][mar][3],":c/2,0,3;bmp\r_click\cha_btn\ep5\mar_d.bmp" mov ?r[6][nan][condition_end],1 mov $?r[6][nan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][nan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nan_l.bmp" mov ?r[6][gen][condition_end],1 mov $?r[6][gen][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][gen][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\gen_l.bmp" ;mov $?r[6][gen][2],":c/2,0,3;bmp\r_click\cha_btn\ep5\gen_d.bmp" mov ?r[6][kum][condition_end],1 mov $?r[6][kum][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][kum][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kum_l.bmp" mov ?r[6][goh][condition_end],1 mov $?r[6][goh][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][goh][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\goh_l.bmp" mov ?r[6][sha][condition_end],1 mov $?r[6][sha][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][sha][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l.bmp" mov ?r[6][kan][condition_end],1 mov $?r[6][kan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][kan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l.bmp" mov ?r[6][eri][condition_end],2 mov $?r[6][eri][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[6][eri][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eri_l.bmp" mov $?r[6][eri][2],":c/2,0,3;bmp\r_click\cha_btn\ep6\eri_d.bmp" ;mov $?r[6][Lig][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eri_l.bmp" ;0.txtに numalias ERI,26 を加える ;一覧表示の座標を入力 mov ?r[6][kin][itiran_x],17 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[6][kin][itiran_y],%tmp mov ?r[6][kla][itiran_x],62 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[6][kla][itiran_y],%tmp mov ?r[6][nat][itiran_x],106 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[6][nat][itiran_y],%tmp mov ?r[6][jes][itiran_x],150 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[6][jes][itiran_y],%tmp mov ?r[6][nan][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[6][nan][itiran_y],%tmp mov ?r[6][eva][itiran_x],62 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[6][eva][itiran_y],%tmp mov ?r[6][hid][itiran_x],106 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[6][hid][itiran_y],%tmp mov ?r[6][geo][itiran_x],150 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[6][geo][itiran_y],%tmp mov ?r[6][rud][itiran_x],62 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][rud][itiran_y],%tmp mov ?r[6][kir][itiran_x],106 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][kir][itiran_y],%tmp mov ?r[6][but][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][but][itiran_y],%tmp mov ?r[6][gen][itiran_x],17 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[6][gen][itiran_y],%tmp mov ?r[6][ros][itiran_x],62 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[6][ros][itiran_y],%tmp mov ?r[6][mar][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[6][mar][itiran_y],%tmp mov ?r[6][sha][itiran_x],17 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[6][sha][itiran_y],%tmp mov ?r[6][kan][itiran_x],62 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[6][kan][itiran_y],%tmp mov ?r[6][goh][itiran_x],106 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[6][goh][itiran_y],%tmp mov ?r[6][kum][itiran_x],150 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[6][kum][itiran_y],%tmp mov ?r[6][eri][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][eri][itiran_y],%tmp ;キャラの立ち位置、X座標を入力 mov ?r[6][kin][tati_x],380 mov ?r[6][kla][tati_x],425 mov ?r[6][nat][tati_x],430 mov ?r[6][jes][tati_x],420 mov ?r[6][nan][tati_x],425 mov ?r[6][eva][tati_x],440 mov ?r[6][hid][tati_x],415 mov ?r[6][geo][tati_x],435 mov ?r[6][rud][tati_x],455 mov ?r[6][kir][tati_x],455 mov ?r[6][but][tati_x],455 mov ?r[6][gen][tati_x],455 mov ?r[6][ros][tati_x],445 mov ?r[6][mar][tati_x],390 mov ?r[6][sha][tati_x],430 mov ?r[6][kan][tati_x],460 mov ?r[6][goh][tati_x],450 mov ?r[6][kum][tati_x],440 mov ?r[6][bea][tati_x],380 mov ?r[6][ber][tati_x],430 mov ?r[6][lam][tati_x],420 mov ?r[6][enj][tati_x],440 mov ?r[6][eri][tati_x],400 mov ?tips[1][tips_page_max],1 ;決闘用拳銃セット mov ?tips[2][tips_page_max],1 ;霊鏡 mov %tips_kazu,2 return ;************************************************* ;************************************************* ; ep6_2(魔女サイドメニュー、変数の初期化) ;************************************************* *bt_ep6_2_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5_2 for %tmp12 = 0 to 6 mov ?r[6][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[6][ma5_lam][condition_end],1 mov $?r[6][ma5_lam][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\lam_l.bmp" mov ?r[6][ma5_ber][condition_end],1 mov $?r[6][ma5_ber][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ber_l.bmp" mov ?r[6][ma5_be2][condition_end],1 mov $?r[6][ma5_be2][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\be2_l.bmp" mov ?r[6][ma5_bea][condition_end],1 mov $?r[6][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_l.bmp" mov ?r[6][ma5_wal][condition_end],1 mov $?r[6][ma5_wal][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\wal_l.bmp" mov ?r[6][ma5_ron][condition_end],1 mov $?r[6][ma5_ron][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ron_l.bmp" mov ?r[6][ma5_gap][condition_end],1 mov $?r[6][ma5_gap][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\gap_l.bmp" mov ?r[6][ma5_but][condition_end],1 mov $?r[6][ma5_but][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\but_l.bmp" mov ?r[6][ma5_eri][condition_end],2 mov $?r[6][ma5_eri][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\eri_l.bmp" mov $?r[6][ma5_eri][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\eri_d.bmp" mov ?r[6][ma5_dla][condition_end],1 mov $?r[6][ma5_dla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\dla_l.bmp" mov ?r[6][ma5_w][condition_end],1 mov $?r[6][ma5_w][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\w_l.bmp" mov ?r[6][ma5_s][condition_end],1 mov $?r[6][ma5_s][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\s_l.bmp" mov ?r[6][ma5_rg][condition_end],1 mov $?r[6][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\rg_l.bmp" mov ?r[6][ma5_ger][condition_end],1 mov $?r[6][ma5_ger][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ger_l.bmp" mov ?r[6][ma5_cor][condition_end],1 mov $?r[6][ma5_cor][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\cor_l.bmp" mov ?r[6][ma5_k][condition_end],1 mov $?r[6][ma5_k][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\k_l.bmp" mov ?r[6][ma5_ZEP][condition_end],1 mov $?r[6][ma5_ZEP][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\zep_l.bmp" mov ?r[6][ma5_FUR][condition_end],1 mov $?r[6][ma5_FUR][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\fur_l.bmp" mov ?r[6][ma5_zf][condition_end],1 mov $?r[6][ma5_zf][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\zf_l.bmp" mov ?r[6][ma5_GOA][condition_end],1 mov $?r[6][ma5_GOA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\GOA_l.bmp" ;mov ?r[6][ma5_LIG][condition_end],2 ;mov $?r[6][ma5_LIG][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\LIG_l.bmp" ;mov $?r[6][ma5_LIG][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\LIG2_l.bmp" ;一覧表示の座標を入力 mov ?r[6][ma5_zf][itiran_x],17 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[6][ma5_zf][itiran_y],%tmp mov ?r[6][ma5_be2][itiran_x],17 : mov %tmp,48 : add %tmp,r_top_y : mov ?r[6][ma5_be2][itiran_y],%tmp mov ?r[6][ma5_bea][itiran_x],17 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[6][ma5_bea][itiran_y],%tmp mov ?r[6][ma5_w][itiran_x],17 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[6][ma5_w][itiran_y],%tmp mov ?r[6][ma5_rg][itiran_x],17 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[6][ma5_rg][itiran_y],%tmp mov ?r[6][ma5_but][itiran_x],62 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[6][ma5_but][itiran_y],%tmp mov ?r[6][ma5_GOA][itiran_x],62 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[6][ma5_GOA][itiran_y],%tmp mov ?r[6][ma5_ber][itiran_x],106 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[6][ma5_ber][itiran_y],%tmp mov ?r[6][ma5_eri][itiran_x],106 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[6][ma5_eri][itiran_y],%tmp mov ?r[6][ma5_lam][itiran_x],150 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[6][ma5_lam][itiran_y],%tmp mov ?r[6][ma5_dla][itiran_x],150 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[6][ma5_dla][itiran_y],%tmp mov ?r[6][ma5_k][itiran_x],150 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[6][ma5_k][itiran_y],%tmp mov ?r[6][ma5_s][itiran_x],150 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[6][ma5_s][itiran_y],%tmp ;mov ?r[6][ma5_LIG][itiran_x],62 : mov %tmp,48 : add %tmp,r_top_y : mov ?r[6][ma5_LIG][itiran_y],%tmp mov ?r[6][ma5_zep][itiran_x],17 : mov %tmp,538 : add %tmp,r_top_y : mov ?r[6][ma5_zep][itiran_y],%tmp mov ?r[6][ma5_fur][itiran_x],17 : mov %tmp,585 : add %tmp,r_top_y : mov ?r[6][ma5_fur][itiran_y],%tmp mov ?r[6][ma5_wal][itiran_x],17 : mov %tmp,548 : add %tmp,r_top_y : mov ?r[6][ma5_wal][itiran_y],%tmp mov ?r[6][ma5_ron][itiran_x],17 : mov %tmp,591 : add %tmp,r_top_y : mov ?r[6][ma5_ron][itiran_y],%tmp mov ?r[6][ma5_gap][itiran_x],17 : mov %tmp,638 : add %tmp,r_top_y : mov ?r[6][ma5_gap][itiran_y],%tmp mov ?r[6][ma5_ger][itiran_x],150 : mov %tmp,538 : add %tmp,r_top_y : mov ?r[6][ma5_ger][itiran_y],%tmp mov ?r[6][ma5_cor][itiran_x],150 : mov %tmp,585 : add %tmp,r_top_y : mov ?r[6][ma5_cor][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラの立ち位置、X座標を入力 mov ?r[6][ma5_lam][tati_x],410 mov ?r[6][ma5_ber][tati_x],430 mov ?r[6][ma5_be2][tati_x],440 mov ?r[6][ma5_bea][tati_x],380 mov ?r[6][ma5_eri][tati_x],400 mov ?r[6][ma5_wal][tati_x],360 mov ?r[6][ma5_ron][tati_x],420 mov ?r[6][ma5_gap][tati_x],415 mov ?r[6][ma5_s][tati_x],420 mov ?r[6][ma5_rg][tati_x],420 mov ?r[6][ma5_but][tati_x],425 mov ?r[6][ma5_dla][tati_x],405 mov ?r[6][ma5_ger][tati_x],395 mov ?r[6][ma5_cor][tati_x],403 mov ?r[6][ma5_GOA][tati_x],403 ;mov ?r[6][ma5_LIG][tati_x],403 mov ?r[6][ma5_zep][tati_x],403 mov ?r[6][ma5_fur][tati_x],403 mov ?tips[1][tips_page_max],1 ;決闘用拳銃セット mov ?tips[2][tips_page_max],1 ;霊鏡 mov %tips_kazu,2 return ;************************************************* ;************************************************* ; ep6_3(エンジェサイドメニュー、変数の初期化) ;************************************************* *bt_ep6_3_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5_2 for %tmp12 = 0 to 6 mov ?r[6][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[6][ma5_AMA][condition_end],1 mov $?r[6][ma5_AMA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\AMA_l.bmp" mov ?r[6][ma5_FEA][condition_end],1 mov $?r[6][ma5_FEA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l.bmp" mov $?r[6][ma5_FEA][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l2.bmp" mov ?r[6][ma5_enj][condition_end],1 mov $?r[6][ma5_enj][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\enj_l.bmp" mov $?r[6][ma5_enj][2],":c/2,0,3;bmp\r_click\cha_btn\ep6_3\enj_l.bmp" ;一覧表示の座標を入力 mov ?r[6][ma5_FEA][itiran_x],62 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[6][ma5_FEA][itiran_y],%tmp mov ?r[6][ma5_enj][itiran_x],106 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[6][ma5_enj][itiran_y],%tmp mov ?r[6][ma5_AMA][itiran_x],106 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[6][ma5_AMA][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラの立ち位置、X座標を入力 mov ?r[6][ma5_AMA][tati_x],455 mov ?r[6][ma5_fea][tati_x],343 mov ?r[6][ma5_enj][tati_x],430 mov ?tips[1][tips_page_max],1 ;決闘用拳銃セット mov ?tips[2][tips_page_max],1 ;霊鏡 mov %tips_kazu,2 return ;************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ *r_cha_kin_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\kin_d.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kin_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\kin_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_2.bmp" return ;------------------------------ *r_cha_kla_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla_m.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_3.bmp" return ;------------------------------ *r_cha_nat_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nat.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\nat_d1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\nat_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nat_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\nat_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\nat_3.bmp" return ;------------------------------ *r_cha_jes_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\jes.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\jes_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\jes_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\jes_2.bmp" return ;------------------------------ *r_cha_eva_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eva.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\eva_d1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\eva_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eva_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\eva_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\eva_3.bmp" return ;------------------------------ *r_cha_hid_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\hid.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\hid_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\hid_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\hid_2.bmp" return ;------------------------------ *r_cha_geo_ep6 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],435 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\geo_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\geo_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp geo_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%scenario_Number][%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%scenario_Number][%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo2.bmp" : mov ?r[geo][tati_x],435 : lsp geo_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_rud_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_2.bmp" return ;------------------------------ *r_cha_kir_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kir.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\kir_d1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\kir_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kir_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\kir_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\kir_3.bmp" return ;------------------------------ *r_cha_but_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\but.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\but_d1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\but_d1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\but_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\but_2.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\but_3.bmp" return ;------------------------------ *r_cha_gen_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_2.bmp" return ;------------------------------ *r_cha_ros_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ros.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\ros_d1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\ros_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ros_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\ros_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\ros_3.bmp" return ;------------------------------ *r_cha_mar_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\mar.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\mar_d1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\mar_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\mar_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\mar_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\mar_3.bmp" return ;------------------------------ *r_cha_nan_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_2.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_3.bmp" return ;------------------------------ *r_cha_sha_ep6 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],430 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp sha_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%scenario_Number][%r_hyouji_cha][r_ishou] = 2 && ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha2.bmp" : mov ?r[%r_hyouji_cha][tati_x],430 : lsp sha_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_kan_ep6 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],460 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp kan_btn_lsp,$?r[%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan2.bmp" : mov ?r[%r_hyouji_cha][tati_x],440 : lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_goh_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_2.bmp" return ;------------------------------ *r_cha_kum_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_2.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_3.bmp" return ;------------------------------ *r_cha_eri_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6\eri_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_dla_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\dla.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\dla_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_ger_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ger.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ger_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ger_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_cor_ep6 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\cor.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\cor_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\cor_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ *r_cha_lam_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\lam.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\lam_1.bmp" return ;------------------------------ *r_cha_ber_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ber.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\ber_1.bmp" return ;------------------------------ *r_cha_bea_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\bea.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\bea_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\bea_12.bmp" return ;------------------------------ *r_cha_be2_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\be2_l.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\be2_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\be2_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\be2_2.bmp" return ;------------------------------ *r_cha_mar_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],380 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar_2.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],410 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_2.bmp" return ;------------------------------ *r_cha_enj_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\enj.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\enj_1.bmp" return ;------------------------------ *r_cha_kin_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\kin.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\kin_1.bmp" return ;------------------------------ *r_cha_sak_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\sak.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\sak_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\sak_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\sak_2.bmp" return ;------------------------------ *r_cha_wal_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\wal.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\wal_1.bmp" return ;------------------------------ *r_cha_ron_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ron.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ron_1.bmp" return ;------------------------------ *r_cha_gap_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\gap.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\gap_1.bmp" return ;------------------------------ *r_cha_s_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" ;変化フラグが1、2の場合は556の特殊処理を入れる if %r_s556_flg = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" : mov %r_s556_flg,0 : return if %r_s556_flg = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55.bmp" : mov %r_s556_flg,0 : return ;ランダムで姉妹の内、一人を表示 if %r_for_title = 0 rnd2 %r_s_hyouji,1,3 if %r_for_title = 1 rnd2 %r_s_hyouji,1,4 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %r_s_hyouji = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s45_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" if %r_s_hyouji = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s41_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s41.bmp" if %r_s_hyouji = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s00_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s00.bmp" if %r_s_hyouji = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" return ;------------------------------ *r_cha_rg_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\lam.bmp" ;ランダムで7姉妹の内、一人を表示 rnd2 %ran,1,7 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %ran = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg1_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg1.bmp" if %ran = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg2_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg2.bmp" if %ran = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg3_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg3.bmp" if %ran = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg4_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg4.bmp" if %ran = 5 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg5_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg5.bmp" if %ran = 6 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg6_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg6.bmp" if %ran = 7 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg7_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg7.bmp" return ;------------------------------ *r_cha_k_ep6_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf mov %ran,0 rnd2 %ran,1,2 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" ;if %ran = 1 mov %r_hyouji_cha_ma,ma5_dla : mov %BtnRes,ma5_dla : return if %ran = 1 mov %r_hyouji_cha_ma,ma5_ger : mov %BtnRes,ma5_ger : return if %ran = 2 mov %r_hyouji_cha_ma,ma5_cor : mov %BtnRes,ma5_cor : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep6_2 return ;------------------------------ *r_cha_goa_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\goa_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\goa_1.bmp" return ;------------------------------ *r_cha_eri_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return mov %ran,0 rnd2 %ran,1,16 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\eri.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 && %ran = 15 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_1d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\eri_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\eri_2.bmp" ;衣装チェンジがされた場合は私服に変更する。 if ?r[6][%r_hyouji_cha_ma][r_ishou] = 2 && ?r[6][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_1.bmp" if %ran = 15 && ?r[6][%r_hyouji_cha_ma][r_ishou] = 2 && ?r[6][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\eri_2.bmp" ;notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf ; if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\eri_1.bmp" ; if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\eri_2.bmp" ;~ return ;------------------------------ *r_cha_dla_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return mov %ran,0 rnd2 %ran,1,16 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\dla.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 && %ran = 15 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\dla_megane.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\dla_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\dla_12.bmp" ~ return ;------------------------------ *r_cha_ger_ep6_2 ;状態、進行によってコメントを変える mov %r_hyouji_cha_ma,ma5_ger if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ger.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ger_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ger_12.bmp" ~ return ;------------------------------ *r_cha_cor_ep6_2 mov %r_hyouji_cha_ma,ma5_cor ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\cor.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\cor_11.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\cor_12.bmp" ~ return ;------------------------------ *r_cha_but_ep6_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\but.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\but_1.bmp" return ;------------------------------ *r_cha_zf_ep6_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf if %cha_count_flg > 0 mov %cha_count_flg,1 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %cha_count_flg = 0 mov %r_hyouji_cha_ma,ma5_ZEP : mov %BtnRes,ma5_zep : mov %cha_count_flg,1 : return if %cha_count_flg = 1 mov %r_hyouji_cha_ma,ma5_FUR : mov %BtnRes,ma5_fur : mov %cha_count_flg,0 : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep6_2 return ;------------------------------ *r_cha_zep_ep6_2 ;状態、進行によってコメントを変える mov %r_hyouji_cha_ma,ma5_zep if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\zep_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\zep_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\zep_1.bmp" ~ return ;------------------------------ *r_cha_fur_ep6_2 mov %r_hyouji_cha_ma,ma5_fur ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\fur_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\fur_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\fur_1.bmp" ~ return ;------------------------------ *r_cha_w_ep6_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf if %cha_count_flg > 1 mov %cha_count_flg,2 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %cha_count_flg = 0 mov %r_hyouji_cha_ma,ma5_WAL : mov %BtnRes,ma5_WAL : mov %cha_count_flg,1 : return if %cha_count_flg = 1 mov %r_hyouji_cha_ma,ma5_RON : mov %BtnRes,ma5_RON : mov %cha_count_flg,2 : return if %cha_count_flg = 2 mov %r_hyouji_cha_ma,ma5_GAP : mov %BtnRes,ma5_GAP : mov %cha_count_flg,0 : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep6_2 return ;------------------------------ ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep6_2 csp cha_next_lsp csp cha_back_lsp notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha_ma,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp ;決闘用拳銃セット notif %r_hyouji_tips = 1 goto *tips_ep6_2_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_2.bmp" *tips_ep6_2_1 ;霊鏡 notif %r_hyouji_tips = 2 goto *tips_ep6_2_2 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_1.bmp" *tips_ep6_2_2 csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;右クリックシステム、メインメニュー *rmenu_main_ep7_def ;mov %play_scene,32030 ;mov %r_hyouji_cha,ma3_enj ;mov %r_for_title,1 ;mov %r_hyouji_side,0 ;表示サイドを保持する、そのサイドの表示を行うようにする。 ;(各変数の初期化は別途に行う) if %r_hyouji_side = 0 goto *rmenu_main_ep7 if %r_hyouji_side = 1 goto *rmenu_main_ep7_2 if %r_hyouji_side = 2 goto *rmenu_main_ep7_3 ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha,sha ;mov %UMINEKOEND,40 ;mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;bg G2F_R1a,1 ;****************************************************** ;EP7 通常サイド *rmenu_main_ep7 btndef "" ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep7_def if %r_side_change = 1 lsp 0,":c;bmp\r_click\black.bmp",0,0 : wait 300 : print 10,300 : csp 0 ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,0 mov %r_u_tea_flg,0 mov %r_hyouji_side,0 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to 18 mov ?r[7][%tmp][r_ishou],1 next ;mov ?r[7][RIO][r_ishou],1 ;リオン ;キャラ、Tipsフラグの初期化 for %tmp = 1 to 18 : mov ?r[7][%tmp][condition],1 :next mov ?r[7][RIO][condition],-1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;Tipsフラグ設定 for %tmp = 1 to 10 : mov ?tips[%tmp][tips_flg],0 : next ;ep5からはシーン変数で状態を逐次チェックして処理を行う ;シーン変数をチェックサブルーチンにて処理(84.txtを使用) if %r_for_title = 1 jumpf ;if %play_scene >= 50000 && %play_scene <= 40300 gosub *rmenu_main_ep5_scene_40190 if %play_scene = 70000 gosub *rmenu_main_ep7_scene_70000 if %play_scene = 70010 gosub *rmenu_main_ep7_scene_70010 if %play_scene = 70011 gosub *rmenu_main_ep7_scene_70011 if %play_scene = 70020 gosub *rmenu_main_ep7_scene_70020 if %play_scene = 70030 gosub *rmenu_main_ep7_scene_70030 if %play_scene = 70040 gosub *rmenu_main_ep7_scene_70040 if %play_scene = 70100 gosub *rmenu_main_ep7_scene_70100 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[7][%r_hyouji_cha][condition]= -1 mov %r_hyouji_cha,0 ;タイトルから入って来た時にはキャラを全員liveで背景はランダムで表示 ;シーン番号に3000を代入。 notif %r_for_title = 1 goto *rmenu_main_100_ep5 for %tmp = 1 to 18 mov ?r[7][%tmp][condition],1 next mov ?r[7][RIO][condition],1 ;リオン for %tmp = 1 to 1 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_mhal2 mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;リオンを表示する ; mov ?r[7][rio][condition],1 ;mov ?r[7][bea][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[7][bea][itiran_y],%tmp ;mov ?r[7][enj][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[7][enj][itiran_y],%tmp ;mov ?r[7][mar][itiran_x],106 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[7][mar][itiran_y],%tmp mov $r_cha_back,":c;bmp\r_click\cha_btn\EP7_01.bmp" mov %play_scene,53000 mov %side_flg,1 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 7 mov %UMINEKOEND_TIPS_FLG,0 mov %r_hyouji_cha,but mov %r_hyouji,0 goto *rmenu_main_100_ep5 ;************************************************************** ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep7_2 btndef "" ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha_ma,ma_bea ;mov %UMINEKOEND,4 mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;mov %play_scene,2000 ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep7_2_def ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,1 mov %r_u_tea_flg,0 mov %r_s556_flg,0 mov %r_hyouji_side,1 mov %cha_text_page,1 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to ma5_cor mov ?r[%scenario_Number][%tmp][r_ishou],1 next ;チェック、コンディションデフォルト設定 for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][condition],-1 next ;Tipsフラグ設定 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" if %r_for_title = 1 jumpf if %play_scene = 70000 gosub *rmenu_main_ep7_2_scene_70000 if %play_scene = 70010 gosub *rmenu_main_ep7_2_scene_70010 if %play_scene = 70011 gosub *rmenu_main_ep7_2_scene_70011 if %play_scene = 70020 gosub *rmenu_main_ep7_2_scene_70020 if %play_scene = 70030 gosub *rmenu_main_ep7_2_scene_70030 if %play_scene = 70040 gosub *rmenu_main_ep7_2_scene_70040 if %play_scene = 70100 gosub *rmenu_main_ep7_2_scene_70100 ;if %play_scene = 40005 gosub *rmenu_main_ep5_2_scene_40005 ;if %play_scene < 40090 && %play_scene >= 40010 gosub *rmenu_main_ep5_2_scene_40005 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha_ma][condition]= -1 mov %r_hyouji_cha_ma,0 ;背景を暗くする。 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu ;タイトルから入って来た時にはキャラを全員liveで背景はランダムは蝶の画像をランダムで表示 ;シーン番号に3000を代入。 ;Tips新要素告知フラグが立っている場合はそれをOFFにする。 notif %r_for_title = 1 goto *rmenu_main_100_ep5_2 notif %scenario_Number = 7 jumpf mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_rg][condition],1 mov ?r[7][ma5_mar][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_zf][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_zep][condition],1 mov ?r[7][ma5_fur][condition],1 mov ?r[7][ma5_WIL][condition],1 mov ?r[7][ma5_CUR][condition],1 ~ for %tmp = 1 to 1 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_majo mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 mov %side_flg,0 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov %r_hyouji_cha_ma,ma5_ber ; mov %play_scene,53000 amsp r_black_lsp,0,0,0 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 7 mov %UMINEKOEND_TIPS_FLG,0 goto *rmenu_main_100_ep5_2 ;************************************************* ; ep7用、変数の初期化 ;************************************************* *bt_ep7_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep6 for %tmp12 = 0 to 6 mov ?r[7][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[7][kin][condition_end],2 mov $?r[7][kin][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][kin][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kin_l.bmp" mov $?r[7][kin][2],":c/2,0,3;bmp\r_click\cha_btn\ep7\ki2_l.bmp" mov ?r[7][kla][condition_end],1 mov $?r[7][kla][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][kla][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kla_l.bmp" mov ?r[7][nat][condition_end],1 mov $?r[7][nat][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][nat][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nat_l.bmp" mov ?r[7][jes][condition_end],1 mov $?r[7][jes][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][jes][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\jes_l.bmp" mov ?r[7][eva][condition_end],1 mov $?r[7][eva][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][eva][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\eva_l.bmp" mov ?r[7][hid][condition_end],1 mov $?r[7][hid][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][hid][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\hid_l.bmp" mov ?r[7][geo][condition_end],1 mov $?r[7][geo][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][geo][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l.bmp" mov ?r[7][rud][condition_end],1 mov $?r[7][rud][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][rud][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\rud_l.bmp" mov ?r[7][kir][condition_end],1 mov $?r[7][kir][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][kir][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kir_l.bmp" mov ?r[7][but][condition_end],1 mov $?r[7][but][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][but][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\but_l.bmp" mov ?r[7][ros][condition_end],1 mov $?r[7][ros][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][ros][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\ros_l.bmp" mov ?r[7][mar][condition_end],1 mov $?r[7][mar][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][mar][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\mar_l.bmp" mov ?r[7][nan][condition_end],1 mov $?r[7][nan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][nan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\nan_l.bmp" mov ?r[7][gen][condition_end],1 mov $?r[7][gen][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][gen][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\gen_l.bmp" mov ?r[7][kum][condition_end],1 mov $?r[7][kum][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][kum][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kum_l.bmp" mov ?r[7][goh][condition_end],1 mov $?r[7][goh][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][goh][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\goh_l.bmp" mov ?r[7][sha][condition_end],1 mov $?r[7][sha][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][sha][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l.bmp" mov ?r[7][kan][condition_end],1 mov $?r[7][kan][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][kan][1],":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l.bmp" mov ?r[7][RIO][condition_end],1 mov $?r[7][RIO][0],":c/2,0,3;bmp\r_click\cha_btn\ep5\non.bmp" mov $?r[7][RIO][1],":c/2,0,3;bmp\r_click\cha_btn\ep7\RIO_l.bmp" ;一覧表示の座標を入力 mov ?r[7][kin][itiran_x],17 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[7][kin][itiran_y],%tmp mov ?r[7][kla][itiran_x],62 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[7][kla][itiran_y],%tmp mov ?r[7][nat][itiran_x],106 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[7][nat][itiran_y],%tmp mov ?r[7][jes][itiran_x],150 : mov %tmp,7 : add %tmp,r_top_y : mov ?r[7][jes][itiran_y],%tmp mov ?r[7][rio][itiran_x],17 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[7][rio][itiran_y],%tmp mov ?r[7][eva][itiran_x],62 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[7][eva][itiran_y],%tmp mov ?r[7][hid][itiran_x],106 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[7][hid][itiran_y],%tmp mov ?r[7][geo][itiran_x],150 : mov %tmp,52 : add %tmp,r_top_y : mov ?r[7][geo][itiran_y],%tmp mov ?r[7][nan][itiran_x],17 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[7][nan][itiran_y],%tmp mov ?r[7][rud][itiran_x],62 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[7][rud][itiran_y],%tmp mov ?r[7][kir][itiran_x],106 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[7][kir][itiran_y],%tmp mov ?r[7][but][itiran_x],150 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[7][but][itiran_y],%tmp mov ?r[7][gen][itiran_x],17 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[7][gen][itiran_y],%tmp mov ?r[7][ros][itiran_x],62 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[7][ros][itiran_y],%tmp mov ?r[7][mar][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[7][mar][itiran_y],%tmp mov ?r[7][sha][itiran_x],17 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[7][sha][itiran_y],%tmp mov ?r[7][kan][itiran_x],62 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[7][kan][itiran_y],%tmp mov ?r[7][goh][itiran_x],106 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[7][goh][itiran_y],%tmp mov ?r[7][kum][itiran_x],150 : mov %tmp,184 : add %tmp,r_top_y : mov ?r[7][kum][itiran_y],%tmp ;キャラの立ち位置、X座標を入力 mov ?r[7][kin][tati_x],380 mov ?r[7][kla][tati_x],425 mov ?r[7][nat][tati_x],430 mov ?r[7][jes][tati_x],420 mov ?r[7][nan][tati_x],425 mov ?r[7][eva][tati_x],440 mov ?r[7][hid][tati_x],415 mov ?r[7][geo][tati_x],435 mov ?r[7][rud][tati_x],455 mov ?r[7][kir][tati_x],455 mov ?r[7][but][tati_x],455 mov ?r[7][gen][tati_x],455 mov ?r[7][ros][tati_x],445 mov ?r[7][mar][tati_x],390 mov ?r[7][sha][tati_x],430 mov ?r[7][kan][tati_x],460 mov ?r[7][goh][tati_x],450 mov ?r[7][kum][tati_x],440 mov ?r[7][rio][tati_x],440 mov ?tips[1][tips_page_max],1 ;SSVD mov %tips_kazu,1 return ;************************************************* ;************************************************* ; ep7_2(魔女サイドメニュー、変数の初期化) ;************************************************* *bt_ep7_2_def ;配列に数字を入力、数字の文字変数にパスを入力 mov %tmp10,2400 for %tmp11 = 1 to cha_kazu_ep5_2 for %tmp12 = 0 to 6 mov ?r[7][%tmp11][%tmp12],%tmp10 : inc %tmp10 next next ;配列にパスを入力(キャラごとの状態変化数分入力) mov ?r[7][ma5_ber][condition_end],1 mov $?r[7][ma5_ber][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ber_l.bmp" mov ?r[7][ma5_bea][condition_end],1 mov $?r[7][ma5_bea][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\bea_l.bmp" ;初代 mov $?r[7][ma5_bea][2],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l.bmp" ;二代目 ;mov $?r[7][ma5_bea][3],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\bea_l3.bmp" ;三代目 mov ?r[7][ma5_wal][condition_end],1 mov $?r[7][ma5_wal][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\wal_l.bmp" mov ?r[7][ma5_mar][condition_end],1 mov $?r[7][ma5_mar][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\mar_l.bmp" mov ?r[7][ma5_ron][condition_end],1 mov $?r[7][ma5_ron][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ron_l.bmp" mov ?r[7][ma5_gap][condition_end],1 mov $?r[7][ma5_gap][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\gap_l.bmp" mov ?r[7][ma5_w][condition_end],1 mov $?r[7][ma5_w][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\w_l.bmp" mov ?r[7][ma5_s][condition_end],1 mov $?r[7][ma5_s][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\s_l.bmp" mov ?r[7][ma5_rg][condition_end],1 mov $?r[7][ma5_rg][1],":c/2,0,3;bmp\r_click\cha_btn\ep5_2\rg_l.bmp" mov $?r[7][ma5_rg][2],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\rg_l2.bmp" mov ?r[7][ma5_ZEP][condition_end],1 mov $?r[7][ma5_ZEP][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\zep_l.bmp" mov ?r[7][ma5_FUR][condition_end],1 mov $?r[7][ma5_FUR][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\fur_l.bmp" mov ?r[7][ma5_zf][condition_end],1 mov $?r[7][ma5_zf][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\zf_l.bmp" mov ?r[7][ma5_GOA][condition_end],1 mov $?r[7][ma5_GOA][1],":c/2,0,3;bmp\r_click\cha_btn\ep6_2\GOA_l.bmp" mov ?r[7][ma5_WIL][condition_end],1 mov $?r[7][ma5_WIL][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\WIL_l.bmp" mov ?r[7][ma5_CUR][condition_end],1 mov $?r[7][ma5_CUR][1],":c/2,0,3;bmp\r_click\cha_btn\ep7_2\CUR_l.bmp" ;一覧表示の座標を入力 mov ?r[7][ma5_ber][itiran_x],17 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[7][ma5_ber][itiran_y],%tmp mov ?r[7][ma5_CUR][itiran_x],150 : mov %tmp,5 : add %tmp,r_top_y : mov ?r[7][ma5_CUR][itiran_y],%tmp mov ?r[7][ma5_zf][itiran_x],17 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[7][ma5_zf][itiran_y],%tmp mov ?r[7][ma5_WIL][itiran_x],17 : mov %tmp,48 : add %tmp,r_top_y : mov ?r[7][ma5_WIL][itiran_y],%tmp mov ?r[7][ma5_mar][itiran_x],62 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[7][ma5_mar][itiran_y],%tmp mov ?r[7][ma5_bea][itiran_x],106 : mov %tmp,91 : add %tmp,r_top_y : mov ?r[7][ma5_bea][itiran_y],%tmp mov ?r[7][ma5_w][itiran_x],150 : mov %tmp,138 : add %tmp,r_top_y : mov ?r[7][ma5_w][itiran_y],%tmp mov ?r[7][ma5_rg][itiran_x],150 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[7][ma5_rg][itiran_y],%tmp mov ?r[7][ma5_GOA][itiran_x],106 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[7][ma5_GOA][itiran_y],%tmp mov ?r[7][ma5_s][itiran_x],150 : mov %tmp,185 : add %tmp,r_top_y : mov ?r[7][ma5_s][itiran_y],%tmp mov ?r[7][ma5_zep][itiran_x],17 : mov %tmp,538 : add %tmp,r_top_y : mov ?r[7][ma5_zep][itiran_y],%tmp mov ?r[7][ma5_fur][itiran_x],17 : mov %tmp,585 : add %tmp,r_top_y : mov ?r[7][ma5_fur][itiran_y],%tmp mov ?r[7][ma5_wal][itiran_x],17 : mov %tmp,548 : add %tmp,r_top_y : mov ?r[7][ma5_wal][itiran_y],%tmp mov ?r[7][ma5_ron][itiran_x],17 : mov %tmp,591 : add %tmp,r_top_y : mov ?r[7][ma5_ron][itiran_y],%tmp mov ?r[7][ma5_gap][itiran_x],17 : mov %tmp,638 : add %tmp,r_top_y : mov ?r[7][ma5_gap][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ;キャラの立ち位置、X座標を入力 mov ?r[7][ma5_ber][tati_x],430 mov ?r[7][ma5_bea][tati_x],380 mov ?r[7][ma5_wal][tati_x],360 mov ?r[7][ma5_ron][tati_x],420 mov ?r[7][ma5_gap][tati_x],415 mov ?r[7][ma5_s][tati_x],420 mov ?r[7][ma5_rg][tati_x],420 mov ?r[7][ma5_GOA][tati_x],403 mov ?r[7][ma5_mar][tati_x],403 mov ?r[7][ma5_WIL][tati_x],433 mov ?r[7][ma5_CUR][tati_x],393 mov ?r[7][ma5_zep][tati_x],403 mov ?r[7][ma5_fur][tati_x],403 mov ?tips[1][tips_page_max],1 ;SSVD mov %tips_kazu,1 return ;************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ *r_cha_kin_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kin.bmp" : mov ?r[7][kin][tati_x],380 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7\ki2.bmp" : mov ?r[7][kin][tati_x],450 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\ki2_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kin_2.bmp" return ;------------------------------ *r_cha_kla_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kla.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kla_1.bmp" return ;------------------------------ *r_cha_nat_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nat.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nat_1.bmp" return ;------------------------------ *r_cha_jes_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\jes.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\jes_1.bmp" return ;------------------------------ *r_cha_eva_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eva.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eva_1.bmp" return ;------------------------------ *r_cha_hid_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\hid.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\hid_1.bmp" return ;------------------------------ *r_cha_geo_ep7 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],435 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\geo_1.bmp" ;コンディションによってアイコンを変更する。 ;lsp geo_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%scenario_Number][%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%scenario_Number][%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\geo2.bmp" : mov ?r[geo][tati_x],435 : lsp geo_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\geo_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_rud_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\rud_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\rud_2.bmp" return ;------------------------------ *r_cha_kir_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kir.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kir_1.bmp" return ;------------------------------ *r_cha_but_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\but.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\but_1.bmp" return ;------------------------------ *r_cha_gen_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\gen.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\gen_1.bmp" return ;------------------------------ *r_cha_ros_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\ros.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\ros_1.bmp" return ;------------------------------ *r_cha_mar_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\mar.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\mar_1.bmp" return ;------------------------------ *r_cha_nan_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\nan_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_2.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\nan_3.bmp" return ;------------------------------ *r_cha_sha_ep7 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],430 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\sha_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp sha_btn_lsp,$?r[%scenario_Number][%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%scenario_Number][%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%scenario_Number][%r_hyouji_cha][r_ishou] = 2 && ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\sha2.bmp" : mov ?r[%r_hyouji_cha][tati_x],430 : lsp sha_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\sha_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_kan_ep7 ;状態、進行によってコメントを変える mov ?r[%scenario_Number][%r_hyouji_cha][tati_x],460 if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kan_2.bmp" ;コンディションによってアイコンを変更する。 ;lsp kan_btn_lsp,$?r[%r_hyouji_cha][?r[%r_hyouji_cha][condition]],?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] ;衣装チェンジがされた場合は私服に変更する。 ;if ?r[%r_hyouji_cha][r_ishou] = 2 && ?r[%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kan2.bmp" : mov ?r[%r_hyouji_cha][tati_x],440 : lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5\kan_l2.bmp",?r[%r_hyouji_cha][itiran_x],?r[%r_hyouji_cha][itiran_y] return ;------------------------------ *r_cha_goh_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\goh_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\goh_2.bmp" return ;------------------------------ *r_cha_kum_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\kum_d2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_2.bmp" ;if ?r[%r_hyouji_cha][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\kum_3.bmp" return ;------------------------------ *r_cha_rio_ep7 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7\rio.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5\eri_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7\rio_1.bmp" ;if ?r[%r_hyouji_cha][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5\eri_2.bmp" return ;------------------------------ ;------------------------------ *r_cha_ber_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return mov %ran,0 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ber.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\ber_1.bmp" return ;------------------------------ *r_cha_bea_ep7_2 notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea.bmp" : mov ?r[7][ma5_bea][tati_x],380 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\bea_1.bmp" : mov ?r[7][ma5_bea][tati_x],480 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\bea_3.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_3.bmp" return ~ notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\bea_1.bmp" : mov ?r[7][ma5_bea][tati_x],480 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\bea_1.bmp" : mov ?r[7][ma5_bea][tati_x],480 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 3 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\bea_d.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 3 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\bea_2.bmp" return ~ ~ mov $Free1,?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] caption $Free1 a ;------------------------------ *r_cha_mar_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar_2.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],380 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\mar_2.bmp" : mov ?r[%scenario_Number][ma5_mar][tati_x],410 ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_1.bmp" ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\mar_2.bmp" return ;------------------------------ ;------------------------------ *r_cha_wal_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\wal.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\wal_1.bmp" return ;------------------------------ *r_cha_ron_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\ron.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\ron_1.bmp" return ;------------------------------ *r_cha_gap_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\gap.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\gap_1.bmp" return ;------------------------------ *r_cha_s_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" ;変化フラグが1、2の場合は556の特殊処理を入れる if %r_s556_flg = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" : mov %r_s556_flg,0 : return if %r_s556_flg = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55.bmp" : mov %r_s556_flg,0 : return ;ランダムで姉妹の内、一人を表示 if %r_for_title = 0 rnd2 %r_s_hyouji,1,3 if %r_for_title = 1 rnd2 %r_s_hyouji,1,4 if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %r_s_hyouji = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s45_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s45.bmp" if %r_s_hyouji = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s41_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s41.bmp" if %r_s_hyouji = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s00_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s00.bmp" if %r_s_hyouji = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\s55_2.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\s55_d.bmp" return ;------------------------------ *r_cha_rg_ep7_2 ;状態、進行によってコメントを変える notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 jumpf ;ランダムで7姉妹の内、一人を表示 rnd2 %ran,1,7 if %btnRes = r_change_lsp mov %ran,%ran_memo ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %ran = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg1_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg1.bmp" if %ran = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg2_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg2.bmp" if %ran = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg3_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg3.bmp" if %ran = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg4_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg4.bmp" if %ran = 5 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg5_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg5.bmp" if %ran = 6 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg6_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg6.bmp" if %ran = 7 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep5_2\rg7_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep5_2\rg7.bmp" if %ran = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg1_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg1.bmp" if %ran = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg2_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg2.bmp" if %ran = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg3_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg3.bmp" if %ran = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg4_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg4.bmp" if %ran = 5 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg5_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg5.bmp" if %ran = 6 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg6_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg6.bmp" if %ran = 7 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg7_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg7.bmp" mov %ran_memo,%ran return ~ ;ランダムで7姉妹の内、一人を表示 rnd2 %ran,1,7 if %btnRes = r_change_lsp mov %ran,%ran_memo ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %ran = 1 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg1_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg1.bmp" if %ran = 2 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg2_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg2.bmp" if %ran = 3 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg3_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg3.bmp" if %ran = 4 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg4_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg4.bmp" if %ran = 5 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg5_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg5.bmp" if %ran = 6 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg6_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg6.bmp" if %ran = 7 && ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\rg7_1.bmp" : mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\rg7.bmp" mov %ran_memo,%ran return ;------------------------------ *r_cha_goa_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\goa_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\goa_1.bmp" return ;------------------------------ *r_cha_zf_ep7_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf if %cha_count_flg > 0 mov %cha_count_flg,1 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %cha_count_flg = 0 mov %r_hyouji_cha_ma,ma5_ZEP : mov %BtnRes,ma5_zep : mov %cha_count_flg,1 : return if %cha_count_flg = 1 mov %r_hyouji_cha_ma,ma5_FUR : mov %BtnRes,ma5_fur : mov %cha_count_flg,0 : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep6_2 return ;------------------------------ *r_cha_zep_ep7_2 ;状態、進行によってコメントを変える mov %r_hyouji_cha_ma,ma5_zep if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\zep_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\zep_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\zep_1.bmp" ~ return ;------------------------------ *r_cha_fur_ep7_2 mov %r_hyouji_cha_ma,ma5_fur ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_2\fur_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" notif ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 jumpf if %cha_text_page = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\fur_1.bmp" if %cha_text_page = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_2\fur_1.bmp" ~ return ;------------------------------ *r_cha_WIL_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\WIL_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\WIL_1.bmp" return ;------------------------------ *r_cha_w_ep7_2 ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if %BtnRes = cha_next_lsp jumpf if %BtnRes = cha_back_lsp jumpf if %cha_count_flg > 1 mov %cha_count_flg,2 ~ ;if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if %cha_count_flg = 0 mov %r_hyouji_cha_ma,ma5_WAL : mov %BtnRes,ma5_WAL : mov %cha_count_flg,1 : return if %cha_count_flg = 1 mov %r_hyouji_cha_ma,ma5_RON : mov %BtnRes,ma5_RON : mov %cha_count_flg,2 : return if %cha_count_flg = 2 mov %r_hyouji_cha_ma,ma5_GAP : mov %BtnRes,ma5_GAP : mov %cha_count_flg,0 : return ;mov %r_hyouji_cha_ma,ma5_ger :goto *r_cha_ger_ep6_2 return ;------------------------------ *r_cha_CUR_ep7_2 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep7_2\CUR_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep7_2\CUR_1.bmp" return ;------------------------------ ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep7_2 csp cha_next_lsp csp cha_back_lsp notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha_ma,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp ;決闘用拳銃セット notif %r_hyouji_tips = 1 goto *tips_ep7_2_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_1.bmp" if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep7\1_2.bmp" *tips_ep7_2_1 csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;****************************************************************** ; 右クリックシステム、 シーン変数による各変数の設定 ;****************************************************************** ;--------------------------------- ;EP5基本設定 *YATA_r_def ;全キャラの初期化 for %Free1 = 1 to 40 mov ?r_cha_text[5][%free1][1],1 mov ?r_cha_text[5][%free1][2],1 mov ?r_cha_text[5][%free1][3],1 mov ?r_cha_text[6][%free1][1],1 mov ?r_cha_text[6][%free1][2],1 mov ?r_cha_text[6][%free1][3],1 next mov %cha_text_page,1 ;ページ設定 ;EP5、キャラ紹介が2ページ以上あるキャラ mov ?r_cha_text[5][ma5_ger][1],2 mov ?r_cha_text[5][ma5_dla][1],2 mov ?r_cha_text[5][ma5_cor][1],2 mov ?r_cha_text[5][ma5_eri][1],2 ;EP6、キャラ紹介が2ページ以上あるキャラ mov ?r_cha_text[6][ma5_ger][1],2 mov ?r_cha_text[6][ma5_dla][1],2 mov ?r_cha_text[6][ma5_cor][1],2 ;EP8、キャラ紹介が2ページ以上あるキャラ mov ?r_cha_text[8][ma5_ger][1],2 mov ?r_cha_text[8][ma5_dla][1],2 mov ?r_cha_text[8][ma5_cor][1],2 ; mov ?r_cha_text[8][ma5_eri][1],2 return ;--------------------------------- ;シーン0(ゲーム開始時) *rmenu_main_ep5_scene_50000 ; mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ; mov ?r[5][ma4_enj][itiran_x],62 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][ma4_enj][itiran_y],%tmp ; mov ?r[5][ma4_ber][itiran_x],106 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[5][ma4_ber][itiran_y],%tmp return ;--------------------------------- *rmenu_main_ep5_2_scene_50000 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back17.bmp" return ;--------------------------------- ;エリカ、いきなり登場して犯人指名 *rmenu_main_ep5_scene_50005 mov ?r[5][hid][condition],2 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50005 ;フラグの変動なし mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back17.bmp" return ;--------------------------------- ;ムービー以降 *rmenu_main_ep5_scene_50010 mov ?r[5][hid][condition],1 mov ?r[5][ros][condition],1 mov ?r[5][jes][condition],1 mov ?r[5][geo][condition],1 mov ?r[5][mar][condition],1 mov ?r[5][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50010 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back17.bmp" return ;--------------------------------- ;ムービー以降 *rmenu_main_ep5_scene_50015 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50015 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back17.bmp" return ;--------------------------------- ;第5アイキャッチ ヱリカ登場後 *rmenu_main_ep5_scene_50020 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50020 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_rg][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back18.bmp" return ;--------------------------------- ;第10アイキャッチ 第一の晩発覚以降 *rmenu_main_ep5_scene_50030 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 ; mov ?r[5][gen][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50030 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back18.bmp" return ;--------------------------------- ;第11アイキャッチ 第一の晩以降 源次 *rmenu_main_ep5_scene_50031 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50031 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back18.bmp" return ;--------------------------------- ;第11アイキャッチ 第一の晩以降 クラウス失踪後 *rmenu_main_ep5_scene_50032 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50032 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back18.bmp" return ;--------------------------------- ;ドラノール登場 *rmenu_main_ep5_scene_50035 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep5_2_scene_50035 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_dla][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back19.bmp" return ;--------------------------------- ;ドラノール登場 *rmenu_main_ep5_scene_50036 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- *rmenu_main_ep5_2_scene_50036 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_dla][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back19.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- ;第12アイキャッチ以降 ドラノール登場後 *rmenu_main_ep5_scene_50040 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- *rmenu_main_ep5_2_scene_50040 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- ;第13アイキャッチ以降 秀吉死亡後 *rmenu_main_ep5_scene_50050 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],2 mov ?r[5][HID][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- *rmenu_main_ep5_2_scene_50050 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- ;第14アイキャッチ以降 クラウス死亡確定後 *rmenu_main_ep5_scene_50055 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],3 mov ?r[5][HID][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- *rmenu_main_ep5_2_scene_50055 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ return ;--------------------------------- ;--------------------------------- ;第14アイキャッチ以降 Tips赤鍵入手 *rmenu_main_ep5_scene_50056 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],3 mov ?r[5][HID][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_flg],1 ; mov ?tips[3][tips_flg],1 ; return ;--------------------------------- *rmenu_main_ep5_2_scene_50056 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_flg],1 ; mov ?tips[3][tips_flg],1 ; return ;--------------------------------- ;お茶会 *rmenu_main_ep5_scene_50100 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],3 mov ?r[5][HID][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_flg],1 ; mov ?tips[3][tips_flg],1 ; ; mov ?tips[4][tips_flg],1 ; return ;--------------------------------- *rmenu_main_ep5_2_scene_50100 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_flg],1 ; mov ?tips[3][tips_flg],1 ; ; mov ?tips[4][tips_flg],1 ; return ;--------------------------------- ;お茶会 ノックス *rmenu_main_ep5_scene_50110 mov ?r[5][ros][condition],2 mov ?r[5][jes][condition],2 mov ?r[5][geo][condition],2 mov ?r[5][mar][condition],2 mov ?r[5][gen][condition],2 mov ?r[5][kla][condition],3 mov ?r[5][HID][condition],2 mov ?r[5][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_flg],1 ; mov ?tips[3][tips_flg],1 ; mov ?tips[4][tips_flg],1 ; return ;--------------------------------- *rmenu_main_ep5_2_scene_50110 mov ?r[5][ma5_but][condition],1 mov ?r[5][ma5_WAL][condition],1 mov ?r[5][ma5_BEA][condition],1 mov ?r[5][ma5_LAM][condition],1 mov ?r[5][ma5_BER][condition],1 mov ?r[5][ma5_rg][condition],1 mov ?r[5][ma5_s][condition],1 mov ?r[5][ma5_DLA][condition],1 mov ?r[5][ma5_k][condition],1 mov ?r[5][ma5_RON][condition],1 mov ?r[5][ma5_GAP][condition],1 mov ?r[5][ma5_eri][condition],1 mov ?r[5][ma5_cor][condition],1 mov ?r[5][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" mov ?tips[1][tips_flg],1 ;アイゼルネ・ユングフラウ mov ?tips[2][tips_flg],1 ; mov ?tips[3][tips_flg],1 ; mov ?tips[4][tips_flg],1 ; return ;--------------------------------- ;EP6 ;--------------------------------- *rmenu_main_ep6_scene_60000 ; mov ?r[6][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" ; mov ?r[6][ma4_enj][itiran_x],62 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][ma4_enj][itiran_y],%tmp ; mov ?r[6][ma4_ber][itiran_x],106 : mov %tmp,96 : add %tmp,r_top_y : mov ?r[6][ma4_ber][itiran_y],%tmp return ;--------------------------------- *rmenu_main_ep6_2_scene_60000 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_WAL][condition],1 ; mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back25.bmp" return ;--------------------------------- *rmenu_main_ep6_3_scene_60000 ; mov ?r[6][ma5_enj][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return 1-3■小説家、八城十八の書斎 第三:縁寿 ;--------------------------------- *rmenu_main_ep6_scene_60010 ; mov ?r[6][hid][condition],1 ; mov ?r[6][ros][condition],1 ; mov ?r[6][jes][condition],1 ; mov ?r[6][geo][condition],1 ; mov ?r[6][mar][condition],1 ; mov ?r[6][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60010 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_WAL][condition],1 ; mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back25.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60010 mov ?r[6][ma5_enj][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- 天草 ;--------------------------------- *rmenu_main_ep6_scene_60011 ; mov ?r[6][hid][condition],1 ; mov ?r[6][ros][condition],1 ; mov ?r[6][jes][condition],1 ; mov ?r[6][geo][condition],1 ; mov ?r[6][mar][condition],1 ; mov ?r[6][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60011 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back25.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60011 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back22.bmp" return ;--------------------------------- 八城 ;--------------------------------- *rmenu_main_ep6_scene_60012 ; mov ?r[6][hid][condition],1 ; mov ?r[6][ros][condition],1 ; mov ?r[6][jes][condition],1 ; mov ?r[6][geo][condition],1 ; mov ?r[6][mar][condition],1 ; mov ?r[6][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60012 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back25.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60012 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- 1-4フェザリーヌのメタ図書館 第三:フェザリーヌ ※第三:縁寿、巫女になる ;--------------------------------- *rmenu_main_ep6_scene_60013 ; mov ?r[6][hid][condition],1 ; mov ?r[6][ros][condition],1 ; mov ?r[6][jes][condition],1 ; mov ?r[6][geo][condition],1 ; mov ?r[6][mar][condition],1 ; mov ?r[6][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60013 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back25.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60013 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- 第二アイキャッチ ベアト登場 ;--------------------------------- *rmenu_main_ep6_scene_60020 ; mov ?r[6][hid][condition],1 ; mov ?r[6][ros][condition],1 ; mov ?r[6][jes][condition],1 ; mov ?r[6][geo][condition],1 ; mov ?r[6][mar][condition],1 ; mov ?r[6][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60020 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back21.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60020 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- 人間:ヱリカ 魔女:なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60030 mov ?r[6][eri][condition],1 ; mov ?r[6][ros][condition],1 ; mov ?r[6][jes][condition],1 ; mov ?r[6][geo][condition],1 ; mov ?r[6][mar][condition],1 ; mov ?r[6][gen][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60030 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back21.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60030 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ‡ 4-1~9 人間:なし 魔女:髪ベアト 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60031 mov ?r[6][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60031 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60031 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ‡ 8-1~6 人間:変更なし 魔女:ゼパル、フルフル 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60040 mov ?r[6][eri][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60040 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60040 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ‡ 9-1~5 人間:絵羽(死亡) 魔女: 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60050 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60050 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60050 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ‡ 10-1~15 人間:霧江(死亡) 魔女:変更なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60060 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],2 mov ?r[6][kir][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60060 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60060 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ‡ 人間:楼座(死亡) 魔女:変更なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60070 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],2 mov ?r[6][kir][condition],2 mov ?r[6][ros][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60070 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60070 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- 人間:真里亞(死亡)楼座(死亡) 魔女:変更なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60071 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],2 mov ?r[6][kir][condition],2 mov ?r[6][ros][condition],2 mov ?r[6][mar][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60071 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60071 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- 人間:夏妃(死亡)真里亞(死亡)楼座(死亡) 魔女:変更なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60080 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],2 mov ?r[6][kir][condition],2 mov ?r[6][ros][condition],2 mov ?r[6][mar][condition],2 mov ?r[6][nat][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60080 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60080 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ‡ 12-1~15 人間:戦人(死亡) 魔女:変更なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60090 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],2 mov ?r[6][kir][condition],2 mov ?r[6][ros][condition],2 mov ?r[6][mar][condition],2 mov ?r[6][nat][condition],2 mov ?r[6][but][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60090 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60090 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- 15-1~17 人間:霧江、夏妃、マリア、楼座、絵羽(完全死亡) 魔女:変更なし 第三:変更なし ;--------------------------------- *rmenu_main_ep6_scene_60100 mov ?r[6][eri][condition],1 mov ?r[6][eva][condition],3 mov ?r[6][kir][condition],3 mov ?r[6][ros][condition],3 mov ?r[6][mar][condition],3 mov ?r[6][nat][condition],3 mov ?r[6][but][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back.bmp" return ;--------------------------------- *rmenu_main_ep6_2_scene_60100 mov ?r[6][ma5_but][condition],1 mov ?r[6][ma5_w][condition],1 mov ?r[6][ma5_BEA][condition],1 mov ?r[6][ma5_BE2][condition],1 mov ?r[6][ma5_WAL][condition],1 mov ?r[6][ma5_RON][condition],1 mov ?r[6][ma5_GAP][condition],1 mov ?r[6][ma5_LAM][condition],1 mov ?r[6][ma5_BER][condition],1 mov ?r[6][ma5_rg][condition],1 mov ?r[6][ma5_eri][condition],1 mov ?r[6][ma5_GOA][condition],1 mov ?r[6][ma5_DLA][condition],1 mov ?r[6][ma5_k][condition],1 mov ?r[6][ma5_cor][condition],1 mov ?r[6][ma5_ger][condition],1 mov ?r[6][ma5_zf][condition],1 mov ?r[6][ma5_ZEP][condition],1 mov ?r[6][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back24.bmp" mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep6_3_scene_60100 mov ?r[6][ma5_enj][condition],1 mov ?r[6][ma5_AMA][condition],1 mov ?r[6][ma5_FEA][condition],2 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" return ;--------------------------------- ;--------------------------------- ;EP7 ;--------------------------------- *rmenu_main_ep7_scene_70000 mov ?r[7][KIN][condition],-1 mov ?r[7][KLA][condition],-1 mov ?r[7][NAT][condition],-1 mov ?r[7][JES][condition],-1 mov ?r[7][EVA][condition],-1 mov ?r[7][HID][condition],-1 mov ?r[7][GEO][condition],-1 mov ?r[7][RUD][condition],-1 mov ?r[7][KIR][condition],-1 mov ?r[7][BUT][condition],-1 mov ?r[7][ROS][condition],-1 mov ?r[7][MAR][condition],-1 mov ?r[7][SHA][condition],-1 mov ?r[7][KAN][condition],-1 mov ?r[7][GEN][condition],-1 mov ?r[7][GOH][condition],-1 mov ?r[7][KUM][condition],-1 mov ?r[7][NAN][condition],-1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep7_2_scene_70000 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 ; mov ?r[7][ma5_zf][condition],1 ; mov ?r[7][ma5_ZEP][condition],1 ; mov ?r[7][ma5_FUR][condition],1 ; mov ?r[7][ma5_WIL][condition],1 ; mov ?r[7][ma5_CUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" return ;--------------------------------- ;TIPS:SSVD *rmenu_main_ep7_scene_70010 mov ?r[7][KIN][condition],-1 mov ?r[7][KLA][condition],-1 mov ?r[7][NAT][condition],-1 mov ?r[7][JES][condition],-1 mov ?r[7][EVA][condition],-1 mov ?r[7][HID][condition],-1 mov ?r[7][GEO][condition],-1 mov ?r[7][RUD][condition],-1 mov ?r[7][KIR][condition],-1 mov ?r[7][BUT][condition],-1 mov ?r[7][ROS][condition],-1 mov ?r[7][MAR][condition],-1 mov ?r[7][SHA][condition],-1 mov ?r[7][KAN][condition],-1 mov ?r[7][GEN][condition],-1 mov ?r[7][GOH][condition],-1 mov ?r[7][KUM][condition],-1 mov ?r[7][NAN][condition],-1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep7_2_scene_70010 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 ; mov ?r[7][ma5_zf][condition],1 ; mov ?r[7][ma5_ZEP][condition],1 ; mov ?r[7][ma5_FUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- ;ウィル自己紹介 *rmenu_main_ep7_scene_70011 mov ?r[7][KIN][condition],-1 mov ?r[7][KLA][condition],-1 mov ?r[7][NAT][condition],-1 mov ?r[7][JES][condition],-1 mov ?r[7][EVA][condition],-1 mov ?r[7][HID][condition],-1 mov ?r[7][GEO][condition],-1 mov ?r[7][RUD][condition],-1 mov ?r[7][KIR][condition],-1 mov ?r[7][BUT][condition],-1 mov ?r[7][ROS][condition],-1 mov ?r[7][MAR][condition],-1 mov ?r[7][SHA][condition],-1 mov ?r[7][KAN][condition],-1 mov ?r[7][GEN][condition],-1 mov ?r[7][GOH][condition],-1 mov ?r[7][KUM][condition],-1 mov ?r[7][NAN][condition],-1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep7_2_scene_70011 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 ; mov ?r[7][ma5_zf][condition],1 ; mov ?r[7][ma5_ZEP][condition],1 ; mov ?r[7][ma5_FUR][condition],1 mov ?r[7][ma5_WIL][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- ;理御自己紹介 *rmenu_main_ep7_scene_70020 mov ?r[7][KIN][condition],-1 mov ?r[7][KLA][condition],-1 mov ?r[7][NAT][condition],-1 mov ?r[7][JES][condition],-1 mov ?r[7][EVA][condition],-1 mov ?r[7][HID][condition],-1 mov ?r[7][GEO][condition],-1 mov ?r[7][RUD][condition],-1 mov ?r[7][KIR][condition],-1 mov ?r[7][BUT][condition],-1 mov ?r[7][ROS][condition],-1 mov ?r[7][MAR][condition],-1 mov ?r[7][SHA][condition],-1 mov ?r[7][KAN][condition],-1 mov ?r[7][GEN][condition],-1 mov ?r[7][GOH][condition],-1 mov ?r[7][KUM][condition],-1 mov ?r[7][NAN][condition],-1 mov ?r[7][RIO][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep7_2_scene_70020 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 ; mov ?r[7][ma5_zf][condition],1 ; mov ?r[7][ma5_ZEP][condition],1 ; mov ?r[7][ma5_FUR][condition],1 mov ?r[7][ma5_WIL][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- ;親族情報登場 *rmenu_main_ep7_scene_70030 mov ?r[7][KIN][condition],1 mov ?r[7][KLA][condition],1 mov ?r[7][NAT][condition],1 mov ?r[7][JES][condition],1 mov ?r[7][EVA][condition],1 mov ?r[7][HID][condition],1 mov ?r[7][GEO][condition],1 mov ?r[7][RUD][condition],1 mov ?r[7][KIR][condition],1 mov ?r[7][BUT][condition],1 mov ?r[7][ROS][condition],1 mov ?r[7][MAR][condition],1 mov ?r[7][SHA][condition],1 mov ?r[7][KAN][condition],1 mov ?r[7][GEN][condition],1 mov ?r[7][GOH][condition],1 mov ?r[7][KUM][condition],1 mov ?r[7][NAN][condition],1 mov ?r[7][RIO][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\EP7_01.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep7_2_scene_70030 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 ; mov ?r[7][ma5_zf][condition],1 ; mov ?r[7][ma5_ZEP][condition],1 ; mov ?r[7][ma5_FUR][condition],1 mov ?r[7][ma5_WIL][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- ;クレル情報登場 *rmenu_main_ep7_scene_70040 mov ?r[7][KIN][condition],1 mov ?r[7][KLA][condition],1 mov ?r[7][NAT][condition],1 mov ?r[7][JES][condition],1 mov ?r[7][EVA][condition],1 mov ?r[7][HID][condition],1 mov ?r[7][GEO][condition],1 mov ?r[7][RUD][condition],1 mov ?r[7][KIR][condition],1 mov ?r[7][BUT][condition],1 mov ?r[7][ROS][condition],1 mov ?r[7][MAR][condition],1 mov ?r[7][SHA][condition],1 mov ?r[7][KAN][condition],1 mov ?r[7][GEN][condition],1 mov ?r[7][GOH][condition],1 mov ?r[7][KUM][condition],1 mov ?r[7][NAN][condition],1 mov ?r[7][RIO][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\EP7_01.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep7_2_scene_70040 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 mov ?r[7][ma5_zf][condition],1 mov ?r[7][ma5_ZEP][condition],1 mov ?r[7][ma5_FUR][condition],1 mov ?r[7][ma5_WIL][condition],1 mov ?r[7][ma5_CUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- ;お茶会仕様 *rmenu_main_ep7_scene_70100 mov ?r[7][KIN][condition],1 mov ?r[7][KLA][condition],1 mov ?r[7][NAT][condition],1 mov ?r[7][JES][condition],1 mov ?r[7][EVA][condition],1 mov ?r[7][HID][condition],1 mov ?r[7][GEO][condition],1 mov ?r[7][RUD][condition],1 mov ?r[7][KIR][condition],1 mov ?r[7][BUT][condition],1 mov ?r[7][ROS][condition],1 mov ?r[7][MAR][condition],1 mov ?r[7][SHA][condition],1 mov ?r[7][KAN][condition],1 mov ?r[7][GEN][condition],1 mov ?r[7][GOH][condition],1 mov ?r[7][KUM][condition],1 mov ?r[7][NAN][condition],1 mov ?r[7][RIO][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\EP7_01.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep7_2_scene_70100 mov ?r[7][ma5_BER][condition],1 mov ?r[7][ma5_BEA][condition],1 mov ?r[7][ma5_WAL][condition],1 mov ?r[7][ma5_RON][condition],1 mov ?r[7][ma5_GAP][condition],1 mov ?r[7][ma5_w][condition],1 mov ?r[7][ma5_MAR][condition],1 mov ?r[7][ma5_rg][condition],1 mov ?r[7][ma5_zf][condition],1 mov ?r[7][ma5_ZEP][condition],1 mov ?r[7][ma5_FUR][condition],1 mov ?r[7][ma5_WIL][condition],1 mov ?r[7][ma5_CUR][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back26.bmp" mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- ;EP8 ;--------------------------------- *rmenu_main_ep8_scene_80000 mov ?r[8][KIN][condition],-1 mov ?r[8][KLA][condition],-1 mov ?r[8][NAT][condition],-1 mov ?r[8][JES][condition],-1 mov ?r[8][EVA][condition],-1 mov ?r[8][HID][condition],-1 mov ?r[8][GEO][condition],-1 mov ?r[8][RUD][condition],-1 mov ?r[8][KIR][condition],-1 mov ?r[8][BUT][condition],-1 mov ?r[8][ROS][condition],-1 mov ?r[8][MAR][condition],-1 mov ?r[8][SHA][condition],-1 mov ?r[8][KAN][condition],-1 mov ?r[8][GEN][condition],-1 mov ?r[8][GOH][condition],-1 mov ?r[8][KUM][condition],-1 mov ?r[8][NAN][condition],-1 mov ?r[8][EN2][condition],-1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" ; mov %side_flg,1 return ;--------------------------------- *rmenu_main_ep8_2_scene_80000 ; mov ?r[8][ma5_w][condition],1 ; mov ?r[8][ma5_wal][condition],1 ; mov ?r[8][ma5_ron][condition],1 ; mov ?r[8][ma5_gap][condition],1 ; mov ?r[8][ma5_bb][condition],1 ; mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80010 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][BEA][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][mar][itiran_x],150 : mov %tmp,140 : add %tmp,r_top_y : mov ?r[8][mar][itiran_y],%tmp ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80010 ; mov ?r[8][ma5_w][condition],1 ; mov ?r[8][ma5_wal][condition],1 ; mov ?r[8][ma5_ron][condition],1 ; mov ?r[8][ma5_gap][condition],1 ; mov ?r[8][ma5_bb][condition],1 ; mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- ;縁寿 *rmenu_main_ep8_scene_80011 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80011 ; mov ?r[8][ma5_w][condition],1 ; mov ?r[8][ma5_wal][condition],1 ; mov ?r[8][ma5_ron][condition],1 ; mov ?r[8][ma5_gap][condition],1 ; mov ?r[8][ma5_bb][condition],1 ; mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- ;人間ベアト *rmenu_main_ep8_scene_80020 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ; mov %side_flg,1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80020 ; mov ?r[8][ma5_w][condition],1 ; mov ?r[8][ma5_wal][condition],1 ; mov ?r[8][ma5_ron][condition],1 ; mov ?r[8][ma5_gap][condition],1 ; mov ?r[8][ma5_bb][condition],1 ; mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80030 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ; mov %side_flg,1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80030 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 ; mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80040 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80040 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80050 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80050 0 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80060 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80060 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80070 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80070 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80080 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80080 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80090 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80090 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- ;--------------------------------- *rmenu_main_ep8_scene_80100 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" return ;--------------------------------- *rmenu_main_ep8_2_scene_80100 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_wr][condition],1 mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80110 mov ?r[8][KIN][condition],-1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],-1 mov ?r[8][bea][condition],-1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_28_2.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80110 ; mov ?r[8][ma5_w][condition],1 ; mov ?r[8][ma5_wal][condition],1 ; mov ?r[8][ma5_ron][condition],1 ; mov ?r[8][ma5_gap][condition],1 ; mov ?r[8][ma5_bb][condition],1 ; mov ?r[8][ma5_rg][condition],1 ; mov ?r[8][ma5_s][condition],1 ; mov ?r[8][ma5_k][condition],1 ; mov ?r[8][ma5_dla][condition],1 ; mov ?r[8][ma5_ger][condition],1 ; mov ?r[8][ma5_cor][condition],1 ; mov ?r[8][ma5_wr][condition],1 ; mov ?r[8][ma5_ber][condition],1 ; mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 ; mov ?r[8][ma5_zf][condition],1 ; mov ?r[8][ma5_ZEP][condition],1 ; mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 ; mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80120 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80120 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_wr][condition],1 mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 ; mov ?r[8][ma5_ev2][condition],1 mov ?r[8][ma5_eri][condition],1 ; mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80130 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80130 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_wr][condition],1 mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 ; mov ?r[8][ma5_fea][condition],1 mov ?r[8][ma5_ev2][condition],1 mov ?r[8][ma5_eri][condition],1 mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80140 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80140 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_wr][condition],1 mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 ; mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 mov ?r[8][ma5_fea][condition],1 mov ?r[8][ma5_ev2][condition],1 mov ?r[8][ma5_eri][condition],1 mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;--------------------------------- *rmenu_main_ep8_scene_80150 mov ?r[8][KIN][condition],1 mov ?r[8][KLA][condition],1 mov ?r[8][NAT][condition],1 mov ?r[8][JES][condition],1 mov ?r[8][EVA][condition],1 mov ?r[8][HID][condition],1 mov ?r[8][GEO][condition],1 mov ?r[8][RUD][condition],1 mov ?r[8][KIR][condition],1 mov ?r[8][BUT][condition],1 mov ?r[8][ROS][condition],1 mov ?r[8][MAR][condition],1 mov ?r[8][SHA][condition],1 mov ?r[8][KAN][condition],1 mov ?r[8][GEN][condition],1 mov ?r[8][GOH][condition],1 mov ?r[8][KUM][condition],1 mov ?r[8][NAN][condition],1 mov ?r[8][EN2][condition],1 mov ?r[8][bea][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back_29.bmp" ; mov ?tips[1][tips_flg],1 ;SSVD return ;--------------------------------- *rmenu_main_ep8_2_scene_80150 mov ?r[8][ma5_w][condition],1 mov ?r[8][ma5_wal][condition],1 mov ?r[8][ma5_ron][condition],1 mov ?r[8][ma5_gap][condition],1 mov ?r[8][ma5_bb][condition],1 mov ?r[8][ma5_rg][condition],1 mov ?r[8][ma5_s][condition],1 mov ?r[8][ma5_k][condition],1 mov ?r[8][ma5_dla][condition],1 mov ?r[8][ma5_ger][condition],1 mov ?r[8][ma5_cor][condition],1 mov ?r[8][ma5_wr][condition],1 mov ?r[8][ma5_ber][condition],1 mov ?r[8][ma5_lam][condition],1 mov ?r[8][ma5_EN2][condition],1 mov ?r[8][ma5_zf][condition],1 mov ?r[8][ma5_ZEP][condition],1 mov ?r[8][ma5_FUR][condition],1 ; mov ?r[8][ma5_BUT][condition],1 ; mov ?r[8][ma5_bea][condition],1 mov ?r[8][ma5_fea][condition],1 mov ?r[8][ma5_ev2][condition],1 mov ?r[8][ma5_eri][condition],1 mov ?r[8][ma5_goa][condition],1 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back3.bmp" return ;********************************************************* ;右クリックメニュー EP6 深層世界サイド ;2009/09 作成 ;********************************************************* ;---------------------------------------- ;右クリックシステム、メインメニュー *rmenu_main_ep6_3 btndef "" ;テスト用 ;mov %r_for_title,1 ;タイトルメニューから入って来たかどうかを判断(1ならタイトルから) ;mov %r_hyouji_cha_ma,ma_bea ;mov %UMINEKOEND,4 mov %r_hyouji,0 ;メニュー表示(0はキャラ一覧、1はtips) ;mov %tips_flg,6 ;tips取得数 ;mov %r_u_tea_flg,1 ;mov %play_scene,2000 ;キャラの状態、コンデション、コメントの更新等は配列を使用 gosub *bt_ep6_3_def ;初期化 mov $r_txt_path,":a;bmp\r_click\text\txt_def.bmp" csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp textoff monocro off mov %load_for_title,0 mov %r_side,2 mov %r_u_tea_flg,0 mov %r_s556_flg,0 mov %r_hyouji_side,2 ;キャラの衣装変数を初期化(デフォルト→1にする) for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][r_ishou],1 next ;チェック、コンディションデフォルト設定 for %tmp = 1 to cha_kazu_ep5_2 mov ?r[%scenario_Number][%tmp][condition],-1 next ;Tipsフラグ設定 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],0 next mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back20.bmp" if %r_for_title = 1 jumpf if %play_scene = 60000 gosub *rmenu_main_ep6_3_scene_60000 if %play_scene = 60000 gosub *rmenu_main_ep6_3_scene_60000 if %play_scene = 60010 gosub *rmenu_main_ep6_3_scene_60010 if %play_scene = 60011 gosub *rmenu_main_ep6_3_scene_60011 if %play_scene = 60012 gosub *rmenu_main_ep6_3_scene_60012 if %play_scene = 60013 gosub *rmenu_main_ep6_3_scene_60013 if %play_scene = 60020 gosub *rmenu_main_ep6_3_scene_60020 if %play_scene = 60030 gosub *rmenu_main_ep6_3_scene_60030 if %play_scene = 60031 gosub *rmenu_main_ep6_3_scene_60031 if %play_scene = 60040 gosub *rmenu_main_ep6_3_scene_60040 if %play_scene = 60050 gosub *rmenu_main_ep6_3_scene_60050 if %play_scene = 60060 gosub *rmenu_main_ep6_3_scene_60060 if %play_scene = 60070 gosub *rmenu_main_ep6_3_scene_60070 if %play_scene = 60071 gosub *rmenu_main_ep6_3_scene_60071 if %play_scene = 60080 gosub *rmenu_main_ep6_3_scene_60080 if %play_scene = 60090 gosub *rmenu_main_ep6_3_scene_60090 if %play_scene = 60100 gosub *rmenu_main_ep6_3_scene_60100 ~ ;コンディションチェック、コンディションが-1の場合は、%r_hyouji_cha_maを0に if ?r[%scenario_Number][%r_hyouji_cha_ma][condition]= -1 mov %r_hyouji_cha_ma,0 ;背景を暗くする。 lsp r_black_lsp,":c;bmp\r_click\black.bmp",0,0,black_toukaritu ;タイトルから入って来た時にはキャラを全員liveで背景はランダムは蝶の画像をランダムで表示 ;シーン番号に3000を代入。 ;Tips新要素告知フラグが立っている場合はそれをOFFにする。 notif %r_for_title = 1 goto *rmenu_main_100_ep5_2 ; for %tmp = 1 to 20 ; mov ?r[%scenario_Number][%tmp][condition],1 ; next mov ?r[%scenario_Number][ma5_enj][condition],1 mov ?r[%scenario_Number][ma5_AMA][condition],1 mov ?r[%scenario_Number][ma5_FEA][condition],1 for %tmp = 1 to 10 mov ?tips[%tmp][tips_flg],1 next gosub *bgm_mode_rnd_bg_majo mov $r_bg_path,$tmp lsp r_bg_lsp,$r_bg_path,0,0 ;キャラ一覧表示の背景を変える mov $r_cha_back,":c;bmp\r_click\cha_btn\cha_back23.bmp" mov %r_hyouji_cha_ma,ma5_enj ; mov %play_scene,53000 amsp r_black_lsp,0,0,0 ;新規要素追加のフラグ解除変数 if %UMINEKOEND_TIPS_FLG <= 6 mov %UMINEKOEND_TIPS_FLG,0 goto *rmenu_main_100_ep5_2 ;******************************************************************************** ; 画像初期化ルーチン *r_gazou_shokika_ep6_3 for %tmp = 100 to r_used_lsp : csp %tmp next ; csp r_bg_lsp ; csp r_black_lsp return ;******************************************************************************** ;******************************************************************************** ;Tipsの表示、それぞれTipsの表示処理に飛ぶ *r_tips_hyouji_ep6_3 csp cha_next_lsp csp cha_back_lsp notif %r_hyouji_tips = 0 jumpf mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" csp cha_lsp csp r_cha_txt_lsp lsp r_txt_lsp,$r_txt_path,188,r_top_y print 1 return ~ mov %r_hyouji,1 ;フラグがないtipsを選択されていたら、tipsに0を入れてスキップさせる。 if ?tips[%r_hyouji_tips][tips_flg] = 0 mov %r_hyouji_tips,0 : mov %tips_page,1 : return ;mov %r_hyouji_cha_ma,0 ;tispの表示を0(なしにする) ;衣装替え、チェンジボタンの消去 csp r_change_lsp ;tips表示数のチェック、ページ数が0なら1に最大ページ数を超えていたら最大ページ数に if %tips_page <= 0 mov %tips_page,1 if %tips_page > ?tips[%r_hyouji_tips][tips_page_max] mov %tips_page,?tips[%r_hyouji_tips][tips_page_max] ;次のページがある場合はNextを2ページ以降ならBackのボタンを表示 vsp r_hana_lsp,0 csp tips_next_lsp csp tips_back_lsp mov %tmp,r_top_y : add %tmp,377 if %tips_page < ?tips[%r_hyouji_tips][tips_page_max] lsp tips_next_lsp,tips_next,580,%tmp if %tips_page > 1 lsp tips_back_lsp,tips_back,530,%tmp ;決闘用拳銃セット notif %r_hyouji_tips = 1 goto *tips_ep6_3_1 cell tips1_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\1_1.bmp" ; if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\1_2.bmp" *tips_ep6_3_1 ;霊鏡 notif %r_hyouji_tips = 2 goto *tips_ep6_3_2 cell tips2_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep6\2_1.bmp" ; if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_2.bmp" ; if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\2_3.bmp" *tips_ep6_3_2 ;青鍵(セイケン) notif %r_hyouji_tips = 3 goto *tips_ep6_3_3 cell tips3_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_1.bmp" ; if %tips_page = 2 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_2.bmp" ; if %tips_page = 3 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\3_3.bmp" *tips_ep6_3_3 ;ノックス十戒 notif %r_hyouji_tips = 4 goto *tips_ep6_3_4 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\4_1.bmp" cell tips4_lsp,1 *tips_ep6_3_4 ; notif %r_hyouji_tips = 5 goto *tips_ep6_3_5 cell tips5_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\5_1.bmp" *tips_ep6_3_5 ; notif %r_hyouji_tips = 6 goto *tips_ep6_3_6 cell tips6_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\6_1.bmp" *tips_ep6_3_6 ; notif %r_hyouji_tips = 7 goto *tips_ep6_3_7 cell tips7_lsp,1 if %tips_page = 1 mov $r_tips_txt_path,":a;bmp\r_click\text\tips\ep5\7_1.bmp" *tips_ep6_3_7 csp cha_lsp csp r_cha_txt_lsp lsp r_tips_txt_lsp,$r_tips_txt_path,188,r_top_y seplay 9,1010 print 1 return ;******************************************************************************** ;******************************************************************************** ;******************************************************* ; ■キャラ情報表示 ; ; キャラ立ち絵 ; コメント1(名前、年齢など変化しない、しにくい部分) ; コメント2(現在状況等、状況によって変化するところ) ; キャラクター切り替えボタン ←→ ; ;******************************************************* ;****************************************** ;キャラの立ち絵の表示 ;キャラ毎の細かいルーチンへの移動。 ;****************************************** ;------------------------------ *r_cha_ENJ_ep6_3 notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\enj_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\enj_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\enj_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\enj_2.bmp" return ~ notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\enj_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\enj_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\enj_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\enj_2.bmp" return ~ mov %free1, ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] itoa $Free1,%Free1 add$Free1,"," mov %free1, ?r[%scenario_Number][%r_hyouji_cha_ma][condition] itoa $Free2,%Free1 add $Free1,$Free2 caption $Free1 click end ;------------------------------ *r_cha_FEA_ep6_3 notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 1 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\fea_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\fea_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\fea_1.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\fea_2.bmp" return ~ notif ?r[%scenario_Number][%r_hyouji_cha_ma][r_ishou] = 2 jumpf ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\fea_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\fea_2.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\fea_2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 2 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\fea_2.bmp" return ;------------------------------ *r_cha_AMA_ep6_3 ;状態、進行によってコメントを変える if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 return if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_cha_tati_path,":a;bmp\r_click\cha_tati\ep6_3\AMA_1.bmp" ;(状態に応じたテキスト文を表示) if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 0 mov $r_txt_path,":a;bmp\r_click\text\txt_def2.bmp" if ?r[%scenario_Number][%r_hyouji_cha_ma][condition] = 1 mov $r_txt_path,":a;bmp\r_click\text\cha\ep6_3\AMA_1.bmp" return ;------------------------------ ;************************************************************** ; 右クリック、Tipsボタンの表示 ;************************************************************** *r_tips_botann_ep6_3 for %tmp2 = ma5_lam_btn_lsp to ma5_CUR_btn_lsp : csp %tmp2 :next for %tmp2 = tips1_lsp to tips1_lsp : csp %tmp2 :next csp r_tips_txt_lsp csp tips_next_lsp csp tips_back_lsp csp r_cha_back_lsp vsp r_hana_lsp,1 ;背景黒枠の表示 lsp r_tips1_back_lsp,r_tips1_back,12,r_top_y,150 ;tipsの表示(tipsナンバーによって表示するtipsの数を変化 mov %tmp,12 : mov %tmp1,r_top_y if ?tips[1][tips_flg] = 1 lsp tips1_lsp,r_tips_5_1,%tmp,%tmp1 : add %tmp1,32 if ?tips[2][tips_flg] = 1 lsp tips2_lsp,r_tips_5_2,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[3][tips_flg] = 1 lsp tips3_lsp,r_tips_5_3,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[4][tips_flg] = 1 lsp tips4_lsp,r_tips_5_4,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[5][tips_flg] = 1 lsp tips5_lsp,r_tips_5_5,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[6][tips_flg] = 1 lsp tips6_lsp,r_tips_5_6,%tmp,%tmp1 : add %tmp1,32 ; if ?tips[7][tips_flg] = 1 lsp tips7_lsp,r_tips_5_7,%tmp,%tmp1 : add %tmp1,32 return ;************************************************************** ;************************************************************** ; 右クリック、キャラ一覧の表示 ;************************************************************** *r_cha_botann_ep6_3 ;tips用ボタンの削除 mov %tmp,tips1_lsp : add %tmp,%tips_kazu for %tmp2 = tips1_lsp to %tmp : csp %tmp2 :next csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 ;背景の表示 lsp r_cha_back_lsp,$r_cha_back,12,r_top_y ;キャラアイコンの表示(コンディションによって表示を変える) mov %tmp,ma5_lam_btn_lsp for %tmp2 = 1 to cha_kazu_ep5_2 if ?r[%scenario_Number][%tmp2][condition] = -1 jumpf ;コンデション-1は完全に非表示 lsp %tmp,$?r[%scenario_Number][%tmp2][?r[%scenario_Number][%tmp2][condition]],?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;衣装によってキャラアイコンの表示を切り替える ;if %tmp2 = ma3_ev2 && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp ma3_ev2_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep5_2\ev2_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;if %tmp2 = ma5_FEA && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp ma5_FEA_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep6_3\fea_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ;if %tmp2 = kan && ?r[%scenario_Number][%tmp2][condition] = 1 && ?r[%scenario_Number][%tmp2][r_ishou] = 2 lsp kan_btn_lsp,":c/2,0,3;bmp\r_click\cha_btn\ep2\kan_l2.bmp",?r[%scenario_Number][%tmp2][itiran_x],?r[%scenario_Number][%tmp2][itiran_y] ~ inc %tmp next ;現在表示しているキャラを表示 gosub *r_cha_hyouji_ep5_2 return ;キャラ一覧、個別キャラごとの変更。 *r_cha_botann_kobetu_ep6_3 csp tips_next_lsp csp tips_back_lsp csp r_tips_txt_lsp vsp r_hana_lsp,1 mov %tmp,kin_btn_lsp : add %tmp,%r_hyouji_cha_ma : dec %tmp lsp %tmp,$?r[%scenario_Number][%r_hyouji_cha_ma][?r[%scenario_Number][%r_hyouji_cha_ma][condition]],?r[%scenario_Number][%r_hyouji_cha_ma][itiran_x],?r[%scenario_Number][%r_hyouji_cha_ma][itiran_y] return ;************************************************************** ;***************************************************************** ;つるぺったんスクリプト ;***************************************************************** *turu_pettann skipoff textoff E_A mov %rmordflg,on ;drawclear ;erasetextwindow 0 ;文字スプライトの登録 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 csp -1 bg black,22 bg white,22 lsp 4,":c;bmp\pettan\bg.bmp",0,0 print 28 ;lsp %tmp,":a;bmp2\TATI\JES\1\JES_AkuwaraiA1.bmp",100,0 mov %tmp,20 lsph %tmp,":s#ffff00ジェシー!!!",0,0 : inc %tmp lsph %tmp,":s#00ffff キャーーーーーーーー!!!",0,0 : inc %tmp lsph %tmp,":s#80ff00 キタ━━━(゚∀゚)━━━!!!! ",0,0 : inc %tmp lsph %tmp,":s#ff0000☆つるぺったん☆ ジェシ様!!!",0,0 : inc %tmp lsph %tmp,":s#ffff00ジェシジェシジェシさまぁああぁああ~~~~~~☆",0,0 : inc %tmp lsph %tmp,":s#0000ffらめぇ~~~~~ 落ちるぅ~~~~",0,0 : inc %tmp lsph %tmp,":s#ffffff ジェシさま最高!!!",0,0 : inc %tmp lsph %tmp,":s#ff8040 お姉様ぁあああぁああ~~~~☆",0,0 : inc %tmp mov %tmp,30 lsph %tmp,":a;bmp\pettan\pettan.bmp",270,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ff0000(じゃない!!!)",0,0 : inc %tmp lsph %tmp,":s#80ff00Title :つるぺったん",0,0 : inc %tmp lsph %tmp,":s#00ffffArtist:Silver Forest ",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 mov %tmp,10 lsph %tmp,":s#00ffffぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffff00 ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ff8040 ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#80ff00 ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#0000ffぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ff0000 ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#00ffff ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffffff ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp mov %tmp,40 lsph %tmp,":s#ff0000 ( ゚∀゚)o彡ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffffff ( ゚∀゚)o彡( ゚∀゚)o彡( ゚∀゚)o彡( ゚∀゚)o彡",0,0 : inc %tmp lsph %tmp,":s#00ffff ( ゚∀゚)o彡ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#80ff00( ゚∀゚)o彡ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#0000ff ( ゚∀゚)o彡( ゚∀゚)o彡( ゚∀゚)o彡( ゚∀゚)o彡( ゚∀゚)o彡",0,0 : inc %tmp lsph %tmp,":s#00ffff ( ゚∀゚)o彡ぺったん☆ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ff8040 ( ゚∀゚)o彡ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffff00 ( ゚∀゚)o彡ぺったん☆ぺったん☆つるぺったん☆",0,0 : inc %tmp mov %tmp,50 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#00ffffぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffff00 ぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ff8040 ぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#80ff00 ぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#0000ff ぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ff0000 ぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#00ffff ぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffffffぺったん ぺったん 餅ぺったん☆",0,0 : inc %tmp mov %tmp,60 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#00ffffぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffff00 ぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ff8040 ぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#80ff00ぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#0000ff ぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ff0000 ぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#00ffff ぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffffffぺったん ぺったん 胸ぺったん☆",0,0 : inc %tmp mov %tmp,70 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ffff00 許可取ってんのかよ氏ね",0,0 : inc %tmp lsph %tmp,":s#ffff00 ↑ちゃんと取りました!",0,0 : inc %tmp lsph %tmp,":a;bmp\pettan\pettan_aa2.bmp",270,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ff8040 全俺が萌えた!!!",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#00ffff☆ ( ^∇^)σ)≧∇≦) ☆",0,0 : inc %tmp lsph %tmp,":s#ff0000[←樹海]",0,0 : inc %tmp lsph %tmp,":s#ff0000 || オワタ ┗(^o^)┓三",0,0 : inc %tmp lsph %tmp,":s#ff0000 || ┏┗ 三",0,0 : inc %tmp mov %tmp,80 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ffff00ヽξ(`・3・)ノ うぜぇぜ!",0,0 : inc %tmp lsph %tmp,":s#ffff00 ( へ)",0,0 : inc %tmp lsph %tmp,":s#ffff00 く ",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ff0000この早さなら言える! 朱志香は俺の嫁!!!",0,0 : inc %tmp lsph %tmp,":s#ff0000 いや、俺の嫁だ!!!",0,0 : inc %tmp lsph %tmp,":s#ff0000 朱志香なら、俺の隣で寝ているぜ",0,0 : inc %tmp ;lsph %tmp,":s#ff0000 うぜぇぜ!ヽξ(`・3・)ノ ",0,0 : inc %tmp ;lsph %tmp,":s#ff0000 (へ )",0,0 : inc %tmp ;lsph %tmp,":s#ff0000 > ",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 mov %tmp,110 lsph %tmp,":s#ffffff\ ∩─-、 == ",0,0 : inc %tmp lsph %tmp,":s#ffffff \/ ● 、_ `ヽ ===",0,0 : inc %tmp lsph %tmp,":s#ffffff /\( ● ● | つ",0,0 : inc %tmp lsph %tmp,":s#ffffff | X_入_ノ ミ そんな貧乳で俺様が釣られクマ――",0,0 : inc %tmp lsph %tmp,":s#ffffff 、 (_/ ノ /⌒l ",0,0 : inc %tmp lsph %tmp,":s#ffffff /\___ノ_/ / ====",0,0 : inc %tmp lsph %tmp,":s#ffffffく ノ ====",0,0 : inc %tmp lsph %tmp,":s#ffffff \ \_ \",0,0 : inc %tmp lsph %tmp,":s#ffffff \__) \ ==== (⌒",0,0 : inc %tmp lsph %tmp,":s#ffffff \ ____ \__ (⌒(⌒",0,0 : inc %tmp lsph %tmp,":s#ffffff \___)___)(⌒ (⌒ ズザザザ",0,0 : inc %tmp mov %tmp,90 lsph %tmp,":a;bmp\pettan\pettan_aa1.bmp",270,0 : inc %tmp lsph %tmp,":a;bmp\pettan\pettan_aa3.bmp",270,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;lsph %tmp,":s#ff0000全俺が萌えた!!!",0,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 lsph %tmp,":s#ffffff/hidden/hidden/hidden/hidden/hidden/hidden",0,0 : inc %tmp lsph %tmp,":s#ffffff /hidden/hidden/hidden/hidden/hidden/hidden",0,0 : inc %tmp lsph %tmp,":s#ffffff/hidden/hidden/hidden/hidden/hidden/hidden",0,0 : inc %tmp lsph %tmp,":s#ffffff /hidden/hidden/hidden/hidden/hidden/hidden",0,0 : inc %tmp lsph %tmp,":s#ffffff /hidden/hidden/hidden/hidden/hidden/hidden",0,0 : inc %tmp lsph %tmp,":s#ffffff/hidden/hidden/hidden/hidden/hidden/hidden",0,0 : inc %tmp ;lsph %tmp,":a;bmp\pettan\pettan_aa4.bmp",270,0 : inc %tmp setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 mov %tmp,100 lsph %tmp,":s#ffff00きゃーきゃーきゃーーー!!!",0,0 : inc %tmp lsph %tmp,":s#00ffff にゃり~~~~~ん☆",0,0 : inc %tmp lsph %tmp,":s#80ff00(>0<)★タイが曲がっていてよ★(>0<) ",0,0 : inc %tmp lsph %tmp,":s#ff0000☆つるぺったん☆",0,0 : inc %tmp lsph %tmp,":s#ffff00ジェシジェシジェシさまぁああぁああ~~~~~~☆",0,0 : inc %tmp lsph %tmp,":s#0000ffらめぇ~~~~~ 落ちるぅ~~~~",0,0 : inc %tmp lsph %tmp,":s#ffffff ジェシさま最高!!!",0,0 : inc %tmp lsph %tmp,":s#ff8040 お姉様ぁあああぁああ~~~~☆",0,0 : inc %tmp bgmonce "BGM\tsurupeta-128.ogg" resettimer mov %tmp1,600 mov %tmp2,600 mov %tmp4,600 mov %tmp5,600 mov %tmp6,600 mov %tmp7,600 mov %tmp8,500 mov %tmp9,600 mov %tmp11,600 ;16秒で区切る for %tmp10 = 0 to 18000 step 130 drawclear drawsp 4,1,255,0,0 ;drawsp 5,1,255,100,0 notif %tmp10 >= 0 goto *pettann_50 notif %tmp10 <= 2400 goto *pettann_50 drawsp 20,1,255,%tmp2,0 drawsp 21,1,255,%tmp2,60 drawsp 22,1,255,%tmp2,120 drawsp 23,1,255,%tmp2,180 drawsp 24,1,255,%tmp2,240 drawsp 25,1,255,%tmp2,300 drawsp 26,1,255,%tmp2,360 drawsp 27,1,255,%tmp2,420 sub %tmp2,100 *pettann_50 notif %tmp10 >= 2500 goto *pettann_60 notif %tmp10 <= 4700 goto *pettann_60 drawsp 30,1,255,260,0 drawsp 32,1,255,370,400 drawsp 33,1,255,370,430 *pettann_60 ;notif %tmp10 >= 3500 goto *pettann_70 ;notif %tmp10 <= 4700 goto *pettann_70 ;drawsp 31,1,320,185,280 ;*pettann_70 notif %tmp10 >= 5500 goto *pettann_100 notif %tmp10 <= 7500 goto *pettann_100 drawsp 10,1,255,%tmp1,0 drawsp 11,1,255,%tmp1,60 drawsp 12,1,255,%tmp1,120 drawsp 13,1,255,%tmp1,180 drawsp 14,1,255,%tmp1,240 drawsp 15,1,255,%tmp1,300 drawsp 16,1,255,%tmp1,360 drawsp 17,1,255,%tmp1,420 sub %tmp1,100 *pettann_100 notif %tmp10 >= 6500 goto *pettann_150 notif %tmp10 <= 10000 goto *pettann_150 drawsp 80,1,255,%tmp8,0 drawsp 81,1,255,%tmp8,30 drawsp 82,1,255,%tmp8,60 drawsp 83,1,255,%tmp8,120 drawsp 84,1,255,%tmp8,150 drawsp 85,1,255,%tmp8,180 drawsp 110,1,255,%tmp8,210 drawsp 111,1,255,%tmp8,235 drawsp 112,1,255,%tmp8,260 drawsp 113,1,255,%tmp8,285 drawsp 114,1,255,%tmp8,310 drawsp 115,1,255,%tmp8,335 drawsp 116,1,255,%tmp8,360 drawsp 117,1,255,%tmp8,385 drawsp 118,1,255,%tmp8,410 drawsp 119,1,255,%tmp8,435 drawsp 120,1,255,%tmp8,460 sub %tmp8,60 *pettann_150 notif %tmp10 >= 8000 goto *pettann_200 notif %tmp10 <= 11000 goto *pettann_200 drawsp 40,1,255,%tmp4,0 drawsp 41,1,255,%tmp4,60 drawsp 42,1,255,%tmp4,120 drawsp 43,1,255,%tmp4,180 drawsp 44,1,255,%tmp4,240 drawsp 45,1,255,%tmp4,300 drawsp 46,1,255,%tmp4,360 drawsp 47,1,255,%tmp4,420 sub %tmp4,100 *pettann_200 notif %tmp10 >= 9500 goto *pettann_250 notif %tmp10 <= 13000 goto *pettann_250 drawsp 70,1,255,%tmp7,0 drawsp 71,1,255,%tmp7,30 drawsp 72,1,255,%tmp7,55 drawsp 73,1,255,%tmp7,320 drawsp 74,1,255,%tmp7,370 drawsp 75,1,255,%tmp7,410 drawsp 76,1,255,%tmp7,435 drawsp 77,1,255,%tmp7,460 sub %tmp7,60 *pettann_250 notif %tmp10 >= 11000 goto *pettann_300 notif %tmp10 <= 13500 goto *pettann_300 drawsp 50,1,255,%tmp5,0 drawsp 51,1,255,%tmp5,60 drawsp 52,1,255,%tmp5,120 drawsp 53,1,255,%tmp5,180 drawsp 54,1,255,%tmp5,240 drawsp 55,1,255,%tmp5,300 drawsp 56,1,255,%tmp5,360 drawsp 57,1,255,%tmp5,420 sub %tmp5,100 *pettann_300 notif %tmp10 >= 12000 goto *pettann_350 notif %tmp10 <= 16000 goto *pettann_350 drawsp 90,1,255,%tmp9,0 drawsp 91,1,255,%tmp9,370 ;drawsp 92,1,255,%tmp9,120 drawsp 93,1,255,%tmp9,170 drawsp 94,1,255,%tmp9,200 drawsp 95,1,255,%tmp9,230 drawsp 96,1,255,%tmp9,250 drawsp 97,1,255,%tmp9,280 drawsp 98,1,255,%tmp9,310 sub %tmp9,70 *pettann_350 notif %tmp10 >= 13500 goto *pettann_400 notif %tmp10 <= 16000 goto *pettann_400 drawsp 60,1,255,%tmp6,0 drawsp 61,1,255,%tmp6,60 drawsp 62,1,255,%tmp6,120 drawsp 63,1,255,%tmp6,180 drawsp 64,1,255,%tmp6,240 drawsp 65,1,255,%tmp6,300 drawsp 66,1,255,%tmp6,360 drawsp 67,1,255,%tmp6,420 sub %tmp6,100 *pettann_400 notif %tmp10 >= 15000 goto *pettann_500 notif %tmp10 <= 20000 goto *pettann_500 drawsp 100,1,255,%tmp11,0 drawsp 101,1,255,%tmp11,60 drawsp 102,1,255,%tmp11,120 drawsp 103,1,255,%tmp11,180 drawsp 104,1,255,%tmp11,240 drawsp 105,1,255,%tmp11,300 drawsp 106,1,255,%tmp11,360 drawsp 107,1,255,%tmp11,420 sub %tmp11,100 *pettann_500 draw waittimer %tmp10 next bg "bmp\pettan\bg.bmp",0 mov %rmordflg,off drawclear csp -1 textoff setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 !sd bg "bmp\pettan\bg.bmp",1 return ;クイズスクリプト仮 *quiz ;コインの枚数は%COINSです。\ ;mov %scenario_Number,8 ;デバッグ用 ;mov %play_scene,80000 ;デバッグ用 ;デザイン部分 csp -1 bg black,2 ;暗転 bg white,1 inc %quiz_num ;問題番号に1を足す。最初は問題1とする。 mov %answers,0 ;答え(選択肢)の数をリセット *quiz_loop ;ループポイント(ボタン処理のときには必要) ;出題者(問題番号)ごとにクイズ文の画像と、選択肢の個数を設定 notif %quiz_num = 1 jumpf lsp 800,":c;bmp\quiz\mondai_1.bmp",0,0 ;金蔵のクイズ ; lsp 801,":a;bmp2\TATI\KIN\1\KIN_DefA1.bmp",220,140,250 mov %answers,2 ;答えのアルファベットの個数。 ;イメージ画像のようなもの? ~ notif %quiz_num = 2 : jumpf lsp 800,":c;bmp\quiz\mondai_2.bmp",0,0 ;秀吉のクイズ ; lsp 801,":a;bmp2\TATI\HID\1\HID_waraiA1.bmp",220,140,250 mov %answers,3 ;答えのアルファベットの個数。 ~ notif %quiz_num = 3 : jumpf lsp 800,":c;bmp\quiz\mondai_3.bmp",0,0 ;絵羽のクイズ ; lsp 801,":a;bmp2\TATI\EVA\1\EVA_waraiA1.bmp",220,140,250 mov %answers,3 ;答えのアルファベットの個数。 ~ notif %quiz_num = 4 : jumpf lsp 800,":c;bmp\quiz\mondai_4.bmp",0,0 ;郷田のクイズ ; lsp 801,":a;bmp2\TATI\GOH\1\GOH_waraiA1.bmp",220,140,250 mov %answers,4 ;答えのアルファベットの個数。 ~ notif %quiz_num = 5 : jumpf lsp 800,":c;bmp\quiz\mondai_5.bmp",0,0 ;熊沢のクイズ ; lsp 801,":a;bmp2\TATI\KUM\1\KUM_waraiA1.bmp",220,140,250 mov %answers,4 ;答えのアルファベットの個数。 ~ notif %quiz_num = 6 : jumpf lsp 800,":c;bmp\quiz\mondai_6.bmp",0,0 ;南條のクイズ ; lsp 801,":a;bmp2\TATI\NAN\1\NAN_DefA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 7 : jumpf lsp 800,":c;bmp\quiz\mondai_7.bmp",0,0 ;源次のクイズ ; lsp 801,":a;bmp2\TATI\GEN\1\GEN_DefA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 8 : jumpf lsp 800,":c;bmp\quiz\mondai_8.bmp",0,0 ;夏妃のクイズ ; lsp 801,":a;bmp2\TATI\NAT\1\NAT_waraiA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 9 : jumpf lsp 800,":c;bmp\quiz\mondai_9.bmp",0,0 ;蔵臼のクイズ ; lsp 801,":a;bmp2\TATI\KLA\1\KLA_DefA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 10 : jumpf lsp 800,":c;bmp\quiz\mondai_10.bmp",0,0 ;嘉音のクイズ ; lsp 801,":a;bmp2\TATI\KAN\1\KAN_waraiA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 11 : jumpf lsp 800,":c;bmp\quiz\mondai_11.bmp",0,0 ;紗音のクイズ ; lsp 801,":a;bmp2\TATI\SHA\1\SHA_waraiA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 12 : jumpf lsp 800,":c;bmp\quiz\mondai_12.bmp",0,0 ;楼座のクイズ ; lsp 801,":a;bmp2\TATI\ROS\1\ROS_waraiA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 13 : jumpf lsp 800,":c;bmp\quiz\mondai_13.bmp",0,0 ;真里亞のクイズ ; lsp 801,":a;bmp2\TATI\MAR\1\MAR_waraiA1.bmp",220,140,250 mov %answers,5 ;答えのアルファベットの個数。 ~ notif %quiz_num = 14 : jumpf lsp 800,":c;bmp\quiz\mondai_14.bmp",0,0 ;霧江のクイズ ; lsp 801,":a;bmp2\TATI\KIR\1\KIR_waraiA1.bmp",220,140,250 mov %answers,3 ;答えのアルファベットの個数。 ~ notif %quiz_num = 15 : jumpf lsp 800,":c;bmp\quiz\mondai_15.bmp",0,0 ;留弗夫のクイズ ; lsp 801,":a;bmp2\TATI\RUD\1\RUD_waraiA1.bmp",220,140,250 mov %answers,6 ;答えのアルファベットの個数。 ~ notif %quiz_num = 16 : jumpf lsp 800,":c;bmp\quiz\mondai_16.bmp",0,0 ;譲治&朱志香のクイズ ; lsp 801,":a;bmp2\TATI\geo\1\geo_waraiA1k.bmp",170,140,250 ; lsp 802,":a;bmp2\TATI\jes\1\jes_waraiA1.bmp",270,140,250 mov %answers,3 ;答えのアルファベットの個数。 ~ if %quiz_Num < 4 : jumpf ;相談ボタン(これに "絵羽叔母さんに相談 "の機能を持たせる) lsp 704,":a/2,600,2;BMP\quiz\sys_btn\eva\eva_soudan_1.bmp",7,306 ;絵羽叔母さんに相談ボタン画像@マウスが乗ったとき lsp 705,":a;BMP\quiz\sys_btn\eva\eva_soudan_3.bmp",7,306 ;絵羽叔母さん立ち絵(ボタンにカーソルがあった時表示) lsph 709,":a;bmp2\TATI\EVA\1\eva_DefA1.bmp",300,0,100 ~ ;●獲得メダルの枚数の画像。コインの枚数(Coins)によって画像を切り替え if %coins = 0 : lsp 702,":a;BMP\quiz\num\0.bmp",82,429 if %coins = 1 : lsp 702,":a;BMP\quiz\num\1.bmp",82,429 if %coins = 2 : lsp 702,":a;BMP\quiz\num\2.bmp",82,428 if %coins = 3 : lsp 702,":a;BMP\quiz\num\3.bmp",82,429 if %coins = 4 : lsp 702,":a;BMP\quiz\num\4.bmp",82,429 if %coins = 5 : lsp 702,":a;BMP\quiz\num\5.bmp",82,429 if %coins = 6 : lsp 702,":a;BMP\quiz\num\6.bmp",82,429 if %coins = 7 : lsp 702,":a;BMP\quiz\num\7.bmp",82,429 if %coins = 8 : lsp 702,":a;BMP\quiz\num\8.bmp",82,429 if %coins = 9 : lsp 702,":a;BMP\quiz\num\9.bmp",82,429 if %coins = 10 : lsp 702,":a;BMP\quiz\num\10.bmp",82,429 if %coins = 11 : lsp 702,":a;BMP\quiz\num\11.bmp",82,429 if %coins = 12 : lsp 702,":a;BMP\quiz\num\12.bmp",82,429 if %coins = 13 : lsp 702,":a;BMP\quiz\num\13.bmp",82,429 if %coins = 14 : lsp 702,":a;BMP\quiz\num\14.bmp",82,429 if %coins = 15 : lsp 702,":a;BMP\quiz\num\15.bmp",82,429 if %coins = 16 : lsp 702,":a;BMP\quiz\num\16.bmp",82,429 if %coins = 17 : lsp 702,":a;BMP\quiz\num\17.bmp",82,429 if %coins = 18 : lsp 702,":a;BMP\quiz\num\18.bmp",82,429 if %coins = 19 : lsp 702,":a;BMP\quiz\num\19.bmp",82,429 if %coins >= 20 : lsp 702,":a;BMP\quiz\num\20.bmp",82,429 ;if %coins = 21 : lsp 702,":a;BMP\quiz\num\21.bmp",82,429 ;if %coins = 22 : lsp 702,":a;BMP\quiz\num\22.bmp",82,429 ;if %coins = 23 : lsp 702,":a;BMP\quiz\num\23.bmp",82,429 ;if %coins = 24 : lsp 702,":a;BMP\quiz\num\24.bmp",82,429 ;if %coins = 25 : lsp 702,":a;BMP\quiz\num\25.bmp",82,429 ;if %coins = 26 : lsp 702,":a;BMP\quiz\num\26.bmp",82,429 ;if %coins = 27 : lsp 702,":a;BMP\quiz\num\27.bmp",82,429 ;if %coins = 28 : lsp 702,":a;BMP\quiz\num\28.bmp",82,429 ;if %coins = 29 : lsp 702,":a;BMP\quiz\num\29.bmp",82,429 ;if %coins = 30 : lsp 702,":a;BMP\quiz\num\30.bmp",82,429 ;答えのアルファベットの画像を読み込み(&表示) gosub *quiz_answer_btn ;ボタンに機能を持たせる btndef "" exbtn_d "P800P704,C705" ;背景用 ;背景用右クリックのため exbtn 704,704,"P705,C704S1,(sys_se\zyosys1.WAV)" ;透明ボタン exbtn 788, 788,"S1,(sys_se\zyosys1.WAV)" ;透明ボタン exbtn 789, 789,"S1,(sys_se\zyosys1.WAV)" ;透明ボタン ;答えのアルファベットボタンに、マウスを乗せたときの表示処理のサブルーチン gosub *quiz_answer_btn_wait print 1 ;透過処理が掛かっている部分はボタン処理を行なわない、という宣言 transbtn ;実際にボタンを押した時の処理 btnwait2 %BtnRes if %BtnRes = -1 : getscreenshot 80,60 : gosub *rmenu_main_ep8 ;右クリック if %BtnRes = 704 : se1 1000 : gosub *Eva_soudan ;絵羽叔母さんと相談 gosub *quiz_BtnRes ;アルファベットのボタン機能を司るサブルーチン goto *quiz_loop ;ループポイントへ。このスクリプトでは、これが必要。 ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;以下、サブルーチン ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*- ;絵羽叔母さんと相談 *Eva_soudan csp -1 if %quiz_num = 4 : gosub *eva_hinto_1 if %quiz_num = 5 : gosub *eva_hinto_2 if %quiz_num = 6 : gosub *eva_hinto_3 if %quiz_num = 7 : gosub *eva_hinto_4 if %quiz_num = 8 : gosub *eva_hinto_5 if %quiz_num = 9 : gosub *eva_hinto_6 if %quiz_num = 10 : gosub *eva_hinto_7 if %quiz_num = 11 : gosub *eva_hinto_8 if %quiz_num = 12 : gosub *eva_hinto_9 if %quiz_num = 13 : gosub *eva_hinto_10 if %quiz_num = 14 : gosub *eva_hinto_11 if %quiz_num = 15 : gosub *eva_hinto_12 if %quiz_num = 16 : gosub *eva_hinto_13 if %quiz_num < 4 : bg mdin_1a,0 : ld c,EVA_akuwaraiA1,22 : テスト。絵羽叔母さんと相談しています。\ return ;アルファベットボタンを表示するサブルーチン(スプライト710~715使用) *quiz_answer_btn ;答えの数が2つのとき、2つ表示 notif %answers = 2 : jumpf lsp 716,":a;BMP\quiz\sys_btn\A.bmp",35,90 lsp 717,":a;BMP\quiz\sys_btn\B.bmp",35,124 ;答えボタンの上にマウスが乗ったときのボタン色変化 lsp 710,":a;BMP\quiz\sys_btn\A_m.bmp",35,90 lsp 711,":a;BMP\quiz\sys_btn\B_m.bmp",35,124 ~ ;答えの数が3つのとき、3つ表示 notif %answers = 3 : jumpf lsp 716,":a;BMP\quiz\sys_btn\A.bmp",35,90 lsp 717,":a;BMP\quiz\sys_btn\B.bmp",35,124 lsp 718,":a;BMP\quiz\sys_btn\C.bmp",35,158 ;答えボタンの上にマウスが乗ったときのボタン色変化 lsp 710,":a;BMP\quiz\sys_btn\A_m.bmp",35,90 lsp 711,":a;BMP\quiz\sys_btn\B_m.bmp",35,124 lsp 712,":a;BMP\quiz\sys_btn\C_m.bmp",35,158 ~ ;答えの数が4つのとき、4つ表示 notif %answers = 4 : jumpf lsp 716,":a;BMP\quiz\sys_btn\A.bmp",35,90 lsp 717,":a;BMP\quiz\sys_btn\B.bmp",35,124 lsp 718,":a;BMP\quiz\sys_btn\C.bmp",35,158 lsp 719,":a;BMP\quiz\sys_btn\D.bmp",35,192 ;答えボタンの上にマウスが乗ったときのボタン色変化 lsp 710,":a;BMP\quiz\sys_btn\A_m.bmp",35,90 lsp 711,":a;BMP\quiz\sys_btn\B_m.bmp",35,124 lsp 712,":a;BMP\quiz\sys_btn\C_m.bmp",35,158 lsp 713,":a;BMP\quiz\sys_btn\D_m.bmp",35,192 ~ ;答えの数が5つのとき、5つ表示 notif %answers = 5 : jumpf lsp 716,":a;BMP\quiz\sys_btn\A.bmp",35,90 lsp 717,":a;BMP\quiz\sys_btn\B.bmp",35,124 lsp 718,":a;BMP\quiz\sys_btn\C.bmp",35,158 lsp 719,":a;BMP\quiz\sys_btn\D.bmp",35,192 lsp 720,":a;BMP\quiz\sys_btn\E.bmp",35,226 ;答えボタンの上にマウスが乗ったときのボタン色変化 lsp 710,":a;BMP\quiz\sys_btn\A_m.bmp",35,90 lsp 711,":a;BMP\quiz\sys_btn\B_m.bmp",35,124 lsp 712,":a;BMP\quiz\sys_btn\C_m.bmp",35,158 lsp 713,":a;BMP\quiz\sys_btn\D_m.bmp",35,192 lsp 714,":a;BMP\quiz\sys_btn\E_m.bmp",35,226 ~ ;答えの数が6つのとき、6つ表示 notif %answers = 6 : jumpf lsp 716,":a;BMP\quiz\sys_btn\A.bmp",35,90 lsp 717,":a;BMP\quiz\sys_btn\B.bmp",35,124 lsp 718,":a;BMP\quiz\sys_btn\C.bmp",35,158 lsp 719,":a;BMP\quiz\sys_btn\D.bmp",35,192 lsp 720,":a;BMP\quiz\sys_btn\E.bmp",35,226 lsp 721,":a;BMP\quiz\sys_btn\F.bmp",35,260 ;答えボタンの上にマウスが乗ったときのボタン色変化 lsp 710,":a;BMP\quiz\sys_btn\A_m.bmp",35,90 lsp 711,":a;BMP\quiz\sys_btn\B_m.bmp",35,124 lsp 712,":a;BMP\quiz\sys_btn\C_m.bmp",35,158 lsp 713,":a;BMP\quiz\sys_btn\D_m.bmp",35,192 lsp 714,":a;BMP\quiz\sys_btn\E_m.bmp",35,226 lsp 715,":a;BMP\quiz\sys_btn\F_m.bmp",35,260 ~ return ;マウスをボタンの上に載せた時の、ボタンの変化のサブルーチン *quiz_answer_btn_wait exbtn_d "P716P717P718P719P720P721,C710C711C712C713C714C715" ;背景用 ;背景用右クリックのため exbtn 716,716,"P710,C716S1,(sys_se\zyosys1.WAV)" exbtn 717,717,"P711,C717S1,(sys_se\zyosys1.WAV)" exbtn 718,718,"P712,C718S1,(sys_se\zyosys1.WAV)" exbtn 719,719,"P713,C719S1,(sys_se\zyosys1.WAV)" exbtn 720,720,"P714,C720S1,(sys_se\zyosys1.WAV)" exbtn 721,721,"P715,C721S1,(sys_se\zyosys1.WAV)" return ;アルファベットボタンを押したときの処理、のサブルーチン。 ;基本的に、まず、ファイナルアン●ーサブルーチンが起動し、 ;その後、正解、或いはハズレサブルーチンが起動する。 ;その後、各キャラの分岐点に飛んでいく。 *quiz_BtnRes ;クイズ1問目、金蔵の問題のボタン処理 notif %quiz_num = 1 : jumpf if %BtnRes = 716 : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *Kin_atari ;A(可能)、正解へ。 if %BtnRes = 717 : se1 1000 : csp -1 : bg white,0 : print 2 : goto *Kin_hazure ;B(不可能)、ハズレへ。 ~ ;クイズ2問目、秀吉の問題のボタン処理 notif %quiz_num = 2 : jumpf if %BtnRes = 716 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *hid_atari ;A(キャベツ)、正解へ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *hid_hazure ;B(レタス)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *hid_hazure ;C(トウモロコシ)、ハズレへ。 ~ ;クイズ3問目、絵羽の問題のボタン処理 notif %quiz_num = 3 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *eva_hazure ;A(とても暑い場所)、ハズレへ。 if %BtnRes = 717 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *eva_atari ;B(とても寒い場所)、正解へ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *eva_hazure ;C(実在するわけがない)、ハズレへ。 ~ ;クイズ4問目、郷田の問題のボタン処理 notif %quiz_num = 4 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Goh_hazure ;A(シチュー)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Goh_hazure ;B(パン)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Goh_hazure ;C(ワイン)、ハズレへ。 if %BtnRes = 719 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *goh_atari ;D(アイスクリーム)、正解へ ~ ;クイズ5問目、熊沢の問題のボタン処理 notif %quiz_num = 5 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *kum_hazure ;A(あ)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *kum_hazure ;B(か)、ハズレへ。 if %BtnRes = 718 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *kum_atari ;C(く)、正解へ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *kum_hazure ;D(ろ)、ハズレへ ~ ;クイズ6問目、南條の問題のボタン処理 notif %quiz_num = 6 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Nan_hazure ;A(5)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Nan_hazure ;B(10)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Nan_hazure ;C(15)、ハズレへ。 if %BtnRes = 719 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *Nan_atari ;D(20)、正解へ if %BtnRes = 720 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Nan_hazure ;E(25)、ハズレへ ~ ;クイズ7問目、源次の問題のボタン処理 notif %quiz_num = 7 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *gen_hazure ;A(0mm)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *gen_hazure ;B(3mm)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *gen_hazure ;C(6mm)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *gen_hazure ;D(8mm)、ハズレへ if %BtnRes = 720 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *gen_atari ;E(10mm)、正解へ ~ ;クイズ8問目、夏妃の問題のボタン処理 notif %quiz_num = 8 : jumpf if %BtnRes = 716 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *NAT_atari ;A(白)、正解へ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *NAT_hazure ;B(黒)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *NAT_hazure ;C(赤)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *NAT_hazure ;D(灰色)、ハズレへ if %BtnRes = 720 se1 1000 : csp -1 : bg white,0 : print 2 : goto *NAT_hazure ;E(空色)、ハズレへ ~ ;クイズ9問目、蔵臼の問題のボタン処理 notif %quiz_num = 9 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KLA_hazure ;A(1階)、ハズレへ。 if %BtnRes = 717 : inc %ivent_coins : se1 1000 : csp -1 : inc %coins : bg white,0 : print 2 : goto *KLA_atari ;B(3階)、正解へ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KLA_hazure ;C(5階)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KLA_hazure ;D(7階)、ハズレへ if %BtnRes = 720 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KLA_hazure ;E(9階)、ハズレへ ~ ;クイズ10問目、嘉音の問題のボタン処理 notif %quiz_num = 10 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KAN_hazure ;A(30枚)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KAN_hazure ;B(57枚)、ハズレへ。 if %BtnRes = 718 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *KAN_Atari ;C(78枚)、正解へ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KAN_hazure ;D(91枚)、ハズレへ if %BtnRes = 720 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KAN_hazure ;E(112枚)、ハズレへ ~ ;クイズ11問目、紗音の問題のボタン処理 notif %quiz_num = 11 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *SHA_hazure ;A(A)、ハズレへ。 if %BtnRes = 717 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *SHA_atari ;B(S)、正解へ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *SHA_hazure ;C(K)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *SHA_hazure ;D(N)、ハズレへ if %BtnRes = 720 se1 1000 : csp -1 : bg white,0 : print 2 : goto *SHA_hazure ;E(R)、ハズレへ ~ ;クイズ12問目、楼座の問題のボタン処理 notif %quiz_num = 12 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *ROS_hazure ;A(4)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *ROS_hazure ;B(5)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *ROS_hazure ;C(6)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *ROS_hazure ;D(7)、ハズレへ if %BtnRes = 720 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *ROS_atari ;E(8)、正解へ ~ ;クイズ13問目、真里亞の問題のボタン処理 notif %quiz_num = 13 : jumpf if %BtnRes = 716 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *MAR_atari ;A(10手未満)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *MAR_hazure ;B(10手以上15手未満)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *MAR_hazure ;C(15手以上20手未満)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *MAR_hazure ;D(20手以上25手未満)、ハズレへ if %BtnRes = 720 se1 1000 : csp -1 : bg white,0 : print 2 : goto *MAR_hazure ;E(それ以外)、正解へ ~ ;クイズ14問目、霧江の問題のボタン処理 notif %quiz_num = 14 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KIR_hazure ;A(美代子)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *KIR_hazure ;B(沙都子)、ハズレへ。 if %BtnRes = 718 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *KIR_atari ;C(梨花)、正解へ ~ ;クイズ15問目、留弗夫の問題のボタン処理 notif %quiz_num = 15 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Rud_hazure ;A(梨花・沙都子・美代子)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Rud_hazure ;B(梨花・美代子・沙都子)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Rud_hazure ;C(沙都子・梨花・美代子)、ハズレへ。 if %BtnRes = 719 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Rud_hazure ;D(沙都子・美代子・梨花)、ハズレへ if %BtnRes = 720 : inc %ivent_coins : se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : goto *Rud_atari ;E(美代子・梨花・沙都子)、正解へ。 if %BtnRes = 721 se1 1000 : csp -1 : bg white,0 : print 2 : goto *Rud_hazure ;F(美代子・沙都子・梨花)、ハズレへ。 ~ ;クイズ16問目、譲治朱志香の問題のボタン処理 notif %quiz_num = 16 : jumpf if %BtnRes = 716 se1 1000 : csp -1 : bg white,0 : print 2 : goto *JesGeo_hazure ;A(赤井はこの方が高い)、ハズレへ。 if %BtnRes = 717 se1 1000 : csp -1 : bg white,0 : print 2 : goto *JesGeo_hazure ;B(どちらも同じ)、ハズレへ。 if %BtnRes = 718 se1 1000 : csp -1 : bg white,0 : print 2 : inc %coins : inc %coins : goto *JesGeo_atari ;C(青いはこの方が高い)、あたり。 ~ return ;メダル表示サブルーチン *medaru se1 1 bg white,2 ; if %quiz_num < 16 : lsp 800,":a;bmp\quiz\pic\mozi.bmp",215,420,200 ; if %quiz_num = 16 : lsp 800,":a;bmp\quiz\pic\mozi_2.bmp",215,420,200 print 0 if %quiz_num < 16 : bg "bmp\quiz\pic\m1.bmp",2 if %quiz_num = 16 : bg "bmp\quiz\pic\m2.bmp",2 ; bg medaru_1a,3 delay 2000 ; csp 800 ; print 2 ; delay 1000 bg black,2 delay 1000 return goto *quiz_loop ;ループポイントへ戻る。これがないと、セーブ画面に入ったとき、元に戻れない。 *quiz2 mov %play_scene,80150 ;デバッグ用 ;デザイン部分 csp -1 bg black,2 ;暗転 bg white,1 inc %quiz_num ;問題番号に1を足す。最初は問題1とする。 mov %answers,0 ;答え(選択肢)の数をリセット *quiz2_loop ;ループポイント(ボタン処理のときには必要) mov %play_scene,80150 lsp 800,":c;bmp\quiz\bb_quiz.bmp",0,0 lsp 716,":a;BMP\quiz\sys_btn\sw_a1.bmp",355,375 lsp 717,":a;BMP\quiz\sys_btn\sw_b1.bmp",105,375 ;答えボタンの上にマウスが乗ったときのボタン色変化 lsp 710,":a;BMP\quiz\sys_btn\sw_a2.bmp",355,375 lsp 711,":a;BMP\quiz\sys_btn\sw_b2.bmp",105,375 ;ボタンに機能を持たせる btndef "" exbtn_d "P716P717P718P719P720P721,C710C711C712C713C714C715" ;背景用 ;背景用右クリックのため exbtn 716,716,"P710,C716S1,(sys_se\zyosys1.WAV)" exbtn 717,717,"P711,C717S1,(sys_se\zyosys1.WAV)" print 1 ;透過処理が掛かっている部分はボタン処理を行なわない、という宣言 transbtn ;実際にボタンを押した時の処理 btnwait2 %BtnRes ; if %BtnRes = -1 : getscreenshot 80,60 : gosub *rmenu_main_ep8 ;右クリックを一時封印 if %BtnRes = 716 : se1 1000 : mov %last_quiz_flg,0 : csp -1 : bg white,0 : print 2 : return ;手品 if %BtnRes = 717 : se1 1000 : mov %last_quiz_flg,1 : csp -1 : bg white,0 : print 2 : return ;魔法 goto *quiz2_loop ;ループポイントへ。このスクリプトでは、これが必要。 *rmord_data mov %Free1,1 ;資料変数使用 mov %Free2,1 ;ページ数変数使用 lsp introduction_back,":c;bmp\black.bmp",0,0 print 10,200 lsp left,":a/2,0,3;bmp\system\left.bmp",45,405 ;次の資料 lsp right,":a/2,0,3;bmp\system\right.bmp",545,405 ;前の資料 lsp introduction_sp,":a/2,0,3;bmp\system\right.bmp",295,405 ;読むボタン *rmord_data_page btndef "" ;ページ数チェック if %Free1 = %data_pagemax csp right ;現在資料が最大数なら右ボタン表示しない if %Free1 = 1 csp left ;現在巣量が1なら左ボタン表示しない ;現在ページ資料パス取得 mov $Free1,"bmp\data\data" itoa $Free2,%Free1 add $Free1,$Free2 add $Free1,".bmp" lsp comment_sp1,$Free1,0,0 ;資料背景 spbtn left,left ;左ボタン spbtn right,right ;右ボタン spbtn introduction_sp,introduction_sp ~ print 1 *rmord_data_btnwait btnwait2 %BtnRes if %BtnRes = left inc %Free1 : mov %Free2,1 : goto *rmord_data_page if %BtnRes = right dec %Free1 : mov %Free2,1 : goto *rmord_data_page if %BtnRes = -1 jumpf if %BtnRes = introduction_sp goto *rmord_data_btnwait ~ csp left csp right csp introduction_sp csp introduction_back csp comment_sp1 print 10,500 goto *rmenu_csutom ;セーブ&ロード画面 ;************************************************************ ;セーブ画面 ;************************************************************ *savemode ;テスト用 ;bg M_o1b,1 saveoff if %remnu_data = on csp rmenu_databtn csp rmenu_btn1 csp rmenu_btn2 csp rmenu_btn3 *savemode1 for %Free1 = 1 to 100 csp %Free1 next mov %Free1,0 mov %Free2,0 mov %Free3,0 mov %Free4,0 mov %Free5,0 mov %Free6,0 mov %savescreenshotsp,31 ;セーブデータスクリーンショットスプライト mov %saveTimesp,11 mov %saveTextsp,21 csp Page1 csp Page2 csp Page3 csp Page4 csp Page5 csp rmenu_btn_Exit csp Save csp Load btndef "" ;セーブ画面のページの初期化の有無 ;初回起動時にはページ数を1に変更 if %savemodePage = 0 mov %savemodePage,1 mov %savemodePageNow,%savemodePage ;画面表示 ;背景表示 ;背景飾り lsp 700,":c;bmp\r_click\black.bmp",0,0,black_toukaritu lsp 699,":a;bmp\system\hana_save.bmp",301,86,100 lsp 698,":a;bmp\system\hane_save.bmp",6,0,200 ;システムボタン mov %tmp1,130 : mov %tmp2,38 lsp Save,":a/2,0,3;bmp\system\save_b.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ2 lsp Load,":a/2,0,3;bmp\system\Load.bmp",10,%tmp1 : add %tmp1,%tmp2 lsp rmenu_btn_Exit,":a/2,0,3;bmp\system\exit.bmp",10,%tmp1 ;ページボタン(現在のページをブリンクする) mov %tmp1,280 : mov %tmp2,38 lsp Page1,":a/2,0,3;bmp\system\Page1.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ1 lsp Page2,":a/2,0,3;bmp\system\Page2.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ2 lsp Page3,":a/2,0,3;bmp\system\Page3.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ3 lsp Page4,":a/2,0,3;bmp\system\Page4.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ2 lsp Page5,":a/2,0,3;bmp\system\Page5.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ3 if %savemodePageNow = 1 lsp Page1,":a/2,0,3;bmp\system\Page1_b.bmp",10,280 ;ページ1 if %savemodePageNow = 2 lsp Page2,":a/2,0,3;bmp\system\Page2_b.bmp",10,318 ;ページ2 if %savemodePageNow = 3 lsp Page3,":a/2,0,3;bmp\system\Page3_b.bmp",10,356 ;ページ3 if %savemodePageNow = 4 lsp Page4,":a/2,0,3;bmp\system\Page4_b.bmp",10,394 ;ページ2 if %savemodePageNow = 5 lsp Page5,":a/2,0,3;bmp\system\Page5_b.bmp",10,432 ;ページ3 ;セーブデータ用表示枠 mov %tmp,15 lsp 41,":c/2,0,3;bmp\system\savearea.bmp",212,%tmp,150 : add %tmp,107 ;セーブエリア枠1 lsp 42,":c/2,0,3;bmp\system\savearea.bmp",212,%tmp,150 : add %tmp,107 ;セーブエリア枠2 lsp 43,":c/2,0,3;bmp\system\savearea.bmp",212,%tmp,150 : add %tmp,107 ;セーブエリア枠3 lsp 44,":c/2,0,3;bmp\system\savearea.bmp",212,%tmp,150 ;セーブエリア枠4 ;セーブエリア枠5 mov %tmp,40 lsp 45,":c/2,0,3;bmp\system\savearea.bmp",425,%tmp,150 : add %tmp,107 lsp 46,":c/2,0,3;bmp\system\savearea.bmp",425,%tmp,150 : add %tmp,107 ;セーブエリア枠6 lsp 47,":c/2,0,3;bmp\system\savearea.bmp",425,%tmp,150 : add %tmp,107 ;セーブエリア枠7 lsp 48,":c/2,0,3;bmp\system\savearea.bmp",425,%tmp,150 ;セーブエリア枠8 ; lsp 49,":a;bmp\system\savearea9.bmp",425,%tmp,50 : add %tmp,110 ;セーブエリア枠9 ; lsp 50,":a;bmp\system\savearea10.bmp",425,%tmp,50 ;セーブエリア枠10 *savemode2 ;ページ確認 mov %Free1,savedataflg ;セーブデータグローバルエリア番号取得 mov %Free2,%savemodePageNow mul %Free2,8 sub %Free2,8 inc %Free2 add %Free1,%Free2 ;セーブデータエリア開始番号収得 mov %Free3,%Free2 ;セーブデータ番号 mov %savedataTime,savedataTime add %savedataTime,%Free3 mov %Free5,savedataComment add %Free5,%Free3 mov %savescreenshotsp,31 ;セーブデータスクリーンショットスプライト mov %saveTimesp,11 mov %saveTextsp,21 mov %x,218 mov %y,25 mov %x2,218 mov %y2,86 mov %x3,294 mov %y3,55 for %Free2 = 1 to 8 ;xy軸を取得 if %y > 400 mov %y,50 : mov %x,431 : mov %y2,111 : mov %y3,80 :mov %x2,431 : mov %x3,511 ;セーブデータを収得しているか確認する if %%Free1 = 0 jumpf ;セーブデータのスクリーンショットを表示する mov $Free1,"screenshot\ss" itoa $Free2,%Free3 add $Free1,$Free2 add $Free1,".bmp" ;ファイルのチェック、存在しないファイルなら仮ファイルを入れる fileexist %tmp,$Free1 if %tmp = 0 mov $Free1,"screenshot\dummy.bmp" mov $Free1,":c;" + $Free1 lsp %savescreenshotsp,$Free1,%x,%y ;セーブデータの時間データを表示する mov $saveTimesp2,":s/8,15,1;#FFFFFF" add $saveTimesp2,$%savedataTime lsp %saveTimesp,$saveTimesp2,%x2,%y2 ;セーブデータのテキストデータを表示する mov $saveTextsp2,":s/10,10,1;#FFFFFF" add $saveTextsp2,$%Free5 lsp %saveTextsp,$saveTextsp2,%x3,%y3 ~ inc %Free3 inc %Free1 add %y2,107 add %y3,107 add %y,107 inc %savedataTime inc %saveTimesp inc %saveTextsp inc %savescreenshotsp inc %Free4 inc %Free5 next ;ボタン化 btndef "" spbtn 41,1 ;セーブエリア1 spbtn 42,2 ;セーブエリア2 spbtn 43,3 ;セーブエリア3 spbtn 44,4 ;セーブエリア4 spbtn 45,5 ;セーブエリア5 spbtn 46,6 ;セーブエリア6 spbtn 47,7 ;セーブエリア7 spbtn 48,8 ;セーブエリア8 ;spbtn 49,9 ;セーブエリア9 ;spbtn 50,10 ;セーブエリア10 spbtn Page1,Page1 ;ページボタン 1~8 spbtn Page2,Page2 ;ページボタン 9~16 spbtn Page3,Page3 ;ページボタン17~24 spbtn Page4,Page4 ;ページボタン25~32 spbtn Page5,Page5 ;ページボタン33~40 spbtn rmenu_btn_Exit,rmenu_btn_Exit ;終了ボタン spbtn Load,Load ;ロード画面変更ボタン print 10,200 *savemode_btn_loop btnwait2 %BtnRes ;セーブデータボタンを選択 if %BtnRes = 1 goto *savemode_save if %BtnRes = 2 goto *savemode_save if %BtnRes = 3 goto *savemode_save if %BtnRes = 4 goto *savemode_save if %BtnRes = 5 goto *savemode_save if %BtnRes = 6 goto *savemode_save if %BtnRes = 7 goto *savemode_save if %BtnRes = 8 goto *savemode_save ;if %BtnRes = 9 goto *savemode_save ;if %BtnRes = 10 goto *savemode_save ;ページ移動ボタンを選択 if %BtnRes = Page1 mov %savemodePage,1 : seplay 9,1010 : goto *savemode1 if %BtnRes = Page2 mov %savemodePage,2 : seplay 9,1010 : goto *savemode1 if %BtnRes = Page3 mov %savemodePage,3 : seplay 9,1010 : goto *savemode1 if %BtnRes = Page4 mov %savemodePage,4 : seplay 9,1010 : goto *savemode1 if %BtnRes = Page5 mov %savemodePage,5 : seplay 9,1010 : goto *savemode1 ;システム切り替えボタンを選択 if %BtnRes = rmenu_btn_Exit goto *save_exit if %BtnRes = Load seplay 9,1000 : goto *loadmode if %BtnRes = -1 goto *save_exit goto *savemode_btn_loop *savemode_save if %savemodePageNow = 1 lsp Page1,":a/2,0,3;bmp\system\Page1_b.bmp",10,280 ;ページ1 if %savemodePageNow = 2 lsp Page2,":a/2,0,3;bmp\system\Page2_b.bmp",10,318 ;ページ2 if %savemodePageNow = 3 lsp Page3,":a/2,0,3;bmp\system\Page3_b.bmp",10,356 ;ページ3 if %savemodePageNow = 4 lsp Page4,":a/2,0,3;bmp\system\Page4_b.bmp",10,394 ;ページ2 if %savemodePageNow = 5 lsp Page5,":a/2,0,3;bmp\system\Page5_b.bmp",10,432 ;ページ3 ;セーブ番号収得 mov %savedataNowNum,%savemodePageNow mul %savedataNowNum,8 sub %savedataNowNum,8 add %savedataNowNum,%BtnRes ;セーブ savegame %savedataNowNum mov %savedataflg,savedataflg add %savedataflg,%savedataNowNum mov %%savedataflg,1 ;スクリーンショットの保存 mov $Free1,"screenshot\ss" itoa $Free2,%savedataNowNum add $Free1,$Free2 add $Free1,".bmp" ;セーブデータ番号 月 日 時 分 savescreenshot2 $Free1 savetime %savedataNowNum,%Morth,%Day,%Hour,%Minute itoa2 $SaveTime,%Morth : add $SaveTime,"月" itoa2 $Free1,%Day : add $SaveTime,$Free1 : add $SaveTime,"日" itoa2 $Free1,%Hour : add $SaveTime,$Free1 : add $SaveTime,"時" itoa2 $Free1,%Minute : add $SaveTime,$Free1 : add $SaveTime,"分" mov %Free2,savedataTime ;セーブデータタイムのエリア数の取得 add %Free2,%savedataNowNum ;保存エリアの確定 mov $%Free2,$SaveTime ;mov $%Free2,":s/8,10,1;#FFFFFF" ;if %scenario_Number = 1 mov $%Free2,"Ep1 " : add $%Free2,$SaveTime ;if %scenario_Number = 2 mov $%Free2,"Ep2 " : add $%Free2,$SaveTime ;if %scenario_Number = 3 mov $%Free2,"Ep3 " : add $%Free2,$SaveTime ;if %scenario_Number = 4 mov $%Free2,"Ep4 " : add $%Free2,$SaveTime if %scenario_Number = 1 mov $%Free2,"^Ep1: " : add $%Free2,$SaveTime if %scenario_Number = 2 mov $%Free2,"^Ep2: " : add $%Free2,$SaveTime if %scenario_Number = 3 mov $%Free2,"^Ep3: " : add $%Free2,$SaveTime if %scenario_Number = 4 mov $%Free2,"^Ep4: " : add $%Free2,$SaveTime ;セーブデータ作成時のコメント取得 ;保存するグローバル変数の変数番号を取る ;ルビ用の変数"/"が入った時に文字化けしないようするルーチン split $textlognow,"(",$ru1,$ru2 if $ru2 == "" jumpf split $ru2,"/",$ru3,$m_temp2 split $ru2,")",$m_temp2,$ru2 mov $textlognow,$ru1+$ru3+$ru2 ~ mov %Free2,savedataComment+%savedataNowNum ;mid $Free2,$TextlogNow,0,16 ;add $Free2,"..." ;mid $Free1,$TextlogNow,0,16 ;mov $Free2,"^ " : add $Free2,$Free1 ;add $Free2,"..." if %scenario_Number = 5 mov $Free2,"^ 我らの告白^" if %scenario_Number = 6 mov $Free2,"^ Episode 6^" if %scenario_Number = 7 mov $Free2,"^ Episode 7^" if %scenario_Number = 8 mov $Free2,"^ Last note ^" mov $%Free2,$Free2 seplay 9,1030 goto *savemode1 ;*************************************************************** ;ロード画面 *loadmode ;*************************************************************** if %remnu_data = on csp rmenu_databtn csp rmenu_btn1 csp rmenu_btn2 csp rmenu_btn3 *loadmode1 saveoff for %Free1 = 1 to 100 csp %Free1 next csp rmenu_btn1 csp rmenu_btn2 csp rmenu_btn3 csp Page1 csp Page2 csp Page3 csp Page4 csp Page5 csp rmenu_btn_Exit csp Save csp Load btndef "" ;セーブ画面のページの初期化の有無 ;初回起動時にはページ数を1に変更 if %savemodePage = 0 mov %savemodePage,1 mov %savemodePageNow,%savemodePage ;画面表示 ;背景表示 ;背景飾り lsp 700,":c;bmp\r_click\black.bmp",0,0,black_toukaritu lsp 699,":a;bmp\system\hana_load.bmp",301,86,100 lsp 698,":a;bmp\system\hane_load.bmp",6,0,200 ;システムボタン mov %tmp1,130 : mov %tmp2,38 lsp Save,":a/2,0,3;bmp\system\save.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ2 lsp Load,":a/2,0,3;bmp\system\Load_b.bmp",10,%tmp1 : add %tmp1,%tmp2 lsp rmenu_btn_Exit,":a/2,0,3;bmp\system\exit.bmp",10,%tmp1 ;ページボタン(現在のページをブリンクする) mov %tmp1,280 : mov %tmp2,38 lsp Page1,":a/2,0,3;bmp\system\Page1.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ1 lsp Page2,":a/2,0,3;bmp\system\Page2.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ2 lsp Page3,":a/2,0,3;bmp\system\Page3.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ3 lsp Page4,":a/2,0,3;bmp\system\Page4.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ2 lsp Page5,":a/2,0,3;bmp\system\Page5.bmp",10,%tmp1 : add %tmp1,%tmp2 ;ページ3 if %savemodePageNow = 1 lsp Page1,":a/2,0,3;bmp\system\Page1_b.bmp",10,280 ;ページ1 if %savemodePageNow = 2 lsp Page2,":a/2,0,3;bmp\system\Page2_b.bmp",10,318 ;ページ2 if %savemodePageNow = 3 lsp Page3,":a/2,0,3;bmp\system\Page3_b.bmp",10,356 ;ページ3 if %savemodePageNow = 4 lsp Page4,":a/2,0,3;bmp\system\Page4_b.bmp",10,394 ;ページ2 if %savemodePageNow = 5 lsp Page5,":a/2,0,3;bmp\system\Page5_b.bmp",10,432 ;ページ3 ;セーブデータ用表示枠 mov %tmp,15 lsp 41,":c/2,0,3;bmp\system\loadarea.bmp",212,%tmp,150 : add %tmp,107 ;セーブエリア枠1 lsp 42,":c/2,0,3;bmp\system\loadarea.bmp",212,%tmp,150 : add %tmp,107 ;セーブエリア枠2 lsp 43,":c/2,0,3;bmp\system\loadarea.bmp",212,%tmp,150 : add %tmp,107 ;セーブエリア枠3 lsp 44,":c/2,0,3;bmp\system\loadarea.bmp",212,%tmp,150 ;セーブエリア枠4 ;セーブエリア枠5 mov %tmp,40 lsp 45,":c/2,0,3;bmp\system\loadarea.bmp",425,%tmp,150 : add %tmp,107 lsp 46,":c/2,0,3;bmp\system\loadarea.bmp",425,%tmp,150 : add %tmp,107 ;セーブエリア枠6 lsp 47,":c/2,0,3;bmp\system\loadarea.bmp",425,%tmp,150 : add %tmp,107 ;セーブエリア枠7 lsp 48,":c/2,0,3;bmp\system\loadarea.bmp",425,%tmp,150 ;セーブエリア枠8 ; lsp 49,":a;bmp\system\savearea9.bmp",425,%tmp,50 : add %tmp,110 ;セーブエリア枠9 ; lsp 50,":a;bmp\system\savearea10.bmp",425,%tmp,50 ;セーブエリア枠10 *loadmode2 ;ページ確認 mov %Free1,savedataflg ;セーブデータグローバルエリア番号取得 mov %Free2,%savemodePageNow mul %Free2,8 sub %Free2,8 inc %Free2 add %Free1,%Free2 ;セーブデータエリア開始番号収得 mov %Free3,%Free2 ;セーブデータ番号 mov %savedataTime,savedataTime add %savedataTime,%Free3 mov %Free5,savedataComment add %Free5,%Free3 mov %savescreenshotsp,31 ;セーブデータスクリーンショットスプライト mov %saveTimesp,11 mov %saveTextsp,21 mov %x,218 mov %y,25 mov %x2,218 mov %y2,86 mov %x3,294 mov %y3,55 btndef "" for %Free2 = 1 to 8 ;xy軸を取得 if %y > 400 mov %y,50 : mov %x,431 : mov %y2,111 : mov %y3,80 :mov %x2,431 : mov %x3,511 ;セーブデータを収得しているか確認する if %%Free1 = 0 jumpf ;セーブデータのスクリーンショットを表示する mov $Free1,"screenshot\ss" itoa $Free2,%Free3 add $Free1,$Free2 add $Free1,".bmp" ;ファイルのチェック、存在しないファイルなら仮ファイルを入れる fileexist %tmp,$Free1 if %tmp = 0 mov $Free1,"screenshot\dummy.bmp" mov $Free1,":c;" + $Free1 lsp %savescreenshotsp,$Free1,%x,%y ;セーブデータの時間データを表示する mov $saveTimesp2,":s/8,15,1;#FFFFFF" add $saveTimesp2,$%savedataTime lsp %saveTimesp,$saveTimesp2,%x2,%y2 ;セーブデータのテキストデータを表示する mov $saveTextsp2,":s/10,10,1;#FFFFFF" add $saveTextsp2,$%Free5 lsp %saveTextsp,$saveTextsp2,%x3,%y3 ;ボタン化(データがない場合はボタンにしない) mov %tmp,40 : add %tmp,%Free2 spbtn %tmp,%Free2 ~ inc %Free3 inc %Free1 add %y2,107 add %y3,107 add %y,107 inc %savedataTime inc %saveTimesp inc %saveTextsp inc %savescreenshotsp inc %Free4 inc %Free5 next spbtn Page1,Page1 ;ページボタン 1~8 spbtn Page2,Page2 ;ページボタン 9~16 spbtn Page3,Page3 ;ページボタン17~24 spbtn Page4,Page4 ;ページボタン25~32 spbtn Page5,Page5 ;ページボタン33~40 spbtn rmenu_btn_Exit,rmenu_btn_Exit ;終了ボタン spbtn Save,Save ;ロード画面変更ボタン print 10,200 *loadmode_btn_loop btnwait2 %BtnRes ;セーブデータボタンを選択 if %BtnRes = 1 goto *loadmode_load if %BtnRes = 2 goto *loadmode_load if %BtnRes = 3 goto *loadmode_load if %BtnRes = 4 goto *loadmode_load if %BtnRes = 5 goto *loadmode_load if %BtnRes = 6 goto *loadmode_load if %BtnRes = 7 goto *loadmode_load if %BtnRes = 8 goto *loadmode_load ;if %BtnRes = 9 goto *loadmode_load ;if %BtnRes = 10 goto *loadmode_load ;ページ移動ボタンを選択 if %BtnRes = Page1 mov %savemodePage,1 : seplay 9,1010 : goto *loadmode1 if %BtnRes = Page2 mov %savemodePage,2 : seplay 9,1010 : goto *loadmode1 if %BtnRes = Page3 mov %savemodePage,3 : seplay 9,1010 : goto *loadmode1 if %BtnRes = Page4 mov %savemodePage,4 : seplay 9,1010 : goto *loadmode1 if %BtnRes = Page5 mov %savemodePage,5 : seplay 9,1010 : goto *loadmode1 ;システム切り替えボタンを選択 if %BtnRes = rmenu_btn_Exit :goto *save_exit if %BtnRes = Save seplay 9,1000 : goto *savemode if %BtnRes = -1 goto *save_exit goto *loadmode_btn_loop *loadmode_load ;ロード番号収得 mov %savedataNowNum,%savemodePageNow mul %savedataNowNum,8 sub %savedataNowNum,8 add %savedataNowNum,%BtnRes ;ロード ;右クリックからのエフェクト notif %load_for_title = 0 jumpf ;ワレエフェクトと共に黒フェード E_A seplay 9,1022 lsp 0,":a;bmp\efe\ware.bmp",0,0 print 1 delay 1000 lsp 0,":c;bmp\r_click\black.bmp",0,0 print 22 delay 2000 ~ for %Free1 = 1 to 100 csp %Free1 next csp -1 csp rmenu_btn1 csp rmenu_btn2 csp rmenu_btn3 csp Page1 csp Page2 csp Page3 csp Page4 csp Page5 csp rmenu_btn_Exit csp Save csp Load btndef "" ;layermessage 10,"clear/10" ;タイトルからのエフェクト notif %load_for_title = 1 jumpf ;ワレエフェクトと共に黒フェード E_A seplay 9,17 bg white,10 delay 3000 ~ mov %load_for_title,0 loadgame %savedataNowNum goto *loadmode2 ;セーブシステム終了 *save_exit for %Free1 = 1 to 100 csp %Free1 next csp rmenu_btn1 csp rmenu_btn2 csp rmenu_btn3 csp Page1 csp Page2 csp Page3 csp Page4 csp Page5 csp rmenu_btn_Exit csp Load csp Save csp 700 csp 699 csp 698 seplay 8,1100 print 1 texton mov %load_for_title,0 wait 500 ;セーブ、ロードから抜ける時は右クリックメニューを飛ばして ;ゲーム画面に復帰させる。 return *DATA_SET ;立ち絵画像の基本ルート設定 for %Free1 = KIN_Isyou to BU2_Isyou ;EP8時点の人数 mov %%Free1,1 next return ;************************************************* ;ld_p (立ち絵名)を入れると、 ;$ld_passに画像パスを返します ;************************************************* *ld_p getparam %ld_pass_number gosub *ld_data return ;************************************************* ;新立ち絵表示システム ;09/08/05:製作者(八咫桜) ;numalias KIN_start_number ;金蔵の表情の番号の開始 ;numalias KIN_last_number ;金蔵の表情の番号の終端 ;numalias KIN_Isyou ;金蔵の衣装変数 ;%Free1 ;立ち絵位置 ;%ld_pass_number ;立ち絵表示番号 ;%Free3 ;表示エフェクト ;$ld_pass ;立ち絵パス ;************************************************* *ld ;立絵位置 表示番号 表示エフェクト getparam %Free1,%ld_pass_number,%Free3 gosub *ld_data ;立絵位置 if %Free1 = c ld_old c,$ld_pass,%Free3 ; if %Free1 = l ld_old l,$ld_pass,%Free3 ; if %Free1 = r ld_old r,$ld_pass,%Free3 ; return *ld_data btndef clear ;立絵パス notif %ld_pass_number >= KIN_start_number jumpf notif %ld_pass_number <= KIN_last_number jumpf if %KIN_Isyou = 1 gosub *KIN_Isyou1 : return if %KIN_Isyou = 2 gosub *KIN_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KLA_start_number jumpf notif %ld_pass_number <= KLA_last_number jumpf if %KLA_Isyou = 1 gosub *KLA_Isyou1 : return if %KLA_Isyou = 2 gosub *KLA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= NAT_start_number jumpf notif %ld_pass_number <= NAT_last_number jumpf if %NAT_Isyou = 1 gosub *NAT_Isyou1 : return if %NAT_Isyou = 2 gosub *NAT_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= JES_start_number jumpf notif %ld_pass_number <= JES_last_number jumpf if %JES_Isyou = 1 gosub *JES_Isyou1 : return if %JES_Isyou = 2 gosub *JES_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= EVA_start_number jumpf notif %ld_pass_number <= EVA_last_number jumpf if %EVA_Isyou = 1 gosub *EVA_Isyou1 : return if %EVA_Isyou = 2 gosub *EVA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= HID_start_number jumpf notif %ld_pass_number <= HID_last_number jumpf if %HID_Isyou = 1 gosub *HID_Isyou1 : return if %HID_Isyou = 2 gosub *HID_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= GEO_start_number jumpf notif %ld_pass_number <= GEO_last_number jumpf if %GEO_Isyou = 1 gosub *GEO_Isyou1 : return if %GEO_Isyou = 2 gosub *GEO_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RUD_start_number jumpf notif %ld_pass_number <= RUD_last_number jumpf if %RUD_Isyou = 1 gosub *RUD_Isyou1 : return if %RUD_Isyou = 2 gosub *RUD_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KIR_start_number jumpf notif %ld_pass_number <= KIR_last_number jumpf if %KIR_Isyou = 1 gosub *KIR_Isyou1 : return if %KIR_Isyou = 2 gosub *KIR_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= BUT_start_number jumpf notif %ld_pass_number <= BUT_last_number jumpf if %BUT_Isyou = 1 gosub *BUT_Isyou1 : return if %BUT_Isyou = 2 gosub *BUT_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= ROS_start_number jumpf notif %ld_pass_number <= ROS_last_number jumpf if %ROS_Isyou = 1 gosub *ROS_Isyou1 : return if %ROS_Isyou = 2 gosub *ROS_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= MAR_start_number jumpf notif %ld_pass_number <= MAR_last_number jumpf if %MAR_Isyou = 1 gosub *MAR_Isyou1 : return if %MAR_Isyou = 2 gosub *MAR_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= GEN_start_number jumpf notif %ld_pass_number <= GEN_last_number jumpf if %GEN_Isyou = 1 gosub *GEN_Isyou1 : return if %GEN_Isyou = 2 gosub *GEN_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= NAN_start_number jumpf notif %ld_pass_number <= NAN_last_number jumpf if %NAN_Isyou = 1 gosub *NAN_Isyou1 : return if %NAN_Isyou = 2 gosub *NAN_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KUM_start_number jumpf notif %ld_pass_number <= KUM_last_number jumpf if %KUM_Isyou = 1 gosub *KUM_Isyou1 : return if %KUM_Isyou = 2 gosub *KUM_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= GOH_start_number jumpf notif %ld_pass_number <= GOH_last_number jumpf if %GOH_Isyou = 1 gosub *GOH_Isyou1 : return if %GOH_Isyou = 2 gosub *GOH_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= SHA_start_number jumpf notif %ld_pass_number <= SHA_last_number jumpf if %SHA_Isyou = 1 gosub *SHA_Isyou1 : return if %SHA_Isyou = 2 gosub *SHA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KAN_start_number jumpf notif %ld_pass_number <= KAN_last_number jumpf if %KAN_Isyou = 1 gosub *KAN_Isyou1 : return if %KAN_Isyou = 2 gosub *KAN_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= BEA_start_number jumpf notif %ld_pass_number <= BEA_last_number jumpf if %BEA_Isyou = 1 gosub *BEA_Isyou1 : return if %BEA_Isyou = 2 gosub *BEA_Isyou2 : return if %BEA_Isyou = 3 gosub *BEA_Isyou3 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= BE2_start_number jumpf notif %ld_pass_number <= BE2_last_number jumpf if %BE2_Isyou = 1 gosub *BE2_Isyou1 : return if %BE2_Isyou = 2 gosub *BE2_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= BER_start_number jumpf notif %ld_pass_number <= BER_last_number jumpf if %BER_Isyou = 1 gosub *BER_Isyou1 : return if %BER_Isyou = 2 gosub *BER_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= LAM_start_number jumpf notif %ld_pass_number <= LAM_last_number jumpf if %LAM_Isyou = 1 gosub *LAM_Isyou1 : return if %LAM_Isyou = 2 gosub *LAM_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= GOA_start_number jumpf notif %ld_pass_number <= GOA_last_number jumpf if %GOA_Isyou = 1 gosub *GOA_Isyou1 : return if %GOA_Isyou = 2 gosub *GOA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG1_start_number jumpf notif %ld_pass_number <= RG1_last_number jumpf if %RG1_Isyou = 1 gosub *RG1_Isyou1 : return if %RG1_Isyou = 2 gosub *RG1_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG2_start_number jumpf notif %ld_pass_number <= RG2_last_number jumpf if %RG2_Isyou = 1 gosub *RG2_Isyou1 : return if %RG2_Isyou = 2 gosub *RG2_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG3_start_number jumpf notif %ld_pass_number <= RG3_last_number jumpf if %RG3_Isyou = 1 gosub *RG3_Isyou1 : return if %RG3_Isyou = 2 gosub *RG3_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG4_start_number jumpf notif %ld_pass_number <= RG4_last_number jumpf if %RG4_Isyou = 1 gosub *RG4_Isyou1 : return if %RG4_Isyou = 2 gosub *RG4_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG5_start_number jumpf notif %ld_pass_number <= RG5_last_number jumpf if %RG5_Isyou = 1 gosub *RG5_Isyou1 : return if %RG5_Isyou = 2 gosub *RG5_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG6_start_number jumpf notif %ld_pass_number <= RG6_last_number jumpf if %RG6_Isyou = 1 gosub *RG6_Isyou1 : return if %RG6_Isyou = 2 gosub *RG6_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RG7_start_number jumpf notif %ld_pass_number <= RG7_last_number jumpf if %RG7_Isyou = 1 gosub *RG7_Isyou1 : return if %RG7_Isyou = 2 gosub *RG7_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= WAL_start_number jumpf notif %ld_pass_number <= WAL_last_number jumpf if %WAL_Isyou = 1 gosub *WAL_Isyou1 : return if %WAL_Isyou = 2 gosub *WAL_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= EV2_start_number jumpf notif %ld_pass_number <= EV2_last_number jumpf if %EV2_Isyou = 1 gosub *EV2_Isyou1 : return if %EV2_Isyou = 2 gosub *EV2_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RON_start_number jumpf notif %ld_pass_number <= RON_last_number jumpf if %RON_Isyou = 1 gosub *RON_Isyou1 : return if %RON_Isyou = 2 gosub *RON_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= S45_start_number jumpf notif %ld_pass_number <= S45_last_number jumpf if %S45_Isyou = 1 gosub *S45_Isyou1 : return if %S45_Isyou = 2 gosub *S45_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= S41_start_number jumpf notif %ld_pass_number <= S41_last_number jumpf if %S41_Isyou = 1 gosub *S41_Isyou1 : return if %S41_Isyou = 2 gosub *S41_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= ENJ_start_number jumpf notif %ld_pass_number <= ENJ_last_number jumpf if %ENJ_Isyou = 1 gosub *ENJ_Isyou1 : return if %ENJ_Isyou = 2 gosub *ENJ_Isyou2 : return if %ENJ_Isyou = 3 gosub *ENJ_Isyou3 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= OKO_start_number jumpf notif %ld_pass_number <= OKO_last_number jumpf if %OKO_Isyou = 1 gosub *OKO_Isyou1 : return if %OKO_Isyou = 2 gosub *OKO_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KAS_start_number jumpf notif %ld_pass_number <= KAS_last_number jumpf if %KAS_Isyou = 1 gosub *KAS_Isyou1 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= AMA_start_number jumpf notif %ld_pass_number <= AMA_last_number jumpf if %AMA_Isyou = 1 gosub *AMA_Isyou1 : return if %AMA_Isyou = 2 gosub *AMA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= SAK_start_number jumpf notif %ld_pass_number <= SAK_last_number jumpf if %SAK_Isyou = 1 gosub *SAK_Isyou1 : return if %SAK_Isyou = 2 gosub *SAK_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= PRO_start_number jumpf notif %ld_pass_number <= PRO_last_number jumpf if %PRO_Isyou = 1 gosub *PRO_Isyou1 : return if %PRO_Isyou = 2 gosub *PRO_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= S00_start_number jumpf notif %ld_pass_number <= S00_last_number jumpf if %S00_Isyou = 1 gosub *S00_Isyou1 : return if %S00_Isyou = 2 gosub *S00_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= GAP_start_number jumpf notif %ld_pass_number <= GAP_last_number jumpf if %GAP_Isyou = 1 gosub *GAP_Isyou1 : return if %GAP_Isyou = 2 gosub *GAP_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KAW_start_number jumpf notif %ld_pass_number <= KAW_last_number jumpf if %KAW_Isyou = 1 gosub *KAW_Isyou1 : return if %KAW_Isyou = 2 gosub *KAW_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= ERI_start_number jumpf notif %ld_pass_number <= ERI_last_number jumpf if %ERI_Isyou = 1 gosub *ERI_Isyou1 : return if %ERI_Isyou = 2 gosub *ERI_Isyou2 : return if %ERI_Isyou = 3 gosub *ERI_Isyou3 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= DLA_start_number jumpf notif %ld_pass_number <= DLA_last_number jumpf if %DLA_Isyou = 1 gosub *DLA_Isyou1 : return if %DLA_Isyou = 2 gosub *DLA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= GER_start_number jumpf notif %ld_pass_number <= GER_last_number jumpf if %GER_Isyou = 1 gosub *GER_Isyou1 : return if %GER_Isyou = 2 gosub *GER_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= COR_start_number jumpf notif %ld_pass_number <= COR_last_number jumpf if %COR_Isyou = 1 gosub *COR_Isyou1 : return if %COR_Isyou = 2 gosub *COR_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= FEA_start_number jumpf notif %ld_pass_number <= FEA_last_number jumpf if %FEA_Isyou = 1 gosub *FEA_Isyou1 : return if %FEA_Isyou = 2 gosub *FEA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= ZEP_start_number jumpf notif %ld_pass_number <= ZEP_last_number jumpf if %ZEP_Isyou = 1 gosub *ZEP_Isyou1 : return if %ZEP_Isyou = 2 gosub *ZEP_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= FUR_start_number jumpf notif %ld_pass_number <= FUR_last_number jumpf if %FUR_Isyou = 1 gosub *FUR_Isyou1 : return if %FUR_Isyou = 2 gosub *FUR_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= WIL_start_number jumpf notif %ld_pass_number <= WIL_last_number jumpf if %WIL_Isyou = 1 gosub *WIL_Isyou1 : return if %WIL_Isyou = 2 gosub *WIL_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= RIO_start_number jumpf notif %ld_pass_number <= RIO_last_number jumpf if %RIO_Isyou = 1 gosub *RIO_Isyou1 : return if %RIO_Isyou = 2 gosub *RIO_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= CUR_start_number jumpf notif %ld_pass_number <= CUR_last_number jumpf if %CUR_Isyou = 1 gosub *CUR_Isyou1 : return if %CUR_Isyou = 2 gosub *CUR_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= KI2_start_number jumpf notif %ld_pass_number <= KI2_last_number jumpf if %KI2_Isyou = 1 gosub *KI2_Isyou1 : return if %KI2_Isyou = 2 gosub *KI2_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= EN2_start_number jumpf notif %ld_pass_number <= EN2_last_number jumpf if %EN2_Isyou = 1 gosub *EN2_Isyou1 : return if %EN2_Isyou = 2 gosub *EN2_Isyou2 : return 縁寿の衣装番号は%EN2_Isyouです。@ エラーってますね...。\ goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= BU2_start_number jumpf notif %ld_pass_number <= BU2_last_number jumpf if %BU2_Isyou = 1 gosub *BU2_Isyou1 : return if %BU2_Isyou = 2 gosub *BU2_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= FLA_start_number jumpf notif %ld_pass_number <= FLA_last_number jumpf mov %FLA_Isyou,1 if %FLA_Isyou = 1 gosub *FLA_Isyou1 : return if %FLA_Isyou = 2 gosub *FLA_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= PIE_start_number jumpf notif %ld_pass_number <= PIE_last_number jumpf mov %PIE_Isyou,1 if %PIE_Isyou = 1 gosub *PIE_Isyou1 : return if %PIE_Isyou = 2 gosub *PIE_Isyou2 : return goto *err_textbox ~ ;立絵パス notif %ld_pass_number >= WEL_start_number jumpf notif %ld_pass_number <= WEL_last_number jumpf mov %WEL_Isyou,1 if %WEL_Isyou = 1 gosub *WEL_Isyou1 : return if %WEL_Isyou = 2 gosub *WEL_Isyou2 : return goto *err_textbox ~ return *KIN_Isyou1 if %ld_pass_number = KIN_DefA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_DefA1": return if %ld_pass_number = KIN_WaraiA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_WaraiA1": return if %ld_pass_number = KIN_IkariA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_IkariA1": return ;怒り if %ld_pass_number = KIN_MajimeA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_MajimeA1": return ;真面目 if %ld_pass_number = KIN_AkuwaraiA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_AkuwaraiA1": return ;悪笑い if %ld_pass_number = KIN_AkuwaraiA2 mov $ld_pass,"bmp\TATI\KIN\1\KIN_AkuwaraiA2": return ;悪笑い if %ld_pass_number = KIN_WaraiA2 mov $ld_pass,"bmp\TATI\KIN\1\KIN_WaraiA2": return ;笑い if %ld_pass_number = KIN_nakuA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_nakuA1": return ;泣く if %ld_pass_number = KIN_nakuA2 mov $ld_pass,"bmp\TATI\KIN\1\KIN_nakuA2": return ;泣く2 if %ld_pass_number = KIN_fumuA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_fumuA1": return ;ふーむ if %ld_pass_number = KIN_fukigenA1 mov $ld_pass,"bmp\TATI\KIN\1\KIN_fukigenA1": return ;ふーむ goto *err_textbox2 return *KLA_Isyou1 if %ld_pass_number = KLA_DefA1 mov $ld_pass,"bmp\TATI\KLA\1\KLA_DefA1": return ;蔵臼立ち絵デフォ if %ld_pass_number = KLA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\KLA\1\KLA_AkuwaraiA1": return ;悪笑い if %ld_pass_number = KLA_KomaruA1 mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA1": return ;困る if %ld_pass_number = KLA_KomaruA2 mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA2": return ;困る if %ld_pass_number = KLA_KomaruA3 mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA3": return ;困る if %ld_pass_number = KLA_KomaruA4 mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA4": return ;困る if %ld_pass_number = KLA_MajimeA1 mov $ld_pass,"bmp\TATI\KLA\1\KLA_MajimeA1": return ;真面目 if %ld_pass_number = KLA_IkariA1 mov $ld_pass,"bmp\TATI\KLA\1\KLA_IkariA1": return;怒り if %ld_pass_number = KLA_AkuwaraiA2 mov $ld_pass,"bmp\TATI\KLA\1\KLA_AkuwaraiA2": return ;悪笑い2 if %ld_pass_number = KLA_AkuwaraiA3 mov $ld_pass,"bmp\TATI\KLA\1\KLA_AkuwaraiA3": return ;悪笑い3 if %ld_pass_number = KLA_MajimeA2 mov $ld_pass,"bmp\TATI\KLA\1\KLA_MajimeA2": return ;真面目2 if %ld_pass_number = KLA_NayamuA1 mov $ld_pass,"bmp\TATI\KLA\1\KLA_NayamuA1": return ;悩む if %ld_pass_number = KLA_NayamuA2 mov $ld_pass,"bmp\TATI\KLA\1\KLA_NayamuA2": return ;悩む if %ld_pass_number = KLA_NayamuA3 mov $ld_pass,"bmp\TATI\KLA\1\KLA_NayamuA3" : return : return ;悩む if %ld_pass_number = KLA_DefA1G mov $ld_pass,"bmp\TATI\KLA\1\KLA_DefA1G": return ;蔵臼立ち絵デフォ if %ld_pass_number = KLA_AkuwaraiA1G mov $ld_pass,"bmp\TATI\KLA\1\KLA_AkuwaraiA1G": return ;悪笑い if %ld_pass_number = KLA_KomaruA1G mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA1G" : return : return ;困る if %ld_pass_number = KLA_KomaruA2G mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA2G": return ;困る if %ld_pass_number = KLA_KomaruA3G mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA3G": return ;困る if %ld_pass_number = KLA_KomaruA4G mov $ld_pass,"bmp\TATI\KLA\1\KLA_KomaruA4G": return ;困る if %ld_pass_number = KLA_MajimeA1G mov $ld_pass,"bmp\TATI\KLA\1\KLA_MajimeA1G": return ;真面目 if %ld_pass_number = KLA_IkariA1G mov $ld_pass,"bmp\TATI\KLA\1\KLA_IkariA1G": return ;悪笑い2 if %ld_pass_number = KLA_AkuwaraiA3G mov $ld_pass,"bmp\TATI\KLA\1\KLA_AkuwaraiA3G": return ;悪笑い3 if %ld_pass_number = KLA_MajimeA2G mov $ld_pass,"bmp\TATI\KLA\1\KLA_MajimeA2G": return ;真面目2 if %ld_pass_number = KLA_NayamuA1G mov $ld_pass,"bmp\TATI\KLA\1\KLA_NayamuA1G": return ;悩む if %ld_pass_number = KLA_NayamuA2G mov $ld_pass,"bmp\TATI\KLA\1\KLA_NayamuA2G": return ;悩む if %ld_pass_number = KLA_NayamuA3G mov $ld_pass,"bmp\TATI\KLA\1\KLA_NayamuA3G": return ;悩む goto *err_textbox2 return *NAT_Isyou1 if %ld_pass_number = NAT_DefA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_DefA1": return ;夏妃立ち絵デフォ if %ld_pass_number = NAT_WaraiA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_WaraiA1": return ;笑い if %ld_pass_number = NAT_WaraiA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_WaraiA2": return ;笑い2 if %ld_pass_number = NAT_IkariA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_IkariA1": return ;怒り if %ld_pass_number = NAT_IkariA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_IkariA2": return ;怒り if %ld_pass_number = NAT_IkariA3 mov $ld_pass,"bmp\TATI\NAT\1\NAT_IkariA3": return ;怒り if %ld_pass_number = NAT_OdorokiA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_OdorokiA1": return ;驚き if %ld_pass_number = NAT_OdorokiA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_OdorokiA2": return ;驚き2 if %ld_pass_number = NAT_OdorokiA3 mov $ld_pass,"bmp\TATI\NAT\1\NAT_OdorokiA3": return ;驚き3 if %ld_pass_number = NAT_OdorokiA4 mov $ld_pass,"bmp\TATI\NAT\1\NAT_OdorokiA4": return ;驚き4 if %ld_pass_number = NAT_MajimeA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_MajimeA1": return ;真面目 if %ld_pass_number = NAT_MajimeA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_MajimeA2": return ;真面目2 if %ld_pass_number = NAT_KomaruA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_KomaruA1": return ;困る if %ld_pass_number = NAT_HisuA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_HisuA1": return ;ヒステリー if %ld_pass_number = NAT_zutuuA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_zutuuA1": return ;頭痛 if %ld_pass_number = NAT_TukareA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_TukareA1": return ;疲れ if %ld_pass_number = NAT_TukareA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_TukareA2": return ;疲れ2 if %ld_pass_number = NAT_NayamuA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NayamuA1": return ;悩む if %ld_pass_number = NAT_NayamuA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NayamuA2": return ;悩む if %ld_pass_number = NAT_NayamuA3 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NayamuA3": return ;悩む if %ld_pass_number = NAT_NakuA1 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NakuA1": return ;泣く if %ld_pass_number = NAT_NakuA2 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NakuA2": return ;泣く if %ld_pass_number = NAT_NakuA3 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NakuA3": return ;泣く if %ld_pass_number = NAT_NakuA4 mov $ld_pass,"bmp\TATI\NAT\1\NAT_NakuA4": return ;泣く if %ld_pass_number = NAT_IkariA1G mov $ld_pass,"bmp\TATI\NAT\1\NAT_IkariA1G": return ;怒り if %ld_pass_number = NAT_IkariB1G mov $ld_pass,"bmp\TATI\NAT\1\NAT_IkariB1G": return ;怒り if %ld_pass_number = NAT_IkariB2G mov $ld_pass,"bmp\TATI\NAT\1\NAT_IkariB2G": return ;怒り if %ld_pass_number = NAT_OdorokiA4G mov $ld_pass,"bmp\TATI\NAT\1\NAT_OdorokiA4G": return ;驚き4 if %ld_pass_number = NAT_N01 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N01": return ;咲新表情1 if %ld_pass_number = NAT_N02 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N02": return ;咲新表情2 if %ld_pass_number = NAT_N03 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N03": return ;咲新表情3 if %ld_pass_number = NAT_N04 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N04": return ;咲新表情4 if %ld_pass_number = NAT_N05 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N05": return ;咲新表情5 if %ld_pass_number = NAT_N06 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N06": return ;咲新表情6 if %ld_pass_number = NAT_N07 mov $ld_pass,"bmp\TATI\NAT\1\NAT_N07": return ;咲新表情7 goto *err_textbox2 return *JES_Isyou1 if %ld_pass_number = JES_DefA1 mov $ld_pass,"bmp\TATI\JES\1\JES_DefA1": return ;朱志香立ち絵デフォ if %ld_pass_number = JES_DefA2 mov $ld_pass,"bmp\TATI\JES\1\JES_DefA2": return ;朱志香立ち絵デフォ if %ld_pass_number = JES_WaraiA1 mov $ld_pass,"bmp\TATI\JES\1\JES_WaraiA1": return ;笑い if %ld_pass_number = JES_WaraiA2 mov $ld_pass,"bmp\TATI\JES\1\JES_WaraiA2": return ;笑い2 if %ld_pass_number = JES_AKuwaraiA1 mov $ld_pass,"bmp\TATI\JES\1\JES_AkuwaraiA1": return ;悪笑い if %ld_pass_number = JES_IkariA1 mov $ld_pass,"bmp\TATI\JES\1\JES_IkariA1": return ;怒り if %ld_pass_number = JES_IkariA1h mov $ld_pass,"bmp\TATI\JES\1\JES_IkariA1h": return ;怒り if %ld_pass_number = JES_IkariA1ha mov $ld_pass,"bmp\TATI\JES\1\JES_IkariA1ha": return ;怒り if %ld_pass_number = JES_IkariA2 mov $ld_pass,"bmp\TATI\JES\1\JES_IkariA2": return ;怒り2 if %ld_pass_number = JES_IkariA3 mov $ld_pass,"bmp\TATI\JES\1\JES_IkariA3": return ;怒り3 if %ld_pass_number = JES_KomaruA1 mov $ld_pass,"bmp\TATI\JES\1\JES_KomaruA1": return ;困る if %ld_pass_number = JES_KomaruA2 mov $ld_pass,"bmp\TATI\JES\1\JES_KomaruA2": return ;困る2 if %ld_pass_number = JES_KomaruA3 mov $ld_pass,"bmp\TATI\JES\1\JES_KomaruA3": return ;困る3 if %ld_pass_number = JES_OdorokiA1 mov $ld_pass,"bmp\TATI\JES\1\JES_OdorokiA1": return ;驚き if %ld_pass_number = JES_MajimeA1 mov $ld_pass,"bmp\TATI\JES\1\JES_MajimeA1": return ;真面目 if %ld_pass_number = JES_MajimeA1h mov $ld_pass,"bmp\TATI\JES\1\JES_MajimeA1h": return ;真面目 if %ld_pass_number = JES_futekiA1 mov $ld_pass,"bmp\TATI\JES\1\JES_futekiA1": return ;不敵 if %ld_pass_number = JES_futekiA2 mov $ld_pass,"bmp\TATI\JES\1\JES_futekiA2": return ;不敵2 if %ld_pass_number = JES_TohohoA1 mov $ld_pass,"bmp\TATI\JES\1\JES_TohohoA1": return ;とほほ if %ld_pass_number = JES_TohohoA2 mov $ld_pass,"bmp\TATI\JES\1\JES_TohohoA2": return ;とほほ2 if %ld_pass_number = JES_TohohoA3 mov $ld_pass,"bmp\TATI\JES\1\JES_TohohoA3": return ;とほほ3 if %ld_pass_number = JES_TohohoA3h mov $ld_pass,"bmp\TATI\JES\1\JES_TohohoA3h": return ;とほほ3 if %ld_pass_number = JES_TohohoA4 mov $ld_pass,"bmp\TATI\JES\1\JES_TohohoA4": return ;とほほ4 if %ld_pass_number = JES_atyaA1 mov $ld_pass,"bmp\TATI\JES\1\JES_atyaA1": return ;あちゃー if %ld_pass_number = JES_AtyaA2 mov $ld_pass,"bmp\TATI\JES\1\JES_AtyaA2": return ;泣く(半ベソ if %ld_pass_number = JES_AtyaA3 mov $ld_pass,"bmp\TATI\JES\1\JES_AtyaA3": return ;泣く(半ベソ if %ld_pass_number = JES_NakuA1 mov $ld_pass,"bmp\TATI\JES\1\JES_NakuA1": return ;泣く if %ld_pass_number = JES_NakuA2 mov $ld_pass,"bmp\TATI\JES\1\JES_NakuA2": return ;泣く(半ベソ if %ld_pass_number = JES_NakuA3 mov $ld_pass,"bmp\TATI\JES\1\JES_NakuA3": return ;泣く(半ベソ if %ld_pass_number = JES_NayamuA1 mov $ld_pass,"bmp\TATI\JES\1\JES_NayamuA1": return ;悩む if %ld_pass_number = JES_NayamuA1h mov $ld_pass,"bmp\TATI\JES\1\JES_NayamuA1h": return ;悩む if %ld_pass_number = JES_TereruA1 mov $ld_pass,"bmp\TATI\JES\1\JES_TereruA1": return ;泣く(半ベソ if %ld_pass_number = JES_TereruA2 mov $ld_pass,"bmp\TATI\JES\1\JES_TereruA2": return ;泣く(半ベソ if %ld_pass_number = JES_NakiWaraiA1 mov $ld_pass,"bmp\TATI\JES\1\JES_NakiWaraiA1": return ;泣く(半ベソ if %ld_pass_number = JES_AisoWaraiA1 mov $ld_pass,"bmp\TATI\JES\1\JES_AisoWaraiA1": return ;泣く(半ベソ goto *err_textbox2 return *EVA_Isyou1 if %ld_pass_number = EVA_DefA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_DefA1": return ;絵羽立ち絵デフォ if %ld_pass_number = EVA_WaraiA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_WaraiA1": return ;笑い if %ld_pass_number = EVA_IkariA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_IkariA1": return ;怒り if %ld_pass_number = EVA_IkariA2 mov $ld_pass,"bmp\TATI\EVA\1\EVA_IkariA2": return ;怒り2 if %ld_pass_number = EVA_KomaruA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA1": return ;困る if %ld_pass_number = EVA_KomaruA2 mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA2": return ;困る2 if %ld_pass_number = EVA_KomaruA3 mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA3": return ;困る3 if %ld_pass_number = EVA_KomaruA4 mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA4": return ;怒り2 if %ld_pass_number = EVA_MajimeA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_MajimeA1": return ;真面目 if %ld_pass_number = EVA_HohoemiA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_HohoemiA1": return ;微笑み if %ld_pass_number = EVA_HohoemiA2 mov $ld_pass,"bmp\TATI\EVA\1\EVA_HohoemiA2": return ;微笑み2 if %ld_pass_number = EVA_akireA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_akireA1": return ;呆れ if %ld_pass_number = EVA_akireA2 mov $ld_pass,"bmp\TATI\EVA\1\EVA_akireA2": return ;呆れ2 if %ld_pass_number = EVA_futekiA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_futekiA1": return ;不敵 if %ld_pass_number = EVA_futekiA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_futekiA1": return ;不敵 if %ld_pass_number = EVA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_AkuwaraiA1": return ;不敵 if %ld_pass_number = EVA_NakuA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA1": return ;泣く if %ld_pass_number = EVA_NakuA2 mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA2": return ;泣く2 if %ld_pass_number = EVA_NakuA3 mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA3": return ;泣く3 if %ld_pass_number = EVA_NakuA4 mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA4": return ;泣く4 if %ld_pass_number = EVA_NakuA5 mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA5": return ;泣く5 if %ld_pass_number = EVA_OdorokiA1 mov $ld_pass,"bmp\TATI\EVA\1\EVA_OdorokiA1": return ;不敵 if %ld_pass_number = EVA_OdorokiA2 mov $ld_pass,"bmp\TATI\EVA\1\EVA_OdorokiA2": return ;呆れ2 if %ld_pass_number = EVA_DefA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_DefA1G": return ;絵羽立ち絵デフォ if %ld_pass_number = EVA_WaraiA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_WaraiA1G": return ;笑い if %ld_pass_number = EVA_IkariA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_IkariA1G": return ;怒り if %ld_pass_number = EVA_KomaruA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA1G": return ;困る if %ld_pass_number = EVA_MajimeA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_MajimeA1G": return ;真面目 if %ld_pass_number = EVA_HohoemiA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_HohoemiA1G": return ;微笑み if %ld_pass_number = EVA_HohoemiA2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_HohoemiA2G": return ;微笑み2 if %ld_pass_number = EVA_akireA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_akireA1G": return ;呆れ if %ld_pass_number = EVA_futekiA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_futekiA1G": return ;不敵 if %ld_pass_number = EVA_IkariA2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_IkariA2G": return ;怒り2 if %ld_pass_number = EVA_KomaruA2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA2G": return ;困る2 if %ld_pass_number = EVA_KomaruA3G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA3G": return ;困る3 if %ld_pass_number = EVA_futekiA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_futekiA1G": return ;不敵 if %ld_pass_number = EVA_akireA2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_akireA2G": return ;呆れ2 if %ld_pass_number = EVA_AkuwaraiA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_AkuwaraiA1G": return ;不敵 if %ld_pass_number = EVA_KomaruA4G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruA4G": return ;怒り2 if %ld_pass_number = EVA_NakuA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA1G": return ;困る2 if %ld_pass_number = EVA_NakuA2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA2G": return ;困る3 if %ld_pass_number = EVA_OdorokiA1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_OdorokiA1G": return ;不敵 if %ld_pass_number = EVA_OdorokiA2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_OdorokiA2G": return ;呆れ2 if %ld_pass_number = EVA_NakuA3G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA3G": return ;泣く3 if %ld_pass_number = EVA_NakuA4G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA4G": return ;泣く4 if %ld_pass_number = EVA_NakuA5G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuA5G": return ;泣く5 if %ld_pass_number = EVA_WaraiB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_WaraiB1G": return ;笑い if %ld_pass_number = EVA_IkariB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_IkariB1G": return ;怒り if %ld_pass_number = EVA_KomaruB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruB1G": return ;困る if %ld_pass_number = EVA_MajimeB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_MajimeB1G": return ;真面目 if %ld_pass_number = EVA_HohoemiB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_HohoemiB1G": return ;微笑み if %ld_pass_number = EVA_HohoemiB2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_HohoemiB2G": return ;微笑み2 if %ld_pass_number = EVA_akireB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_akireB1G": return ;呆れ if %ld_pass_number = EVA_futekiB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_futekiB1G": return ;不敵 if %ld_pass_number = EVA_IkariB2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_IkariB2G": return ;怒り2 if %ld_pass_number = EVA_KomaruB2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruB2G": return ;困る2 if %ld_pass_number = EVA_KomaruB3G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruB3G": return ;困る3 if %ld_pass_number = EVA_futekiB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_futekiB1G": return ;不敵 if %ld_pass_number = EVA_akireB2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_akireB2G": return ;呆れ2 if %ld_pass_number = EVA_AkuwaraiB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_AkuwaraiB1G": return ;不敵 if %ld_pass_number = EVA_KomaruB4G mov $ld_pass,"bmp\TATI\EVA\1\EVA_KomaruB4G": return ;怒り2 if %ld_pass_number = EVA_NakuB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuB1G": return ;困る2 if %ld_pass_number = EVA_NakuB2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuB2G": return ;困る3 if %ld_pass_number = EVA_OdorokiB1G mov $ld_pass,"bmp\TATI\EVA\1\EVA_OdorokiB1G": return ;不敵 if %ld_pass_number = EVA_OdorokiB2G mov $ld_pass,"bmp\TATI\EVA\1\EVA_OdorokiB2G": return ;呆れ2 if %ld_pass_number = EVA_NakuB3G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuB3G": return ;泣く3 if %ld_pass_number = EVA_NakuB4G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuB4G": return ;泣く4 if %ld_pass_number = EVA_NakuB5G mov $ld_pass,"bmp\TATI\EVA\1\EVA_NakuB5G": return ;泣く5 goto *err_textbox2 return *HID_Isyou1 if %ld_pass_number = HID_DefA1 mov $ld_pass,"bmp\TATI\HID\1\HID_DefA1": return ;秀吉立ち絵デフォ if %ld_pass_number = HID_WaraiA1 mov $ld_pass,"bmp\TATI\HID\1\HID_WaraiA1": return ;笑い if %ld_pass_number = HID_WaraiA2 mov $ld_pass,"bmp\TATI\HID\1\HID_WaraiA2": return ;笑い2 if %ld_pass_number = HID_KomaruA1 mov $ld_pass,"bmp\TATI\HID\1\HID_KomaruA1": return ;困る if %ld_pass_number = HID_KomaruA2 mov $ld_pass,"bmp\TATI\HID\1\HID_KomaruA2": return ;困る2 if %ld_pass_number = HID_MajimeA1 mov $ld_pass,"bmp\TATI\HID\1\HID_MajimeA1": return ;真面目 if %ld_pass_number = HID_MajimeA2 mov $ld_pass,"bmp\TATI\HID\1\HID_MajimeA2": return ;真面目2 if %ld_pass_number = HID_fumuA1 mov $ld_pass,"bmp\TATI\HID\1\HID_fumuA1": return ;ふーむ if %ld_pass_number = HID_NakuA1 mov $ld_pass,"bmp\TATI\HID\1\HID_NakuA1": return ;泣く if %ld_pass_number = HID_NakuA2 mov $ld_pass,"bmp\TATI\HID\1\HID_NakuA2": return ;泣く2 if %ld_pass_number = HID_DefA1G mov $ld_pass,"bmp\TATI\HID\1\HID_DefA1G": return ;秀吉立ち絵デフォ if %ld_pass_number = HID_WaraiA1G mov $ld_pass,"bmp\TATI\HID\1\HID_WaraiA1G": return ;笑い if %ld_pass_number = HID_WaraiA2G mov $ld_pass,"bmp\TATI\HID\1\HID_WaraiA2G": return ;笑い2 if %ld_pass_number = HID_KomaruA1G mov $ld_pass,"bmp\TATI\HID\1\HID_KomaruA1G": return ;困る if %ld_pass_number = HID_KomaruA2G mov $ld_pass,"bmp\TATI\HID\1\HID_KomaruA2G": return ;困る2 if %ld_pass_number = HID_MajimeA1G mov $ld_pass,"bmp\TATI\HID\1\HID_MajimeA1G": return ;真面目 if %ld_pass_number = HID_MajimeA2G mov $ld_pass,"bmp\TATI\HID\1\HID_MajimeA2G": return ;真面目2 if %ld_pass_number = HID_fumuA1G mov $ld_pass,"bmp\TATI\HID\1\HID_fumuA1G": return ;ふーむ if %ld_pass_number = HID_NakuA1G mov $ld_pass,"bmp\TATI\HID\1\HID_NakuA1G": return ;泣く if %ld_pass_number = HID_NakuA2G mov $ld_pass,"bmp\TATI\HID\1\HID_NakuA2G": return ;泣く2 goto *err_textbox2 return *GEO_Isyou1 if %ld_pass_number = GEO_DefA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_DefA1" : return ;譲治立ち絵デフォ if %ld_pass_number = GEO_WaraiA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_WaraiA1" : return ;笑い if %ld_pass_number = GEO_KomaruA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA1" : return ;困る if %ld_pass_number = GEO_MajimeA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA1" : return ;真面目 if %ld_pass_number = GEO_AkuwaraiA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_AkuwaraiA1" : return ;悪笑い if %ld_pass_number = GEO_AkuwaraiA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_AkuwaraiA1k" : return ;悪笑い if %ld_pass_number = GEO_DefA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_DefA1k" : return ;デフォ(曇り眼鏡 if %ld_pass_number = GEO_NakuA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_NakuA1" : return ;泣く if %ld_pass_number = GEO_NakuA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_NakuA1k" : return ;泣く(曇り眼鏡 if %ld_pass_number = GEO_NakuA2 mov $ld_pass,"bmp\TATI\GEO\1\GEO_NakuA2" : return ;泣く2 if %ld_pass_number = GEO_NakuA2k mov $ld_pass,"bmp\TATI\GEO\1\GEO_NakuA2k" : return ;泣く2(曇り眼鏡 if %ld_pass_number = GEO_HohoemiA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_HohoemiA1" : return ;微笑み if %ld_pass_number = GEO_HohoemiA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_HohoemiA1k" : return ;微笑み(曇り眼鏡 if %ld_pass_number = GEO_KomaruA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA1k" : return ;困る(曇り眼鏡 if %ld_pass_number = GEO_WaraiA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_WaraiA1k" : return ;笑い(曇り眼鏡 if %ld_pass_number = GEO_WaraiA2 mov $ld_pass,"bmp\TATI\GEO\1\GEO_WaraiA2" : return ;笑い if %ld_pass_number = GEO_WaraiA2k mov $ld_pass,"bmp\TATI\GEO\1\GEO_WaraiA2k" : return ;笑い(曇り眼鏡 if %ld_pass_number = GEO_MajimeA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA1k" : return ;真面目(曇り眼鏡 if %ld_pass_number = GEO_MajimeA2 mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA2" : return ;真面目2 if %ld_pass_number = GEO_MajimeA2k mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA2k" : return ;真面目2(曇り眼鏡 if %ld_pass_number = GEO_MajimeA3 mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA3" : return ;真面目3 if %ld_pass_number = GEO_MajimeA3k mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA3k" : return ;真面目3(曇り眼鏡 if %ld_pass_number = GEO_MajimeA4 mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA4" : return ;真面目4 if %ld_pass_number = GEO_MajimeA4k mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA4k" : return ;真面目4(曇り眼鏡 if %ld_pass_number = GEO_MajimeA5 mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA5" : return ;真面目5 if %ld_pass_number = GEO_MajimeA5k mov $ld_pass,"bmp\TATI\GEO\1\GEO_MajimeA5k" : return ;真面目5(曇り眼鏡 if %ld_pass_number = GEO_KomaruA2 mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA2" : return if %ld_pass_number = GEO_KomaruA2k mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA2k" : return if %ld_pass_number = GEO_KomaruA3 mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA3" : return if %ld_pass_number = GEO_KomaruA3k mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA3k" : return if %ld_pass_number = GEO_KomaruA4 mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA4" : return if %ld_pass_number = GEO_KomaruA4k mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA4k" : return if %ld_pass_number = GEO_KomaruA5 mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA5" : return if %ld_pass_number = GEO_KomaruA5k mov $ld_pass,"bmp\TATI\GEO\1\GEO_KomaruA5k" : return if %ld_pass_number = GEO_IkariA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA1" : return if %ld_pass_number = GEO_IkariA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA1k" : return if %ld_pass_number = GEO_IkariA2 mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA2" : return if %ld_pass_number = GEO_IkariA2k mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA2k" : return if %ld_pass_number = GEO_IkariA3 mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA3" : return if %ld_pass_number = GEO_IkariA3k mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA3k" : return if %ld_pass_number = GEO_IkariA4 mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA4" : return if %ld_pass_number = GEO_IkariA4k mov $ld_pass,"bmp\TATI\GEO\1\GEO_IkariA4k" : return if %ld_pass_number = GEO_NiramuA1 mov $ld_pass,"bmp\TATI\GEO\1\GEO_NiramuA1" : return if %ld_pass_number = GEO_NiramuA1k mov $ld_pass,"bmp\TATI\GEO\1\GEO_NiramuA1k" : return goto *err_textbox2 return *RUD_Isyou1 if %ld_pass_number = RUD_DefA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_DefA1": return ;留弗夫立ち絵デフォ if %ld_pass_number = RUD_DefA2 mov $ld_pass,"bmp\TATI\RUD\1\RUD_DefA2" : return if %ld_pass_number = RUD_WaraiA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_WaraiA1": return ;笑い if %ld_pass_number = RUD_WaraiA2 mov $ld_pass,"bmp\TATI\RUD\1\RUD_WaraiA2": return ;笑い2 if %ld_pass_number = RUD_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_AkuwaraiA1": return ;悪笑い if %ld_pass_number = RUD_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RUD\1\RUD_AkuwaraiA2": return ;悪笑い2 if %ld_pass_number = RUD_KomaruA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_KomaruA1": return ;困る if %ld_pass_number = RUD_MajimeA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_MajimeA1": return ;真面目 if %ld_pass_number = RUD_MajimeA2 mov $ld_pass,"bmp\TATI\RUD\1\RUD_MajimeA2": return ;真面目2 if %ld_pass_number = RUD_OdorokiA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_OdorokiA1": return ;驚き if %ld_pass_number = RUD_NayamuA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_NayamuA1": return ;悩む if %ld_pass_number = RUD_NakuA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_NakuA1": return ;泣く if %ld_pass_number = RUD_NakuA5 mov $ld_pass,"bmp\TATI\RUD\1\RUD_NakuA5": return ;泣く5 if %ld_pass_number = RUD_NakuA6 mov $ld_pass,"bmp\TATI\RUD\1\RUD_NakuA6": return ;泣く6 if %ld_pass_number = RUD_IkariA1 mov $ld_pass,"bmp\TATI\RUD\1\RUD_IkariA1": return ;怒り1 if %ld_pass_number = RUD_IkariA2 mov $ld_pass,"bmp\TATI\RUD\1\RUD_IkariA2": return ;怒り2 if %ld_pass_number = RUD_DefA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_DefA1G": return ;留弗夫立ち絵デフォ if %ld_pass_number = RUD_DefA2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_DefA2G" : return if %ld_pass_number = RUD_WaraiA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_WaraiA1G": return ;笑い if %ld_pass_number = RUD_WaraiA2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_WaraiA2G": return ;笑い2 if %ld_pass_number = RUD_AkuwaraiA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_AkuwaraiA1G": return ;悪笑い if %ld_pass_number = RUD_AkuwaraiA2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_AkuwaraiA2G": return ;悪笑い2 if %ld_pass_number = RUD_KomaruA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_KomaruA1G": return ;困る if %ld_pass_number = RUD_MajimeA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_MajimeA1G": return ;真面目 if %ld_pass_number = RUD_MajimeA2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_MajimeA2G": return ;真面目2 if %ld_pass_number = RUD_OdorokiA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_OdorokiA1G": return ;驚き if %ld_pass_number = RUD_NayamuA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_NayamuA1G": return ;悩む if %ld_pass_number = RUD_NakuA5G mov $ld_pass,"bmp\TATI\RUD\1\RUD_NakuA5G": return ;泣く5 if %ld_pass_number = RUD_NakuA6G mov $ld_pass,"bmp\TATI\RUD\1\RUD_NakuA6G": return ;泣く6 if %ld_pass_number = RUD_IkariA1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_IkariA1G": return ;怒り1 if %ld_pass_number = RUD_IkariA2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_IkariA2G": return ;怒り2 if %ld_pass_number = RUD_DefB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_DefB1G": return ;留弗夫立ち絵デフォ if %ld_pass_number = RUD_DefB2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_DefB2G" : return if %ld_pass_number = RUD_WaraiB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_WaraiB1G": return ;笑い if %ld_pass_number = RUD_WaraiB2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_WaraiB2G": return ;笑い2 if %ld_pass_number = RUD_AkuwaraiB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_AkuwaraiB1G": return ;悪笑い if %ld_pass_number = RUD_AkuwaraiB2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_AkuwaraiB2G": return ;悪笑い2 if %ld_pass_number = RUD_KomaruB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_KomaruB1G": return ;困る if %ld_pass_number = RUD_MajimeB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_MajimeB1G": return ;真面目 if %ld_pass_number = RUD_MajimeB2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_MajimeB2G": return ;真面目2 if %ld_pass_number = RUD_OdorokiB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_OdorokiB1G": return ;驚き if %ld_pass_number = RUD_NayamuB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_NayamuB1G": return ;悩む if %ld_pass_number = RUD_IkariB1G mov $ld_pass,"bmp\TATI\RUD\1\RUD_IkariB1G": return ;怒り1 if %ld_pass_number = RUD_IkariB2G mov $ld_pass,"bmp\TATI\RUD\1\RUD_IkariB2G": return ;怒り2 goto *err_textbox2 return *KIR_Isyou1 if %ld_pass_number = KIR_DefA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_DefA1": return ;霧江立ち絵デフォ if %ld_pass_number = KIR_WaraiA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_WaraiA1": return ;笑い if %ld_pass_number = KIR_MajimeA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_MajimeA1": return ;真面目 if %ld_pass_number = KIR_KomaruA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_KomaruA1": return ;困る if %ld_pass_number = KIR_KomaruA2 mov $ld_pass,"bmp\TATI\KIR\1\KIR_KomaruA2": return ;困る2 if %ld_pass_number = KIR_NayamuA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_NayamuA1": return ;悩む if %ld_pass_number = KIR_MajimeA2 mov $ld_pass,"bmp\TATI\KIR\1\KIR_MajimeA2": return ;真面目2 if %ld_pass_number = KIR_WaraiA2 mov $ld_pass,"bmp\TATI\KIR\1\KIR_WaraiA2": return ;笑い2 if %ld_pass_number = KIR_futekiA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_futekiA1": return ;不敵 if %ld_pass_number = KIR_SakebuA1 mov $ld_pass,"bmp\TATI\KIR\1\KIR_SakebuA1": return ;叫ぶ if %ld_pass_number = KIR_DefA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_DefA1c": return ;霧江立ち絵デフォ if %ld_pass_number = KIR_WaraiA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_WaraiA1c": return ;笑い if %ld_pass_number = KIR_MajimeA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_MajimeA1c": return ;真面目 if %ld_pass_number = KIR_KomaruA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_KomaruA1c": return ;困る if %ld_pass_number = KIR_KomaruA2c mov $ld_pass,"bmp\TATI\KIR\1\KIR_KomaruA2c": return ;困る2 if %ld_pass_number = KIR_NayamuA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_NayamuA1c": return ;悩む if %ld_pass_number = KIR_MajimeA2c mov $ld_pass,"bmp\TATI\KIR\1\KIR_MajimeA2c": return ;真面目2 if %ld_pass_number = KIR_WaraiA2c mov $ld_pass,"bmp\TATI\KIR\1\KIR_WaraiA2c": return ;笑い2 if %ld_pass_number = KIR_futekiA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_futekiA1c": return ;不敵 if %ld_pass_number = KIR_SakebuA1c mov $ld_pass,"bmp\TATI\KIR\1\KIR_SakebuA1c": return ;叫ぶ if %ld_pass_number = KIR_DefB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_DefB1G": return ;霧江立ち絵デフォ if %ld_pass_number = KIR_WaraiB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_WaraiB1G": return ;笑い if %ld_pass_number = KIR_MajimeB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_MajimeB1G": return ;真面目 if %ld_pass_number = KIR_KomaruB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_KomaruB1G": return ;困る if %ld_pass_number = KIR_KomaruB2G mov $ld_pass,"bmp\TATI\KIR\1\KIR_KomaruB2G": return ;困る2 if %ld_pass_number = KIR_NayamuB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_NayamuB1G": return ;悩む if %ld_pass_number = KIR_MajimeB2G mov $ld_pass,"bmp\TATI\KIR\1\KIR_MajimeB2G": return ;真面目2 if %ld_pass_number = KIR_WaraiB2G mov $ld_pass,"bmp\TATI\KIR\1\KIR_WaraiB2G": return ;笑い2 if %ld_pass_number = KIR_futekiB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_futekiB1G": return ;不敵 if %ld_pass_number = KIR_SakebuB1G mov $ld_pass,"bmp\TATI\KIR\1\KIR_SakebuB1G": return ;叫ぶ goto *err_textbox2 return *BUT_Isyou1 if %ld_pass_number = BUT_DefA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_DefA1": return ;戦人立ち絵デフォ if %ld_pass_number = BUT_DefA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_DefA2" : return if %ld_pass_number = BUT_DefA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_DefA3" : return if %ld_pass_number = BUT_WaraiA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_WaraiA1": return ;笑い if %ld_pass_number = BUT_WaraiA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_WaraiA2": return ;笑い2 if %ld_pass_number = BUT_WaraiA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_WaraiA3": return ;笑い3 if %ld_pass_number = BUT_KomaruA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KomaruA1": return ;困る if %ld_pass_number = BUT_KomaruA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KomaruA2": return ;困る2 if %ld_pass_number = BUT_KomaruA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KomaruA3": return ;困る3 if %ld_pass_number = BUT_OdorokiA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OdorokiA1": return ;驚き if %ld_pass_number = BUT_OdorokiA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OdorokiA2": return ;驚き2 if %ld_pass_number = BUT_OdorokiA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OdorokiA3": return ;驚き3 if %ld_pass_number = BUT_MajimeA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA1": return ;真面目 if %ld_pass_number = BUT_MajimeA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA2" : return if %ld_pass_number = BUT_MajimeA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA3" : return if %ld_pass_number = BUT_MajimeA4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA4" : return if %ld_pass_number = BUT_MajimeA5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA5" : return if %ld_pass_number = BUT_MajimeA6 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA6" : return if %ld_pass_number = BUT_MajimeA6R mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA6R" : return if %ld_pass_number = BUT_MajimeA7 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeA7" : return if %ld_pass_number = BUT_NakuA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NakuA1": return ;泣く if %ld_pass_number = BUT_NakuA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NakuA2" : return if %ld_pass_number = BUT_NakuA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NakuA3" : return if %ld_pass_number = BUT_OyaA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OyaA1": return ;おや? if %ld_pass_number = BUT_OyaA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OyaA2": return ;おや? if %ld_pass_number = BUT_KuyasigaruA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KuyasigaruA1": return ;悔しがる if %ld_pass_number = BUT_AseruA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruA1": return ;焦る if %ld_pass_number = BUT_AseruA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruA2": return ;焦る if %ld_pass_number = BUT_AseruA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruA3": return ;焦る if %ld_pass_number = BUT_AseruA4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruA4": return ;焦る if %ld_pass_number = BUT_AseruA5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruA5": return ;焦る if %ld_pass_number = BUT_AseruA5R mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA5R": return ;焦る if %ld_pass_number = BUT_NayamuA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuA1": return ;悩む if %ld_pass_number = BUT_NayamuA1R mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA1R": return ;悩む if %ld_pass_number = BUT_NayamuA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuA2": return ;悩む2 if %ld_pass_number = BUT_NayamuA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuA3" : return if %ld_pass_number = BUT_NayamuA4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuA4" : return if %ld_pass_number = BUT_NayamuA4R mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA4R" : return if %ld_pass_number = BUT_NayamuA5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuA5": return ;悩む2 if %ld_pass_number = BUT_NiramuA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuA1" : return if %ld_pass_number = BUT_NiramuA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuA2" : return if %ld_pass_number = BUT_NiramuA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuA3" : return if %ld_pass_number = BUT_NiramuA4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuA4" : return if %ld_pass_number = BUT_FutekiA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiA1" : return if %ld_pass_number = BUT_FutekiA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiA2" : return if %ld_pass_number = BUT_FutekiA3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiA3" : return if %ld_pass_number = BUT_FutekiA4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiA4" : return if %ld_pass_number = BUT_FutekiA5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiA5" : return if %ld_pass_number = BUT_SakebuA1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_SakebuA1" : return if %ld_pass_number = BUT_SakebuA2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_SakebuA2" : return if %ld_pass_number = BUT_DefB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_DefB1": return ;戦人立ち絵デフォBポーズ if %ld_pass_number = BUT_DefB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_DefB2" : return if %ld_pass_number = BUT_DefB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_DefB3" : return if %ld_pass_number = BUT_WaraiB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_WaraiB1": return ;笑い if %ld_pass_number = BUT_WaraiB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_WaraiB2": return ;笑い2 if %ld_pass_number = BUT_WaraiB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_WaraiB3": return ;笑い2 if %ld_pass_number = BUT_KomaruB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KomaruB1": return ;困る if %ld_pass_number = BUT_KomaruB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KomaruB2": return ;困る2 if %ld_pass_number = BUT_KomaruB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KomaruB3": return ;困る3 if %ld_pass_number = BUT_OdorokiB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OdorokiB1": return ;驚き if %ld_pass_number = BUT_OdorokiB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OdorokiB2": return ;驚き2 if %ld_pass_number = BUT_OdorokiB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OdorokiB3": return ;驚き3 if %ld_pass_number = BUT_MajimeB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB1": return ;真面目 if %ld_pass_number = BUT_MajimeB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB2" : return if %ld_pass_number = BUT_MajimeB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB3" : return if %ld_pass_number = BUT_MajimeB4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB4" : return if %ld_pass_number = BUT_MajimeB5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB5" : return if %ld_pass_number = BUT_MajimeB6 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB6" : return if %ld_pass_number = BUT_MajimeB7 mov $ld_pass,"bmp\TATI\BUT\1\BUT_MajimeB7" : return if %ld_pass_number = BUT_NakuB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NakuB1": return ;泣く if %ld_pass_number = BUT_NakuB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NakuB2" : return if %ld_pass_number = BUT_NakuB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NakuB3" : return if %ld_pass_number = BUT_OyaB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OyaB1": return ;おや? if %ld_pass_number = BUT_OyaB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_OyaB2": return ;おや? if %ld_pass_number = BUT_KuyasigaruB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_KuyasigaruB1": return ;悔しがる if %ld_pass_number = BUT_AseruB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruB1": return ;焦る if %ld_pass_number = BUT_AseruB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruB2": return ;焦る if %ld_pass_number = BUT_AseruB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruB3": return ;焦る if %ld_pass_number = BUT_AseruB4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruB4": return ;焦る if %ld_pass_number = BUT_AseruB5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_AseruB5": return ;焦る if %ld_pass_number = BUT_NayamuB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuB1": return ;悩む if %ld_pass_number = BUT_NayamuB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuB2": return ;悩む2 if %ld_pass_number = BUT_NayamuB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuB3" : return if %ld_pass_number = BUT_NayamuB4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuB4" : return if %ld_pass_number = BUT_NayamuB5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NayamuB5" : return if %ld_pass_number = BUT_NiramuB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuB1" : return if %ld_pass_number = BUT_NiramuB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuB2" : return if %ld_pass_number = BUT_NiramuB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuB3" : return if %ld_pass_number = BUT_NiramuB4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_NiramuB4" : return if %ld_pass_number = BUT_FutekiB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiB1" : return if %ld_pass_number = BUT_FutekiB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiB2" : return if %ld_pass_number = BUT_FutekiB3 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiB3" : return if %ld_pass_number = BUT_FutekiB4 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiB4" : return if %ld_pass_number = BUT_FutekiB5 mov $ld_pass,"bmp\TATI\BUT\1\BUT_FutekiB5" : return if %ld_pass_number = BUT_SakebuB1 mov $ld_pass,"bmp\TATI\BUT\1\BUT_SakebuB1" : return if %ld_pass_number = BUT_SakebuB2 mov $ld_pass,"bmp\TATI\BUT\1\BUT_SakebuB2" : return goto *err_textbox2 return *ROS_Isyou1 if %ld_pass_number = ROS_DefA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_DefA1": return ;楼座立ち絵デフォ if %ld_pass_number = ROS_WaraiA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_WaraiA1": return ;笑い if %ld_pass_number = ROS_IkariA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA1": return ;怒り if %ld_pass_number = ROS_KomaruA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA1": return ;困る if %ld_pass_number = ROS_AkuwaraiA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_AkuwaraiA1": return ;悪笑い if %ld_pass_number = ROS_MajimeA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_MajimeA1": return ;真面目 if %ld_pass_number = ROS_WaraiA2 mov $ld_pass,"bmp\TATI\ROS\1\ROS_WaraiA2": return ;笑い2 if %ld_pass_number = ROS_KomaruA2 mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA2": return ;困る2 if %ld_pass_number = ROS_KomaruA3 mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA3": return ;困る3 if %ld_pass_number = ROS_NayamuA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_NayamuA1": return ;悩む if %ld_pass_number = ROS_KomaruA4 mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA4" : return if %ld_pass_number = ROS_OdorokiA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_OdorokiA1" : return if %ld_pass_number = ROS_IkariA2 mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA2" : return if %ld_pass_number = ROS_IkariA3 mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA3" : return if %ld_pass_number = ROS_IkariA4 mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA4" : return if %ld_pass_number = ROS_AseruA1 mov $ld_pass,"bmp\TATI\ROS\1\ROS_AseruA1" : return if %ld_pass_number = ROS_DefA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_DefA1G": return ;楼座立ち絵デフォ if %ld_pass_number = ROS_WaraiA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_WaraiA1G": return ;笑い if %ld_pass_number = ROS_IkariA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA1G": return ;怒り if %ld_pass_number = ROS_KomaruA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA1G": return ;困る if %ld_pass_number = ROS_AkuwaraiA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_AkuwaraiA1G": return ;悪笑い if %ld_pass_number = ROS_MajimeA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_MajimeA1G": return ;真面目 if %ld_pass_number = ROS_WaraiA2G mov $ld_pass,"bmp\TATI\ROS\1\ROS_WaraiA2G": return ;笑い2 if %ld_pass_number = ROS_KomaruA2G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA2G": return ;困る2 if %ld_pass_number = ROS_KomaruA3G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA3G": return ;困る3 if %ld_pass_number = ROS_NayamuA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_NayamuA1G": return ;悩む if %ld_pass_number = ROS_KomaruA4G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruA4G" : return if %ld_pass_number = ROS_OdorokiA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_OdorokiA1G" : return if %ld_pass_number = ROS_IkariA2G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA2G" : return if %ld_pass_number = ROS_IkariA3G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA3G" : return if %ld_pass_number = ROS_IkariA4G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariA4G" : return if %ld_pass_number = ROS_AseruA1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_AseruA1G" : return if %ld_pass_number = ROS_DefB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_DefB1G": return ;楼座立ち絵デフォ if %ld_pass_number = ROS_WaraiB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_WaraiB1G": return ;笑い if %ld_pass_number = ROS_IkariB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariB1G": return ;怒り if %ld_pass_number = ROS_KomaruB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruB1G": return ;困る if %ld_pass_number = ROS_AkuwaraiB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_AkuwaraiB1G": return ;悪笑い if %ld_pass_number = ROS_MajimeB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_MajimeB1G": return ;真面目 if %ld_pass_number = ROS_WaraiB2G mov $ld_pass,"bmp\TATI\ROS\1\ROS_WaraiB2G": return ;笑い2 if %ld_pass_number = ROS_KomaruB2G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruB2G": return ;困る2 if %ld_pass_number = ROS_KomaruB3G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruB3G": return ;困る3 if %ld_pass_number = ROS_NayamuB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_NayamuB1G": return ;悩む if %ld_pass_number = ROS_KomaruB4G mov $ld_pass,"bmp\TATI\ROS\1\ROS_KomaruB4G" : return if %ld_pass_number = ROS_OdorokiB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_OdorokiB1G" : return if %ld_pass_number = ROS_IkariB2G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariB2G" : return if %ld_pass_number = ROS_IkariB3G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariB3G" : return if %ld_pass_number = ROS_IkariB4G mov $ld_pass,"bmp\TATI\ROS\1\ROS_IkariB4G" : return if %ld_pass_number = ROS_AseruB1G mov $ld_pass,"bmp\TATI\ROS\1\ROS_AseruB1G" : return goto *err_textbox2 return *MAR_Isyou1 if %ld_pass_number = MAR_DefA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_DefA1": return ;真里亞立ち絵デフォ if %ld_pass_number = MAR_DefA1k mov $ld_pass,"bmp\TATI\MAR\1\MAR_DefA1k" : return ;真っ黒真里亞立ち絵デフォ if %ld_pass_number = MAR_WaraiA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_WaraiA1": return ;笑い if %ld_pass_number = MAR_WaraiA2 mov $ld_pass,"bmp\TATI\MAR\1\MAR_WaraiA2": return ;笑い2 if %ld_pass_number = MAR_AkuwaraiA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_AkuwaraiA1": return ;悪笑い if %ld_pass_number = MAR_AkuwaraiA2 mov $ld_pass,"bmp\TATI\MAR\1\MAR_AkuwaraiA2": return ;悪笑い2 if %ld_pass_number = MAR_AkuwaraiA3 mov $ld_pass,"bmp\TATI\MAR\1\MAR_AkuwaraiA3": return ;悪笑い3 if %ld_pass_number = MAR_IkariA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_IkariA1": return ;怒り if %ld_pass_number = MAR_IkariA2 mov $ld_pass,"bmp\TATI\MAR\1\MAR_IkariA2": return ;怒り if %ld_pass_number = MAR_IkariA3 mov $ld_pass,"bmp\TATI\MAR\1\MAR_IkariA3": return ;怒り if %ld_pass_number = MAR_KomaruA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_KomaruA1": return ;困る if %ld_pass_number = MAR_OdorokiA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_OdorokiA1": return ;驚き if %ld_pass_number = MAR_MajimeA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_MajimeA1": return ;真面目 if %ld_pass_number = MAR_NakuA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_NakuA1": return ;泣く if %ld_pass_number = MAR_NiyariA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_niyariA1": return ;ニヤリ if %ld_pass_number = MAR_NiyariA2 mov $ld_pass,"bmp\TATI\MAR\1\MAR_niyariA2": return ;ニヤリ2 if %ld_pass_number = MAR_fukigenA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_fukigenA1": return ;不機嫌 if %ld_pass_number = MAR_fukigenA2 mov $ld_pass,"bmp\TATI\MAR\1\MAR_fukigenA2": return ;不機嫌2 if %ld_pass_number = MAR_SakebuA1 mov $ld_pass,"bmp\TATI\MAR\1\MAR_SakebuA1": return ;叫ぶ goto *err_textbox2 return *NAN_Isyou1 if %ld_pass_number = NAN_DefA1 mov $ld_pass,"bmp\TATI\NAN\1\NAN_DefA1": return ;南条立ち絵デフォ if %ld_pass_number = NAN_KomaruA1 mov $ld_pass,"bmp\TATI\NAN\1\NAN_KomaruA1": return ;困る if %ld_pass_number = NAN_MajimeA1 mov $ld_pass,"bmp\TATI\NAN\1\NAN_MajimeA1": return ;真面目 if %ld_pass_number = NAN_MajimeA2 mov $ld_pass,"bmp\TATI\NAN\1\NAN_MajimeA2": return ;真面目2 if %ld_pass_number = NAN_KomaruA2 mov $ld_pass,"bmp\TATI\NAN\1\NAN_KomaruA2": return ;困る2 if %ld_pass_number = NAN_KomaruA3 mov $ld_pass,"bmp\TATI\NAN\1\NAN_KomaruA3": return ;困る3 if %ld_pass_number = NAN_KomaruA4 mov $ld_pass,"bmp\TATI\NAN\1\NAN_KomaruA4": return ;困る4 if %ld_pass_number = NAN_fumuA1 mov $ld_pass,"bmp\TATI\NAN\1\NAN_fumuA1": return ;ふむ goto *err_textbox2 return *GEN_Isyou1 if %ld_pass_number = GEN_DefA1 mov $ld_pass,"bmp\TATI\GEN\1\GEN_DefA1": return ;源次立ち絵デフォ if %ld_pass_number = GEN_KomaruA1 mov $ld_pass,"bmp\TATI\GEN\1\GEN_KomaruA1": return ;困る if %ld_pass_number = GEN_MajimeA1 mov $ld_pass,"bmp\TATI\GEN\1\GEN_MajimeA1": return ;真面目 if %ld_pass_number = GEN_OdorokiA1 mov $ld_pass,"bmp\TATI\GEN\1\GEN_OdorokiA1": return ;驚き if %ld_pass_number = GEN_MajimeA2 mov $ld_pass,"bmp\TATI\GEN\1\GEN_MajimeA2": return ;真面目2 goto *err_textbox2 return *KUM_Isyou1 if %ld_pass_number = KUM_DefA1 mov $ld_pass,"bmp\TATI\KUM\1\KUM_DefA1": return ;熊沢立ち絵デフォ if %ld_pass_number = KUM_DefA2 mov $ld_pass,"bmp\TATI\KUM\1\KUM_DefA2" : return if %ld_pass_number = KUM_WaraiA1 mov $ld_pass,"bmp\TATI\KUM\1\KUM_WaraiA1": return ;笑い if %ld_pass_number = KUM_KomaruA1 mov $ld_pass,"bmp\TATI\KUM\1\KUM_KomaruA1": return ;困る if %ld_pass_number = KUM_OdorokiA1 mov $ld_pass,"bmp\TATI\KUM\1\KUM_OdorokiA1": return ;驚き if %ld_pass_number = KUM_MajimeA1 mov $ld_pass,"bmp\TATI\KUM\1\KUM_MajimeA1": return ;真面目 if %ld_pass_number = KUM_WaraiA2 mov $ld_pass,"bmp\TATI\KUM\1\KUM_WaraiA2": return ;笑い2 if %ld_pass_number = KUM_MajimeA2 mov $ld_pass,"bmp\TATI\KUM\1\KUM_MajimeA2": return ;真面目2 goto *err_textbox2 return *GOH_Isyou1 if %ld_pass_number = GOH_DefA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_DefA1": return ;俊朗立ち絵デフォ if %ld_pass_number = GOH_WaraiA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_WaraiA1": return ;笑い if %ld_pass_number = GOH_OdorokiA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_OdorokiA1": return ;驚き if %ld_pass_number = GOH_MajimeA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_MajimeA1": return ;真面目 if %ld_pass_number = GOH_hohoemiA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_hohoemiA1": return ;微笑み if %ld_pass_number = GOH_hohoemiA2 mov $ld_pass,"bmp\TATI\GOH\1\GOH_hohoemiA2": return ;微笑み2 if %ld_pass_number = GOH_omakaseA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_omakaseA1": return ;お任せください if %ld_pass_number = GOH_omakaseA2 mov $ld_pass,"bmp\TATI\GOH\1\GOH_omakaseA2": return ;お任せください2 if %ld_pass_number = GOH_omakaseA3 mov $ld_pass,"bmp\TATI\GOH\1\GOH_omakaseA3": return ;お任せください3 if %ld_pass_number = GOH_IkariA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_IkariA1": return ;怒り if %ld_pass_number = GOH_IkariA2 mov $ld_pass,"bmp\TATI\GOH\1\GOH_IkariA2": return ;怒り2 if %ld_pass_number = GOH_IiwakeA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_IiwakeA1" : return if %ld_pass_number = GOH_IiwakeA2 mov $ld_pass,"bmp\TATI\GOH\1\GOH_IiwakeA2" : return if %ld_pass_number = GOH_KomaruA1 mov $ld_pass,"bmp\TATI\GOH\1\GOH_KomaruA1" : return if %ld_pass_number = GOH_KomaruA2 mov $ld_pass,"bmp\TATI\GOH\1\GOH_KomaruA2" : return if %ld_pass_number = GOH_KomaruA3 mov $ld_pass,"bmp\TATI\GOH\1\GOH_KomaruA3" : return goto *err_textbox2 return *SHA_Isyou1 if %ld_pass_number = SHA_DefA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_DefA1": return ;紗音立ち絵デフォ if %ld_pass_number = SHA_DefA1h mov $ld_pass,"bmp\TATI\SHA\1\SHA_DefA1h": return ;紗音立ち絵デフォ if %ld_pass_number = SHA_WaraiA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_WaraiA1": return ;笑い if %ld_pass_number = SHA_WaraiA1h mov $ld_pass,"bmp\TATI\SHA\1\SHA_WaraiA1h": return ;笑い if %ld_pass_number = SHA_KomaruA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_KomaruA1": return ;困る if %ld_pass_number = SHA_OdorokiA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_OdorokiA1": return ;驚き if %ld_pass_number = SHA_MajimeA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_MajimeA1": return ;真面目 if %ld_pass_number = SHA_HajiraiA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_HajiraiA1": return ;恥らい if %ld_pass_number = SHA_WaraiA2 mov $ld_pass,"bmp\TATI\SHA\1\SHA_WaraiA2": return ;笑い2 if %ld_pass_number = SHA_WaraiA3 mov $ld_pass,"bmp\TATI\SHA\1\SHA_WaraiA3": return ;笑い3 if %ld_pass_number = SHA_MajimeA2 mov $ld_pass,"bmp\TATI\SHA\1\SHA_MajimeA2": return ;真面目2 if %ld_pass_number = SHA_HajiraiA2 mov $ld_pass,"bmp\TATI\SHA\1\SHA_HajiraiA2": return ;恥らい2 if %ld_pass_number = SHA_HajiraiA3 mov $ld_pass,"bmp\TATI\SHA\1\SHA_HajiraiA3": return ;恥らい3 if %ld_pass_number = SHA_fumanA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_fumanA1": return ;不満 if %ld_pass_number = SHA_tokuiA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_tokuiA1": return ;得意 if %ld_pass_number = SHA_OdorokiA2 mov $ld_pass,"bmp\TATI\SHA\1\SHA_OdorokiA2": return ;驚き2 if %ld_pass_number = SHA_MajimeA3 mov $ld_pass,"bmp\TATI\SHA\1\SHA_MajimeA3" : return if %ld_pass_number = SHA_IkariA1 mov $ld_pass,"bmp\TATI\SHA\1\SHA_IkariA1" : return if %ld_pass_number = SHA_IkariA2 mov $ld_pass,"bmp\TATI\SHA\1\SHA_IkariA2" : return goto *err_textbox2 return *KAN_Isyou1 if %ld_pass_number = KAN_DefA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_DefA1": return ;嘉音立ち絵デフォ if %ld_pass_number = KAN_DefA2 mov $ld_pass,"bmp\TATI\KAN\1\KAN_DefA2" : return if %ld_pass_number = KAN_IkariA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_IkariA1": return ;怒り if %ld_pass_number = KAN_IkariA2 mov $ld_pass,"bmp\TATI\KAN\1\KAN_IkariA2": return ;怒り if %ld_pass_number = KAN_IkariA3 mov $ld_pass,"bmp\TATI\KAN\1\KAN_IkariA3": return ;怒り if %ld_pass_number = KAN_KomaruA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_KomaruA1": return ;困る if %ld_pass_number = KAN_KomaruA2 mov $ld_pass,"bmp\TATI\KAN\1\KAN_KomaruA2": return ;困る if %ld_pass_number = KAN_OdorokiA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_OdorokiA1": return ;驚き if %ld_pass_number = KAN_OdorokiA2 mov $ld_pass,"bmp\TATI\KAN\1\KAN_OdorokiA2": return ;驚き2 if %ld_pass_number = KAN_MajimeA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_MajimeA1": return ;真面目 if %ld_pass_number = KAN_NayamuA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_NayamuA1": return ;悩む if %ld_pass_number = KAN_FumanA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_FumanA1" : return if %ld_pass_number = KAN_FumanA2 mov $ld_pass,"bmp\TATI\KAN\1\KAN_FumanA2" : return if %ld_pass_number = KAN_WaraiA1 mov $ld_pass,"bmp\TATI\KAN\1\KAN_WaraiA1" : return if %ld_pass_number = KAN_WaraiA2 mov $ld_pass,"bmp\TATI\KAN\1\KAN_WaraiA2" : return if %ld_pass_number = KAN_WaraiA3 mov $ld_pass,"bmp\TATI\KAN\1\KAN_WaraiA3" : return if %ld_pass_number = KAN_WaraiA4 mov $ld_pass,"bmp\TATI\KAN\1\KAN_WaraiA4" : return if %ld_pass_number = KAN_DefA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_DefA1B": return ;嘉音魔法の刃装備 blade if %ld_pass_number = KAN_DefA2B mov $ld_pass,"bmp\TATI\KAN\1\KAN_DefA2B" : return if %ld_pass_number = KAN_IkariA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_IkariA1B": return ;怒り if %ld_pass_number = KAN_KomaruA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_KomaruA1B": return ;困る if %ld_pass_number = KAN_OdorokiA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_OdorokiA1B": return ;驚き if %ld_pass_number = KAN_MajimeA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_MajimeA1B": return ;真面目 if %ld_pass_number = KAN_OdorokiA2B mov $ld_pass,"bmp\TATI\KAN\1\KAN_OdorokiA2B": return ;驚き2 if %ld_pass_number = KAN_NayamuA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_NayamuA1B": return ;悩む if %ld_pass_number = KAN_FumanA1B mov $ld_pass,"bmp\TATI\KAN\1\KAN_FumanA1B" : return if %ld_pass_number = KAN_FumanA2B mov $ld_pass,"bmp\TATI\KAN\1\KAN_FumanA2B" : return if %ld_pass_number = KAN_IkariA2B mov $ld_pass,"bmp\TATI\KAN\1\KAN_IkariA2B" : return if %ld_pass_number = KAN_IkariA3B mov $ld_pass,"bmp\TATI\KAN\1\KAN_IkariA3B" : return goto *err_textbox2 return *BEA_Isyou1 if %ld_pass_number = BEA_DefA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_DefA1": return ;BEA立ち絵デフォ if %ld_pass_number = BEA_DefA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_DefA2" : return if %ld_pass_number = BEA_DefA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_DefA3" : return if %ld_pass_number = BEA_WaraiA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA1": return ;笑い if %ld_pass_number = BEA_WaraiA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA2": return ;笑い2 if %ld_pass_number = BEA_WaraiA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA3": return ;笑い3 if %ld_pass_number = BEA_WaraiA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA4": return ; if %ld_pass_number = BEA_WaraiA5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA5": return ; if %ld_pass_number = BEA_WaraiA6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA6": return ; if %ld_pass_number = BEA_WaraiA7 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA7": return ; if %ld_pass_number = BEA_WaraiA8 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiA8": return ; if %ld_pass_number = BEA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BEA_futekiA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_futekiA1": return ;笑い2 if %ld_pass_number = BEA_futekiA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_futekiA2": return ;不敵2 if %ld_pass_number = BEA_OdorokiA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiA1": return ;驚き if %ld_pass_number = BEA_OdorokiA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiA2": return ;驚き2 if %ld_pass_number = BEA_OdorokiA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiA3": return ;驚き3 if %ld_pass_number = BEA_OdorokiA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiA4": return ;驚き4 if %ld_pass_number = BEA_OdorokiA5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiA5": return ;驚き4 if %ld_pass_number = BEA_FukigenA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FukigenA1": return ;不機嫌1 if %ld_pass_number = BEA_FukigenA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FukigenA2": return ;不機嫌2 if %ld_pass_number = BEA_FukigenA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FukigenA3": return ;不機嫌3 if %ld_pass_number = BEA_NayamuA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuA1": return ;悩む if %ld_pass_number = BEA_NayamuA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuA2": return ;悩む2 if %ld_pass_number = BEA_NayamuA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuA3": return ;悩む3 if %ld_pass_number = BEA_NayamuA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuA4": return ;悩む4 if %ld_pass_number = BEA_AseruA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruA1": return ;焦る if %ld_pass_number = BEA_AseruA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruA2": return ;焦る if %ld_pass_number = BEA_AseruA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruA3": return ;焦る if %ld_pass_number = BEA_AseruA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruA4": return ;焦る if %ld_pass_number = BEA_KomaruA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruA1": return ;困る if %ld_pass_number = BEA_KomaruA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruA2": return ;困る2 if %ld_pass_number = BEA_KomaruA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruA3": return ; if %ld_pass_number = BEA_KomaruA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruA4": return ; if %ld_pass_number = BEA_IiwakeA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IiwakeA1": return ;いい訳 if %ld_pass_number = BEA_IiwakeA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IiwakeA2": return ;いい訳2 if %ld_pass_number = BEA_IiwakeA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IiwakeA3": return ; if %ld_pass_number = BEA_HanbesoA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoA1": return ;半ベソ if %ld_pass_number = BEA_HanbesoA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BEA_HanbesoA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BEA_HanbesoA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BEA_HanbesoA5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BEA_HanbesoA6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BEA_GamanA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA1": return ; if %ld_pass_number = BEA_GamanA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA2": return ; if %ld_pass_number = BEA_GamanA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA3": return ; if %ld_pass_number = BEA_GamanA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA4": return ; if %ld_pass_number = BEA_GamanA5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA5": return ; if %ld_pass_number = BEA_GamanA6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA6": return ; if %ld_pass_number = BEA_GamanA7 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanA7": return ; if %ld_pass_number = BEA_MajimeA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA1": return ; if %ld_pass_number = BEA_MajimeA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA2": return ; if %ld_pass_number = BEA_MajimeA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA3": return ; if %ld_pass_number = BEA_MajimeA4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA4": return ; if %ld_pass_number = BEA_MajimeA5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA5": return ; if %ld_pass_number = BEA_MajimeA6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA6": return ; if %ld_pass_number = BEA_MajimeA7 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeA7": return ; if %ld_pass_number = BEA_FumanA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FumanA1": return ; if %ld_pass_number = BEA_FumanA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FumanA2": return ; if %ld_pass_number = BEA_FumanA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FumanA3": return ; if %ld_pass_number = BEA_NakuA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NakuA1": return ; if %ld_pass_number = BEA_NakuA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NakuA2": return ; if %ld_pass_number = BEA_NakuA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NakuA3": return ; if %ld_pass_number = BEA_IkariA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IkariA1": return ; if %ld_pass_number = BEA_IkariA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IkariA2": return ; if %ld_pass_number = BEA_HousinA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HousinA1": return ; if %ld_pass_number = BEA_HousinA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HousinA2": return ; if %ld_pass_number = BEA_HousinA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HousinA3": return ; if %ld_pass_number = BEA_ArigatouA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_ArigatouA1": return ; if %ld_pass_number = BEA_HajiraiA1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HajiraiA1": return ; if %ld_pass_number = BEA_HajiraiA2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HajiraiA2": return ; if %ld_pass_number = BEA_HajiraiA3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HajiraiA3": return ; if %ld_pass_number = BEA_DefB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_DefB1": return ;BEA立ち絵デフォ if %ld_pass_number = BEA_DefB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_DefB2" : return if %ld_pass_number = BEA_DefB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_DefB3" : return if %ld_pass_number = BEA_WaraiB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB1": return ;笑い if %ld_pass_number = BEA_WaraiB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB2": return ;笑い2 if %ld_pass_number = BEA_WaraiB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB3": return ;笑い3 if %ld_pass_number = BEA_WaraiB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB4": return ; if %ld_pass_number = BEA_WaraiB5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB5": return ; if %ld_pass_number = BEA_WaraiB6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB6": return ; if %ld_pass_number = BEA_WaraiB7 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB7": return ; if %ld_pass_number = BEA_WaraiB8 mov $ld_pass,"bmp\TATI\BEA\1\BEA_WaraiB8": return ; if %ld_pass_number = BEA_AkuwaraiB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiB1": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiB2": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiB3": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiB4": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AkuwaraiB5": return ;悪笑い if %ld_pass_number = BEA_futekiB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_futekiB1": return ;笑い2 if %ld_pass_number = BEA_futekiB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_futekiB2": return ;不敵2 if %ld_pass_number = BEA_OdorokiB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiB1": return ;驚き if %ld_pass_number = BEA_OdorokiB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiB2": return ;驚き2 if %ld_pass_number = BEA_OdorokiB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiB3": return ;驚き3 if %ld_pass_number = BEA_OdorokiB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiB4": return ;驚き4 if %ld_pass_number = BEA_OdorokiB5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_OdorokiB5": return ;驚き4 if %ld_pass_number = BEA_FukigenB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FukigenB1": return ;不機嫌1 if %ld_pass_number = BEA_FukigenB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FukigenB2": return ;不機嫌2 if %ld_pass_number = BEA_FukigenB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FukigenB3": return ;不機嫌3 if %ld_pass_number = BEA_NayamuB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuB1": return ;悩む if %ld_pass_number = BEA_NayamuB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuB2": return ;悩む2 if %ld_pass_number = BEA_NayamuB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuB3": return ;悩む3 if %ld_pass_number = BEA_NayamuB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NayamuB4": return ;悩む4 if %ld_pass_number = BEA_AseruB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruB1": return ;焦る if %ld_pass_number = BEA_AseruB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruB2": return ;焦る if %ld_pass_number = BEA_AseruB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruB3": return ;焦る if %ld_pass_number = BEA_AseruB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_AseruB4": return ;焦る if %ld_pass_number = BEA_KomaruB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruB1": return ;困る if %ld_pass_number = BEA_KomaruB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruB2": return ;困る2 if %ld_pass_number = BEA_KomaruB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruB3": return ; if %ld_pass_number = BEA_KomaruB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_KomaruB4": return ; if %ld_pass_number = BEA_IiwakeB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IiwakeB1": return ;いい訳 if %ld_pass_number = BEA_IiwakeB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IiwakeB2": return ;いい訳2 if %ld_pass_number = BEA_IiwakeB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IiwakeB3": return ; if %ld_pass_number = BEA_HanbesoB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoB1": return ;半ベソ if %ld_pass_number = BEA_HanbesoB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoB2": return ;半ベソ2 if %ld_pass_number = BEA_HanbesoB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoB3": return ;半ベソ3 if %ld_pass_number = BEA_HanbesoB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoB4": return ;半ベソ4 if %ld_pass_number = BEA_HanbesoB5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoB5": return ;半ベソ5 if %ld_pass_number = BEA_HanbesoB6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HanbesoB6": return ;半ベソ6 if %ld_pass_number = BEA_GamanB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB1": return ; if %ld_pass_number = BEA_GamanB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB2": return ; if %ld_pass_number = BEA_GamanB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB3": return ; if %ld_pass_number = BEA_GamanB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB4": return ; if %ld_pass_number = BEA_GamanB5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB5": return ; if %ld_pass_number = BEA_GamanB6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB6": return ; if %ld_pass_number = BEA_GamanB7 mov $ld_pass,"bmp\TATI\BEA\1\BEA_GamanB7": return ; if %ld_pass_number = BEA_MajimeB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB1": return ; if %ld_pass_number = BEA_MajimeB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB2": return ; if %ld_pass_number = BEA_MajimeB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB3": return ; if %ld_pass_number = BEA_MajimeB4 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB4": return ; if %ld_pass_number = BEA_MajimeB5 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB5": return ; if %ld_pass_number = BEA_MajimeB6 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB6": return ; if %ld_pass_number = BEA_MajimeB7 mov $ld_pass,"bmp\TATI\BEA\1\BEA_MajimeB7": return ; if %ld_pass_number = BEA_FumanB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FumanB1": return ; if %ld_pass_number = BEA_FumanB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FumanB2": return ; if %ld_pass_number = BEA_FumanB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_FumanB3": return ; if %ld_pass_number = BEA_NakuB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NakuB1": return ; if %ld_pass_number = BEA_NakuB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NakuB2": return ; if %ld_pass_number = BEA_NakuB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_NakuB3": return ; if %ld_pass_number = BEA_IkariB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IkariB1": return ; if %ld_pass_number = BEA_IkariB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_IkariB2": return ; if %ld_pass_number = BEA_HousinB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HousinB1": return ; if %ld_pass_number = BEA_HousinB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HousinB2": return ; if %ld_pass_number = BEA_HousinB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HousinB3": return ; if %ld_pass_number = BEA_ArigatouB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_ArigatouB1": return ; if %ld_pass_number = BEA_HajiraiB1 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HajiraiB1": return ; if %ld_pass_number = BEA_HajiraiB2 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HajiraiB2": return ; if %ld_pass_number = BEA_HajiraiB3 mov $ld_pass,"bmp\TATI\BEA\1\BEA_HajiraiB3": return ; if %ld_pass_number = BEA_Va1 mov $ld_pass,"bmp\TATI\BEA\1\bea_va1": return ;2018年咲・新規銃差分 goto *err_textbox2 return *BE2_Isyou1 if %ld_pass_number = BE2_DefA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_DefA1": return ;BEA立ち絵デフォ(髪降ろし if %ld_pass_number = BE2_DefA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_DefA2" : return if %ld_pass_number = BE2_DefA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_DefA3" : return if %ld_pass_number = BE2_WaraiA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA1": return ;笑い if %ld_pass_number = BE2_WaraiA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA2": return ;笑い2 if %ld_pass_number = BE2_WaraiA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA3": return ;笑い3 if %ld_pass_number = BE2_WaraiA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA4": return ; if %ld_pass_number = BE2_WaraiA5 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA5": return ; if %ld_pass_number = BE2_WaraiA6 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA6": return ; if %ld_pass_number = BE2_WaraiA7 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA7": return ; if %ld_pass_number = BE2_WaraiA8 mov $ld_pass,"bmp\TATI\BE2\1\BE2_WaraiA8": return ; if %ld_pass_number = BE2_AkuwaraiA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA5 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BE2_futekiA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_futekiA1": return ;笑い2 if %ld_pass_number = BE2_futekiA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_futekiA2": return ;不敵2 if %ld_pass_number = BE2_OdorokiA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_OdorokiA1": return ;驚き if %ld_pass_number = BE2_OdorokiA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_OdorokiA2": return ;驚き2 if %ld_pass_number = BE2_OdorokiA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_OdorokiA3": return ;驚き3 if %ld_pass_number = BE2_OdorokiA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_OdorokiA4": return ;驚き4 if %ld_pass_number = BE2_OdorokiA5 mov $ld_pass,"bmp\TATI\BE2\1\BE2_OdorokiA5": return ;驚き5 if %ld_pass_number = BE2_FukigenA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FukigenA1": return ;不機嫌1 if %ld_pass_number = BE2_FukigenA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FukigenA2": return ;不機嫌2 if %ld_pass_number = BE2_FukigenA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FukigenA3": return ;不機嫌3 if %ld_pass_number = BE2_NayamuA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NayamuA1": return ;悩む if %ld_pass_number = BE2_NayamuA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NayamuA2": return ;悩む2 if %ld_pass_number = BE2_NayamuA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NayamuA3": return ;悩む3 if %ld_pass_number = BE2_NayamuA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NayamuA4": return ;悩む4 if %ld_pass_number = BE2_AseruA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AseruA1": return ;焦る if %ld_pass_number = BE2_AseruA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AseruA2": return ;焦る if %ld_pass_number = BE2_AseruA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AseruA3": return ;焦る if %ld_pass_number = BE2_AseruA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_AseruA4": return ;焦る if %ld_pass_number = BE2_KomaruA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_KomaruA1": return ;困る if %ld_pass_number = BE2_KomaruA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_KomaruA2": return ;困る2 if %ld_pass_number = BE2_KomaruA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_KomaruA3": return ; if %ld_pass_number = BE2_KomaruA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_KomaruA4": return ; if %ld_pass_number = BE2_IiwakeA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_IiwakeA1": return ;いい訳 if %ld_pass_number = BE2_IiwakeA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_IiwakeA2": return ;いい訳2 if %ld_pass_number = BE2_IiwakeA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_IiwakeA3": return ; if %ld_pass_number = BE2_HanbesoA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoA1": return ;半ベソ if %ld_pass_number = BE2_HanbesoA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BE2_HanbesoA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BE2_HanbesoA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BE2_HanbesoA5 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BE2_HanbesoA6 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BE2_GamanA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA1": return ; if %ld_pass_number = BE2_GamanA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA2": return ; if %ld_pass_number = BE2_GamanA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA3": return ; if %ld_pass_number = BE2_GamanA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA4": return ; if %ld_pass_number = BE2_GamanA5 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA5": return ; if %ld_pass_number = BE2_GamanA6 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA6": return ; if %ld_pass_number = BE2_GamanA7 mov $ld_pass,"bmp\TATI\BE2\1\BE2_GamanA7": return ; if %ld_pass_number = BE2_MajimeA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA1": return ; if %ld_pass_number = BE2_MajimeA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA2": return ; if %ld_pass_number = BE2_MajimeA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA3": return ; if %ld_pass_number = BE2_MajimeA4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA4": return ; if %ld_pass_number = BE2_MajimeA5 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA5": return ; if %ld_pass_number = BE2_MajimeA6 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA6": return ; if %ld_pass_number = BE2_MajimeA7 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeA7": return ; if %ld_pass_number = BE2_FumanA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FumanA1": return ; if %ld_pass_number = BE2_FumanA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FumanA2": return ; if %ld_pass_number = BE2_FumanA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FumanA3": return ; if %ld_pass_number = BE2_NakuA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NakuA1": return ; if %ld_pass_number = BE2_NakuA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NakuA2": return ; if %ld_pass_number = BE2_NakuA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NakuA3": return ; if %ld_pass_number = BE2_IkariA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_IkariA1": return ; if %ld_pass_number = BE2_IkariA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_IkariA2": return ; if %ld_pass_number = BE2_HousinA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HousinA1": return ; if %ld_pass_number = BE2_HousinA2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HousinA2": return ; if %ld_pass_number = BE2_HousinA3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HousinA3": return ; if %ld_pass_number = BE2_ArigatouA1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_ArigatouA1": return ; if %ld_pass_number = BE2_HajiraiA1 mov $ld_pass,"bmp\TATI\BEA\1\BE2_HajiraiA1": return ; if %ld_pass_number = BE2_HajiraiA2 mov $ld_pass,"bmp\TATI\BEA\1\BE2_HajiraiA2": return ; if %ld_pass_number = BE2_HajiraiA3 mov $ld_pass,"bmp\TATI\BEA\1\BE2_HajiraiA3": return ; if %ld_pass_number = BE2_DefB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_DefB1": return ;BEA腕おろしデフォ(髪降ろし if %ld_pass_number = BE2_futekiB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_futekiB1": return ;笑い2 if %ld_pass_number = BE2_futekiB2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_futekiB2": return ;不敵2 if %ld_pass_number = BE2_FukigenB3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FukigenB3": return ;不機嫌3 if %ld_pass_number = BE2_NayamuB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NayamuB1": return ;悩む if %ld_pass_number = BE2_KomaruB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_KomaruB1": return ;困る if %ld_pass_number = BE2_KomaruB4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_KomaruB4": return ; if %ld_pass_number = BE2_HanbesoB3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HanbesoB3": return ;半ベソ3 if %ld_pass_number = BE2_MajimeB4 mov $ld_pass,"bmp\TATI\BE2\1\BE2_MajimeB4": return ; if %ld_pass_number = BE2_FumanB3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_FumanB3": return ; if %ld_pass_number = BE2_NakuB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_NakuB1": return ; if %ld_pass_number = BE2_HousinB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HousinB1": return ; if %ld_pass_number = BE2_HousinB2 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HousinB2": return ; if %ld_pass_number = BE2_HousinB3 mov $ld_pass,"bmp\TATI\BE2\1\BE2_HousinB3": return ; if %ld_pass_number = BE2_ArigatouB1 mov $ld_pass,"bmp\TATI\BE2\1\BE2_ArigatouB1": return ; goto *err_textbox2 return *BER_Isyou1 if %ld_pass_number = BER_DefA1 mov $ld_pass,"bmp\TATI\BER\1\BER_DefA1": return ;ベルン立ち絵デフォ if %ld_pass_number = BER_DefA2 mov $ld_pass,"bmp\TATI\BER\1\BER_DefA2" : return if %ld_pass_number = BER_WaraiA1 mov $ld_pass,"bmp\TATI\BER\1\BER_WaraiA1" : return if %ld_pass_number = BER_WaraiA2 mov $ld_pass,"bmp\TATI\BER\1\BER_WaraiA2" : return if %ld_pass_number = BER_WaraiA3 mov $ld_pass,"bmp\TATI\BER\1\BER_WaraiA3" : return if %ld_pass_number = BER_WaraiA3a mov $ld_pass,"bmp\TATI\BER\1\BER_WaraiA3a" : return if %ld_pass_number = BER_WaraiA4 mov $ld_pass,"bmp\TATI\BER\1\BER_WaraiA4" : return if %ld_pass_number = BER_AkuwaraiA1 mov $ld_pass,"bmp\TATI\BER\1\BER_AkuwaraiA1" : return if %ld_pass_number = BER_AkuwaraiA2 mov $ld_pass,"bmp\TATI\BER\1\BER_AkuwaraiA2" : return if %ld_pass_number = BER_AkuwaraiA3 mov $ld_pass,"bmp\TATI\BER\1\BER_AkuwaraiA3" : return if %ld_pass_number = BER_AkuwaraiA4 mov $ld_pass,"bmp\TATI\BER\1\BER_AkuwaraiA4" : return if %ld_pass_number = BER_NayamuA1 mov $ld_pass,"bmp\TATI\BER\1\BER_NayamuA1" : return if %ld_pass_number = BER_NiramuA1 mov $ld_pass,"bmp\TATI\BER\1\BER_NiramuA1" : return if %ld_pass_number = BER_OdorokiA1 mov $ld_pass,"bmp\TATI\BER\1\BER_OdorokiA1" : return if %ld_pass_number = BER_OdorokiA1a mov $ld_pass,"bmp\TATI\BER\1\BER_OdorokiA1a" : return if %ld_pass_number = BER_OdorokiA2 mov $ld_pass,"bmp\TATI\BER\1\BER_OdorokiA2" : return if %ld_pass_number = BER_IkariA1 mov $ld_pass,"bmp\TATI\BER\1\BER_IkariA1" : return if %ld_pass_number = BER_IkariA2 mov $ld_pass,"bmp\TATI\BER\1\BER_IkariA2" : return goto *err_textbox2 return *LAM_Isyou1 if %ld_pass_number = LAM_WaraiA1 mov $ld_pass,"bmp\TATI\LAM\1\LAM_WaraiA1": return ;ラムダデルタ立ち絵 if %ld_pass_number = LAM_WaraiA2 mov $ld_pass,"bmp\TATI\LAM\1\LAM_WaraiA2" : return if %ld_pass_number = LAM_AkuwaraiA1 mov $ld_pass,"bmp\TATI\LAM\1\LAM_AkuwaraiA1" : return if %ld_pass_number = LAM_AkuwaraiA2 mov $ld_pass,"bmp\TATI\LAM\1\LAM_AkuwaraiA2" : return if %ld_pass_number = LAM_AkuwaraiA3 mov $ld_pass,"bmp\TATI\LAM\1\LAM_AkuwaraiA3" : return if %ld_pass_number = LAM_AkuwaraiA4 mov $ld_pass,"bmp\TATI\LAM\1\LAM_AkuwaraiA4" : return if %ld_pass_number = LAM_AkuwaraiA5 mov $ld_pass,"bmp\TATI\LAM\1\LAM_AkuwaraiA5" : return if %ld_pass_number = LAM_AkuwaraiA6 mov $ld_pass,"bmp\TATI\LAM\1\LAM_AkuwaraiA6" : return if %ld_pass_number = LAM_OdorokiA1 mov $ld_pass,"bmp\TATI\LAM\1\LAM_OdorokiA1" : return if %ld_pass_number = LAM_OdorokiA2 mov $ld_pass,"bmp\TATI\LAM\1\LAM_OdorokiA2" : return if %ld_pass_number = LAM_OdorokiA3 mov $ld_pass,"bmp\TATI\LAM\1\LAM_OdorokiA3" : return if %ld_pass_number = LAM_OdorokiA4 mov $ld_pass,"bmp\TATI\LAM\1\LAM_OdorokiA4" : return if %ld_pass_number = LAM_FutekiA1 mov $ld_pass,"bmp\TATI\LAM\1\LAM_FutekiA1": return ;ラムダデルタ立ち絵 if %ld_pass_number = LAM_FutekiA2 mov $ld_pass,"bmp\TATI\LAM\1\LAM_FutekiA2" : return if %ld_pass_number = LAM_FutekiA3 mov $ld_pass,"bmp\TATI\LAM\1\LAM_FutekiA3" : return if %ld_pass_number = LAM_MajimeA1 mov $ld_pass,"bmp\TATI\LAM\1\LAM_MajimeA1" : return if %ld_pass_number = LAM_MajimeA2 mov $ld_pass,"bmp\TATI\LAM\1\LAM_MajimeA2" : return if %ld_pass_number = LAM_MajimeA3 mov $ld_pass,"bmp\TATI\LAM\1\LAM_MajimeA3" : return if %ld_pass_number = LAM_FumanA1 mov $ld_pass,"bmp\TATI\LAM\1\LAM_FumanA1" : return goto *err_textbox2 return *GOA_Isyou1 if %ld_pass_number = GOA_DefA0 mov $ld_pass,"bmp\TATI\GOA\1\GOA_DefA0": return ;山羊の従者(没ボディ) if %ld_pass_number = GOA_DefA1 mov $ld_pass,"bmp\TATI\GOA\1\GOA_DefA1": return ;山羊の従者 if %ld_pass_number = GOA_DefA1B mov $ld_pass,"bmp\TATI\GOA\1\GOA_DefA1B": return ;刃装備 if %ld_pass_number = GOA_DefA2 mov $ld_pass,"bmp\TATI\GOA\1\GOA_DefA2": return ;ルチーア制服 goto *err_textbox2 return *RG1_Isyou1 if %ld_pass_number = RG1_DefA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_DefA1": return ;七姉妹 if %ld_pass_number = RG1_HohoemiA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_HohoemiA1" : return if %ld_pass_number = RG1_HohoemiA2 mov $ld_pass,"bmp\TATI\RG1\1\RG1_HohoemiA2" : return if %ld_pass_number = RG1_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_AkuwaraiA1" : return if %ld_pass_number = RG1_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG1\1\RG1_AkuwaraiA2" : return if %ld_pass_number = RG1_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG1\1\RG1_AkuwaraiA3" : return if %ld_pass_number = RG1_MajimeA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_MajimeA1" : return if %ld_pass_number = RG1_FumanA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_FumanA1" : return if %ld_pass_number = RG1_FumanA2 mov $ld_pass,"bmp\TATI\RG1\1\RG1_FumanA2" : return if %ld_pass_number = RG1_KomaruA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_KomaruA1": return ; if %ld_pass_number = RG1_KomaruA2 mov $ld_pass,"bmp\TATI\RG1\1\RG1_KomaruA2": return ; if %ld_pass_number = RG1_KomaruA3 mov $ld_pass,"bmp\TATI\RG1\1\RG1_KomaruA3": return ; if %ld_pass_number = RG1_OdorokiA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_OdorokiA1": return ; if %ld_pass_number = RG1_OdorokiA2 mov $ld_pass,"bmp\TATI\RG1\1\RG1_OdorokiA2": return ; if %ld_pass_number = RG1_OdorokiA3 mov $ld_pass,"bmp\TATI\RG1\1\RG1_OdorokiA3": return ; if %ld_pass_number = RG1_IkariA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_IkariA1": return ; if %ld_pass_number = RG1_IkariA2 mov $ld_pass,"bmp\TATI\RG1\1\RG1_IkariA2": return ; if %ld_pass_number = RG1_NakuA1 mov $ld_pass,"bmp\TATI\RG1\1\RG1_NakuA1": return ; goto *err_textbox2 return *RG2_Isyou1 if %ld_pass_number = RG2_DefA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_DefA1": return ;七姉妹 if %ld_pass_number = RG2_HohoemiA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_HohoemiA1" : return if %ld_pass_number = RG2_HohoemiA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_HohoemiA2" : return if %ld_pass_number = RG2_WaraiA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_WaraiA1" : return if %ld_pass_number = RG2_WaraiA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_WaraiA2" : return if %ld_pass_number = RG2_WaraiA3 mov $ld_pass,"bmp\TATI\RG2\1\RG2_WaraiA3" : return if %ld_pass_number = RG2_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_AkuwaraiA1" : return if %ld_pass_number = RG2_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_AkuwaraiA2" : return if %ld_pass_number = RG2_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG2\1\RG2_AkuwaraiA3" : return if %ld_pass_number = RG2_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG2\1\RG2_AkuwaraiA4" : return if %ld_pass_number = RG2_MajimeA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_MajimeA1" : return if %ld_pass_number = RG2_FumanA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_FumanA1" : return if %ld_pass_number = RG2_FumanA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_FumanA2" : return if %ld_pass_number = RG2_KomaruA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_KomaruA1": return ; if %ld_pass_number = RG2_KomaruA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_KomaruA2": return ; if %ld_pass_number = RG2_KomaruA3 mov $ld_pass,"bmp\TATI\RG2\1\RG2_KomaruA3": return ; if %ld_pass_number = RG2_OdorokiA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_OdorokiA1": return ; if %ld_pass_number = RG2_OdorokiA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_OdorokiA2": return ; if %ld_pass_number = RG2_OdorokiA3 mov $ld_pass,"bmp\TATI\RG2\1\RG2_OdorokiA3": return ; if %ld_pass_number = RG2_IkariA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_IkariA1": return ; if %ld_pass_number = RG2_IkariA2 mov $ld_pass,"bmp\TATI\RG2\1\RG2_IkariA2": return ; if %ld_pass_number = RG2_NakuA1 mov $ld_pass,"bmp\TATI\RG2\1\RG2_NakuA1": return ; goto *err_textbox2 return *RG3_Isyou1 if %ld_pass_number = RG3_DefA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_DefA1": return ;七姉妹 if %ld_pass_number = RG3_HohoemiA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_HohoemiA1" : return if %ld_pass_number = RG3_HohoemiA2 mov $ld_pass,"bmp\TATI\RG3\1\RG3_HohoemiA2" : return if %ld_pass_number = RG3_WaraiA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_WaraiA1" : return if %ld_pass_number = RG3_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_AkuwaraiA1" : return if %ld_pass_number = RG3_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG3\1\RG3_AkuwaraiA2" : return if %ld_pass_number = RG3_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG3\1\RG3_AkuwaraiA3" : return if %ld_pass_number = RG3_MajimeA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_MajimeA1" : return if %ld_pass_number = RG3_FumanA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_FumanA1" : return if %ld_pass_number = RG3_FumanA2 mov $ld_pass,"bmp\TATI\RG3\1\RG3_FumanA2" : return if %ld_pass_number = RG3_KomaruA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_KomaruA1": return ; if %ld_pass_number = RG3_OdorokiA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_OdorokiA1": return ; if %ld_pass_number = RG3_OdorokiA2 mov $ld_pass,"bmp\TATI\RG3\1\RG3_OdorokiA2": return ; if %ld_pass_number = RG3_OdorokiA3 mov $ld_pass,"bmp\TATI\RG3\1\RG3_OdorokiA3": return ; if %ld_pass_number = RG3_IkariA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_IkariA1": return ; if %ld_pass_number = RG3_IkariA2 mov $ld_pass,"bmp\TATI\RG3\1\RG3_IkariA2": return ; if %ld_pass_number = RG3_NakuA1 mov $ld_pass,"bmp\TATI\RG3\1\RG3_NakuA1": return ; goto *err_textbox2 return *RG4_Isyou1 if %ld_pass_number = RG4_DefA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_DefA1": return ;七姉妹 if %ld_pass_number = RG4_HohoemiA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_HohoemiA1" : return if %ld_pass_number = RG4_HohoemiA2 mov $ld_pass,"bmp\TATI\RG4\1\RG4_HohoemiA2" : return if %ld_pass_number = RG4_WaraiA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_WaraiA1" : return if %ld_pass_number = RG4_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_AkuwaraiA1" : return if %ld_pass_number = RG4_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG4\1\RG4_AkuwaraiA2" : return if %ld_pass_number = RG4_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG4\1\RG4_AkuwaraiA3" : return if %ld_pass_number = RG4_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG4\1\RG4_AkuwaraiA4" : return if %ld_pass_number = RG4_MajimeA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_MajimeA1" : return if %ld_pass_number = RG4_FumanA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_FumanA1" : return if %ld_pass_number = RG4_FumanA2 mov $ld_pass,"bmp\TATI\RG4\1\RG4_FumanA2" : return if %ld_pass_number = RG4_KomaruA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_KomaruA1": return ; if %ld_pass_number = RG4_KomaruA2 mov $ld_pass,"bmp\TATI\RG4\1\RG4_KomaruA2": return ; if %ld_pass_number = RG4_OdorokiA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_OdorokiA1": return ; if %ld_pass_number = RG4_OdorokiA2 mov $ld_pass,"bmp\TATI\RG4\1\RG4_OdorokiA2": return ; if %ld_pass_number = RG4_IkariA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_IkariA1": return ; if %ld_pass_number = RG4_NakuA1 mov $ld_pass,"bmp\TATI\RG4\1\RG4_NakuA1": return ; goto *err_textbox2 return *RG5_Isyou1 if %ld_pass_number = RG5_DefA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_DefA1": return ;七姉妹 if %ld_pass_number = RG5_HohoemiA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_HohoemiA1" : return if %ld_pass_number = RG5_HohoemiA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_HohoemiA2" : return if %ld_pass_number = RG5_WaraiA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_WaraiA1" : return if %ld_pass_number = RG5_WaraiA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_WaraiA2" : return if %ld_pass_number = RG5_WaraiA3 mov $ld_pass,"bmp\TATI\RG5\1\RG5_WaraiA3" : return if %ld_pass_number = RG5_WaraiA4 mov $ld_pass,"bmp\TATI\RG5\1\RG5_WaraiA4" : return if %ld_pass_number = RG5_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_AkuwaraiA1" : return if %ld_pass_number = RG5_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_AkuwaraiA2" : return if %ld_pass_number = RG5_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG5\1\RG5_AkuwaraiA3" : return if %ld_pass_number = RG5_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG5\1\RG5_AkuwaraiA4" : return if %ld_pass_number = RG5_MajimeA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_MajimeA1" : return if %ld_pass_number = RG5_FumanA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_FumanA1" : return if %ld_pass_number = RG5_FumanA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_FumanA2" : return if %ld_pass_number = RG5_KomaruA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_KomaruA1": return ; if %ld_pass_number = RG5_KomaruA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_KomaruA2": return ; if %ld_pass_number = RG5_KomaruA3 mov $ld_pass,"bmp\TATI\RG5\1\RG5_KomaruA3": return ; if %ld_pass_number = RG5_OdorokiA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_OdorokiA1": return ; if %ld_pass_number = RG5_OdorokiA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_OdorokiA2": return ; if %ld_pass_number = RG5_OdorokiA3 mov $ld_pass,"bmp\TATI\RG5\1\RG5_OdorokiA3": return ; if %ld_pass_number = RG5_IkariA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_IkariA1": return ; if %ld_pass_number = RG5_IkariA2 mov $ld_pass,"bmp\TATI\RG5\1\RG5_IkariA2": return ; if %ld_pass_number = RG5_NakuA1 mov $ld_pass,"bmp\TATI\RG5\1\RG5_NakuA1": return ; goto *err_textbox2 return *RG6_Isyou1 if %ld_pass_number = RG6_DefA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_DefA1": return ;七姉妹 if %ld_pass_number = RG6_HohoemiA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_HohoemiA1" : return if %ld_pass_number = RG6_HohoemiA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_HohoemiA2" : return if %ld_pass_number = RG6_WaraiA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_WaraiA1" : return if %ld_pass_number = RG6_WaraiA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_WaraiA2" : return if %ld_pass_number = RG6_WaraiA3 mov $ld_pass,"bmp\TATI\RG6\1\RG6_WaraiA3" : return if %ld_pass_number = RG6_WaraiA4 mov $ld_pass,"bmp\TATI\RG6\1\RG6_WaraiA4" : return if %ld_pass_number = RG6_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_AkuwaraiA1" : return if %ld_pass_number = RG6_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_AkuwaraiA2" : return if %ld_pass_number = RG6_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG6\1\RG6_AkuwaraiA3" : return if %ld_pass_number = RG6_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG6\1\RG6_AkuwaraiA4" : return if %ld_pass_number = RG6_MajimeA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_MajimeA1" : return if %ld_pass_number = RG6_FumanA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_FumanA1" : return if %ld_pass_number = RG6_FumanA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_FumanA2" : return if %ld_pass_number = RG6_KomaruA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_KomaruA1": return ; if %ld_pass_number = RG6_KomaruA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_KomaruA2": return ; if %ld_pass_number = RG6_KomaruA3 mov $ld_pass,"bmp\TATI\RG6\1\RG6_KomaruA3": return ; if %ld_pass_number = RG6_OdorokiA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_OdorokiA1": return ; if %ld_pass_number = RG6_OdorokiA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_OdorokiA2": return ; if %ld_pass_number = RG6_OdorokiA3 mov $ld_pass,"bmp\TATI\RG6\1\RG6_OdorokiA3": return ; if %ld_pass_number = RG6_IkariA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_IkariA1": return ; if %ld_pass_number = RG6_IkariA2 mov $ld_pass,"bmp\TATI\RG6\1\RG6_IkariA2": return ; if %ld_pass_number = RG6_NakuA1 mov $ld_pass,"bmp\TATI\RG6\1\RG6_NakuA1": return ; goto *err_textbox2 return *RG7_Isyou1 if %ld_pass_number = RG7_DefA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_DefA1": return ;七姉妹 if %ld_pass_number = RG7_HohoemiA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_HohoemiA1" : return if %ld_pass_number = RG7_HohoemiA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_HohoemiA2" : return if %ld_pass_number = RG7_WaraiA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_WaraiA1" : return if %ld_pass_number = RG7_WaraiA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_WaraiA2" : return if %ld_pass_number = RG7_WaraiA3 mov $ld_pass,"bmp\TATI\RG7\1\RG7_WaraiA3" : return if %ld_pass_number = RG7_WaraiA4 mov $ld_pass,"bmp\TATI\RG7\1\RG7_WaraiA4" : return if %ld_pass_number = RG7_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_AkuwaraiA1" : return if %ld_pass_number = RG7_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_AkuwaraiA2" : return if %ld_pass_number = RG7_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG7\1\RG7_AkuwaraiA3" : return if %ld_pass_number = RG7_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG7\1\RG7_AkuwaraiA4" : return if %ld_pass_number = RG7_MajimeA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_MajimeA1" : return if %ld_pass_number = RG7_FumanA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_FumanA1" : return if %ld_pass_number = RG7_FumanA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_FumanA2" : return if %ld_pass_number = RG7_KomaruA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_KomaruA1": return ; if %ld_pass_number = RG7_KomaruA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_KomaruA2": return ; if %ld_pass_number = RG7_KomaruA3 mov $ld_pass,"bmp\TATI\RG7\1\RG7_KomaruA3": return ; if %ld_pass_number = RG7_OdorokiA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_OdorokiA1": return ; if %ld_pass_number = RG7_OdorokiA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_OdorokiA2": return ; if %ld_pass_number = RG7_OdorokiA3 mov $ld_pass,"bmp\TATI\RG7\1\RG7_OdorokiA3": return ; if %ld_pass_number = RG7_IkariA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_IkariA1": return ; if %ld_pass_number = RG7_IkariA2 mov $ld_pass,"bmp\TATI\RG7\1\RG7_IkariA2": return ; if %ld_pass_number = RG7_NakuA1 mov $ld_pass,"bmp\TATI\RG7\1\RG7_NakuA1": return ; goto *err_textbox2 return *WAL_Isyou1 if %ld_pass_number = WAL_DefA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_DefA1": return ;ワルギリア if %ld_pass_number = WAL_DefA2 mov $ld_pass,"bmp\TATI\WAL\1\WAL_DefA2" : return if %ld_pass_number = WAL_WaraiA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_WaraiA1" : return if %ld_pass_number = WAL_WaraiA2 mov $ld_pass,"bmp\TATI\WAL\1\WAL_WaraiA2" : return if %ld_pass_number = WAL_KomaruA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_KomaruA1" : return if %ld_pass_number = WAL_KomaruA2 mov $ld_pass,"bmp\TATI\WAL\1\WAL_KomaruA2" : return if %ld_pass_number = WAL_majimeA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_MajimeA1" : return if %ld_pass_number = WAL_OdorokiA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_OdorokiA1" : return if %ld_pass_number = WAL_IkariA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_IkariA1" : return if %ld_pass_number = WAL_IkariA2 mov $ld_pass,"bmp\TATI\WAL\1\WAL_IkariA2" : return if %ld_pass_number = WAL_FumanA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_FumanA1" : return if %ld_pass_number = WAL_AkuwaraiA1 mov $ld_pass,"bmp\TATI\WAL\1\WAL_AkuwaraiA1" : return if %ld_pass_number = WAL_AkuwaraiA2 mov $ld_pass,"bmp\TATI\WAL\1\WAL_AkuwaraiA2" : return goto *err_textbox2 return *EV2_Isyou1 if %ld_pass_number = EV2_DefA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_DefA1": return ;魔女絵羽 if %ld_pass_number = EV2_WaraiA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_WaraiA1": return ; if %ld_pass_number = EV2_WaraiA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_WaraiA2": return ; if %ld_pass_number = EV2_HohoemiA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_HohoemiA1" : return if %ld_pass_number = EV2_HohoemiA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_HohoemiA2" : return if %ld_pass_number = EV2_TokuiA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_TokuiA1" : return if %ld_pass_number = EV2_AkuwaraiA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA1": return ; if %ld_pass_number = EV2_AkuwaraiA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA2": return ; if %ld_pass_number = EV2_AkuwaraiA3 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA3": return ; if %ld_pass_number = EV2_AkuwaraiA4 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA4": return ; if %ld_pass_number = EV2_AkuwaraiA5 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA5": return ; if %ld_pass_number = EV2_AkuwaraiA6 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA6": return ; if %ld_pass_number = EV2_AkuwaraiA7 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA7": return ; if %ld_pass_number = EV2_AkuwaraiA7k mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA7k": return ; if %ld_pass_number = EV2_AkuwaraiA8 mov $ld_pass,"bmp\TATI\EV2\1\EV2_AkuwaraiA8": return ; if %ld_pass_number = EV2_NiramuA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_NiramuA1": return ; if %ld_pass_number = EV2_NiramuA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_NiramuA2": return ; if %ld_pass_number = EV2_NiramuA3 mov $ld_pass,"bmp\TATI\EV2\1\EV2_NiramuA3": return ; if %ld_pass_number = EV2_KomaruA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_KomaruA1": return ; if %ld_pass_number = EV2_OdorokiA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_OdorokiA1": return ; if %ld_pass_number = EV2_OdorokiA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_OdorokiA2": return ; if %ld_pass_number = EV2_FukigenA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_FukigenA1": return ; if %ld_pass_number = EV2_FukigenA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_FukigenA2": return ; if %ld_pass_number = EV2_FukigenA3 mov $ld_pass,"bmp\TATI\EV2\1\EV2_FukigenA3": return ; if %ld_pass_number = EV2_IkariA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_IkariA1": return ; if %ld_pass_number = EV2_IkariA2 mov $ld_pass,"bmp\TATI\EV2\1\EV2_IkariA2": return ; if %ld_pass_number = EV2_KomaruA1 mov $ld_pass,"bmp\TATI\EV2\1\EV2_KomaruA1": return ; goto *err_textbox2 return *RON_Isyou1 if %ld_pass_number = RON_DefA1 mov $ld_pass,"bmp\TATI\RON\1\RON_DefA1": return ;ロノウェ if %ld_pass_number = RON_DefA2 mov $ld_pass,"bmp\TATI\RON\1\RON_DefA2": return ; if %ld_pass_number = RON_WaraiA1 mov $ld_pass,"bmp\TATI\RON\1\RON_WaraiA1": return ; if %ld_pass_number = RON_WaraiA2 mov $ld_pass,"bmp\TATI\RON\1\RON_WaraiA2": return ; if %ld_pass_number = RON_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RON\1\RON_AkuwaraiA1": return ; if %ld_pass_number = RON_MajimeA1 mov $ld_pass,"bmp\TATI\RON\1\RON_MajimeA1": return ; if %ld_pass_number = RON_MajimeA2 mov $ld_pass,"bmp\TATI\RON\1\RON_MajimeA2": return ; if %ld_pass_number = RON_OdorokiA1 mov $ld_pass,"bmp\TATI\RON\1\RON_OdorokiA1": return ; if %ld_pass_number = RON_OdorokiA2 mov $ld_pass,"bmp\TATI\RON\1\RON_OdorokiA2": return ; goto *err_textbox2 return *S45_Isyou1 if %ld_pass_number = S45_DefA1 mov $ld_pass,"bmp\TATI\S45\1\S45_DefA1": return ;シエスタ45 if %ld_pass_number = S45_DefA2 mov $ld_pass,"bmp\TATI\S45\1\S45_DefA2": return ;シエスタ45 if %ld_pass_number = S45_OdorokiA1 mov $ld_pass,"bmp\TATI\S45\1\S45_OdorokiA1": return ;シエスタ45 if %ld_pass_number = S45_KomaruA1 mov $ld_pass,"bmp\TATI\S45\1\S45_KomaruA1": return ;シエスタ45 if %ld_pass_number = S45_MajimeA1 mov $ld_pass,"bmp\TATI\S45\1\S45_MajimeA1": return ;シエスタ45 if %ld_pass_number = S45_NakuA1 mov $ld_pass,"bmp\TATI\S45\1\S45_NakuA1": return ;シエスタ45 goto *err_textbox2 return *S41_Isyou1 if %ld_pass_number = S41_DefA1 mov $ld_pass,"bmp\TATI\S41\1\S41_DefA1": return ;シエスタ41 if %ld_pass_number = S41_AkuwaraiA1 mov $ld_pass,"bmp\TATI\S41\1\S41_AkuwaraiA1": return ;シエスタ41 if %ld_pass_number = S41_AkuwaraiA2 mov $ld_pass,"bmp\TATI\S41\1\S41_AkuwaraiA2": return ;シエスタ41 if %ld_pass_number = S41_MajimeA1 mov $ld_pass,"bmp\TATI\S41\1\S41_MajimeA1": return ;シエスタ41 if %ld_pass_number = S41_OdorokiA1 mov $ld_pass,"bmp\TATI\S41\1\S41_OdorokiA1": return ;シエスタ41 if %ld_pass_number = S41_NakuA1 mov $ld_pass,"bmp\TATI\S41\1\S41_NakuA1": return ;シエスタ41 if %ld_pass_number = S41_NakuA2 mov $ld_pass,"bmp\TATI\S41\1\S41_NakuA2": return ;シエスタ41 goto *err_textbox2 return *ENJ_Isyou1 if %ld_pass_number = ENJ_DefA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_DefA1": return ;縁寿 if %ld_pass_number = ENJ_DefA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_DefA2": return ;縁寿 if %ld_pass_number = ENJ_WaraiA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_WaraiA1": return ;縁寿( if %ld_pass_number = ENJ_WaraiA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_WaraiA2": return ;縁寿 if %ld_pass_number = ENJ_WaraiA3 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_WaraiA3": return ;縁寿 if %ld_pass_number = ENJ_FumanA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_FumanA1": return ;縁寿 if %ld_pass_number = ENJ_FumanA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_FumanA2": return ;縁寿 if %ld_pass_number = ENJ_MajimeA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_MajimeA1": return ;縁寿 if %ld_pass_number = ENJ_NayamuA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_NayamuA1": return ;縁寿 if %ld_pass_number = ENJ_NayamuA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_NayamuA2": return ;縁寿 if %ld_pass_number = ENJ_KomaruA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_KomaruA1": return ;縁寿 if %ld_pass_number = ENJ_KomaruA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_KomaruA2": return ;縁寿 if %ld_pass_number = ENJ_KomaruA3 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_KomaruA3": return ;縁寿 if %ld_pass_number = ENJ_KomaruA4 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_KomaruA4": return ;縁寿 if %ld_pass_number = ENJ_KomaruA5 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_KomaruA5": return ;縁寿 if %ld_pass_number = ENJ_IkariA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_IkariA1": return ;縁寿 if %ld_pass_number = ENJ_IkariA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_IkariA2": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_HanbesoA1": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_HanbesoA2": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA3 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_HanbesoA3": return ;縁寿 if %ld_pass_number = ENJ_NakuA1 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_NakuA1": return ;縁寿 if %ld_pass_number = ENJ_NakuA2 mov $ld_pass,"bmp\TATI\ENJ\1\ENJ_NakuA2": return ;縁寿 goto *err_textbox2 return *OKO_Isyou1 if %ld_pass_number = OKO_DefA1 mov $ld_pass,"bmp\TATI\OKO\1\OKO_DefA1": return ;小此木 if %ld_pass_number = OKO_WaraiA1 mov $ld_pass,"bmp\TATI\OKO\1\OKO_WaraiA1": return ;小此木 if %ld_pass_number = OKO_WaraiA2 mov $ld_pass,"bmp\TATI\OKO\1\OKO_WaraiA2": return ;小此木 if %ld_pass_number = OKO_AkuwaraiA1 mov $ld_pass,"bmp\TATI\OKO\1\OKO_AkuwaraiA1": return ;小此木 goto *err_textbox2 return *KAS_Isyou1 if %ld_pass_number = KAS_DefA1 mov $ld_pass,"bmp\TATI\KAS\1\KAS_DefA1": return ;須磨寺霞 goto *err_textbox2 return *AMA_Isyou1 if %ld_pass_number = AMA_DefA1 mov $ld_pass,"bmp\TATI\AMA\1\AMA_DefA1": return ;天草十三 if %ld_pass_number = AMA_DefA2 mov $ld_pass,"bmp\TATI\AMA\1\AMA_DefA2": return ;天草十三 if %ld_pass_number = AMA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\AMA\1\AMA_AkuwaraiA1": return ;天草十三 if %ld_pass_number = AMA_MajimeA1 mov $ld_pass,"bmp\TATI\AMA\1\AMA_MajimeA1": return ;天草十三 goto *err_textbox2 return *SAK_Isyou1 if %ld_pass_number = SAK_DefA1 mov $ld_pass,"bmp\TATI\SAK\1\SAK_DefA1": return ;さくたろ if %ld_pass_number = SAK_WaraiA1 mov $ld_pass,"bmp\TATI\SAK\1\SAK_WaraiA1": return ;さくたろ if %ld_pass_number = SAK_WaraiA2 mov $ld_pass,"bmp\TATI\SAK\1\SAK_WaraiA2": return ;さくたろ if %ld_pass_number = SAK_WaraiA3 mov $ld_pass,"bmp\TATI\SAK\1\SAK_WaraiA3": return ;さくたろ if %ld_pass_number = SAK_OdorokiA1 mov $ld_pass,"bmp\TATI\SAK\1\SAK_OdorokiA1": return ;さくたろ if %ld_pass_number = SAK_KomaruA1 mov $ld_pass,"bmp\TATI\SAK\1\SAK_KomaruA1": return ;さくたろ if %ld_pass_number = SAK_KomaruA2 mov $ld_pass,"bmp\TATI\SAK\1\SAK_KomaruA2": return ;さくたろ if %ld_pass_number = SAK_NakuA1 mov $ld_pass,"bmp\TATI\SAK\1\SAK_NakuA1": return ;さくたろ if %ld_pass_number = SAK_NakuA2 mov $ld_pass,"bmp\TATI\SAK\1\SAK_NakuA2": return ;さくたろ if %ld_pass_number = SAK_NakuA3 mov $ld_pass,"bmp\TATI\SAK\1\SAK_NakuA3": return ;さくたろ goto *err_textbox2 return *PRO_Isyou1 if %ld_pass_number = PRO_DefA1 mov $ld_pass,"bmp\TATI\PRO\1\PRO_DefA1": return ;教授 if %ld_pass_number = PRO_WaraiA1 mov $ld_pass,"bmp\TATI\PRO\1\PRO_WaraiA1": return ;教授 if %ld_pass_number = PRO_MajimeA1 mov $ld_pass,"bmp\TATI\PRO\1\PRO_MajimeA1": return ;教授 if %ld_pass_number = PRO_MajimeA2 mov $ld_pass,"bmp\TATI\PRO\1\PRO_MajimeA2": return ;教授 if %ld_pass_number = PRO_KomaruA1 mov $ld_pass,"bmp\TATI\PRO\1\PRO_KomaruA1": return ;教授 if %ld_pass_number = PRO_OdorokiA1 mov $ld_pass,"bmp\TATI\PRO\1\PRO_OdorokiA1": return ;教授 goto *err_textbox2 return *S00_Isyou1 if %ld_pass_number = S00_DefA1 mov $ld_pass,"bmp\TATI\S00\1\S00_DefA1": return ;シエスタ00 if %ld_pass_number = S00_MajimeA1 mov $ld_pass,"bmp\TATI\S00\1\S00_MajimeA1": return ;シエスタ00 if %ld_pass_number = S00_MajimeA2 mov $ld_pass,"bmp\TATI\S00\1\S00_MajimeA2": return ;シエスタ00 if %ld_pass_number = S00_OdorokiA1 mov $ld_pass,"bmp\TATI\S00\1\S00_OdorokiA1": return ;シエスタ00 if %ld_pass_number = S00_OdorokiA2 mov $ld_pass,"bmp\TATI\S00\1\S00_OdorokiA2": return ;シエスタ00 if %ld_pass_number = S00_KomaruA1 mov $ld_pass,"bmp\TATI\S00\1\S00_KomaruA1": return ;シエスタ00 if %ld_pass_number = S00_NakuA1 mov $ld_pass,"bmp\TATI\S00\1\S00_NakuA1": return ;シエスタ00 if %ld_pass_number = S00_NakuA2 mov $ld_pass,"bmp\TATI\S00\1\S00_NakuA2": return ;シエスタ00 goto *err_textbox2 return *GAP_Isyou1 if %ld_pass_number = GAP_DefA1 mov $ld_pass,"bmp\TATI\GAP\1\GAP_DefA1": return ;ガァプ if %ld_pass_number = GAP_DefA1a mov $ld_pass,"bmp\TATI\GAP\1\GAP_DefA1a": return ;ガァプ if %ld_pass_number = GAP_DefA2 mov $ld_pass,"bmp\TATI\GAP\1\GAP_DefA2": return ;ガァプ if %ld_pass_number = GAP_DefA2a mov $ld_pass,"bmp\TATI\GAP\1\GAP_DefA2a": return ;ガァプ if %ld_pass_number = GAP_DefA3 mov $ld_pass,"bmp\TATI\GAP\1\GAP_DefA3": return ;ガァプ if %ld_pass_number = GAP_DefA3a mov $ld_pass,"bmp\TATI\GAP\1\GAP_DefA3a": return ;ガァプ if %ld_pass_number = GAP_WaraiA1 mov $ld_pass,"bmp\TATI\GAP\1\GAP_WaraiA1": return ;ガァプ if %ld_pass_number = GAP_WaraiA1a mov $ld_pass,"bmp\TATI\GAP\1\GAP_WaraiA1a": return ;ガァプ if %ld_pass_number = GAP_WaraiA2 mov $ld_pass,"bmp\TATI\GAP\1\GAP_WaraiA2": return ;ガァプ if %ld_pass_number = GAP_WaraiA2a mov $ld_pass,"bmp\TATI\GAP\1\GAP_WaraiA2a": return ;ガァプ if %ld_pass_number = GAP_WaraiA3 mov $ld_pass,"bmp\TATI\GAP\1\GAP_WaraiA3": return ;ガァプ if %ld_pass_number = GAP_WaraiA3a mov $ld_pass,"bmp\TATI\GAP\1\GAP_WaraiA3a": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA1 mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA1": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA1a mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA1a": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA2 mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA2": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA2a mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA2a": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA3 mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA3": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA3a mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA3a": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA4 mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA4": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA4a mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA4a": return ;ガァプ if %ld_pass_number = GAP_AkuwaraiA5 mov $ld_pass,"bmp\TATI\GAP\1\GAP_AkuwaraiA5": return ;ガァプ if %ld_pass_number = GAP_AseruA1 mov $ld_pass,"bmp\TATI\GAP\1\GAP_AseruA1": return ;ガァプ if %ld_pass_number = GAP_AseruA1a mov $ld_pass,"bmp\TATI\GAP\1\GAP_AseruA1a": return ;ガァプ if %ld_pass_number = GAP_IkariA1 mov $ld_pass,"bmp\TATI\GAP\1\GAP_IkariA1": return ;ガァプ if %ld_pass_number = GAP_IkariA1a mov $ld_pass,"bmp\TATI\GAP\1\GAP_IkariA1a": return ;ガァプ goto *err_textbox2 return *KAW_Isyou1 if %ld_pass_number = KAW_DefA1 mov $ld_pass,"bmp\TATI\KAW\1\KAW_DefA1": return ;川畑船長 if %ld_pass_number = KAW_DefA2 mov $ld_pass,"bmp\TATI\KAW\1\KAW_DefA2": return ;川畑船長 if %ld_pass_number = KAW_WaraiA1 mov $ld_pass,"bmp\TATI\KAW\1\KAW_WaraiA1": return ;川畑船長 if %ld_pass_number = KAW_NayamuA1 mov $ld_pass,"bmp\TATI\KAW\1\KAW_NayamuA1": return ;川畑船長 if %ld_pass_number = KAW_KomaruA1 mov $ld_pass,"bmp\TATI\KAW\1\KAW_KomaruA1": return ;川畑船長 goto *err_textbox2 return *ERI_Isyou1 if %ld_pass_number = ERI_DefA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_DefA1": return ;古戸エリカ if %ld_pass_number = ERI_DefA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_DefA2": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_WaraiA1": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_WaraiA2": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA3 mov $ld_pass,"bmp\TATI\ERI\1\ERI_WaraiA3": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA4 mov $ld_pass,"bmp\TATI\ERI\1\ERI_WaraiA4": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA5 mov $ld_pass,"bmp\TATI\ERI\1\ERI_WaraiA5": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkuwaraiA1": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkuwaraiA2": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA3 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkuwaraiA3": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA4 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkuwaraiA4": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA5 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkuwaraiA5": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA6 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkuwaraiA6": return ;古戸エリカ if %ld_pass_number = ERI_AkireA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkireA1": return ;古戸エリカ if %ld_pass_number = ERI_AkireA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_AkireA2": return ;古戸エリカ if %ld_pass_number = ERI_OdorokiA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_OdorokiA1": return ;古戸エリカ if %ld_pass_number = ERI_OdorokiA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_OdorokiA2": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_NiramuA1": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA1a mov $ld_pass,"bmp\TATI\ERI\1\ERI_NiramuA1a": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_NiramuA2": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA2a mov $ld_pass,"bmp\TATI\ERI\1\ERI_NiramuA2a": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_MajimeA1": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA1a mov $ld_pass,"bmp\TATI\ERI\1\ERI_MajimeA1a": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_MajimeA2": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA3 mov $ld_pass,"bmp\TATI\ERI\1\ERI_MajimeA3": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA4 mov $ld_pass,"bmp\TATI\ERI\1\ERI_MajimeA4": return ;古戸エリカ if %ld_pass_number = ERI_GamanA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_GamanA1": return ;古戸エリカ if %ld_pass_number = ERI_GamanA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_GamanA2": return ;古戸エリカ if %ld_pass_number = ERI_HanbesoA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_HanbesoA1": return ;古戸エリカ if %ld_pass_number = ERI_HanbesoA2 mov $ld_pass,"bmp\TATI\ERI\1\ERI_HanbesoA2": return ;古戸エリカ if %ld_pass_number = ERI_KomaruA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_KomaruA1": return ;古戸エリカ if %ld_pass_number = ERI_KomaruA1a mov $ld_pass,"bmp\TATI\ERI\1\ERI_KomaruA1a": return ;古戸エリカ if %ld_pass_number = ERI_FutekiA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_FutekiA1": return ;古戸エリカ if %ld_pass_number = ERI_FutekiA1a mov $ld_pass,"bmp\TATI\ERI\1\ERI_FutekiA1a": return ;古戸エリカ if %ld_pass_number = ERI_IkariA1 mov $ld_pass,"bmp\TATI\ERI\1\ERI_IkariA1": return ;古戸エリカ if %ld_pass_number = ERI_IkariA1a mov $ld_pass,"bmp\TATI\ERI\1\ERI_IkariA1a": return ;古戸エリカ goto *err_textbox2 return *DLA_Isyou1 if %ld_pass_number = DLA_DefA1 mov $ld_pass,"bmp\TATI\DLA\1\DLA_DefA1": return ;ドラノール if %ld_pass_number = DLA_DefA2 mov $ld_pass,"bmp\TATI\DLA\1\DLA_DefA2": return ;ドラノール if %ld_pass_number = DLA_DefA3 mov $ld_pass,"bmp\TATI\DLA\1\DLA_DefA3": return ;ドラノール if %ld_pass_number = DLA_MajimeA1 mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA1": return ;ドラノール if %ld_pass_number = DLA_MajimeA2 mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA2": return ;ドラノール if %ld_pass_number = DLA_MajimeA2a mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA2a": return ;ドラノール if %ld_pass_number = DLA_MajimeA3 mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA3": return ;ドラノール if %ld_pass_number = DLA_WaraiA1 mov $ld_pass,"bmp\TATI\DLA\1\DLA_WaraiA1": return ;ドラノール if %ld_pass_number = DLA_WaraiA2 mov $ld_pass,"bmp\TATI\DLA\1\DLA_WaraiA2": return ;ドラノール if %ld_pass_number = DLA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\DLA\1\DLA_AkuwaraiA1": return ;ドラノール if %ld_pass_number = DLA_KomaruA1 mov $ld_pass,"bmp\TATI\DLA\1\DLA_KomaruA1": return ;ドラノール if %ld_pass_number = DLA_KomaruA1a mov $ld_pass,"bmp\TATI\DLA\1\DLA_KomaruA1a": return ;ドラノール if %ld_pass_number = DLA_IkariA1 mov $ld_pass,"bmp\TATI\DLA\1\DLA_IkariA1": return ;ドラノール if %ld_pass_number = DLA_IkariA1a mov $ld_pass,"bmp\TATI\DLA\1\DLA_IkariA1a": return ;ドラノール if %ld_pass_number = DLA_DefA2b mov $ld_pass,"bmp\TATI\DLA\1\DLA_DefA2b": return ;ドラノール if %ld_pass_number = DLA_DefA3b mov $ld_pass,"bmp\TATI\DLA\1\DLA_DefA3b": return ;ドラノール if %ld_pass_number = DLA_MajimeA1b mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA1b": return ;ドラノール if %ld_pass_number = DLA_MajimeA2b mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA2b": return ;ドラノール if %ld_pass_number = DLA_MajimeA3b mov $ld_pass,"bmp\TATI\DLA\1\DLA_MajimeA3b": return ;ドラノール if %ld_pass_number = DLA_WaraiA1b mov $ld_pass,"bmp\TATI\DLA\1\DLA_WaraiA1b": return ;ドラノール if %ld_pass_number = DLA_WaraiA2b mov $ld_pass,"bmp\TATI\DLA\1\DLA_WaraiA2b": return ;ドラノール if %ld_pass_number = DLA_AkuwaraiA1b mov $ld_pass,"bmp\TATI\DLA\1\DLA_AkuwaraiA1b": return ;ドラノール if %ld_pass_number = DLA_IkariA1b mov $ld_pass,"bmp\TATI\DLA\1\DLA_IkariA1b": return ;ドラノール goto *err_textbox2 return *GER_Isyou1 if %ld_pass_number = GER_DefA1 mov $ld_pass,"bmp\TATI\GER\1\GER_DefA1": return ;ガートルート if %ld_pass_number = GER_DefA2 mov $ld_pass,"bmp\TATI\GER\1\GER_DefA2": return ;ガートルート if %ld_pass_number = GER_KomaruA1 mov $ld_pass,"bmp\TATI\GER\1\GER_KomaruA1": return ;ガートルート if %ld_pass_number = GER_OdorokiA1 mov $ld_pass,"bmp\TATI\GER\1\GER_OdorokiA1": return ;ガートルート goto *err_textbox2 return *COR_Isyou1 if %ld_pass_number = COR_DefA1 mov $ld_pass,"bmp\TATI\COR\1\COR_DefA1": return ;コーネリア if %ld_pass_number = COR_OdorokiA1 mov $ld_pass,"bmp\TATI\COR\1\COR_OdorokiA1": return ;コーネリア if %ld_pass_number = COR_OdorokiA2 mov $ld_pass,"bmp\TATI\COR\1\COR_OdorokiA2": return ;コーネリア if %ld_pass_number = COR_KomaruA1 mov $ld_pass,"bmp\TATI\COR\1\COR_KomaruA1": return ;コーネリア if %ld_pass_number = COR_KomaruA2 mov $ld_pass,"bmp\TATI\COR\1\COR_KomaruA2": return ;コーネリア if %ld_pass_number = COR_MajimeA1 mov $ld_pass,"bmp\TATI\COR\1\COR_MajimeA1": return ;コーネリア if %ld_pass_number = COR_MajimeA2 mov $ld_pass,"bmp\TATI\COR\1\COR_MajimeA2": return ;コーネリア goto *err_textbox2 return *FEA_Isyou1 if %ld_pass_number = FEA_DefA1 mov $ld_pass,"bmp\TATI\FEA\1\FEA_DefA1": return ;フェザリーヌ if %ld_pass_number = FEA_DefA2 mov $ld_pass,"bmp\TATI\FEA\1\FEA_DefA2": return ;フェザリーヌ if %ld_pass_number = FEA_DefA3 mov $ld_pass,"bmp\TATI\FEA\1\FEA_DefA3": return ;フェザリーヌ if %ld_pass_number = FEA_DefA4 mov $ld_pass,"bmp\TATI\FEA\1\FEA_DefA4": return ;フェザリーヌ if %ld_pass_number = FEA_DefA5 mov $ld_pass,"bmp\TATI\FEA\1\FEA_DefA5": return ;フェザリーヌ if %ld_pass_number = FEA_WaraiA1 mov $ld_pass,"bmp\TATI\FEA\1\FEA_WaraiA1": return ;フェザリーヌ if %ld_pass_number = FEA_WaraiA2 mov $ld_pass,"bmp\TATI\FEA\1\FEA_WaraiA2": return ;フェザリーヌ if %ld_pass_number = FEA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\FEA\1\FEA_AkuwaraiA1": return ;フェザリーヌ if %ld_pass_number = FEA_NayamuA1 mov $ld_pass,"bmp\TATI\FEA\1\FEA_NayamuA1": return ;フェザリーヌ if %ld_pass_number = FEA_MajimeA1 mov $ld_pass,"bmp\TATI\FEA\1\FEA_MajimeA1": return ;フェザリーヌ goto *err_textbox2 return *ZEP_Isyou1 if %ld_pass_number = ZEP_DefA1 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_DefA1": return ; if %ld_pass_number = ZEP_MajimeA1 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_MajimeA1": return ; if %ld_pass_number = ZEP_MajimeA2 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_MajimeA2": return ; if %ld_pass_number = ZEP_MajimeA3 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_MajimeA3": return ; if %ld_pass_number = ZEP_MajimeA4 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_MajimeA4": return ; if %ld_pass_number = ZEP_AkireA1 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_AkireA1": return ; if %ld_pass_number = ZEP_WaraiA1 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA1": return ; if %ld_pass_number = ZEP_WaraiA2 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA2": return ; if %ld_pass_number = ZEP_WaraiA3 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA3": return ; if %ld_pass_number = ZEP_WaraiA4 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA4": return ; if %ld_pass_number = ZEP_WaraiA5 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA5": return ; if %ld_pass_number = ZEP_WaraiA6 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA6": return ; if %ld_pass_number = ZEP_WaraiA7 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA7": return ; if %ld_pass_number = ZEP_WaraiA8 mov $ld_pass,"bmp\TATI\ZEP\1\ZEP_WaraiA8": return ; goto *err_textbox2 return *FUR_Isyou1 if %ld_pass_number = FUR_DefA1 mov $ld_pass,"bmp\TATI\FUR\1\FUR_DefA1": return ; if %ld_pass_number = FUR_MajimeA1 mov $ld_pass,"bmp\TATI\FUR\1\FUR_MajimeA1": return ; if %ld_pass_number = FUR_MajimeA2 mov $ld_pass,"bmp\TATI\FUR\1\FUR_MajimeA2": return ; if %ld_pass_number = FUR_MajimeA3 mov $ld_pass,"bmp\TATI\FUR\1\FUR_MajimeA3": return ; if %ld_pass_number = FUR_MajimeA4 mov $ld_pass,"bmp\TATI\FUR\1\FUR_MajimeA4": return ; if %ld_pass_number = FUR_AkireA1 mov $ld_pass,"bmp\TATI\FUR\1\FUR_AkireA1": return ; if %ld_pass_number = FUR_WaraiA1 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA1": return ; if %ld_pass_number = FUR_WaraiA2 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA2": return ; if %ld_pass_number = FUR_WaraiA3 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA3": return ; if %ld_pass_number = FUR_WaraiA4 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA4": return ; if %ld_pass_number = FUR_WaraiA5 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA5": return ; if %ld_pass_number = FUR_WaraiA6 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA6": return ; if %ld_pass_number = FUR_WaraiA7 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA7": return ; if %ld_pass_number = FUR_WaraiA8 mov $ld_pass,"bmp\TATI\FUR\1\FUR_WaraiA8": return ; goto *err_textbox2 return *WIL_Isyou1 if %ld_pass_number = WIL_DefA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_DefA1": return ; if %ld_pass_number = WIL_NiramuA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_NiramuA1": return ; if %ld_pass_number = WIL_IkariA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_IkariA1": return ; if %ld_pass_number = WIL_KomaruA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_KomaruA1": return ; if %ld_pass_number = WIL_NayamuA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_NayamuA1": return ; if %ld_pass_number = WIL_MajimeA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_MajimeA1": return ; if %ld_pass_number = WIL_MajimeA2 mov $ld_pass,"bmp\TATI\WIL\1\WIL_MajimeA2": return ; if %ld_pass_number = WIL_FumanA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_FumanA1": return ; if %ld_pass_number = WIL_NiyariA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_NiyariA1": return ; if %ld_pass_number = WIL_NiyariA1a mov $ld_pass,"bmp\TATI\WIL\1\WIL_NiyariA1a": return ; if %ld_pass_number = WIL_ItaiA1 mov $ld_pass,"bmp\TATI\WIL\1\WIL_ItaiA1": return ; goto *err_textbox2 return *RIO_Isyou1 if %ld_pass_number = RIO_DefA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_DefA1": return ; if %ld_pass_number = RIO_DefA2 mov $ld_pass,"bmp\TATI\RIO\1\RIO_DefA2": return ; if %ld_pass_number = RIO_DefA3 mov $ld_pass,"bmp\TATI\RIO\1\RIO_DefA3": return ; if %ld_pass_number = RIO_WaraiA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_WaraiA1": return ; if %ld_pass_number = RIO_MajimeA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_MajimeA1": return ; if %ld_pass_number = RIO_FumanA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_FumanA1": return ; if %ld_pass_number = RIO_OdorokiA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_OdorokiA1": return ; if %ld_pass_number = RIO_AkireA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_AkireA1": return ; if %ld_pass_number = RIO_AkireA1a mov $ld_pass,"bmp\TATI\RIO\1\RIO_AkireA1a": return ; if %ld_pass_number = RIO_AkireA2 mov $ld_pass,"bmp\TATI\RIO\1\RIO_AkireA2": return ; if %ld_pass_number = RIO_KomaruA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_KomaruA1": return ; if %ld_pass_number = RIO_KomaruA1a mov $ld_pass,"bmp\TATI\RIO\1\RIO_KomaruA1a": return ; if %ld_pass_number = RIO_ItaiA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_ItaiA1": return ; if %ld_pass_number = RIO_SakebuA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_SakebuA1": return ; if %ld_pass_number = RIO_SakebuA2 mov $ld_pass,"bmp\TATI\RIO\1\RIO_SakebuA2": return ; if %ld_pass_number = RIO_IkariA1 mov $ld_pass,"bmp\TATI\RIO\1\RIO_IkariA1": return ; if %ld_pass_number = RIO_IkariA1a mov $ld_pass,"bmp\TATI\RIO\1\RIO_IkariA1a": return ; goto *err_textbox2 return *CUR_Isyou1 if %ld_pass_number = CUR_DefA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_DefA1": return ;CUR立ち絵デフォ if %ld_pass_number = CUR_DefA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_DefA2" : return if %ld_pass_number = CUR_WaraiA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA1": return ;笑い if %ld_pass_number = CUR_WaraiA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA2": return ;笑い2 if %ld_pass_number = CUR_WaraiA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA3": return ;笑い3 if %ld_pass_number = CUR_WaraiA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA4": return ; if %ld_pass_number = CUR_WaraiA6 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA6": return ; if %ld_pass_number = CUR_WaraiA7 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA7": return ; if %ld_pass_number = CUR_WaraiA8 mov $ld_pass,"bmp\TATI\CUR\1\CUR_WaraiA8": return ; if %ld_pass_number = CUR_AkuwaraiA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AkuwaraiA1": return ;悪笑い if %ld_pass_number = CUR_AkuwaraiA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AkuwaraiA2": return ;悪笑い if %ld_pass_number = CUR_AkuwaraiA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AkuwaraiA3": return ;悪笑い if %ld_pass_number = CUR_AkuwaraiA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AkuwaraiA4": return ;悪笑い if %ld_pass_number = CUR_futekiA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_futekiA1": return ;笑い2 if %ld_pass_number = CUR_futekiA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_futekiA2": return ;不敵2 if %ld_pass_number = CUR_OdorokiA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_OdorokiA1": return ;驚き if %ld_pass_number = CUR_OdorokiA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_OdorokiA2": return ;驚き2 if %ld_pass_number = CUR_OdorokiA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_OdorokiA3": return ;驚き3 if %ld_pass_number = CUR_OdorokiA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_OdorokiA4": return ;驚き4 if %ld_pass_number = CUR_FukigenA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_FukigenA2": return ;不機嫌2 if %ld_pass_number = CUR_FukigenA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_FukigenA3": return ;不機嫌3 if %ld_pass_number = CUR_NayamuA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_NayamuA1": return ;悩む if %ld_pass_number = CUR_NayamuA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_NayamuA3": return ;悩む3 if %ld_pass_number = CUR_NayamuA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_NayamuA4": return ;悩む4 if %ld_pass_number = CUR_AseruA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AseruA1": return ;焦る if %ld_pass_number = CUR_AseruA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AseruA2": return ;焦る if %ld_pass_number = CUR_AseruA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_AseruA4": return ;焦る if %ld_pass_number = CUR_KomaruA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_KomaruA1": return ;困る if %ld_pass_number = CUR_KomaruA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_KomaruA3": return ; if %ld_pass_number = CUR_KomaruA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_KomaruA4": return ; if %ld_pass_number = CUR_IiwakeA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_IiwakeA1": return ;いい訳 if %ld_pass_number = CUR_HanbesoA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_HanbesoA3": return ;半ベソ3 if %ld_pass_number = CUR_HanbesoA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_HanbesoA4": return ;半ベソ4 if %ld_pass_number = CUR_GamanA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_GamanA3": return ; if %ld_pass_number = CUR_MajimeA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA1": return ; if %ld_pass_number = CUR_MajimeA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA2": return ; if %ld_pass_number = CUR_MajimeA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA3": return ; if %ld_pass_number = CUR_MajimeA4 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA4": return ; if %ld_pass_number = CUR_MajimeA5 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA5": return ; if %ld_pass_number = CUR_MajimeA6 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA6": return ; if %ld_pass_number = CUR_MajimeA7 mov $ld_pass,"bmp\TATI\CUR\1\CUR_MajimeA7": return ; if %ld_pass_number = CUR_FumanA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_FumanA1": return ; if %ld_pass_number = CUR_FumanA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_FumanA2": return ; if %ld_pass_number = CUR_FumanA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_FumanA3": return ; if %ld_pass_number = CUR_HousinA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_HousinA1": return ; if %ld_pass_number = CUR_HousinA2 mov $ld_pass,"bmp\TATI\CUR\1\CUR_HousinA2": return ; if %ld_pass_number = CUR_HousinA3 mov $ld_pass,"bmp\TATI\CUR\1\CUR_HousinA3": return ; if %ld_pass_number = CUR_ArigatouA1 mov $ld_pass,"bmp\TATI\CUR\1\CUR_ArigatouA1": return ; goto *err_textbox2 return *KI2_Isyou1 if %ld_pass_number = KI2_DefA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_DefA1": return ; if %ld_pass_number = KI2_DefA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_DefA2": return ; if %ld_pass_number = KI2_AseruA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_AseruA1": return ; if %ld_pass_number = KI2_AseruA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_AseruA2": return ; if %ld_pass_number = KI2_AseruA3 mov $ld_pass,"bmp\TATI\KI2\1\KI2_AseruA3": return ; if %ld_pass_number = KI2_FutekiA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_FutekiA1": return ; if %ld_pass_number = KI2_FutekiA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_FutekiA2": return ; if %ld_pass_number = KI2_FutekiA3 mov $ld_pass,"bmp\TATI\KI2\1\KI2_FutekiA3": return ; if %ld_pass_number = KI2_FutekiA4 mov $ld_pass,"bmp\TATI\KI2\1\KI2_FutekiA4": return ; if %ld_pass_number = KI2_KomaruA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_KomaruA1": return ; if %ld_pass_number = KI2_KomaruA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_KomaruA2": return ; if %ld_pass_number = KI2_MajimeA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_MajimeA1": return ; if %ld_pass_number = KI2_NayamuA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NayamuA1": return ; if %ld_pass_number = KI2_NayamuA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NayamuA2": return ; if %ld_pass_number = KI2_NayamuA3 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NayamuA3": return ; if %ld_pass_number = KI2_NayamuA4 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NayamuA4": return ; if %ld_pass_number = KI2_NayamuA5 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NayamuA5": return ; if %ld_pass_number = KI2_NiramuA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NiramuA1": return ; if %ld_pass_number = KI2_NiramuA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_NiramuA2": return ; if %ld_pass_number = KI2_OdorokiA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_OdorokiA1": return ; if %ld_pass_number = KI2_OdorokiA2 mov $ld_pass,"bmp\TATI\KI2\1\KI2_OdorokiA2": return ; if %ld_pass_number = KI2_OyaA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_OyaA1": return ; if %ld_pass_number = KI2_WaraiA1 mov $ld_pass,"bmp\TATI\KI2\1\KI2_WaraiA1": return ; goto *err_textbox2 return ;6歳縁寿 *EN2_Isyou1 if %ld_pass_number = EN2_DefA1 mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1": return ;縁寿 if %ld_pass_number = EN2_DefA2 mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA2": return ;縁寿 if %ld_pass_number = EN2_DefA1a mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1a": return ;縁寿 if %ld_pass_number = EN2_DefA1b mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1b": return ;縁寿 if %ld_pass_number = EN2_DefA1c mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1c": return ;縁寿 if %ld_pass_number = EN2_DefA1d mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1d": return ;縁寿 if %ld_pass_number = EN2_DefA1e mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1e": return ;縁寿 if %ld_pass_number = EN2_DefA1f mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA1f": return ;縁寿 if %ld_pass_number = EN2_DefA2a mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA2a": return ;縁寿 if %ld_pass_number = EN2_DefA2b mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA2b": return ;縁寿 if %ld_pass_number = EN2_DefA2c mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA2c": return ;縁寿 if %ld_pass_number = EN2_DefA3 mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA3": return ;縁寿 if %ld_pass_number = EN2_DefA3a mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA3a": return ;縁寿 if %ld_pass_number = EN2_DefA3b mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA3b": return ;縁寿 if %ld_pass_number = EN2_DefA3c mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA3c": return ;縁寿 if %ld_pass_number = EN2_DefA4a mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA4a": return ;縁寿 if %ld_pass_number = EN2_DefA4b mov $ld_pass,"bmp\TATI\EN2\1\EN2_DefA4b": return ;縁寿 goto *err_textbox2 return ;未来戦人 *BU2_Isyou1 if %ld_pass_number = BU2_DefA1 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA1": return ;未来戦人 if %ld_pass_number = BU2_DefA2 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA2": return ;未来戦人 if %ld_pass_number = BU2_DefA3 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA3": return ;未来戦人 if %ld_pass_number = BU2_DefA4 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA4": return ;未来戦人 if %ld_pass_number = BU2_DefA6 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA6": return ;未来戦人 if %ld_pass_number = BU2_DefA7 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA7": return ;未来戦人 if %ld_pass_number = BU2_DefA8 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA8": return ;未来戦人 if %ld_pass_number = BU2_DefA9 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA9": return ;未来戦人 if %ld_pass_number = BU2_DefA10 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA10": return ;未来戦人 if %ld_pass_number = BU2_DefA11 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA11": return ;未来戦人 if %ld_pass_number = BU2_DefA12 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA12": return ;未来戦人 if %ld_pass_number = BU2_DefA13 mov $ld_pass,"bmp\TATI\BU2\1\BU2_DefA13": return ;未来戦人 goto *err_textbox2 return ;フラウロス *FLA_Isyou1 if %ld_pass_number = FLA_DefA1 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA1": return ;フラウロス if %ld_pass_number = FLA_DefA2 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA2": return ;フラウロス if %ld_pass_number = FLA_DefA3 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA3": return ;フラウロス if %ld_pass_number = FLA_DefA4 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA4": return ;フラウロス if %ld_pass_number = FLA_DefA5 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA5": return ;フラウロス if %ld_pass_number = FLA_DefA6 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA6": return ;フラウロス if %ld_pass_number = FLA_DefA7 mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA7": return ;フラウロス if %ld_pass_number = FLA_DefA1a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA1a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA2a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA2a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA3a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA3a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA4a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA4a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA5a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA5a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA6a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA6a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA7a mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA7a": return ;フラウロス汗 if %ld_pass_number = FLA_DefA1_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA1_b": return ;フラウロス if %ld_pass_number = FLA_DefA2_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA2_b": return ;フラウロス if %ld_pass_number = FLA_DefA3_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA3_b": return ;フラウロス if %ld_pass_number = FLA_DefA4_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA4_b": return ;フラウロス if %ld_pass_number = FLA_DefA5_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA5_b": return ;フラウロス if %ld_pass_number = FLA_DefA6_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA6_b": return ;フラウロス if %ld_pass_number = FLA_DefA7_b mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA7_b": return ;フラウロス if %ld_pass_number = FLA_DefA1_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA1_ba": return ;フラウロス汗 if %ld_pass_number = FLA_DefA2_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA2_ba": return ;フラウロス汗 if %ld_pass_number = FLA_DefA3_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA3_ba": return ;フラウロス汗 if %ld_pass_number = FLA_DefA4_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA4_ba": return ;フラウロス汗 if %ld_pass_number = FLA_DefA5_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA5_ba": return ;フラウロス汗 if %ld_pass_number = FLA_DefA6_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA6_ba": return ;フラウロス汗 if %ld_pass_number = FLA_DefA7_ba mov $ld_pass,"bmp\TATI\FLA\1\FLA_DefA7_ba": return ;フラウロス汗 goto *err_textbox2 return ;ピース *PIE_Isyou1 ;====================================================== if %ld_pass_number = PIE_DefA1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA1": return ;ピースA1 if %ld_pass_number = PIE_DefA2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA2": return ;ピースA2 if %ld_pass_number = PIE_DefA3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA3": return ;ピースA3 if %ld_pass_number = PIE_DefA4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA4": return ;ピースA4 if %ld_pass_number = PIE_DefA5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA5": return ;ピースA5 if %ld_pass_number = PIE_DefA6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA6": return ;ピースA6 if %ld_pass_number = PIE_DefA7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA7": return ;ピースA7 if %ld_pass_number = PIE_DefA8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA8": return ;ピースA8 if %ld_pass_number = PIE_DefA9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA9": return ;ピースA9 if %ld_pass_number = PIE_DefA10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA10": return ;ピースA10 if %ld_pass_number = PIE_DefA11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA11": return ;ピースA11 if %ld_pass_number = PIE_DefA12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA12": return ;ピースA12 if %ld_pass_number = PIE_DefA13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA13": return ;ピースA13 if %ld_pass_number = PIE_DefA14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA14": return ;ピースA14 if %ld_pass_number = PIE_DefA15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA15": return ;ピースA15 if %ld_pass_number = PIE_DefA16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA16": return ;ピースA16 if %ld_pass_number = PIE_DefA17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA17": return ;ピースA17 if %ld_pass_number = PIE_DefA18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA18": return ;ピースA18 if %ld_pass_number = PIE_DefA19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA19": return ;ピースA19 ;------------------------------------------------------ if %ld_pass_number = PIE_DefA1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA1a": return ;ピースA1汗 if %ld_pass_number = PIE_DefA2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA2a": return ;ピースA2汗 if %ld_pass_number = PIE_DefA3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA3a": return ;ピースA3汗 if %ld_pass_number = PIE_DefA4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA4a": return ;ピースA4汗 if %ld_pass_number = PIE_DefA5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA5a": return ;ピースA5汗 if %ld_pass_number = PIE_DefA6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA6a": return ;ピースA6汗 if %ld_pass_number = PIE_DefA7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA7a": return ;ピースA7汗 if %ld_pass_number = PIE_DefA8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA8a": return ;ピースA8汗 if %ld_pass_number = PIE_DefA9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA9a": return ;ピースA9汗 if %ld_pass_number = PIE_DefA10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA10a": return ;ピースA10汗 if %ld_pass_number = PIE_DefA11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA11a": return ;ピースA11汗 if %ld_pass_number = PIE_DefA12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA12a": return ;ピースA12汗 if %ld_pass_number = PIE_DefA13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA13a": return ;ピースA13汗 if %ld_pass_number = PIE_DefA14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA14a": return ;ピースA14汗 if %ld_pass_number = PIE_DefA15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA15a": return ;ピースA15汗 if %ld_pass_number = PIE_DefA16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA16a": return ;ピースA16汗 if %ld_pass_number = PIE_DefA17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA17a": return ;ピースA17汗 if %ld_pass_number = PIE_DefA18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA18a": return ;ピースA18汗 if %ld_pass_number = PIE_DefA19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefA19a": return ;ピースA19汗 ;====================================================== if %ld_pass_number = PIE_DefB1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB1": return ;ピースB1 if %ld_pass_number = PIE_DefB2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB2": return ;ピースB2 if %ld_pass_number = PIE_DefB3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB3": return ;ピースB3 if %ld_pass_number = PIE_DefB4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB4": return ;ピースB4 if %ld_pass_number = PIE_DefB5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB5": return ;ピースB5 if %ld_pass_number = PIE_DefB6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB6": return ;ピースB6 if %ld_pass_number = PIE_DefB7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB7": return ;ピースB7 if %ld_pass_number = PIE_DefB8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB8": return ;ピースB8 if %ld_pass_number = PIE_DefB9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB9": return ;ピースB9 if %ld_pass_number = PIE_DefB10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB10": return ;ピースB10 if %ld_pass_number = PIE_DefB11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB11": return ;ピースB11 if %ld_pass_number = PIE_DefB12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB12": return ;ピースB12 if %ld_pass_number = PIE_DefB13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB13": return ;ピースB13 if %ld_pass_number = PIE_DefB14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB14": return ;ピースB14 if %ld_pass_number = PIE_DefB15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB15": return ;ピースB15 if %ld_pass_number = PIE_DefB16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB16": return ;ピースB16 if %ld_pass_number = PIE_DefB17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB17": return ;ピースB17 if %ld_pass_number = PIE_DefB18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB18": return ;ピースB18 if %ld_pass_number = PIE_DefB19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB19": return ;ピースB19 ;------------------------------------------------------ if %ld_pass_number = PIE_DefB1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB1a": return ;ピースB1汗 if %ld_pass_number = PIE_DefB2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB2a": return ;ピースB2汗 if %ld_pass_number = PIE_DefB3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB3a": return ;ピースB3汗 if %ld_pass_number = PIE_DefB4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB4a": return ;ピースB4汗 if %ld_pass_number = PIE_DefB5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB5a": return ;ピースB5汗 if %ld_pass_number = PIE_DefB6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB6a": return ;ピースB6汗 if %ld_pass_number = PIE_DefB7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB7a": return ;ピースB7汗 if %ld_pass_number = PIE_DefB8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB8a": return ;ピースB8汗 if %ld_pass_number = PIE_DefB9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB9a": return ;ピースB9汗 if %ld_pass_number = PIE_DefB10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB10a": return ;ピースB10汗 if %ld_pass_number = PIE_DefB11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB11a": return ;ピースB11汗 if %ld_pass_number = PIE_DefB12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB12a": return ;ピースB12汗 if %ld_pass_number = PIE_DefB13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB13a": return ;ピースB13汗 if %ld_pass_number = PIE_DefB14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB14a": return ;ピースB14汗 if %ld_pass_number = PIE_DefB15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB15a": return ;ピースB15汗 if %ld_pass_number = PIE_DefB16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB16a": return ;ピースB16汗 if %ld_pass_number = PIE_DefB17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB17a": return ;ピースB17汗 if %ld_pass_number = PIE_DefB18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB18a": return ;ピースB18汗 if %ld_pass_number = PIE_DefB19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_DefB19a": return ;ピースB19汗 ;====================================================== if %ld_pass_number = PIE_Hair1A1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A1": return ;ピースA1 髪1差分 if %ld_pass_number = PIE_Hair1A2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A2": return ;ピースA2 髪1差分 if %ld_pass_number = PIE_Hair1A3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A3": return ;ピースA3 髪1差分 if %ld_pass_number = PIE_Hair1A4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A4": return ;ピースA4 髪1差分 if %ld_pass_number = PIE_Hair1A5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A5": return ;ピースA5 髪1差分 if %ld_pass_number = PIE_Hair1A6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A6": return ;ピースA6 髪1差分 if %ld_pass_number = PIE_Hair1A7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A7": return ;ピースA7 髪1差分 if %ld_pass_number = PIE_Hair1A8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A8": return ;ピースA8 髪1差分 if %ld_pass_number = PIE_Hair1A9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A9": return ;ピースA9 髪1差分 if %ld_pass_number = PIE_Hair1A10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A10": return ;ピースA10 髪1差分 if %ld_pass_number = PIE_Hair1A11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A11": return ;ピースA11 髪1差分 if %ld_pass_number = PIE_Hair1A12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A12": return ;ピースA12 髪1差分 if %ld_pass_number = PIE_Hair1A13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A13": return ;ピースA13 髪1差分 if %ld_pass_number = PIE_Hair1A14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A14": return ;ピースA14 髪1差分 if %ld_pass_number = PIE_Hair1A15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A15": return ;ピースA15 髪1差分 if %ld_pass_number = PIE_Hair1A16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A16": return ;ピースA16 髪1差分 if %ld_pass_number = PIE_Hair1A17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A17": return ;ピースA17 髪1差分 if %ld_pass_number = PIE_Hair1A18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A18": return ;ピースA18 髪1差分 if %ld_pass_number = PIE_Hair1A19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A19": return ;ピースA19 髪1差分 ;------------------------------------------------------ if %ld_pass_number = PIE_Hair1A1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A1a": return ;ピースA1 髪1差分汗 if %ld_pass_number = PIE_Hair1A2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A2a": return ;ピースA2 髪1差分汗 if %ld_pass_number = PIE_Hair1A3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A3a": return ;ピースA3 髪1差分汗 if %ld_pass_number = PIE_Hair1A4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A4a": return ;ピースA4 髪1差分汗 if %ld_pass_number = PIE_Hair1A5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A5a": return ;ピースA5 髪1差分汗 if %ld_pass_number = PIE_Hair1A6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A6a": return ;ピースA6 髪1差分汗 if %ld_pass_number = PIE_Hair1A7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A7a": return ;ピースA7 髪1差分汗 if %ld_pass_number = PIE_Hair1A8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A8a": return ;ピースA8 髪1差分汗 if %ld_pass_number = PIE_Hair1A9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A9a": return ;ピースA9 髪1差分汗 if %ld_pass_number = PIE_Hair1A10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A10a": return ;ピースA10 髪1差分汗 if %ld_pass_number = PIE_Hair1A11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A11a": return ;ピースA11 髪1差分汗 if %ld_pass_number = PIE_Hair1A12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A12a": return ;ピースA12 髪1差分汗 if %ld_pass_number = PIE_Hair1A13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A13a": return ;ピースA13 髪1差分汗 if %ld_pass_number = PIE_Hair1A14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A14a": return ;ピースA14 髪1差分汗 if %ld_pass_number = PIE_Hair1A15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A15a": return ;ピースA15 髪1差分汗 if %ld_pass_number = PIE_Hair1A16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A16a": return ;ピースA16 髪1差分汗 if %ld_pass_number = PIE_Hair1A17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A17a": return ;ピースA17 髪1差分汗 if %ld_pass_number = PIE_Hair1A18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A18a": return ;ピースA18 髪1差分汗 if %ld_pass_number = PIE_Hair1A19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1A19a": return ;ピースA19 髪1差分汗 ;====================================================== if %ld_pass_number = PIE_Hair1B1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B1": return ;ピースB1 髪1差分 if %ld_pass_number = PIE_Hair1B2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B2": return ;ピースB2 髪1差分 if %ld_pass_number = PIE_Hair1B3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B3": return ;ピースB3 髪1差分 if %ld_pass_number = PIE_Hair1B4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B4": return ;ピースB4 髪1差分 if %ld_pass_number = PIE_Hair1B5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B5": return ;ピースB5 髪1差分 if %ld_pass_number = PIE_Hair1B6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B6": return ;ピースB6 髪1差分 if %ld_pass_number = PIE_Hair1B7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B7": return ;ピースB7 髪1差分 if %ld_pass_number = PIE_Hair1B8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B8": return ;ピースB8 髪1差分 if %ld_pass_number = PIE_Hair1B9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B9": return ;ピースB9 髪1差分 if %ld_pass_number = PIE_Hair1B10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B10": return ;ピースB10 髪1差分 if %ld_pass_number = PIE_Hair1B11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B11": return ;ピースB11 髪1差分 if %ld_pass_number = PIE_Hair1B12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B12": return ;ピースB12 髪1差分 if %ld_pass_number = PIE_Hair1B13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B13": return ;ピースB13 髪1差分 if %ld_pass_number = PIE_Hair1B14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B14": return ;ピースB14 髪1差分 if %ld_pass_number = PIE_Hair1B15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B15": return ;ピースB15 髪1差分 if %ld_pass_number = PIE_Hair1B16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B16": return ;ピースB16 髪1差分 if %ld_pass_number = PIE_Hair1B17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B17": return ;ピースB17 髪1差分 if %ld_pass_number = PIE_Hair1B18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B18": return ;ピースB18 髪1差分 if %ld_pass_number = PIE_Hair1B19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B19": return ;ピースB19 髪1差分 ;------------------------------------------------------ if %ld_pass_number = PIE_Hair1B1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B1a": return ;ピースB1 髪1差分汗 if %ld_pass_number = PIE_Hair1B2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B2a": return ;ピースB2 髪1差分汗 if %ld_pass_number = PIE_Hair1B3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B3a": return ;ピースB3 髪1差分汗 if %ld_pass_number = PIE_Hair1B4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B4a": return ;ピースB4 髪1差分汗 if %ld_pass_number = PIE_Hair1B5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B5a": return ;ピースB5 髪1差分汗 if %ld_pass_number = PIE_Hair1B6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B6a": return ;ピースB6 髪1差分汗 if %ld_pass_number = PIE_Hair1B7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B7a": return ;ピースB7 髪1差分汗 if %ld_pass_number = PIE_Hair1B8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B8a": return ;ピースB8 髪1差分汗 if %ld_pass_number = PIE_Hair1B9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B9a": return ;ピースB9 髪1差分汗 if %ld_pass_number = PIE_Hair1B10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B10a": return ;ピースB10 髪1差分汗 if %ld_pass_number = PIE_Hair1B11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B11a": return ;ピースB11 髪1差分汗 if %ld_pass_number = PIE_Hair1B12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B12a": return ;ピースB12 髪1差分汗 if %ld_pass_number = PIE_Hair1B13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B13a": return ;ピースB13 髪1差分汗 if %ld_pass_number = PIE_Hair1B14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B14a": return ;ピースB14 髪1差分汗 if %ld_pass_number = PIE_Hair1B15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B15a": return ;ピースB15 髪1差分汗 if %ld_pass_number = PIE_Hair1B16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B16a": return ;ピースB16 髪1差分汗 if %ld_pass_number = PIE_Hair1B17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B17a": return ;ピースB17 髪1差分汗 if %ld_pass_number = PIE_Hair1B18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B18a": return ;ピースB18 髪1差分汗 if %ld_pass_number = PIE_Hair1B19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1B19a": return ;ピースB19 髪1差分汗 ;====================================================== if %ld_pass_number = PIE_Hair2A1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A1": return ;ピースA1 髪2差分 if %ld_pass_number = PIE_Hair2A2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A2": return ;ピースA2 髪2差分 if %ld_pass_number = PIE_Hair2A3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A3": return ;ピースA3 髪2差分 if %ld_pass_number = PIE_Hair2A4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A4": return ;ピースA4 髪2差分 if %ld_pass_number = PIE_Hair2A5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A5": return ;ピースA5 髪2差分 if %ld_pass_number = PIE_Hair2A6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A6": return ;ピースA6 髪2差分 if %ld_pass_number = PIE_Hair2A7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A7": return ;ピースA7 髪2差分 if %ld_pass_number = PIE_Hair2A8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A8": return ;ピースA8 髪2差分 if %ld_pass_number = PIE_Hair2A9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A9": return ;ピースA9 髪2差分 if %ld_pass_number = PIE_Hair2A10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A10": return ;ピースA10 髪2差分 if %ld_pass_number = PIE_Hair2A11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A11": return ;ピースA11 髪2差分 if %ld_pass_number = PIE_Hair2A12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A12": return ;ピースA12 髪2差分 if %ld_pass_number = PIE_Hair2A13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A13": return ;ピースA13 髪2差分 if %ld_pass_number = PIE_Hair2A14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A14": return ;ピースA14 髪2差分 if %ld_pass_number = PIE_Hair2A15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A15": return ;ピースA15 髪2差分 if %ld_pass_number = PIE_Hair2A16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A16": return ;ピースA16 髪2差分 if %ld_pass_number = PIE_Hair2A17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A17": return ;ピースA17 髪2差分 if %ld_pass_number = PIE_Hair2A18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A18": return ;ピースA18 髪2差分 if %ld_pass_number = PIE_Hair2A19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A19": return ;ピースA19 髪2差分 ;------------------------------------------------------ if %ld_pass_number = PIE_Hair2A1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A1a": return ;ピースA1 髪2差分汗 if %ld_pass_number = PIE_Hair2A2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A2a": return ;ピースA2 髪2差分汗 if %ld_pass_number = PIE_Hair2A3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A3a": return ;ピースA3 髪2差分汗 if %ld_pass_number = PIE_Hair2A4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A4a": return ;ピースA4 髪2差分汗 if %ld_pass_number = PIE_Hair2A5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A5a": return ;ピースA5 髪2差分汗 if %ld_pass_number = PIE_Hair2A6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A6a": return ;ピースA6 髪2差分汗 if %ld_pass_number = PIE_Hair2A7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A7a": return ;ピースA7 髪2差分汗 if %ld_pass_number = PIE_Hair2A8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A8a": return ;ピースA8 髪2差分汗 if %ld_pass_number = PIE_Hair2A9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A9a": return ;ピースA9 髪2差分汗 if %ld_pass_number = PIE_Hair2A10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A10a": return ;ピースA10 髪2差分汗 if %ld_pass_number = PIE_Hair2A11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A11a": return ;ピースA11 髪2差分汗 if %ld_pass_number = PIE_Hair2A12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A12a": return ;ピースA12 髪2差分汗 if %ld_pass_number = PIE_Hair2A13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A13a": return ;ピースA13 髪2差分汗 if %ld_pass_number = PIE_Hair2A14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A14a": return ;ピースA14 髪2差分汗 if %ld_pass_number = PIE_Hair2A15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A15a": return ;ピースA15 髪2差分汗 if %ld_pass_number = PIE_Hair2A16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A16a": return ;ピースA16 髪2差分汗 if %ld_pass_number = PIE_Hair2A17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A17a": return ;ピースA17 髪2差分汗 if %ld_pass_number = PIE_Hair2A18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A18a": return ;ピースA18 髪2差分汗 if %ld_pass_number = PIE_Hair2A19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2A19a": return ;ピースA19 髪2差分汗 ;====================================================== if %ld_pass_number = PIE_Hair2B1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B1": return ;ピースB1 髪2差分 if %ld_pass_number = PIE_Hair2B2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B2": return ;ピースB2 髪2差分 if %ld_pass_number = PIE_Hair2B3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B3": return ;ピースB3 髪2差分 if %ld_pass_number = PIE_Hair2B4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B4": return ;ピースB4 髪2差分 if %ld_pass_number = PIE_Hair2B5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B5": return ;ピースB5 髪2差分 if %ld_pass_number = PIE_Hair2B6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B6": return ;ピースB6 髪2差分 if %ld_pass_number = PIE_Hair2B7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B7": return ;ピースB7 髪2差分 if %ld_pass_number = PIE_Hair2B8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B8": return ;ピースB8 髪2差分 if %ld_pass_number = PIE_Hair2B9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B9": return ;ピースB9 髪2差分 if %ld_pass_number = PIE_Hair2B10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B10": return ;ピースB10 髪2差分 if %ld_pass_number = PIE_Hair2B11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B11": return ;ピースB11 髪2差分 if %ld_pass_number = PIE_Hair2B12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B12": return ;ピースB12 髪2差分 if %ld_pass_number = PIE_Hair2B13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B13": return ;ピースB13 髪2差分 if %ld_pass_number = PIE_Hair2B14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B14": return ;ピースB14 髪2差分 if %ld_pass_number = PIE_Hair2B15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B15": return ;ピースB15 髪2差分 if %ld_pass_number = PIE_Hair2B16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B16": return ;ピースB16 髪2差分 if %ld_pass_number = PIE_Hair2B17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B17": return ;ピースB17 髪2差分 if %ld_pass_number = PIE_Hair2B18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B18": return ;ピースB18 髪2差分 if %ld_pass_number = PIE_Hair2B19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B19": return ;ピースB19 髪2差分 ;------------------------------------------------------ if %ld_pass_number = PIE_Hair2B1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B1a": return ;ピースB1 髪2差分汗 if %ld_pass_number = PIE_Hair2B2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B2a": return ;ピースB2 髪2差分汗 if %ld_pass_number = PIE_Hair2B3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B3a": return ;ピースB3 髪2差分汗 if %ld_pass_number = PIE_Hair2B4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B4a": return ;ピースB4 髪2差分汗 if %ld_pass_number = PIE_Hair2B5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B5a": return ;ピースB5 髪2差分汗 if %ld_pass_number = PIE_Hair2B6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B6a": return ;ピースB6 髪2差分汗 if %ld_pass_number = PIE_Hair2B7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B7a": return ;ピースB7 髪2差分汗 if %ld_pass_number = PIE_Hair2B8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B8a": return ;ピースB8 髪2差分汗 if %ld_pass_number = PIE_Hair2B9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B9a": return ;ピースB9 髪2差分汗 if %ld_pass_number = PIE_Hair2B10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B10a": return ;ピースB10 髪2差分汗 if %ld_pass_number = PIE_Hair2B11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B11a": return ;ピースB11 髪2差分汗 if %ld_pass_number = PIE_Hair2B12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B12a": return ;ピースB12 髪2差分汗 if %ld_pass_number = PIE_Hair2B13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B13a": return ;ピースB13 髪2差分汗 if %ld_pass_number = PIE_Hair2B14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B14a": return ;ピースB14 髪2差分汗 if %ld_pass_number = PIE_Hair2B15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B15a": return ;ピースB15 髪2差分汗 if %ld_pass_number = PIE_Hair2B16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B16a": return ;ピースB16 髪2差分汗 if %ld_pass_number = PIE_Hair2B17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B17a": return ;ピースB17 髪2差分汗 if %ld_pass_number = PIE_Hair2B18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B18a": return ;ピースB18 髪2差分汗 if %ld_pass_number = PIE_Hair2B19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2B19a": return ;ピースB19 髪2差分汗 ;====================================================== if %ld_pass_number = PIE_Hair3A1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A1": return ;ピースA1 髪3差分 if %ld_pass_number = PIE_Hair3A2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A2": return ;ピースA2 髪3差分 if %ld_pass_number = PIE_Hair3A3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A3": return ;ピースA3 髪3差分 if %ld_pass_number = PIE_Hair3A4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A4": return ;ピースA4 髪3差分 if %ld_pass_number = PIE_Hair3A5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A5": return ;ピースA5 髪3差分 if %ld_pass_number = PIE_Hair3A6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A6": return ;ピースA6 髪3差分 if %ld_pass_number = PIE_Hair3A7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A7": return ;ピースA7 髪3差分 if %ld_pass_number = PIE_Hair3A8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A8": return ;ピースA8 髪3差分 if %ld_pass_number = PIE_Hair3A9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A9": return ;ピースA9 髪3差分 if %ld_pass_number = PIE_Hair3A10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A10": return ;ピースA10 髪3差分 if %ld_pass_number = PIE_Hair3A11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A11": return ;ピースA11 髪3差分 if %ld_pass_number = PIE_Hair3A12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A12": return ;ピースA12 髪3差分 if %ld_pass_number = PIE_Hair3A13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A13": return ;ピースA13 髪3差分 if %ld_pass_number = PIE_Hair3A14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A14": return ;ピースA14 髪3差分 if %ld_pass_number = PIE_Hair3A15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A15": return ;ピースA15 髪3差分 if %ld_pass_number = PIE_Hair3A16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A16": return ;ピースA16 髪3差分 if %ld_pass_number = PIE_Hair3A17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A17": return ;ピースA17 髪3差分 if %ld_pass_number = PIE_Hair3A18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A18": return ;ピースA18 髪3差分 if %ld_pass_number = PIE_Hair3A19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A19": return ;ピースA19 髪3差分 ;------------------------------------------------------ if %ld_pass_number = PIE_Hair3A1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A1a": return ;ピースA1 髪3差分汗 if %ld_pass_number = PIE_Hair3A2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A2a": return ;ピースA2 髪3差分汗 if %ld_pass_number = PIE_Hair3A3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A3a": return ;ピースA3 髪3差分汗 if %ld_pass_number = PIE_Hair3A4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A4a": return ;ピースA4 髪3差分汗 if %ld_pass_number = PIE_Hair3A5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A5a": return ;ピースA5 髪3差分汗 if %ld_pass_number = PIE_Hair3A6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A6a": return ;ピースA6 髪3差分汗 if %ld_pass_number = PIE_Hair3A7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A7a": return ;ピースA7 髪3差分汗 if %ld_pass_number = PIE_Hair3A8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A8a": return ;ピースA8 髪3差分汗 if %ld_pass_number = PIE_Hair3A9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A9a": return ;ピースA9 髪3差分汗 if %ld_pass_number = PIE_Hair3A10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A10a": return ;ピースA10 髪3差分汗 if %ld_pass_number = PIE_Hair3A11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A11a": return ;ピースA11 髪3差分汗 if %ld_pass_number = PIE_Hair3A12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A12a": return ;ピースA12 髪3差分汗 if %ld_pass_number = PIE_Hair3A13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A13a": return ;ピースA13 髪3差分汗 if %ld_pass_number = PIE_Hair3A14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A14a": return ;ピースA14 髪3差分汗 if %ld_pass_number = PIE_Hair3A15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A15a": return ;ピースA15 髪3差分汗 if %ld_pass_number = PIE_Hair3A16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A16a": return ;ピースA16 髪3差分汗 if %ld_pass_number = PIE_Hair3A17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A17a": return ;ピースA17 髪3差分汗 if %ld_pass_number = PIE_Hair3A18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A18a": return ;ピースA18 髪3差分汗 if %ld_pass_number = PIE_Hair3A19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3A19a": return ;ピースA19 髪3差分汗 ;====================================================== if %ld_pass_number = PIE_Hair3B1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B1": return ;ピースB1 髪3差分 if %ld_pass_number = PIE_Hair3B2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B2": return ;ピースB2 髪3差分 if %ld_pass_number = PIE_Hair3B3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B3": return ;ピースB3 髪3差分 if %ld_pass_number = PIE_Hair3B4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B4": return ;ピースB4 髪3差分 if %ld_pass_number = PIE_Hair3B5 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B5": return ;ピースB5 髪3差分 if %ld_pass_number = PIE_Hair3B6 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B6": return ;ピースB6 髪3差分 if %ld_pass_number = PIE_Hair3B7 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B7": return ;ピースB7 髪3差分 if %ld_pass_number = PIE_Hair3B8 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B8": return ;ピースB8 髪3差分 if %ld_pass_number = PIE_Hair3B9 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B9": return ;ピースB9 髪3差分 if %ld_pass_number = PIE_Hair3B10 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B10": return ;ピースB10 髪3差分 if %ld_pass_number = PIE_Hair3B11 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B11": return ;ピースB11 髪3差分 if %ld_pass_number = PIE_Hair3B12 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B12": return ;ピースB12 髪3差分 if %ld_pass_number = PIE_Hair3B13 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B13": return ;ピースB13 髪3差分 if %ld_pass_number = PIE_Hair3B14 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B14": return ;ピースB14 髪3差分 if %ld_pass_number = PIE_Hair3B15 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B15": return ;ピースB15 髪3差分 if %ld_pass_number = PIE_Hair3B16 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B16": return ;ピースB16 髪3差分 if %ld_pass_number = PIE_Hair3B17 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B17": return ;ピースB17 髪3差分 if %ld_pass_number = PIE_Hair3B18 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B18": return ;ピースB18 髪3差分 if %ld_pass_number = PIE_Hair3B19 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B19": return ;ピースB19 髪3差分 ;------------------------------------------------------ if %ld_pass_number = PIE_Hair3B1a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B1a": return ;ピースB1 髪3差分汗 if %ld_pass_number = PIE_Hair3B2a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B2a": return ;ピースB2 髪3差分汗 if %ld_pass_number = PIE_Hair3B3a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B3a": return ;ピースB3 髪3差分汗 if %ld_pass_number = PIE_Hair3B4a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B4a": return ;ピースB4 髪3差分汗 if %ld_pass_number = PIE_Hair3B5a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B5a": return ;ピースB5 髪3差分汗 if %ld_pass_number = PIE_Hair3B6a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B6a": return ;ピースB6 髪3差分汗 if %ld_pass_number = PIE_Hair3B7a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B7a": return ;ピースB7 髪3差分汗 if %ld_pass_number = PIE_Hair3B8a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B8a": return ;ピースB8 髪3差分汗 if %ld_pass_number = PIE_Hair3B9a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B9a": return ;ピースB9 髪3差分汗 if %ld_pass_number = PIE_Hair3B10a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B10a": return ;ピースB10 髪3差分汗 if %ld_pass_number = PIE_Hair3B11a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B11a": return ;ピースB11 髪3差分汗 if %ld_pass_number = PIE_Hair3B12a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B12a": return ;ピースB12 髪3差分汗 if %ld_pass_number = PIE_Hair3B13a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B13a": return ;ピースB13 髪3差分汗 if %ld_pass_number = PIE_Hair3B14a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B14a": return ;ピースB14 髪3差分汗 if %ld_pass_number = PIE_Hair3B15a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B15a": return ;ピースB15 髪3差分汗 if %ld_pass_number = PIE_Hair3B16a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B16a": return ;ピースB16 髪3差分汗 if %ld_pass_number = PIE_Hair3B17a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B17a": return ;ピースB17 髪3差分汗 if %ld_pass_number = PIE_Hair3B18a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B18a": return ;ピースB18 髪3差分汗 if %ld_pass_number = PIE_Hair3B19a mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3B19a": return ;ピースB19 髪3差分汗 ;====================================================== if %ld_pass_number = PIE_Hair1 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair1": return ;ピース髪演出 if %ld_pass_number = PIE_Hair2 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair2": return ;ピース髪演出 if %ld_pass_number = PIE_Hair3 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair3": return ;ピース髪演出 if %ld_pass_number = PIE_Hair4 mov $ld_pass,"bmp\TATI\PIE\1\PIE_Hair4": return ;ピース髪演出 goto *err_textbox2 return ;ウェルギリアス *WEL_Isyou1 if %ld_pass_number = WEL_Def1 mov $ld_pass,"bmp\TATI\WEL\1\WEL_Def1": return ;ウェルギリアス if %ld_pass_number = WEL_Def2 mov $ld_pass,"bmp\TATI\WEL\1\WEL_Def2": return ;ウェルギリアス if %ld_pass_number = WEL_Def3 mov $ld_pass,"bmp\TATI\WEL\1\WEL_Def3": return ;ウェルギリアス if %ld_pass_number = WEL_Def4 mov $ld_pass,"bmp\TATI\WEL\1\WEL_Def4": return ;ウェルギリアス if %ld_pass_number = WEL_Def5 mov $ld_pass,"bmp\TATI\WEL\1\WEL_Def5": return ;ウェルギリアス if %ld_pass_number = WEL_Def6 mov $ld_pass,"bmp\TATI\WEL\1\WEL_Def6": return ;ウェルギリアス goto *err_textbox2 return ;********************************************************** ;第二衣装 ;********************************************************** ;■譲治私服 *GEO_Isyou2 if %ld_pass_number = GEO_DefA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_DefA1" : return ;譲治立ち絵デフォ if %ld_pass_number = GEO_WaraiA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_WaraiA1" : return ;笑い if %ld_pass_number = GEO_KomaruA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA1" : return ;困る if %ld_pass_number = GEO_MajimeA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA1" : return ;真面目 if %ld_pass_number = GEO_AkuwaraiA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_AkuwaraiA1" : return ;悪笑い if %ld_pass_number = GEO_AkuwaraiA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_AkuwaraiA1k" : return ;悪笑い if %ld_pass_number = GEO_DefA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_DefA1k" : return ;デフォ(曇り眼鏡 if %ld_pass_number = GEO_NakuA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_NakuA1" : return ;泣く if %ld_pass_number = GEO_NakuA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_NakuA1k" : return ;泣く(曇り眼鏡 if %ld_pass_number = GEO_NakuA2 mov $ld_pass,"bmp\TATI\GEO\2\GEO_NakuA2" : return ;泣く2 if %ld_pass_number = GEO_NakuA2k mov $ld_pass,"bmp\TATI\GEO\2\GEO_NakuA2k" : return ;泣く2(曇り眼鏡 if %ld_pass_number = GEO_HohoemiA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_HohoemiA1" : return ;微笑み if %ld_pass_number = GEO_HohoemiA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_HohoemiA1k" : return ;微笑み(曇り眼鏡 if %ld_pass_number = GEO_KomaruA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA1k" : return ;困る(曇り眼鏡 if %ld_pass_number = GEO_WaraiA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_WaraiA1k" : return ;笑い(曇り眼鏡 if %ld_pass_number = GEO_WaraiA2 mov $ld_pass,"bmp\TATI\GEO\2\GEO_WaraiA2" : return ;笑い if %ld_pass_number = GEO_WaraiA2k mov $ld_pass,"bmp\TATI\GEO\2\GEO_WaraiA2k" : return ;笑い(曇り眼鏡 if %ld_pass_number = GEO_MajimeA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA1k" : return ;真面目(曇り眼鏡 if %ld_pass_number = GEO_MajimeA2 mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA2" : return ;真面目2 if %ld_pass_number = GEO_MajimeA2k mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA2k" : return ;真面目2(曇り眼鏡 if %ld_pass_number = GEO_MajimeA3 mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA3" : return ;真面目3 if %ld_pass_number = GEO_MajimeA3k mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA3k" : return ;真面目3(曇り眼鏡 if %ld_pass_number = GEO_MajimeA4 mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA4" : return ;真面目4 if %ld_pass_number = GEO_MajimeA4k mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA4k" : return ;真面目4(曇り眼鏡 if %ld_pass_number = GEO_MajimeA5 mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA5" : return ;真面目5 if %ld_pass_number = GEO_MajimeA5k mov $ld_pass,"bmp\TATI\GEO\2\GEO_MajimeA5k" : return ;真面目5(曇り眼鏡 if %ld_pass_number = GEO_KomaruA2 mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA2" : return if %ld_pass_number = GEO_KomaruA2k mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA2k" : return if %ld_pass_number = GEO_KomaruA3 mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA3" : return if %ld_pass_number = GEO_KomaruA3k mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA3k" : return if %ld_pass_number = GEO_KomaruA4 mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA4" : return if %ld_pass_number = GEO_KomaruA4k mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA4k" : return if %ld_pass_number = GEO_KomaruA5 mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA5" : return if %ld_pass_number = GEO_KomaruA5k mov $ld_pass,"bmp\TATI\GEO\2\GEO_KomaruA5k" : return if %ld_pass_number = GEO_IkariA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA1" : return if %ld_pass_number = GEO_IkariA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA1k" : return if %ld_pass_number = GEO_IkariA2 mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA2" : return if %ld_pass_number = GEO_IkariA2k mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA2k" : return if %ld_pass_number = GEO_IkariA3 mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA3" : return if %ld_pass_number = GEO_IkariA3k mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA3k" : return if %ld_pass_number = GEO_IkariA4 mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA4" : return if %ld_pass_number = GEO_IkariA4k mov $ld_pass,"bmp\TATI\GEO\2\GEO_IkariA4k" : return if %ld_pass_number = GEO_NiramuA1 mov $ld_pass,"bmp\TATI\GEO\2\GEO_NiramuA1" : return if %ld_pass_number = GEO_NiramuA1k mov $ld_pass,"bmp\TATI\GEO\2\GEO_NiramuA1k" : return goto *err_textbox2 return *MAR_Isyou2 ;魔女マリア if %ld_pass_number = MAR_DefA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_DefA1": return ;真里亞立ち絵デフォ if %ld_pass_number = MAR_DefA1k mov $ld_pass,"bmp\TATI\MAR\2\MAR_DefA1k": return ;デフォ影 if %ld_pass_number = MAR_WaraiA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_WaraiA1": return ;笑い if %ld_pass_number = MAR_AkuwaraiA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_AkuwaraiA1": return ;悪笑い if %ld_pass_number = MAR_IkariA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_IkariA1": return ;怒り if %ld_pass_number = MAR_IkariA2 mov $ld_pass,"bmp\TATI\MAR\2\MAR_IkariA2": return ;怒り if %ld_pass_number = MAR_IkariA3 mov $ld_pass,"bmp\TATI\MAR\2\MAR_IkariA3": return ;怒り if %ld_pass_number = MAR_KomaruA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_KomaruA1": return ;困る if %ld_pass_number = MAR_OdorokiA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_OdorokiA1": return ;驚き if %ld_pass_number = MAR_MajimeA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_MajimeA1": return ;真面目 if %ld_pass_number = MAR_NakuA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_NakuA1": return ;泣く if %ld_pass_number = MAR_AkuwaraiA2 mov $ld_pass,"bmp\TATI\MAR\2\MAR_AkuwaraiA2": return ;悪笑い2 if %ld_pass_number = MAR_AkuwaraiA3 mov $ld_pass,"bmp\TATI\MAR\2\MAR_AkuwaraiA3": return ;悪笑い3 if %ld_pass_number = MAR_WaraiA2 mov $ld_pass,"bmp\TATI\MAR\2\MAR_WaraiA2": return ;笑い2 if %ld_pass_number = MAR_NiyariA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_niyariA1": return ;ニヤリ if %ld_pass_number = MAR_NiyariA2 mov $ld_pass,"bmp\TATI\MAR\2\MAR_niyariA2": return ;ニヤリ2 if %ld_pass_number = MAR_fukigenA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_fukigenA1": return ;不機嫌 if %ld_pass_number = MAR_fukigenA2 mov $ld_pass,"bmp\TATI\MAR\2\MAR_fukigenA2": return ;不機嫌2 if %ld_pass_number = MAR_SakebuA1 mov $ld_pass,"bmp\TATI\MAR\2\MAR_SakebuA1": return ;叫ぶ goto *err_textbox2 return ;■紗音私服 *SHA_Isyou2 if %ld_pass_number = SHA_DefA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_DefA1": return ;紗音立ち絵デフォ if %ld_pass_number = SHA_WaraiA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_WaraiA1": return ;笑い if %ld_pass_number = SHA_KomaruA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_KomaruA1": return ;困る if %ld_pass_number = SHA_OdorokiA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_OdorokiA1": return ;驚き if %ld_pass_number = SHA_MajimeA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_MajimeA1": return ;真面目 if %ld_pass_number = SHA_HajiraiA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_HajiraiA1": return ;恥らい if %ld_pass_number = SHA_WaraiA2 mov $ld_pass,"bmp\TATI\SHA\2\SHA_WaraiA2": return ;笑い2 if %ld_pass_number = SHA_WaraiA3 mov $ld_pass,"bmp\TATI\SHA\2\SHA_WaraiA3": return ;笑い3 if %ld_pass_number = SHA_MajimeA2 mov $ld_pass,"bmp\TATI\SHA\2\SHA_MajimeA2": return ;真面目2 if %ld_pass_number = SHA_HajiraiA2 mov $ld_pass,"bmp\TATI\SHA\2\SHA_HajiraiA2": return ;恥らい2 if %ld_pass_number = SHA_HajiraiA3 mov $ld_pass,"bmp\TATI\SHA\2\SHA_HajiraiA3": return ;恥らい3 if %ld_pass_number = SHA_fumanA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_fumanA1": return ;不満 if %ld_pass_number = SHA_tokuiA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_tokuiA1": return ;得意 if %ld_pass_number = SHA_OdorokiA2 mov $ld_pass,"bmp\TATI\SHA\2\SHA_OdorokiA2": return ;驚き2 if %ld_pass_number = SHA_MajimeA3 mov $ld_pass,"bmp\TATI\SHA\2\SHA_MajimeA3" : return if %ld_pass_number = SHA_IkariA1 mov $ld_pass,"bmp\TATI\SHA\2\SHA_IkariA1" : return if %ld_pass_number = SHA_IkariA2 mov $ld_pass,"bmp\TATI\SHA\2\SHA_IkariA2" : return goto *err_textbox2 return ;嘉音私服 *KAN_Isyou2 if %ld_pass_number = KAN_DefA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_DefA1": return ;嘉音立ち絵デフォ if %ld_pass_number = KAN_DefA2 mov $ld_pass,"bmp\TATI\KAN\2\KAN_DefA2" : return if %ld_pass_number = KAN_IkariA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_IkariA1": return ;怒り if %ld_pass_number = KAN_KomaruA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_KomaruA1": return ;困る if %ld_pass_number = KAN_OdorokiA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_OdorokiA1": return ;驚き if %ld_pass_number = KAN_MajimeA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_MajimeA1": return ;真面目 if %ld_pass_number = KAN_OdorokiA2 mov $ld_pass,"bmp\TATI\KAN\2\KAN_OdorokiA2": return ;驚き2 if %ld_pass_number = KAN_NayamuA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_NayamuA1": return ;悩む if %ld_pass_number = KAN_FumanA1 mov $ld_pass,"bmp\TATI\KAN\2\KAN_FumanA1" : return if %ld_pass_number = KAN_FumanA2 mov $ld_pass,"bmp\TATI\KAN\2\KAN_FumanA2" : return if %ld_pass_number = KAN_IkariA2 mov $ld_pass,"bmp\TATI\KAN\2\KAN_IkariA2": return ;怒り if %ld_pass_number = KAN_IkariA3 mov $ld_pass,"bmp\TATI\KAN\2\KAN_IkariA3": return ;怒り goto *err_textbox2 return *BEA_Isyou2 if %ld_pass_number = BEA_DefA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_DefA1": return ;BEA立ち絵デフォ if %ld_pass_number = BEA_DefA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_DefA2" : return if %ld_pass_number = BEA_DefA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_DefA3" : return if %ld_pass_number = BEA_WaraiA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA1": return ;笑い if %ld_pass_number = BEA_WaraiA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA2": return ;笑い2 if %ld_pass_number = BEA_WaraiA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA3": return ;笑い3 if %ld_pass_number = BEA_WaraiA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA4": return ; if %ld_pass_number = BEA_WaraiA5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA5": return ; if %ld_pass_number = BEA_WaraiA6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA6": return ; if %ld_pass_number = BEA_WaraiA7 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA7": return ; if %ld_pass_number = BEA_WaraiA8 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA8": return ; if %ld_pass_number = BEA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BEA_futekiA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_futekiA1": return ;笑い2 if %ld_pass_number = BEA_futekiA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_futekiA2": return ;不敵2 if %ld_pass_number = BEA_OdorokiA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA1": return ;驚き if %ld_pass_number = BEA_OdorokiA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA2": return ;驚き2 if %ld_pass_number = BEA_OdorokiA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA3": return ;驚き3 if %ld_pass_number = BEA_OdorokiA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA4": return ;驚き4 if %ld_pass_number = BEA_OdorokiA5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA5": return ;驚き5 if %ld_pass_number = BEA_FukigenA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FukigenA1": return ;不機嫌1 if %ld_pass_number = BEA_FukigenA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FukigenA2": return ;不機嫌2 if %ld_pass_number = BEA_FukigenA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FukigenA3": return ;不機嫌3 if %ld_pass_number = BEA_NayamuA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA1": return ;悩む if %ld_pass_number = BEA_NayamuA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA2": return ;悩む2 if %ld_pass_number = BEA_NayamuA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA3": return ;悩む3 if %ld_pass_number = BEA_NayamuA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA4": return ;悩む4 if %ld_pass_number = BEA_AseruA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA1": return ;焦る if %ld_pass_number = BEA_AseruA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA2": return ;焦る if %ld_pass_number = BEA_AseruA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA3": return ;焦る if %ld_pass_number = BEA_AseruA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA4": return ;焦る if %ld_pass_number = BEA_KomaruA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA1": return ;困る if %ld_pass_number = BEA_KomaruA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA2": return ;困る2 if %ld_pass_number = BEA_KomaruA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA3": return ; if %ld_pass_number = BEA_KomaruA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA4": return ; if %ld_pass_number = BEA_IiwakeA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IiwakeA1": return ;いい訳 if %ld_pass_number = BEA_IiwakeA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IiwakeA2": return ;いい訳2 if %ld_pass_number = BEA_IiwakeA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IiwakeA3": return ; if %ld_pass_number = BEA_HanbesoA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA1": return ;半ベソ if %ld_pass_number = BEA_HanbesoA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BEA_HanbesoA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BEA_HanbesoA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BEA_HanbesoA5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BEA_HanbesoA6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BEA_GamanA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA1": return ; if %ld_pass_number = BEA_GamanA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA2": return ; if %ld_pass_number = BEA_GamanA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA3": return ; if %ld_pass_number = BEA_GamanA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA4": return ; if %ld_pass_number = BEA_GamanA5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA5": return ; if %ld_pass_number = BEA_GamanA6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA6": return ; if %ld_pass_number = BEA_GamanA7 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA7": return ; if %ld_pass_number = BEA_MajimeA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA1": return ; if %ld_pass_number = BEA_MajimeA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA2": return ; if %ld_pass_number = BEA_MajimeA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA3": return ; if %ld_pass_number = BEA_MajimeA4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA4": return ; if %ld_pass_number = BEA_MajimeA5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA5": return ; if %ld_pass_number = BEA_MajimeA6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA6": return ; if %ld_pass_number = BEA_MajimeA7 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA7": return ; if %ld_pass_number = BEA_FumanA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FumanA1": return ; if %ld_pass_number = BEA_FumanA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FumanA2": return ; if %ld_pass_number = BEA_FumanA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FumanA3": return ; if %ld_pass_number = BEA_NakuA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NakuA1": return ; if %ld_pass_number = BEA_NakuA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NakuA2": return ; if %ld_pass_number = BEA_NakuA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NakuA3": return ; if %ld_pass_number = BEA_IkariA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IkariA1": return ; if %ld_pass_number = BEA_IkariA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IkariA2": return ; if %ld_pass_number = BEA_HousinA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HousinA1": return ; if %ld_pass_number = BEA_HousinA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HousinA2": return ; if %ld_pass_number = BEA_HousinA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HousinA3": return ; if %ld_pass_number = BEA_ArigatouA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_ArigatouA1": return ; if %ld_pass_number = BEA_HajiraiA1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HajiraiA1": return ; if %ld_pass_number = BEA_HajiraiA2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HajiraiA2": return ; if %ld_pass_number = BEA_HajiraiA3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HajiraiA3": return ; if %ld_pass_number = BEA_DefB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_DefA1": return ;BEA立ち絵デフォ if %ld_pass_number = BEA_DefB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_DefA2" : return if %ld_pass_number = BEA_DefB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_DefA3" : return if %ld_pass_number = BEA_WaraiB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA1": return ;笑い if %ld_pass_number = BEA_WaraiB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA2": return ;笑い2 if %ld_pass_number = BEA_WaraiB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA3": return ;笑い3 if %ld_pass_number = BEA_WaraiB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA4": return ; if %ld_pass_number = BEA_WaraiB5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA5": return ; if %ld_pass_number = BEA_WaraiB6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA6": return ; if %ld_pass_number = BEA_WaraiB7 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA7": return ; if %ld_pass_number = BEA_WaraiB8 mov $ld_pass,"bmp\TATI\BEA\2\BEA_WaraiA8": return ; if %ld_pass_number = BEA_AkuwaraiB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BEA_futekiB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_futekiA1": return ;笑い2 if %ld_pass_number = BEA_futekiB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_futekiA2": return ;不敵2 if %ld_pass_number = BEA_OdorokiB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA1": return ;驚き if %ld_pass_number = BEA_OdorokiB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA2": return ;驚き2 if %ld_pass_number = BEA_OdorokiB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA3": return ;驚き2 if %ld_pass_number = BEA_OdorokiB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA4": return ;驚き4 if %ld_pass_number = BEA_OdorokiB5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_OdorokiA5": return ;驚き5 if %ld_pass_number = BEA_FukigenB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FukigenA1": return ;不機嫌1 if %ld_pass_number = BEA_FukigenB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FukigenA2": return ;不機嫌2 if %ld_pass_number = BEA_FukigenB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FukigenA3": return ;不機嫌3 if %ld_pass_number = BEA_NayamuB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA1": return ;悩む if %ld_pass_number = BEA_NayamuB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA2": return ;悩む2 if %ld_pass_number = BEA_NayamuB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA3": return ;悩む3 if %ld_pass_number = BEA_NayamuB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NayamuA4": return ;悩む4 if %ld_pass_number = BEA_AseruB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA1": return ;焦る if %ld_pass_number = BEA_AseruB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA2": return ;焦る if %ld_pass_number = BEA_AseruB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA3": return ;焦る if %ld_pass_number = BEA_AseruB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_AseruA4": return ;焦る if %ld_pass_number = BEA_KomaruB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA1": return ;困る if %ld_pass_number = BEA_KomaruB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA2": return ;困る2 if %ld_pass_number = BEA_KomaruB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA3": return ; if %ld_pass_number = BEA_KomaruB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_KomaruA4": return ; if %ld_pass_number = BEA_IiwakeB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IiwakeA1": return ;いい訳 if %ld_pass_number = BEA_IiwakeB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IiwakeA2": return ;いい訳2 if %ld_pass_number = BEA_IiwakeB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IiwakeA3": return ; if %ld_pass_number = BEA_HanbesoB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA1": return ;半ベソ if %ld_pass_number = BEA_HanbesoB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BEA_HanbesoB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BEA_HanbesoB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BEA_HanbesoB5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BEA_HanbesoB6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BEA_GamanB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA1": return ; if %ld_pass_number = BEA_GamanB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA2": return ; if %ld_pass_number = BEA_GamanB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA3": return ; if %ld_pass_number = BEA_GamanB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA4": return ; if %ld_pass_number = BEA_GamanB5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA5": return ; if %ld_pass_number = BEA_GamanB6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA6": return ; if %ld_pass_number = BEA_GamanB7 mov $ld_pass,"bmp\TATI\BEA\2\BEA_GamanA7": return ; if %ld_pass_number = BEA_MajimeB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA1": return ; if %ld_pass_number = BEA_MajimeB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA2": return ; if %ld_pass_number = BEA_MajimeB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA3": return ; if %ld_pass_number = BEA_MajimeB4 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA4": return ; if %ld_pass_number = BEA_MajimeB5 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA5": return ; if %ld_pass_number = BEA_MajimeB6 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA6": return ; if %ld_pass_number = BEA_MajimeB7 mov $ld_pass,"bmp\TATI\BEA\2\BEA_MajimeA7": return ; if %ld_pass_number = BEA_FumanB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FumanA1": return ; if %ld_pass_number = BEA_FumanB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FumanA2": return ; if %ld_pass_number = BEA_FumanB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_FumanA3": return ; if %ld_pass_number = BEA_NakuB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NakuA1": return ; if %ld_pass_number = BEA_NakuB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NakuA2": return ; if %ld_pass_number = BEA_NakuB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_NakuA3": return ; if %ld_pass_number = BEA_IkariB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IkariA1": return ; if %ld_pass_number = BEA_IkariB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_IkariA2": return ; if %ld_pass_number = BEA_HousinB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HousinA1": return ; if %ld_pass_number = BEA_HousinB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HousinA2": return ; if %ld_pass_number = BEA_HousinB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HousinA3": return ; if %ld_pass_number = BEA_ArigatouB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_ArigatouA1": return ; if %ld_pass_number = BEA_HajiraiB1 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HajiraiA1": return ; if %ld_pass_number = BEA_HajiraiB2 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HajiraiA2": return ; if %ld_pass_number = BEA_HajiraiB3 mov $ld_pass,"bmp\TATI\BEA\2\BEA_HajiraiA3": return ; goto *err_textbox2 return *BE2_Isyou2 if %ld_pass_number = BE2_DefA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_DefA1": return ;BEA立ち絵デフォ(髪降ろし if %ld_pass_number = BE2_DefA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_DefA2" : return if %ld_pass_number = BE2_DefA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_DefA3" : return if %ld_pass_number = BE2_WaraiA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA1": return ;笑い if %ld_pass_number = BE2_WaraiA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA2": return ;笑い2 if %ld_pass_number = BE2_WaraiA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA3": return ;笑い3 if %ld_pass_number = BE2_WaraiA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA4": return ; if %ld_pass_number = BE2_WaraiA5 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA5": return ; if %ld_pass_number = BE2_WaraiA6 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA6": return ; if %ld_pass_number = BE2_WaraiA7 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA7": return ; if %ld_pass_number = BE2_WaraiA8 mov $ld_pass,"bmp\TATI\BE2\2\BE2_WaraiA8": return ; if %ld_pass_number = BE2_AkuwaraiA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BE2_AkuwaraiA5 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BE2_futekiA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_futekiA1": return ;笑い2 if %ld_pass_number = BE2_futekiA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_futekiA2": return ;不敵2 if %ld_pass_number = BE2_OdorokiA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_OdorokiA1": return ;驚き if %ld_pass_number = BE2_OdorokiA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_OdorokiA2": return ;驚き2 if %ld_pass_number = BE2_OdorokiA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_OdorokiA3": return ;驚き3 if %ld_pass_number = BE2_OdorokiA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_OdorokiA4": return ;驚き4 if %ld_pass_number = BE2_OdorokiA5 mov $ld_pass,"bmp\TATI\BE2\2\BE2_OdorokiA5": return ;驚き5 if %ld_pass_number = BE2_FukigenA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FukigenA1": return ;不機嫌1 if %ld_pass_number = BE2_FukigenA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FukigenA2": return ;不機嫌2 if %ld_pass_number = BE2_FukigenA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FukigenA3": return ;不機嫌3 if %ld_pass_number = BE2_NayamuA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NayamuA1": return ;悩む if %ld_pass_number = BE2_NayamuA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NayamuA2": return ;悩む2 if %ld_pass_number = BE2_NayamuA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NayamuA3": return ;悩む2 if %ld_pass_number = BE2_NayamuA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NayamuA4": return ;悩む2 if %ld_pass_number = BE2_AseruA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AseruA1": return ;焦る if %ld_pass_number = BE2_AseruA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AseruA2": return ;焦る if %ld_pass_number = BE2_AseruA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AseruA3": return ;焦る if %ld_pass_number = BE2_AseruA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_AseruA4": return ;焦る if %ld_pass_number = BE2_KomaruA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_KomaruA1": return ;困る if %ld_pass_number = BE2_KomaruA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_KomaruA2": return ;困る2 if %ld_pass_number = BE2_KomaruA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_KomaruA3": return ; if %ld_pass_number = BE2_KomaruA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_KomaruA4": return ; if %ld_pass_number = BE2_IiwakeA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_IiwakeA1": return ;いい訳 if %ld_pass_number = BE2_IiwakeA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_IiwakeA2": return ;いい訳2 if %ld_pass_number = BE2_IiwakeA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_IiwakeA3": return ; if %ld_pass_number = BE2_HanbesoA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA1": return ;半ベソ if %ld_pass_number = BE2_HanbesoA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BE2_HanbesoA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BE2_HanbesoA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BE2_HanbesoA5 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BE2_HanbesoA6 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BE2_GamanA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA1": return ; if %ld_pass_number = BE2_GamanA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA2": return ; if %ld_pass_number = BE2_GamanA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA3": return ; if %ld_pass_number = BE2_GamanA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA4": return ; if %ld_pass_number = BE2_GamanA5 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA5": return ; if %ld_pass_number = BE2_GamanA6 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA6": return ; if %ld_pass_number = BE2_GamanA7 mov $ld_pass,"bmp\TATI\BE2\2\BE2_GamanA7": return ; if %ld_pass_number = BE2_MajimeA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA1": return ; if %ld_pass_number = BE2_MajimeA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA2": return ; if %ld_pass_number = BE2_MajimeA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA3": return ; if %ld_pass_number = BE2_MajimeA4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA4": return ; if %ld_pass_number = BE2_MajimeA5 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA5": return ; if %ld_pass_number = BE2_MajimeA6 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA6": return ; if %ld_pass_number = BE2_MajimeA7 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA7": return ; if %ld_pass_number = BE2_FumanA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FumanA1": return ; if %ld_pass_number = BE2_FumanA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FumanA2": return ; if %ld_pass_number = BE2_FumanA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FumanA3": return ; if %ld_pass_number = BE2_NakuA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NakuA1": return ; if %ld_pass_number = BE2_NakuA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NakuA2": return ; if %ld_pass_number = BE2_NakuA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NakuA3": return ; if %ld_pass_number = BE2_IkariA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_IkariA1": return ; if %ld_pass_number = BE2_IkariA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_IkariA2": return ; if %ld_pass_number = BE2_HousinA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HousinA1": return ; if %ld_pass_number = BE2_HousinA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HousinA2": return ; if %ld_pass_number = BE2_HousinA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HousinA3": return ; if %ld_pass_number = BE2_ArigatouA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_ArigatouA1": return ; if %ld_pass_number = BE2_HajiraiA1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HajiraiA1": return ; if %ld_pass_number = BE2_HajiraiA2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HajiraiA2": return ; if %ld_pass_number = BE2_HajiraiA3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HajiraiA3": return ; if %ld_pass_number = BE2_DefB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_DefA1": return ;BEA立ち絵デフォ(髪降ろし if %ld_pass_number = BE2_futekiB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_futekiA1": return ;笑い2 if %ld_pass_number = BE2_futekiB2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_futekiA2": return ;不敵2 if %ld_pass_number = BE2_FukigenB3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FukigenA3": return ;不機嫌3 if %ld_pass_number = BE2_NayamuB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NayamuA1": return ;悩む if %ld_pass_number = BE2_KomaruB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_KomaruA1": return ;困る if %ld_pass_number = BE2_KomaruB4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_KomaruA4": return ; if %ld_pass_number = BE2_HanbesoB3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BE2_MajimeB4 mov $ld_pass,"bmp\TATI\BE2\2\BE2_MajimeA4": return ; if %ld_pass_number = BE2_FumanB3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_FumanA3": return ; if %ld_pass_number = BE2_NakuB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_NakuA1": return ; if %ld_pass_number = BE2_HousinB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HousinA1": return ; if %ld_pass_number = BE2_HousinB2 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HousinA2": return ; if %ld_pass_number = BE2_HousinB3 mov $ld_pass,"bmp\TATI\BE2\2\BE2_HousinA3": return ; if %ld_pass_number = BE2_ArigatouB1 mov $ld_pass,"bmp\TATI\BE2\2\BE2_ArigatouA1": return ; goto *err_textbox2 return *EV2_Isyou2 if %ld_pass_number = EV2_DefA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_DefA1": return ;魔女絵羽 if %ld_pass_number = EV2_WaraiA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_WaraiA1": return ; if %ld_pass_number = EV2_WaraiA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_WaraiA2": return ; if %ld_pass_number = EV2_HohoemiA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_HohoemiA1" : return if %ld_pass_number = EV2_HohoemiA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_HohoemiA2" : return if %ld_pass_number = EV2_TokuiA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_TokuiA1" : return if %ld_pass_number = EV2_AkuwaraiA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA1": return ; if %ld_pass_number = EV2_AkuwaraiA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA2": return ; if %ld_pass_number = EV2_AkuwaraiA3 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA3": return ; if %ld_pass_number = EV2_AkuwaraiA4 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA4": return ; if %ld_pass_number = EV2_AkuwaraiA5 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA5": return ; if %ld_pass_number = EV2_AkuwaraiA6 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA6": return ; if %ld_pass_number = EV2_AkuwaraiA7 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA7": return ; if %ld_pass_number = EV2_AkuwaraiA7k mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA7k": return ; if %ld_pass_number = EV2_AkuwaraiA8 mov $ld_pass,"bmp\TATI\EV2\2\EV2_AkuwaraiA8": return ; if %ld_pass_number = EV2_NiramuA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_NiramuA1": return ; if %ld_pass_number = EV2_NiramuA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_NiramuA2": return ; if %ld_pass_number = EV2_NiramuA3 mov $ld_pass,"bmp\TATI\EV2\2\EV2_NiramuA3": return ; if %ld_pass_number = EV2_KomaruA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_KomaruA1": return ; if %ld_pass_number = EV2_OdorokiA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_OdorokiA1": return ; if %ld_pass_number = EV2_OdorokiA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_OdorokiA2": return ; if %ld_pass_number = EV2_FukigenA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_FukigenA1": return ; if %ld_pass_number = EV2_FukigenA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_FukigenA2": return ; if %ld_pass_number = EV2_FukigenA3 mov $ld_pass,"bmp\TATI\EV2\2\EV2_FukigenA3": return ; if %ld_pass_number = EV2_IkariA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_IkariA1": return ; if %ld_pass_number = EV2_IkariA2 mov $ld_pass,"bmp\TATI\EV2\2\EV2_IkariA2": return ; if %ld_pass_number = EV2_KomaruA1 mov $ld_pass,"bmp\TATI\EV2\2\EV2_KomaruA1": return ; goto *err_textbox2 return *ENJ_Isyou2 if %ld_pass_number = ENJ_DefA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_DefA1": return ;縁寿 if %ld_pass_number = ENJ_DefA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_DefA2": return ;縁寿 if %ld_pass_number = ENJ_WaraiA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_WaraiA1": return ;縁寿 if %ld_pass_number = ENJ_WaraiA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_WaraiA2": return ;縁寿 if %ld_pass_number = ENJ_WaraiA3 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_WaraiA3": return ;縁寿 if %ld_pass_number = ENJ_FumanA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_FumanA1": return ;縁寿 if %ld_pass_number = ENJ_FumanA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_FumanA2": return ;縁寿 if %ld_pass_number = ENJ_MajimeA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_MajimeA1": return ;縁寿 if %ld_pass_number = ENJ_NayamuA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_NayamuA1": return ;縁寿 if %ld_pass_number = ENJ_KomaruA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_KomaruA1": return ;縁寿 if %ld_pass_number = ENJ_KomaruA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_KomaruA2": return ;縁寿 if %ld_pass_number = ENJ_KomaruA3 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_KomaruA3": return ;縁寿 if %ld_pass_number = ENJ_KomaruA4 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_KomaruA4": return ;縁寿 if %ld_pass_number = ENJ_KomaruA5 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_KomaruA5": return ;縁寿 if %ld_pass_number = ENJ_IkariA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_IkariA1": return ;縁寿 if %ld_pass_number = ENJ_IkariA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_IkariA2": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_HanbesoA1": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_HanbesoA2": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA3 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_HanbesoA3": return ;縁寿 if %ld_pass_number = ENJ_NakuA1 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_NakuA1": return ;縁寿 if %ld_pass_number = ENJ_NakuA2 mov $ld_pass,"bmp\TATI\ENJ\2\ENJ_NakuA2": return ;縁寿 goto *err_textbox2 return *DLA_Isyou2 if %ld_pass_number = DLA_DefA1 mov $ld_pass,"bmp\TATI\DLA\2\DLA_DefA1": return ;ドラノール if %ld_pass_number = DLA_DefA2 mov $ld_pass,"bmp\TATI\DLA\2\DLA_DefA2": return ;ドラノール if %ld_pass_number = DLA_DefA3 mov $ld_pass,"bmp\TATI\DLA\2\DLA_DefA3": return ;ドラノール if %ld_pass_number = DLA_MajimeA1 mov $ld_pass,"bmp\TATI\DLA\2\DLA_MajimeA1": return ;ドラノール if %ld_pass_number = DLA_MajimeA2 mov $ld_pass,"bmp\TATI\DLA\2\DLA_MajimeA2": return ;ドラノール if %ld_pass_number = DLA_MajimeA3 mov $ld_pass,"bmp\TATI\DLA\2\DLA_MajimeA3": return ;ドラノール if %ld_pass_number = DLA_WaraiA1 mov $ld_pass,"bmp\TATI\DLA\2\DLA_WaraiA1": return ;ドラノール if %ld_pass_number = DLA_WaraiA2 mov $ld_pass,"bmp\TATI\DLA\2\DLA_WaraiA2": return ;ドラノール if %ld_pass_number = DLA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\DLA\2\DLA_AkuwaraiA1": return ;ドラノール if %ld_pass_number = DLA_KomaruA1 mov $ld_pass,"bmp\TATI\DLA\2\DLA_KomaruA1": return ;ドラノール if %ld_pass_number = DLA_IkariA1 mov $ld_pass,"bmp\TATI\DLA\2\DLA_IkariA1": return ;ドラノール if %ld_pass_number = DLA_DefA2b mov $ld_pass,"bmp\TATI\DLA\2\DLA_DefA2b": return ;ドラノール if %ld_pass_number = DLA_DefA3b mov $ld_pass,"bmp\TATI\DLA\2\DLA_DefA3b": return ;ドラノール if %ld_pass_number = DLA_MajimeA1b mov $ld_pass,"bmp\TATI\DLA\2\DLA_MajimeA1b": return ;ドラノール if %ld_pass_number = DLA_MajimeA2b mov $ld_pass,"bmp\TATI\DLA\2\DLA_MajimeA2b": return ;ドラノール if %ld_pass_number = DLA_MajimeA3b mov $ld_pass,"bmp\TATI\DLA\2\DLA_MajimeA3b": return ;ドラノール if %ld_pass_number = DLA_WaraiA1b mov $ld_pass,"bmp\TATI\DLA\2\DLA_WaraiA1b": return ;ドラノール if %ld_pass_number = DLA_WaraiA2b mov $ld_pass,"bmp\TATI\DLA\2\DLA_WaraiA2b": return ;ドラノール if %ld_pass_number = DLA_AkuwaraiA1b mov $ld_pass,"bmp\TATI\DLA\2\DLA_AkuwaraiA1b": return ;ドラノール if %ld_pass_number = DLA_IkariA1b mov $ld_pass,"bmp\TATI\DLA\2\DLA_IkariA1b": return ;ドラノール goto *err_textbox2 return *FEA_Isyou2 if %ld_pass_number = FEA_DefA1 mov $ld_pass,"bmp\TATI\FEA\2\FEA_DefA1": return ;フェザリーヌ if %ld_pass_number = FEA_DefA2 mov $ld_pass,"bmp\TATI\FEA\2\FEA_DefA2": return ;フェザリーヌ if %ld_pass_number = FEA_DefA3 mov $ld_pass,"bmp\TATI\FEA\2\FEA_DefA3": return ;フェザリーヌ if %ld_pass_number = FEA_DefA4 mov $ld_pass,"bmp\TATI\FEA\2\FEA_DefA4": return ;フェザリーヌ if %ld_pass_number = FEA_DefA5 mov $ld_pass,"bmp\TATI\FEA\2\FEA_DefA5": return ;フェザリーヌ if %ld_pass_number = FEA_WaraiA1 mov $ld_pass,"bmp\TATI\FEA\2\FEA_WaraiA1": return ;フェザリーヌ if %ld_pass_number = FEA_WaraiA2 mov $ld_pass,"bmp\TATI\FEA\2\FEA_WaraiA2": return ;フェザリーヌ if %ld_pass_number = FEA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\FEA\2\FEA_AkuwaraiA1": return ;フェザリーヌ if %ld_pass_number = FEA_NayamuA1 mov $ld_pass,"bmp\TATI\FEA\2\FEA_NayamuA1": return ;フェザリーヌ if %ld_pass_number = FEA_MajimeA1 mov $ld_pass,"bmp\TATI\FEA\2\FEA_MajimeA1": return ;フェザリーヌ goto *err_textbox2 return *BUT_Isyou2 if %ld_pass_number = BUT_DefA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_DefA1": return ;戦人立ち絵デフォ if %ld_pass_number = BUT_DefA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_DefA2" : return if %ld_pass_number = BUT_DefA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_DefA3" : return if %ld_pass_number = BUT_WaraiA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_WaraiA1": return ;笑い if %ld_pass_number = BUT_WaraiA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_WaraiA2": return ;笑い2 if %ld_pass_number = BUT_WaraiA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_WaraiA3": return ;笑い3 if %ld_pass_number = BUT_KomaruA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KomaruA1": return ;困る if %ld_pass_number = BUT_KomaruA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KomaruA2": return ;困る2 if %ld_pass_number = BUT_KomaruA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KomaruA3": return ;困る3 if %ld_pass_number = BUT_OdorokiA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OdorokiA1": return ;驚き if %ld_pass_number = BUT_OdorokiA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OdorokiA2": return ;驚き2 if %ld_pass_number = BUT_OdorokiA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OdorokiA3": return ;驚き3 if %ld_pass_number = BUT_MajimeA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA1": return ;真面目 if %ld_pass_number = BUT_MajimeA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA2" : return if %ld_pass_number = BUT_MajimeA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA3" : return if %ld_pass_number = BUT_MajimeA4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA4" : return if %ld_pass_number = BUT_MajimeA5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA5" : return if %ld_pass_number = BUT_MajimeA6 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA6" : return if %ld_pass_number = BUT_MajimeA6R mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA6R" : return if %ld_pass_number = BUT_MajimeA7 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA7" : return if %ld_pass_number = BUT_NakuA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NakuA1": return ;泣く if %ld_pass_number = BUT_NakuA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NakuA2" : return if %ld_pass_number = BUT_NakuA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NakuA3" : return if %ld_pass_number = BUT_OyaA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OyaA1": return ;おや? if %ld_pass_number = BUT_OyaA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OyaA2": return ;おや? if %ld_pass_number = BUT_KuyasigaruA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KuyasigaruA1": return ;悔しがる if %ld_pass_number = BUT_AseruA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA1": return ;焦る if %ld_pass_number = BUT_AseruA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA2": return ;焦る if %ld_pass_number = BUT_AseruA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA3": return ;焦る if %ld_pass_number = BUT_AseruA4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA4": return ;焦る if %ld_pass_number = BUT_AseruA5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA5": return ;焦る if %ld_pass_number = BUT_AseruA5R mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA5R": return ;焦る if %ld_pass_number = BUT_NayamuA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA1": return ;悩む if %ld_pass_number = BUT_NayamuA1R mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA1R": return ;悩む if %ld_pass_number = BUT_NayamuA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA2": return ;悩む2 if %ld_pass_number = BUT_NayamuA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA3" : return if %ld_pass_number = BUT_NayamuA4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA4" : return if %ld_pass_number = BUT_NayamuA4R mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA4R" : return if %ld_pass_number = BUT_NayamuA5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA5": return ;悩む2 if %ld_pass_number = BUT_NiramuA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA1" : return if %ld_pass_number = BUT_NiramuA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA2" : return if %ld_pass_number = BUT_NiramuA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA3" : return if %ld_pass_number = BUT_NiramuA4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA4" : return if %ld_pass_number = BUT_FutekiA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA1" : return if %ld_pass_number = BUT_FutekiA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA2" : return if %ld_pass_number = BUT_FutekiA3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA3" : return if %ld_pass_number = BUT_FutekiA4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA4" : return if %ld_pass_number = BUT_FutekiA5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA5" : return if %ld_pass_number = BUT_SakebuA1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_SakebuA1" : return if %ld_pass_number = BUT_SakebuA2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_SakebuA2" : return if %ld_pass_number = BUT_DefB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_DefA1": return ;戦人立ち絵デフォBポーズ if %ld_pass_number = BUT_DefB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_DefA2" : return if %ld_pass_number = BUT_DefB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_DefA3" : return if %ld_pass_number = BUT_WaraiB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_WaraiA1": return ;笑い if %ld_pass_number = BUT_WaraiB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_WaraiA2": return ;笑い2 if %ld_pass_number = BUT_WaraiB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_WaraiA3": return ;笑い2 if %ld_pass_number = BUT_KomaruB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KomaruA1": return ;困る if %ld_pass_number = BUT_KomaruB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KomaruA2": return ;困る2 if %ld_pass_number = BUT_KomaruB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KomaruA3": return ;困る3 if %ld_pass_number = BUT_OdorokiB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OdorokiA1": return ;驚き if %ld_pass_number = BUT_OdorokiB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OdorokiA2": return ;驚き2 if %ld_pass_number = BUT_OdorokiB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OdorokiA3": return ;驚き3 if %ld_pass_number = BUT_MajimeB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA1": return ;真面目 if %ld_pass_number = BUT_MajimeB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA2" : return if %ld_pass_number = BUT_MajimeB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA3" : return if %ld_pass_number = BUT_MajimeB4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA4" : return if %ld_pass_number = BUT_MajimeB5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA5" : return if %ld_pass_number = BUT_MajimeB6 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA6" : return if %ld_pass_number = BUT_MajimeB7 mov $ld_pass,"bmp\TATI\BUT\2\BUT_MajimeA7" : return if %ld_pass_number = BUT_NakuB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NakuA1": return ;泣く if %ld_pass_number = BUT_NakuB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NakuA2" : return if %ld_pass_number = BUT_NakuB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NakuA3" : return if %ld_pass_number = BUT_OyaB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OyaA1": return ;おや? if %ld_pass_number = BUT_OyaB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_OyaA2": return ;おや? if %ld_pass_number = BUT_KuyasigaruB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_KuyasigaruA1": return ;悔しがる if %ld_pass_number = BUT_AseruB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA1": return ;焦る if %ld_pass_number = BUT_AseruB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA2": return ;焦る if %ld_pass_number = BUT_AseruB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA3": return ;焦る if %ld_pass_number = BUT_AseruB4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA4": return ;焦る if %ld_pass_number = BUT_AseruB5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_AseruA5": return ;焦る if %ld_pass_number = BUT_NayamuB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA1": return ;悩む if %ld_pass_number = BUT_NayamuB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA2": return ;悩む2 if %ld_pass_number = BUT_NayamuB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA3" : return if %ld_pass_number = BUT_NayamuB4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA4" : return if %ld_pass_number = BUT_NayamuB5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NayamuA5" : return if %ld_pass_number = BUT_NiramuB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA1" : return if %ld_pass_number = BUT_NiramuB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA2" : return if %ld_pass_number = BUT_NiramuB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA3" : return if %ld_pass_number = BUT_NiramuB4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_NiramuA4" : return if %ld_pass_number = BUT_FutekiB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA1" : return if %ld_pass_number = BUT_FutekiB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA2" : return if %ld_pass_number = BUT_FutekiB3 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA3" : return if %ld_pass_number = BUT_FutekiB4 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA4" : return if %ld_pass_number = BUT_FutekiB5 mov $ld_pass,"bmp\TATI\BUT\2\BUT_FutekiA5" : return if %ld_pass_number = BUT_SakebuB1 mov $ld_pass,"bmp\TATI\BUT\2\BUT_SakebuA1" : return if %ld_pass_number = BUT_SakebuB2 mov $ld_pass,"bmp\TATI\BUT\2\BUT_SakebuA2" : return goto *err_textbox2 return *ERI_Isyou2 if %ld_pass_number = ERI_DefA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_DefA1": return ;古戸エリカ if %ld_pass_number = ERI_DefA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_DefA2": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_WaraiA1": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_WaraiA2": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA3 mov $ld_pass,"bmp\TATI\ERI\2\ERI_WaraiA3": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA4 mov $ld_pass,"bmp\TATI\ERI\2\ERI_WaraiA4": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA5 mov $ld_pass,"bmp\TATI\ERI\2\ERI_WaraiA5": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkuwaraiA1": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkuwaraiA2": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA3 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkuwaraiA3": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA4 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkuwaraiA4": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA5 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkuwaraiA5": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA6 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkuwaraiA6": return ;古戸エリカ if %ld_pass_number = ERI_AkireA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkireA1": return ;古戸エリカ if %ld_pass_number = ERI_AkireA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_AkireA2": return ;古戸エリカ if %ld_pass_number = ERI_OdorokiA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_OdorokiA1": return ;古戸エリカ if %ld_pass_number = ERI_OdorokiA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_OdorokiA2": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_NiramuA1": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA1a mov $ld_pass,"bmp\TATI\ERI\2\ERI_NiramuA1a": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_NiramuA2": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA2a mov $ld_pass,"bmp\TATI\ERI\2\ERI_NiramuA2a": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_MajimeA1": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA1a mov $ld_pass,"bmp\TATI\ERI\2\ERI_MajimeA1a": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_MajimeA2": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA3 mov $ld_pass,"bmp\TATI\ERI\2\ERI_MajimeA3": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA4 mov $ld_pass,"bmp\TATI\ERI\2\ERI_MajimeA4": return ;古戸エリカ if %ld_pass_number = ERI_GamanA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_GamanA1": return ;古戸エリカ if %ld_pass_number = ERI_GamanA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_GamanA2": return ;古戸エリカ if %ld_pass_number = ERI_HanbesoA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_HanbesoA1": return ;古戸エリカ if %ld_pass_number = ERI_HanbesoA2 mov $ld_pass,"bmp\TATI\ERI\2\ERI_HanbesoA2": return ;古戸エリカ if %ld_pass_number = ERI_KomaruA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_KomaruA1": return ;古戸エリカ if %ld_pass_number = ERI_KomaruA1a mov $ld_pass,"bmp\TATI\ERI\2\ERI_KomaruA1a": return ;古戸エリカ if %ld_pass_number = ERI_FutekiA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_FutekiA1": return ;古戸エリカ if %ld_pass_number = ERI_FutekiA1a mov $ld_pass,"bmp\TATI\ERI\2\ERI_FutekiA1a": return ;古戸エリカ if %ld_pass_number = ERI_IkariA1 mov $ld_pass,"bmp\TATI\ERI\2\ERI_IkariA1": return ;古戸エリカ if %ld_pass_number = ERI_IkariA1a mov $ld_pass,"bmp\TATI\ERI\2\ERI_IkariA1a": return ;古戸エリカ goto *err_textbox2 return *ERI_Isyou3 if %ld_pass_number = ERI_DefA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_DefA1": return ;古戸エリカ if %ld_pass_number = ERI_DefA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_DefA2": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_WaraiA1": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_WaraiA2": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA3 mov $ld_pass,"bmp\TATI\ERI\3\ERI_WaraiA3": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA4 mov $ld_pass,"bmp\TATI\ERI\3\ERI_WaraiA4": return ;古戸エリカ if %ld_pass_number = ERI_WaraiA5 mov $ld_pass,"bmp\TATI\ERI\3\ERI_WaraiA5": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkuwaraiA1": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkuwaraiA2": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA3 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkuwaraiA3": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA4 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkuwaraiA4": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA5 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkuwaraiA5": return ;古戸エリカ if %ld_pass_number = ERI_AkuwaraiA6 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkuwaraiA6": return ;古戸エリカ if %ld_pass_number = ERI_AkireA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkireA1": return ;古戸エリカ if %ld_pass_number = ERI_AkireA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_AkireA2": return ;古戸エリカ if %ld_pass_number = ERI_OdorokiA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_OdorokiA1": return ;古戸エリカ if %ld_pass_number = ERI_OdorokiA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_OdorokiA2": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_NiramuA1": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA1a mov $ld_pass,"bmp\TATI\ERI\3\ERI_NiramuA1a": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_NiramuA2": return ;古戸エリカ if %ld_pass_number = ERI_NiramuA2a mov $ld_pass,"bmp\TATI\ERI\3\ERI_NiramuA2a": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_MajimeA1": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA1a mov $ld_pass,"bmp\TATI\ERI\3\ERI_MajimeA1a": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_MajimeA2": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA3 mov $ld_pass,"bmp\TATI\ERI\3\ERI_MajimeA3": return ;古戸エリカ if %ld_pass_number = ERI_MajimeA4 mov $ld_pass,"bmp\TATI\ERI\3\ERI_MajimeA4": return ;古戸エリカ if %ld_pass_number = ERI_GamanA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_GamanA1": return ;古戸エリカ if %ld_pass_number = ERI_GamanA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_GamanA2": return ;古戸エリカ if %ld_pass_number = ERI_HanbesoA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_HanbesoA1": return ;古戸エリカ if %ld_pass_number = ERI_HanbesoA2 mov $ld_pass,"bmp\TATI\ERI\3\ERI_HanbesoA2": return ;古戸エリカ if %ld_pass_number = ERI_KomaruA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_KomaruA1": return ;古戸エリカ if %ld_pass_number = ERI_KomaruA1a mov $ld_pass,"bmp\TATI\ERI\3\ERI_KomaruA1a": return ;古戸エリカ if %ld_pass_number = ERI_FutekiA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_FutekiA1": return ;古戸エリカ if %ld_pass_number = ERI_FutekiA1a mov $ld_pass,"bmp\TATI\ERI\3\ERI_FutekiA1a": return ;古戸エリカ if %ld_pass_number = ERI_IkariA1 mov $ld_pass,"bmp\TATI\ERI\3\ERI_IkariA1": return ;古戸エリカ if %ld_pass_number = ERI_IkariA1a mov $ld_pass,"bmp\TATI\ERI\3\ERI_IkariA1a": return ;古戸エリカ goto *err_textbox2 return *RG1_Isyou2 if %ld_pass_number = RG1_DefA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_DefA1": return ;七姉妹 if %ld_pass_number = RG1_HohoemiA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_HohoemiA1" : return if %ld_pass_number = RG1_HohoemiA2 mov $ld_pass,"bmp\TATI\RG1\2\RG1_HohoemiA2" : return if %ld_pass_number = RG1_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_AkuwaraiA1" : return if %ld_pass_number = RG1_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG1\2\RG1_AkuwaraiA2" : return if %ld_pass_number = RG1_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG1\2\RG1_AkuwaraiA3" : return if %ld_pass_number = RG1_MajimeA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_MajimeA1" : return if %ld_pass_number = RG1_FumanA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_FumanA1" : return if %ld_pass_number = RG1_FumanA2 mov $ld_pass,"bmp\TATI\RG1\2\RG1_FumanA2" : return if %ld_pass_number = RG1_KomaruA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_KomaruA1": return ; if %ld_pass_number = RG1_KomaruA2 mov $ld_pass,"bmp\TATI\RG1\2\RG1_KomaruA2": return ; if %ld_pass_number = RG1_KomaruA3 mov $ld_pass,"bmp\TATI\RG1\2\RG1_KomaruA3": return ; if %ld_pass_number = RG1_OdorokiA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_OdorokiA1": return ; if %ld_pass_number = RG1_OdorokiA2 mov $ld_pass,"bmp\TATI\RG1\2\RG1_OdorokiA2": return ; if %ld_pass_number = RG1_OdorokiA3 mov $ld_pass,"bmp\TATI\RG1\2\RG1_OdorokiA3": return ; if %ld_pass_number = RG1_IkariA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_IkariA1": return ; if %ld_pass_number = RG1_IkariA2 mov $ld_pass,"bmp\TATI\RG1\2\RG1_IkariA2": return ; if %ld_pass_number = RG1_NakuA1 mov $ld_pass,"bmp\TATI\RG1\2\RG1_NakuA1": return ; goto *err_textbox2 return *RG2_Isyou2 if %ld_pass_number = RG2_DefA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_DefA1": return ;七姉妹 if %ld_pass_number = RG2_HohoemiA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_HohoemiA1" : return if %ld_pass_number = RG2_HohoemiA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_HohoemiA2" : return if %ld_pass_number = RG2_WaraiA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_WaraiA1" : return if %ld_pass_number = RG2_WaraiA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_WaraiA2" : return if %ld_pass_number = RG2_WaraiA3 mov $ld_pass,"bmp\TATI\RG2\2\RG2_WaraiA3" : return if %ld_pass_number = RG2_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_AkuwaraiA1" : return if %ld_pass_number = RG2_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_AkuwaraiA2" : return if %ld_pass_number = RG2_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG2\2\RG2_AkuwaraiA3" : return if %ld_pass_number = RG2_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG2\2\RG2_AkuwaraiA4" : return if %ld_pass_number = RG2_MajimeA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_MajimeA1" : return if %ld_pass_number = RG2_FumanA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_FumanA1" : return if %ld_pass_number = RG2_FumanA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_FumanA2" : return if %ld_pass_number = RG2_KomaruA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_KomaruA1": return ; if %ld_pass_number = RG2_KomaruA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_KomaruA2": return ; if %ld_pass_number = RG2_KomaruA3 mov $ld_pass,"bmp\TATI\RG2\2\RG2_KomaruA3": return ; if %ld_pass_number = RG2_OdorokiA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_OdorokiA1": return ; if %ld_pass_number = RG2_OdorokiA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_OdorokiA2": return ; if %ld_pass_number = RG2_OdorokiA3 mov $ld_pass,"bmp\TATI\RG2\2\RG2_OdorokiA3": return ; if %ld_pass_number = RG2_IkariA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_IkariA1": return ; if %ld_pass_number = RG2_IkariA2 mov $ld_pass,"bmp\TATI\RG2\2\RG2_IkariA2": return ; if %ld_pass_number = RG2_NakuA1 mov $ld_pass,"bmp\TATI\RG2\2\RG2_NakuA1": return ; goto *err_textbox2 return *RG3_Isyou2 if %ld_pass_number = RG3_DefA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_DefA1": return ;七姉妹 if %ld_pass_number = RG3_HohoemiA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_HohoemiA1" : return if %ld_pass_number = RG3_HohoemiA2 mov $ld_pass,"bmp\TATI\RG3\2\RG3_HohoemiA2" : return if %ld_pass_number = RG3_WaraiA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_WaraiA1" : return if %ld_pass_number = RG3_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_AkuwaraiA1" : return if %ld_pass_number = RG3_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG3\2\RG3_AkuwaraiA2" : return if %ld_pass_number = RG3_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG3\2\RG3_AkuwaraiA3" : return if %ld_pass_number = RG3_MajimeA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_MajimeA1" : return if %ld_pass_number = RG3_FumanA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_FumanA1" : return if %ld_pass_number = RG3_FumanA2 mov $ld_pass,"bmp\TATI\RG3\2\RG3_FumanA2" : return if %ld_pass_number = RG3_KomaruA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_KomaruA1": return ; if %ld_pass_number = RG3_OdorokiA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_OdorokiA1": return ; if %ld_pass_number = RG3_OdorokiA2 mov $ld_pass,"bmp\TATI\RG3\2\RG3_OdorokiA2": return ; if %ld_pass_number = RG3_OdorokiA3 mov $ld_pass,"bmp\TATI\RG3\2\RG3_OdorokiA3": return ; if %ld_pass_number = RG3_IkariA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_IkariA1": return ; if %ld_pass_number = RG3_IkariA2 mov $ld_pass,"bmp\TATI\RG3\2\RG3_IkariA2": return ; if %ld_pass_number = RG3_NakuA1 mov $ld_pass,"bmp\TATI\RG3\2\RG3_NakuA1": return ; goto *err_textbox2 return *RG4_Isyou2 if %ld_pass_number = RG4_DefA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_DefA1": return ;七姉妹 if %ld_pass_number = RG4_HohoemiA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_HohoemiA1" : return if %ld_pass_number = RG4_HohoemiA2 mov $ld_pass,"bmp\TATI\RG4\2\RG4_HohoemiA2" : return if %ld_pass_number = RG4_WaraiA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_WaraiA1" : return if %ld_pass_number = RG4_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_AkuwaraiA1" : return if %ld_pass_number = RG4_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG4\2\RG4_AkuwaraiA2" : return if %ld_pass_number = RG4_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG4\2\RG4_AkuwaraiA3" : return if %ld_pass_number = RG4_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG4\2\RG4_AkuwaraiA4" : return if %ld_pass_number = RG4_MajimeA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_MajimeA1" : return if %ld_pass_number = RG4_FumanA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_FumanA1" : return if %ld_pass_number = RG4_FumanA2 mov $ld_pass,"bmp\TATI\RG4\2\RG4_FumanA2" : return if %ld_pass_number = RG4_KomaruA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_KomaruA1": return ; if %ld_pass_number = RG4_KomaruA2 mov $ld_pass,"bmp\TATI\RG4\2\RG4_KomaruA2": return ; if %ld_pass_number = RG4_OdorokiA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_OdorokiA1": return ; if %ld_pass_number = RG4_OdorokiA2 mov $ld_pass,"bmp\TATI\RG4\2\RG4_OdorokiA2": return ; if %ld_pass_number = RG4_IkariA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_IkariA1": return ; if %ld_pass_number = RG4_NakuA1 mov $ld_pass,"bmp\TATI\RG4\2\RG4_NakuA1": return ; goto *err_textbox2 return *RG5_Isyou2 if %ld_pass_number = RG5_DefA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_DefA1": return ;七姉妹 if %ld_pass_number = RG5_HohoemiA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_HohoemiA1" : return if %ld_pass_number = RG5_HohoemiA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_HohoemiA2" : return if %ld_pass_number = RG5_WaraiA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_WaraiA1" : return if %ld_pass_number = RG5_WaraiA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_WaraiA2" : return if %ld_pass_number = RG5_WaraiA3 mov $ld_pass,"bmp\TATI\RG5\2\RG5_WaraiA3" : return if %ld_pass_number = RG5_WaraiA4 mov $ld_pass,"bmp\TATI\RG5\2\RG5_WaraiA4" : return if %ld_pass_number = RG5_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_AkuwaraiA1" : return if %ld_pass_number = RG5_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_AkuwaraiA2" : return if %ld_pass_number = RG5_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG5\2\RG5_AkuwaraiA3" : return if %ld_pass_number = RG5_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG5\2\RG5_AkuwaraiA4" : return if %ld_pass_number = RG5_MajimeA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_MajimeA1" : return if %ld_pass_number = RG5_FumanA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_FumanA1" : return if %ld_pass_number = RG5_FumanA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_FumanA2" : return if %ld_pass_number = RG5_KomaruA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_KomaruA1": return ; if %ld_pass_number = RG5_KomaruA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_KomaruA2": return ; if %ld_pass_number = RG5_KomaruA3 mov $ld_pass,"bmp\TATI\RG5\2\RG5_KomaruA3": return ; if %ld_pass_number = RG5_OdorokiA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_OdorokiA1": return ; if %ld_pass_number = RG5_OdorokiA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_OdorokiA2": return ; if %ld_pass_number = RG5_OdorokiA3 mov $ld_pass,"bmp\TATI\RG5\2\RG5_OdorokiA3": return ; if %ld_pass_number = RG5_IkariA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_IkariA1": return ; if %ld_pass_number = RG5_IkariA2 mov $ld_pass,"bmp\TATI\RG5\2\RG5_IkariA2": return ; if %ld_pass_number = RG5_NakuA1 mov $ld_pass,"bmp\TATI\RG5\2\RG5_NakuA1": return ; goto *err_textbox2 return *RG6_Isyou2 if %ld_pass_number = RG6_DefA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_DefA1": return ;七姉妹 if %ld_pass_number = RG6_HohoemiA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_HohoemiA1" : return if %ld_pass_number = RG6_HohoemiA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_HohoemiA2" : return if %ld_pass_number = RG6_WaraiA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_WaraiA1" : return if %ld_pass_number = RG6_WaraiA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_WaraiA2" : return if %ld_pass_number = RG6_WaraiA3 mov $ld_pass,"bmp\TATI\RG6\2\RG6_WaraiA3" : return if %ld_pass_number = RG6_WaraiA4 mov $ld_pass,"bmp\TATI\RG6\2\RG6_WaraiA4" : return if %ld_pass_number = RG6_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_AkuwaraiA1" : return if %ld_pass_number = RG6_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_AkuwaraiA2" : return if %ld_pass_number = RG6_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG6\2\RG6_AkuwaraiA3" : return if %ld_pass_number = RG6_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG6\2\RG6_AkuwaraiA4" : return if %ld_pass_number = RG6_MajimeA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_MajimeA1" : return if %ld_pass_number = RG6_FumanA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_FumanA1" : return if %ld_pass_number = RG6_FumanA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_FumanA2" : return if %ld_pass_number = RG6_KomaruA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_KomaruA1": return ; if %ld_pass_number = RG6_KomaruA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_KomaruA2": return ; if %ld_pass_number = RG6_KomaruA3 mov $ld_pass,"bmp\TATI\RG6\2\RG6_KomaruA3": return ; if %ld_pass_number = RG6_OdorokiA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_OdorokiA1": return ; if %ld_pass_number = RG6_OdorokiA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_OdorokiA2": return ; if %ld_pass_number = RG6_OdorokiA3 mov $ld_pass,"bmp\TATI\RG6\2\RG6_OdorokiA3": return ; if %ld_pass_number = RG6_IkariA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_IkariA1": return ; if %ld_pass_number = RG6_IkariA2 mov $ld_pass,"bmp\TATI\RG6\2\RG6_IkariA2": return ; if %ld_pass_number = RG6_NakuA1 mov $ld_pass,"bmp\TATI\RG6\2\RG6_NakuA1": return ; goto *err_textbox2 return *RG7_Isyou2 if %ld_pass_number = RG7_DefA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_DefA1": return ;七姉妹 if %ld_pass_number = RG7_HohoemiA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_HohoemiA1" : return if %ld_pass_number = RG7_HohoemiA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_HohoemiA2" : return if %ld_pass_number = RG7_WaraiA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_WaraiA1" : return if %ld_pass_number = RG7_WaraiA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_WaraiA2" : return if %ld_pass_number = RG7_WaraiA3 mov $ld_pass,"bmp\TATI\RG7\2\RG7_WaraiA3" : return if %ld_pass_number = RG7_WaraiA4 mov $ld_pass,"bmp\TATI\RG7\2\RG7_WaraiA4" : return if %ld_pass_number = RG7_AkuwaraiA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_AkuwaraiA1" : return if %ld_pass_number = RG7_AkuwaraiA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_AkuwaraiA2" : return if %ld_pass_number = RG7_AkuwaraiA3 mov $ld_pass,"bmp\TATI\RG7\2\RG7_AkuwaraiA3" : return if %ld_pass_number = RG7_AkuwaraiA4 mov $ld_pass,"bmp\TATI\RG7\2\RG7_AkuwaraiA4" : return if %ld_pass_number = RG7_MajimeA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_MajimeA1" : return if %ld_pass_number = RG7_FumanA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_FumanA1" : return if %ld_pass_number = RG7_FumanA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_FumanA2" : return if %ld_pass_number = RG7_KomaruA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_KomaruA1": return ; if %ld_pass_number = RG7_KomaruA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_KomaruA2": return ; if %ld_pass_number = RG7_KomaruA3 mov $ld_pass,"bmp\TATI\RG7\2\RG7_KomaruA3": return ; if %ld_pass_number = RG7_OdorokiA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_OdorokiA1": return ; if %ld_pass_number = RG7_OdorokiA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_OdorokiA2": return ; if %ld_pass_number = RG7_OdorokiA3 mov $ld_pass,"bmp\TATI\RG7\2\RG7_OdorokiA3": return ; if %ld_pass_number = RG7_IkariA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_IkariA1": return ; if %ld_pass_number = RG7_IkariA2 mov $ld_pass,"bmp\TATI\RG7\2\RG7_IkariA2": return ; if %ld_pass_number = RG7_NakuA1 mov $ld_pass,"bmp\TATI\RG7\2\RG7_NakuA1": return ; goto *err_textbox2 return ;******************************************************************** ;第三衣装 ;******************************************************************** *ENJ_Isyou3 if %ld_pass_number = ENJ_DefA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_DefA1": return ;縁寿 if %ld_pass_number = ENJ_DefA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_DefA2": return ;縁寿 if %ld_pass_number = ENJ_WaraiA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_WaraiA1": return ;縁寿 if %ld_pass_number = ENJ_WaraiA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_WaraiA2": return ;縁寿 if %ld_pass_number = ENJ_WaraiA3 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_WaraiA3": return ;縁寿 if %ld_pass_number = ENJ_FumanA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_FumanA1": return ;縁寿 if %ld_pass_number = ENJ_FumanA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_FumanA2": return ;縁寿 if %ld_pass_number = ENJ_MajimeA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_MajimeA1": return ;縁寿 if %ld_pass_number = ENJ_NayamuA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_NayamuA1": return ;縁寿 if %ld_pass_number = ENJ_KomaruA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_KomaruA1": return ;縁寿 if %ld_pass_number = ENJ_KomaruA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_KomaruA2": return ;縁寿 if %ld_pass_number = ENJ_KomaruA3 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_KomaruA3": return ;縁寿 if %ld_pass_number = ENJ_KomaruA4 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_KomaruA4": return ;縁寿 if %ld_pass_number = ENJ_KomaruA5 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_KomaruA5": return ;縁寿 if %ld_pass_number = ENJ_IkariA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_IkariA1": return ;縁寿 if %ld_pass_number = ENJ_IkariA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_IkariA2": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_HanbesoA1": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_HanbesoA2": return ;縁寿 if %ld_pass_number = ENJ_HanbesoA3 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_HanbesoA3": return ;縁寿 if %ld_pass_number = ENJ_NakuA1 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_NakuA1": return ;縁寿 if %ld_pass_number = ENJ_NakuA2 mov $ld_pass,"bmp\TATI\ENJ\3\ENJ_NakuA2": return ;縁寿 goto *err_textbox2 return *BEA_Isyou3 if %ld_pass_number = BEA_DefA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_DefA1": return ;BEA立ち絵デフォ if %ld_pass_number = BEA_DefA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_DefA2" : return if %ld_pass_number = BEA_DefA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_DefA3" : return if %ld_pass_number = BEA_WaraiA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA1": return ;笑い if %ld_pass_number = BEA_WaraiA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA2": return ;笑い2 if %ld_pass_number = BEA_WaraiA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA3": return ;笑い3 if %ld_pass_number = BEA_WaraiA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA4": return ; if %ld_pass_number = BEA_WaraiA5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA5": return ; if %ld_pass_number = BEA_WaraiA6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA6": return ; if %ld_pass_number = BEA_WaraiA7 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA7": return ; if %ld_pass_number = BEA_WaraiA8 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA8": return ; if %ld_pass_number = BEA_AkuwaraiA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiA5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BEA_futekiA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_futekiA1": return ;笑い2 if %ld_pass_number = BEA_futekiA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_futekiA2": return ;不敵2 if %ld_pass_number = BEA_OdorokiA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA1": return ;驚き if %ld_pass_number = BEA_OdorokiA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA2": return ;驚き2 if %ld_pass_number = BEA_OdorokiA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA3": return ;驚き3 if %ld_pass_number = BEA_OdorokiA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA4": return ;驚き4 if %ld_pass_number = BEA_OdorokiA5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA5": return ;驚き4 if %ld_pass_number = BEA_FukigenA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FukigenA1": return ;不機嫌1 if %ld_pass_number = BEA_FukigenA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FukigenA2": return ;不機嫌2 if %ld_pass_number = BEA_FukigenA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FukigenA3": return ;不機嫌3 if %ld_pass_number = BEA_NayamuA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA1": return ;悩む if %ld_pass_number = BEA_NayamuA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA2": return ;悩む2 if %ld_pass_number = BEA_NayamuA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA3": return ;悩む3 if %ld_pass_number = BEA_NayamuA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA4": return ;悩む4 if %ld_pass_number = BEA_AseruA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA1": return ;焦る if %ld_pass_number = BEA_AseruA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA2": return ;焦る if %ld_pass_number = BEA_AseruA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA3": return ;焦る if %ld_pass_number = BEA_AseruA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA4": return ;焦る if %ld_pass_number = BEA_KomaruA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA1": return ;困る if %ld_pass_number = BEA_KomaruA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA2": return ;困る2 if %ld_pass_number = BEA_KomaruA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA3": return ; if %ld_pass_number = BEA_KomaruA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA4": return ; if %ld_pass_number = BEA_IiwakeA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IiwakeA1": return ;いい訳 if %ld_pass_number = BEA_IiwakeA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IiwakeA2": return ;いい訳2 if %ld_pass_number = BEA_IiwakeA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IiwakeA3": return ; if %ld_pass_number = BEA_HanbesoA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA1": return ;半ベソ if %ld_pass_number = BEA_HanbesoA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BEA_HanbesoA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BEA_HanbesoA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BEA_HanbesoA5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BEA_HanbesoA6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BEA_GamanA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA1": return ; if %ld_pass_number = BEA_GamanA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA2": return ; if %ld_pass_number = BEA_GamanA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA3": return ; if %ld_pass_number = BEA_GamanA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA4": return ; if %ld_pass_number = BEA_GamanA5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA5": return ; if %ld_pass_number = BEA_GamanA6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA6": return ; if %ld_pass_number = BEA_GamanA7 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA7": return ; if %ld_pass_number = BEA_MajimeA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA1": return ; if %ld_pass_number = BEA_MajimeA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA2": return ; if %ld_pass_number = BEA_MajimeA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA3": return ; if %ld_pass_number = BEA_MajimeA4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA4": return ; if %ld_pass_number = BEA_MajimeA5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA5": return ; if %ld_pass_number = BEA_MajimeA6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA6": return ; if %ld_pass_number = BEA_MajimeA7 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA7": return ; if %ld_pass_number = BEA_FumanA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FumanA1": return ; if %ld_pass_number = BEA_FumanA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FumanA2": return ; if %ld_pass_number = BEA_FumanA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FumanA3": return ; if %ld_pass_number = BEA_NakuA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NakuA1": return ; if %ld_pass_number = BEA_NakuA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NakuA2": return ; if %ld_pass_number = BEA_NakuA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NakuA3": return ; if %ld_pass_number = BEA_IkariA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IkariA1": return ; if %ld_pass_number = BEA_IkariA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IkariA2": return ; if %ld_pass_number = BEA_HousinA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HousinA1": return ; if %ld_pass_number = BEA_HousinA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HousinA2": return ; if %ld_pass_number = BEA_HousinA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HousinA3": return ; if %ld_pass_number = BEA_ArigatouA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_ArigatouA1": return ; if %ld_pass_number = BEA_HajiraiA1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HajiraiA1": return ; if %ld_pass_number = BEA_HajiraiA2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HajiraiA2": return ; if %ld_pass_number = BEA_HajiraiA3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HajiraiA3": return ; if %ld_pass_number = BEA_DefB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_DefA1": return ;BEA立ち絵デフォ if %ld_pass_number = BEA_DefB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_DefA2" : return if %ld_pass_number = BEA_DefB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_DefA3" : return if %ld_pass_number = BEA_WaraiB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA1": return ;笑い if %ld_pass_number = BEA_WaraiB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA2": return ;笑い2 if %ld_pass_number = BEA_WaraiB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA3": return ;笑い3 if %ld_pass_number = BEA_WaraiB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA4": return ; if %ld_pass_number = BEA_WaraiB5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA5": return ; if %ld_pass_number = BEA_WaraiB6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA6": return ; if %ld_pass_number = BEA_WaraiB7 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA7": return ; if %ld_pass_number = BEA_WaraiB8 mov $ld_pass,"bmp\TATI\BEA\3\BEA_WaraiA8": return ; if %ld_pass_number = BEA_AkuwaraiB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA1": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA2": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA3": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA4": return ;悪笑い if %ld_pass_number = BEA_AkuwaraiB5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AkuwaraiA5": return ;悪笑い if %ld_pass_number = BEA_futekiB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_futekiA1": return ;笑い2 if %ld_pass_number = BEA_futekiB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_futekiA2": return ;不敵2 if %ld_pass_number = BEA_OdorokiB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA1": return ;驚き if %ld_pass_number = BEA_OdorokiB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA2": return ;驚き2 if %ld_pass_number = BEA_OdorokiB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA3": return ;驚き3 if %ld_pass_number = BEA_OdorokiB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA4": return ;驚き4 if %ld_pass_number = BEA_OdorokiB5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_OdorokiA5": return ;驚き4 if %ld_pass_number = BEA_FukigenB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FukigenA1": return ;不機嫌1 if %ld_pass_number = BEA_FukigenB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FukigenA2": return ;不機嫌2 if %ld_pass_number = BEA_FukigenB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FukigenA3": return ;不機嫌3 if %ld_pass_number = BEA_NayamuB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA1": return ;悩む if %ld_pass_number = BEA_NayamuB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA2": return ;悩む2 if %ld_pass_number = BEA_NayamuB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA3": return ;悩む3 if %ld_pass_number = BEA_NayamuB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NayamuA4": return ;悩む4 if %ld_pass_number = BEA_AseruB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA1": return ;焦る if %ld_pass_number = BEA_AseruB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA2": return ;焦る if %ld_pass_number = BEA_AseruB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA3": return ;焦る if %ld_pass_number = BEA_AseruB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_AseruA4": return ;焦る if %ld_pass_number = BEA_KomaruB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA1": return ;困る if %ld_pass_number = BEA_KomaruB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA2": return ;困る2 if %ld_pass_number = BEA_KomaruB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA3": return ; if %ld_pass_number = BEA_KomaruB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_KomaruA4": return ; if %ld_pass_number = BEA_IiwakeB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IiwakeA1": return ;いい訳 if %ld_pass_number = BEA_IiwakeB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IiwakeA2": return ;いい訳2 if %ld_pass_number = BEA_IiwakeB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IiwakeA3": return ; if %ld_pass_number = BEA_HanbesoB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA1": return ;半ベソ if %ld_pass_number = BEA_HanbesoB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA2": return ;半ベソ2 if %ld_pass_number = BEA_HanbesoB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA3": return ;半ベソ3 if %ld_pass_number = BEA_HanbesoB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA4": return ;半ベソ4 if %ld_pass_number = BEA_HanbesoB5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA5": return ;半ベソ5 if %ld_pass_number = BEA_HanbesoB6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HanbesoA6": return ;半ベソ6 if %ld_pass_number = BEA_GamanB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA1": return ; if %ld_pass_number = BEA_GamanB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA2": return ; if %ld_pass_number = BEA_GamanB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA3": return ; if %ld_pass_number = BEA_GamanB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA4": return ; if %ld_pass_number = BEA_GamanB5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA5": return ; if %ld_pass_number = BEA_GamanB6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA6": return ; if %ld_pass_number = BEA_GamanB7 mov $ld_pass,"bmp\TATI\BEA\3\BEA_GamanA7": return ; if %ld_pass_number = BEA_MajimeB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA1": return ; if %ld_pass_number = BEA_MajimeB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA2": return ; if %ld_pass_number = BEA_MajimeB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA3": return ; if %ld_pass_number = BEA_MajimeB4 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA4": return ; if %ld_pass_number = BEA_MajimeB5 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA5": return ; if %ld_pass_number = BEA_MajimeB6 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA6": return ; if %ld_pass_number = BEA_MajimeB7 mov $ld_pass,"bmp\TATI\BEA\3\BEA_MajimeA7": return ; if %ld_pass_number = BEA_FumanB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FumanA1": return ; if %ld_pass_number = BEA_FumanB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FumanA2": return ; if %ld_pass_number = BEA_FumanB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_FumanA3": return ; if %ld_pass_number = BEA_NakuB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NakuA1": return ; if %ld_pass_number = BEA_NakuB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NakuA2": return ; if %ld_pass_number = BEA_NakuB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_NakuA3": return ; if %ld_pass_number = BEA_IkariB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IkariA1": return ; if %ld_pass_number = BEA_IkariB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_IkariA2": return ; if %ld_pass_number = BEA_HousinB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HousinA1": return ; if %ld_pass_number = BEA_HousinB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HousinA2": return ; if %ld_pass_number = BEA_HousinB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HousinA3": return ; if %ld_pass_number = BEA_ArigatouB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_ArigatouA1": return ; if %ld_pass_number = BEA_HajiraiB1 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HajiraiA1": return ; if %ld_pass_number = BEA_HajiraiB2 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HajiraiA2": return ; if %ld_pass_number = BEA_HajiraiB3 mov $ld_pass,"bmp\TATI\BEA\3\BEA_HajiraiA3": return ; goto *err_textbox2 return *err_textbox mesbox "立ち絵エラー表示!","対象キャラの衣装フラグが在りません" end *err_textbox2 mesbox "立ち絵エラー表示!","対象キャラの立ち絵が在りません" end *kanrisha_check savegame 100 ;セーブスロット100番(ゲームでは不使用)に強制セーブ savefileexist %Free1,100; 100番セーブがあるか確認(セーブがあるなら%Free1=1 ないなら%Free1=0) if %Free1 == 1 : return ;セーブできていれば処理を抜ける ;セーブできていない場合は、↑の文章を画像にして表示する ;bg ":c;bmp\keikoku.bmp",1 管理者権限で実行してください\ wait 10000 bg black,80 return *caution if %keikoku_flg = 1 : jumpf lsp 100,":c;bmp\caution1.png",0,0 print 1 click lsp 100,":c;bmp\caution2.png",0,0 lsp t_btn_start_lsp,":c/2,1000,0;bmp\caution3.png",440,355 lsp t_btn_web_lsp,":a/2,0,3;bmp\r_click\sys_btn\yes.bmp",445,380 lsp t_btn_tips_lsp,":a/2,0,3;bmp\r_click\sys_btn\no.bmp",445,420 print 80 btndef "" exbtn t_btn_web_lsp,t_btn_web_lsp,"" exbtn t_btn_tips_lsp,t_btn_tips_lsp,"" btnwait2 %BtnRes if %BtnRes = t_btn_web_lsp : mov %keikoku_flg,1 : seplay 9,1001 : csp -1 : print 0 : bg black,22 : return if %BtnRes = t_btn_tips_lsp : seplay 9,1001 : csp -1 : print 0 : bg black,2 : return csp -1 ~ return ;タイトルボタンから警告文確認 *caution_fortitle lsp 100,":c;bmp\caution1.png",0,0 print 22 click seplay 9,1001 lsp 100,":c;bmp\caution2.png",0,0 click seplay 9,1001 csp -1 print 80 return ;*********************************************************************** ; ;[プロジェクト] うみねこのなく頃に ;[ファイル概要] サークルロゴの表示 ;[処理概要] ソフト立ち上げ時に、サークルロゴを表示する ; ;*********************************************************************** *b_sakuru_logo ;インストールしたユーザでないユーザが非管理者権限でプレイすると落ちるため、その時セーブを取得 ;管理者権限でプレイしているかチェック ;gosub *kanrisha_check ;getscreenshot 80,60 ;savescreenshot "test.bmp" ;警告文 ;gosub *caution ;デバック用命令 notif %show_cg_test = 1 jumpf gosub *jump_sysdata_set1_1 if %cur_language = 0 langen if %cur_language = 1 langjp select"^~c4~EP1",*cgprep_ep1,"^~c4~EP2",*cgprep_ep2,"^~c4~EP3",*cgprep_ep3,"^~c4~EP4",*cgprep_ep4,"^~c4~WH Test",*whtest,"^~c4~Scrolltest",*scrolltest ~ E_B E_MA saveoff ;セーブオフで、処理を高速化する。 erasetextwindow 0 ;テキストエフェクトを切る事で処理を高速化 rmode 0 ;右クリックのメニュー表示の無効化 textoff mov %coins,0 ;クイズモードのコインリセット mov %quiz_pass_flg,0 ;スキップ用の変数が1になっていたらタイトルをスキップさせる if %title_skip = 1 goto *b_sakuru_logo_shuuryou ;ボタンが押しっぱなしになっていたらタイトルをスキップさせる。 isdown %tmp if %tmp = 1 goto *b_sakuru_logo_shuuryou ;----------------------- ;サークルロゴの表示 ;左右クリックで、ロゴの表示を飛ばして終了処理、タイトル画面へ進む lr_trap *b_sakuru_logo_shuuryou btndef "" btntime 2000 btnwait2 %tmp notif %tmp = -5 goto *b_sakuru_logo_shuuryou seplay 7,1031 lsp 100,":c;bmp\title\Circle_logo\circle_logo.bmp",0,0 print 99,3000,"trvswave.dll/h" delay 3000 csp 100 print 2 notif %tmp = -5 mov %title_skip,1 csp 100 print 2 ; サークルロゴの表示終了処理 goto *b_sakuru_logo_skip_100 *b_sakuru_logo_shuuryou mov %title_skip,1 ;タイトルスキップON *b_sakuru_logo_skip_100 lr_trap off ;クリックトラップの解除 rmode 1 ;右クリックのメニュー表示を有効にする。 erasetextwindow 1 ;テキスト透過度落としをONにする。 setwindow 31,16,25,20,23,23,0,2,20,1,1,#999999,0,0,639,479 btndef "" saveoff csp -1 if %title_skip = 1 goto *b_title goto *b_title ;タイトル画面へ ;****************************************************************************** ;*********************************************************************** ; ;[プロジェクト] うみねこのなく頃に ;[ファイル概要] タイトルの表示 ;[処理概要] タイトル画面の表示 ;[製作] BT ;フラグ管理用変数 ; ;*********************************************************************** *b_title ;----------------------------------------- ;各フラグ変数の初期化処理 ;----------------------------------------- mono 0 ;キャラの状態をリセットする for %tmp = 1 to cha_kazu_ep5 mov ?r[%scenario_Number][%tmp][condition],0 next ;右クリックシステム、選択キャラ、Tips表示をなし(0)に初期化 mov %r_hyouji_cha,0 mov %r_hyouji_cha_ma,0 mov %r_hyouji_tips,0 mov %r_for_title,0 mov %r_tea_bea,0 mov %r_ber_flg,0 mov %rmordflg,off ;右クリックOFFを解除 mov %save_on_flg,on ;セーブON命令を動作させる。 ;右クリック、お茶フラグ mov %r_u_tea_flg,0 mov %bgm_mood_file_path_flg,0 ;tips配列の初期化 for %tmp = 0 to 10 mov ?tips[%tmp][tips_flg],0 next ;シーン変数を初期化 mov %play_scene,0 ;----------------------------------------- ; Bgmスタート ; 初期化とホワイトアウト E_B E_MA ;クリア後のランダム要素 notif %UMINEKOEND = 71 : jumpf bgm1 16 : mov $tmp10,"bmp\title\ep6_end.bmp" rnd2 %ran,1,70 notif %ran = 70 : jumpf bgm1 158 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ notif %ran = 69 : jumpf bgm1 180 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ notif %ran = 67 : jumpf bgm1 250 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ notif %ran = 66 : jumpf bgm1 251 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ notif %ran = 65 : jumpf bgm1 252 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ notif %ran = 64 : jumpf bgm1 253 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ notif %ran = 63 : jumpf bgm1 254 : mov $tmp10,"bmp\title\thanks_for_uminekoplayer.bmp" : goto *title_thanksbonus_end ~ *title_thanksbonus_end ~ ;シナリオ1クリア後とクリア前で背景、Meを変える if %UMINEKOEND = 0 me1v 16,50 : mov $tmp10,"bmp\title\ep5_end.bmp" if %UMINEKOEND = 51 me1v 16,50 : mov $tmp10,"bmp\title\ep5_end.bmp" if %UMINEKOEND = 52 me1v 16,50 : mov $tmp10,"bmp\title\ep5_end.bmp" if %UMINEKOEND = 60 me1v 16,50 : mov $tmp10,"bmp\title\ep5_end.bmp" ;EP6シナリオ終了 if %UMINEKOEND = 61 me1v 16,50 : mov $tmp10,"bmp\title\ep5_end.bmp" ;EP6シナリオ終了 if %UMINEKOEND = 62 me1v 16,50 : mov $tmp10,"bmp\title\ep5_end.bmp" ;EP6表お茶終了 if %UMINEKOEND = 70 bgm1 16 : mov $tmp10,"bmp\title\ep5_end.bmp" ;EP6シナリオ終了 ;if %UMINEKOEND = 71 bgm1 16 : mov $tmp10,"bmp\title\ep6_end.bmp" ;EP6シナリオ終了 mov %title_y,140 csp -1 ;スプライト画像の、メモリからの消去 btndef "" ;ボタン画像の、メモリからの消去 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 ;順番に画像を表示していく(背景、ロゴ、各種ボタンの画像をメモリに読み込む) ;背景の表示 lsph t_hane_lsp,":b;bmp\title\hana.png",299,10,150 lsph t_logo_lsp,":b;bmp\title\logo.png",160,0 ;---------------------- ;ボタンの配置 lsph t_btn_start_lsp,":b/2,0,3;bmp\title\title_menu\start.png",432,176 ;146 ; lsph t_btn_web_lsp,":b/2,0,3;bmp\title\caution_btn.png",432,402 lsph t_btn_controls_lsp,":b/2,0,3;bmp\title\title_menu\web.png",432,208 if %UMINEKOEND = 0 lsph t_btn_exit_lsp,":b/2,0,3;bmp\title\title_menu\exit1.png",432,240 ;210 if %UMINEKOEND = 51 lsph t_btn_exit_lsp,":b/2,0,3;bmp\title\title_menu\exit1.png",432,240 ;210 if %UMINEKOEND = 52 lsph t_btn_exit_lsp,":b/2,0,3;bmp\title\title_menu\exit1.png",432,240 ;210 if %UMINEKOEND >=60 && %UMINEKOEND < 90 lsph t_btn_exit_lsp,":b/2,0,3;bmp\title\title_menu\exit4.png",432,240 ;306 if %UMINEKOEND >=70 && %UMINEKOEND < 90 lsph t_btn_exit_lsp,":b/2,0,3;bmp\title\title_menu\exit4.png",432,272 ;306 if %UMINEKOEND >= 90 lsph t_btn_exit_lsp,":b/2,0,3;bmp\title\title_menu\exit5.png",432,272 ;338 ;クリアフラグによってボタンの表示、非表示、EXITの高さを行う。 ; if %UMINEKOEND >= 51 lsph t_btn_tea_lsp,":b/2,0,3;bmp\title\title_menu\tea.png",432,240 ;210 ; if %UMINEKOEND >= 52 lsph t_btn_ura_tea_lsp,":b/2,0,3;bmp\title\title_menu\ura_tea.png",432,272 ;242 if %UMINEKOEND >= 70 lsph t_btn_music_lsp,":b/2,0,3;bmp\title\title_menu\music.png",432,240 ;306 ;新要素追加時(新要素追加のフラグ変数が所定の値になっている時には、表示するボタンを切り替える) ; if %UMINEKOEND_FLG = 51 lsph t_btn_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_tea.png",432,240 ;210 ; if %UMINEKOEND_FLG = 52 lsph t_btn_ura_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_ura_tea.png",432,272 ;242 if %UMINEKOEND_FLG = 60 lsph t_btn_start_lsp,":b/2,0,3;bmp\title\title_menu\new_start.png",432,176 ;146 ; if %UMINEKOEND_FLG = 61 lsph t_btn_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_tea.png",432,272 ;242 ; if %UMINEKOEND_FLG = 62 lsph t_btn_ura_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_ura_tea.png",432,304 ;274 ; if %UMINEKOEND_FLG = 70 lsph t_btn_start_lsp,":b/2,0,3;bmp\title\title_menu\new_start.png",432,176 ;146 ; if %UMINEKOEND_FLG = 71 lsph t_btn_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_tea.png",432,272 ;242 ; if %UMINEKOEND_FLG = 72 lsph t_btn_ura_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_ura_tea.png",432,304 ;274 ; if %UMINEKOEND_FLG = 80 lsph t_btn_start_lsp,":b/2,0,3;bmp\title\title_menu\new_start.png",432,176 ;146 ; if %UMINEKOEND_FLG = 81 lsph t_btn_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_tea.png",432,272 ;242 ; if %UMINEKOEND_FLG = 82 lsph t_btn_ura_tea_lsp,":b/2,0,3;bmp\title\title_menu\new_ura_tea.png",432,304 ;274 ; if %UMINEKOEND_MUSIC_FLG = 1 lsph t_btn_music_lsp,":b/2,0,3;bmp\title\title_menu\new_music.png",432,336 ;306 ;---------------------- lr_trap *b_title_shunkan ;クリックに反応して瞬間表示を行う ;サークルロゴをスキップしていたたら、ここも瞬間表示にする。 ;瞬間表示でないのなら、順番に表示していく if %title_skip = 1 goto *b_title_skip_100 cl a,1 ;立ち絵の消去 bg black,2 delay 2000 bg $tmp10,6 vsp t_hane_lsp,1 : print 5 vsp t_logo_lsp,1 : print 22 vsp t_btn_start_lsp,1 : print 22 vsp t_btn_controls_lsp,1 : print 22 ; if %UMINEKOEND >= 51 vsp t_btn_tea_lsp,1 : amsp t_btn_tea_lsp,432,240,250 : print 22 ; if %UMINEKOEND >= 52 vsp t_btn_ura_tea_lsp,1 : amsp t_btn_ura_tea_lsp,432,272,250 : print 22 ; if %UMINEKOEND >= 60 vsp t_btn_tips_lsp,1 : amsp t_btn_tips_lsp,432,304,250 : print 22 if %UMINEKOEND >= 70 vsp t_btn_music_lsp,1 : print 22 vsp t_btn_exit_lsp,1 : print 22 ; vsp t_btn_web_lsp,1 : print 22 ;サブメニューのボタンは消去する csp t_btn_ep5_lsp : csp t_btn_ep6_lsp : csp t_btn_ep7_lsp : csp t_btn_ep8_lsp csp t_btn_ep6_unlock_lsp : csp t_btn_ep7_unlock_lsp : csp t_btn_ep8_unlock_lsp csp t_btn_load_lsp csp t_btn_ep5_tea_lsp : csp t_btn_ep6_tea_lsp : csp t_btn_ep7_tea_lsp : csp t_btn_ep8_tea_lsp csp t_btn_ep5_tips_lsp : csp t_btn_ep6_tips_lsp : csp t_btn_ep7_tips_lsp : csp t_btn_ep8_tips_lsp csp t_btn_ep5_ura_tea_lsp : csp t_btn_ep6_ura_tea_lsp : csp t_btn_ep7_ura_tea_lsp : csp t_btn_ep8_ura_tea_lsp cl a,1 ;立ち絵の消去 *b_title_skip_100 ;瞬間表示なら下の処理を行う *b_title_shunkan lr_trap off vsp t_bg_lsp,1 vsp t_hane_lsp,1 vsp t_logo_lsp,1 vsp t_btn_controls_lsp,1 vsp t_btn_start_lsp,1 ; vsp t_btn_web_lsp,1 vsp t_btn_exit_lsp,1 vsp t_btn_ep5_lsp,0 vsp t_btn_ep6_lsp,0 ; vsp t_btn_ep7_lsp,0 ; vsp t_btn_ep8_lsp,0 vsp t_btn_ep6_unlock_lsp,0 ; vsp t_btn_ep7_unlock_lsp,0 ; vsp t_btn_ep8_unlock_lsp,0 vsp t_btn_load_lsp,0 ; if %UMINEKOEND >= 51 vsp t_btn_tea_lsp,1 : amsp t_btn_tea_lsp,432,240,250 ; if %UMINEKOEND >= 52 vsp t_btn_ura_tea_lsp,1 : amsp t_btn_ura_tea_lsp,432,272,250 ; if %UMINEKOEND >= 60 vsp t_btn_tips_lsp,1 : amsp t_btn_tips_lsp,432,304,250 if %UMINEKOEND >= 70 vsp t_btn_music_lsp,1 ;サブメニューのボタンは消去する ;サブメニューのボタンは消去する csp t_btn_ep5_lsp : csp t_btn_ep6_lsp : csp t_btn_ep7_lsp : csp t_btn_ep8_lsp csp t_btn_ep6_unlock_lsp : csp t_btn_ep7_unlock_lsp : csp t_btn_ep8_unlock_lsp csp t_btn_load_lsp csp t_btn_ep5_tea_lsp : csp t_btn_ep6_tea_lsp : csp t_btn_ep7_tea_lsp : csp t_btn_ep8_tea_lsp csp t_btn_ep5_tips_lsp : csp t_btn_ep6_tips_lsp : csp t_btn_ep7_tips_lsp : csp t_btn_ep8_tips_lsp csp t_btn_ep5_ura_tea_lsp : csp t_btn_ep6_ura_tea_lsp : csp t_btn_ep7_ura_tea_lsp : csp t_btn_ep8_ura_tea_lsp cl a,1 ;立ち絵の消去 bg $tmp10,1 mov %title_skip,0 ;瞬間表示のフラグを解除 mov $witchh4, "bmp\title\txt_box\ep5.png" setLangString $witchh4, "" lsph t_txt_ep5_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\ep6.png" setLangString $witchh4, "" lsph t_txt_ep6_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\ep7.png" ;setLangString $witchh4, "" ;lsph t_txt_ep7_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\ep8.png" ;setLangString $witchh4, "" ;lsph t_txt_ep8_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\load.png" setLangString $witchh4, "" lsph t_txt_load_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\web.png" setLangString $witchh4, "" lsph t_txt_web_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\music.png" setLangString $witchh4, "" lsph t_txt_music_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\start.png" setLangString $witchh4, "" lsph t_txt_start_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\exit.png" setLangString $witchh4, "" lsph t_txt_exit_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\warning.png" setLangString $witchh4, "" lsph t_txt_lock_lsp,$witchh4,16,220 mov $witchh4, "bmp\title\txt_box\unlock.png" setLangString $witchh4, "" lsph t_txt_unlock_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\tea.png" ;setLangString $witchh4, "" ;lsph t_txt_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\tea.png" ;setLangString $witchh4, "" ;lsph t_txt_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep5_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep6_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep7_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep8_tea_lsp,$witchh4,16,220; ;mov $witchh4, "bmp\title\txt_box\ura_tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ura_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\ura_tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep5_ura_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\ura_tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep6_ura_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\ura_tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep7_ura_tea_lsp,$witchh4,16,220 ;mov $witchh4, "bmp\title\txt_box\ura_tea.png" ;setLangString $witchh4, "" ;lsph t_txt_ep8_ura_tea_lsp,$witchh4,16,220 setLangString $witchh4, "" lsph t_txt_tips_lsp,$witchh4,16,220 setLangString $witchh4, "" lsph t_txt_ep5_tips_lsp,$witchh4,16,220 setLangString $witchh4, "" lsph t_txt_ep6_tips_lsp,$witchh4,16,220 setLangString $witchh4, "" lsph t_txt_ep7_tips_lsp,$witchh4,16,220 setLangString $witchh4, "" lsph t_txt_ep8_tips_lsp,$witchh4,16,220 ; ボタン定義と、ボタンクリック待ちの処理 *b_title_botun_loop btndef "" exbtn_d "C80C81C82C83C84C85C86C87" exbtn t_btn_start_lsp,t_btn_start_lsp,"P82" exbtn t_btn_controls_lsp,t_btn_controls_lsp,"P86" exbtn t_btn_exit_lsp,t_btn_exit_lsp,"P81" ;exbtn t_btn_tea_lsp,t_btn_tea_lsp,"P85" ;exbtn t_btn_tips_lsp,t_btn_tips_lsp,"P84" exbtn t_btn_music_lsp,t_btn_music_lsp,"P83" ;exbtn t_btn_ura_tea_lsp,t_btn_ura_tea_lsp,"P80" ;exbtn t_btn_web_lsp,t_btn_web_lsp,"" btnwait2 %BtnRes if %BtnRes = t_btn_start_lsp cell t_btn_start_lsp,1 : print 1:btndef "" : goto *start_sub_menu ; if %BtnRes = t_btn_web_lsp seplay 9,1001 : csp -1 : gosub *caution_fortitle : mov %title_skip,1 : goto *b_title if %BtnRes = t_btn_controls_lsp seplay 9,1001 : csp -1 : mov $witchh4,"bmp\title\manual.png" : setLangString $witchh4, "" : bg $witchh4,22: click : bg black,0 : mov %title_skip,1 : goto *b_title ; if %BtnRes = t_btn_tea_lsp cell t_btn_tea_lsp,1 : print 1:btndef "" : goto *tea_sub_menu ; if %BtnRes = t_btn_ura_tea_lsp cell t_btn_ura_tea_lsp,1 : print 1:btndef "" : goto *ura_tea_sub_menu ; if %BtnRes = t_btn_tips_lsp cell t_btn_tips_lsp,1 : print 1:btndef "" : goto *tips_sub_menu if %BtnRes = t_btn_exit_lsp seplay 9,18 : csp -1 : bg black,18,3000,"bmp\r_click\efe\kannon_r.bmp" : wait 3000 : end if %BtnRes = t_btn_music_lsp btndef "" : goto *bgm_mode goto *b_title_botun_loop ;------------------------------ ;スタートを押した後のサブメニュー *start_sub_menu btndef "" seplay 1,51 ;スタート以外のボタンを消して、ep1スタート、ロードの小ボタンを配置する。 ;ep2、Unlockのボタンを配置する(注意:クリア状態で分岐) ;UMINEKOEND < 20 未クリア状態、ブリンクしないEP2とUnlockボタンを表示 ;UMINEKOEND >=20 EP1をクリア、ブリンクありのEP2を表示する。 ; vsp t_btn_web_lsp,0 vsp t_btn_controls_lsp,0 ; vsp t_btn_tea_lsp,0 ; vsp t_btn_tips_lsp,0 vsp t_btn_exit_lsp,0 vsp t_btn_music_lsp,0 ; vsp t_btn_ura_tea_lsp,0 print 1 ;エピソード5、未クリア状態 ;------------------------------ *start_sub_menu_100 notif %UMINEKOEND < 60 goto *start_sub_menu_btn_100 lsp t_btn_ep5_lsp,":bc/2,0,3;bmp\title\title_menu\ep5.png",500,208 ;178 notif %catbox_No_flg = 1 : jumpf lsp t_btn_ep6_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_1.png",500,227 ;197 ; lsp t_btn_ep7_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_1.png",500,246 ;216 ; lsp t_btn_ep8_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_1.png",500,265 ;235 lsp t_btn_ep6_unlock_lsp,":bc/2,0,3;bmp\title\title_menu\unlock.png",432,227 ;197 ; lsp t_btn_ep7_unlock_lsp,":bc/2,0,3;bmp\title\title_menu\unlock.png",432,246 ;216 ; lsp t_btn_ep8_unlock_lsp,":bc/2,0,3;bmp\title\title_menu\unlock.png",432,227 ;235 lsp t_btn_load_lsp,":bc/2,0,3;bmp\title\title_menu\load.png",500,246 ;254 ~ notif %catbox_No_flg = 0 : jumpf lsp t_btn_load_lsp,":bc/2,0,3;bmp\title\title_menu\load.png",500,225 ;254 ~ print 85 *start_sub_menu_btn_100_loop btndef "" exbtn_d "P97,1C80C81C82C83C84C85C86C87C65C64C63C62C78C77C79" exbtn t_btn_ep5_lsp,t_btn_ep5_lsp,"P65" exbtn t_btn_ep6_lsp,t_btn_ep6_lsp,"P78" ; exbtn t_btn_ep7_lsp,t_btn_ep7_lsp,"P78" ; exbtn t_btn_ep8_lsp,t_btn_ep8_lsp,"P78" exbtn t_btn_ep6_unlock_lsp,t_btn_ep6_unlock_lsp,"P77" ; exbtn t_btn_ep7_unlock_lsp,t_btn_ep7_unlock_lsp,"P77" ; exbtn t_btn_ep8_unlock_lsp,t_btn_ep8_unlock_lsp,"P77" exbtn t_btn_load_lsp,t_btn_load_lsp,"P87" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_lsp mov %scenario_Number,5 : goto *umi_start if %BtnRes = t_btn_ep6_lsp btndef "" : seplay 9,5 : goto *start_sub_menu_btn_100_loop ;弾かれた音を出してボタン処理へ ; if %BtnRes = t_btn_ep7_lsp btndef "" : seplay 9,5 : goto *start_sub_menu_btn_100_loop ;弾かれた音を出してボタン処理へ ; if %BtnRes = t_btn_ep8_lsp btndef "" : seplay 9,5 : goto *start_sub_menu_btn_100_loop ;弾かれた音を出してボタン処理へ if %BtnRes = t_btn_ep6_unlock_lsp btndef "" : seplay 9,1001 : goto *ep6_unlock ;確認メッセージを出してアンロックする。 ; if %BtnRes = t_btn_ep7_unlock_lsp btndef "" : seplay 9,1001 : goto *ep7_unlock ;確認メッセージを出してアンロックする。 ; if %BtnRes = t_btn_ep8_unlock_lsp btndef "" : seplay 9,1001 : goto *ep8_unlock ;確認メッセージを出してアンロックする。 if %BtnRes = t_btn_load_lsp btndef "" : seplay 9,1001 : mov %load_for_title,1 : gosub *loadmode : mov %title_skip,1 : goto *b_title if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *start_sub_menu_btn_100_loop ;確認メッセージを出して、アンロック処理を行う *ep6_unlock mov $witchh4, "bmp\title\title_menu\unlock_kaku_bg.png" setLangString $witchh4, "" lsp unlock_bg_lsp,$witchh4,201,146 lsp unlock_yes_lsp,":b/2,0,3;bmp\r_click\sys_btn\yes.png",222,196 lsp unlock_no_lsp,":b/2,0,3;bmp\r_click\sys_btn\no.png",222,234 print 24 ;ボタン処理 *ep6_unlock_loop btndef "" spbtn unlock_yes_lsp,unlock_yes_lsp spbtn unlock_no_lsp,unlock_no_lsp btnwait2 %BtnRes ;%bm_p notif %BtnRes = unlock_yes_lsp goto *ep6_unlock_100 ;エピソード5をクリア状態にする。 se3 1001 mov %UMINEKOEND,60 mov %UMINEKOEND_FLG,60 wait 1000 E_A se1 31 csp -1 bg black,22 wait 2000 se1 25 mov $witchh4, TEXT006 setLangString $witchh4, "" bg $witchh4,22 delay 12000 bg black,22 E_A goto *b_title *ep6_unlock_100 notif %BtnRes = unlock_no_lsp notif %BtnRes = -1 goto *ep6_unlock_200 csp unlock_bg_lsp csp unlock_yes_lsp csp unlock_no_lsp btndef "" seplay 9,1001 print 1 goto *start_sub_menu_100 *ep6_unlock_200 goto *ep6_unlock_loop ;確認メッセージを出して、アンロック処理を行う *ep7_unlock mov $witchh4, "bmp\title\title_menu\unlock_kaku_bg.png" setLangString $witchh4, "" lsp unlock_bg_lsp,$witchh4,201,146 lsp unlock_yes_lsp,":b/2,0,3;bmp\r_click\sys_btn\yes.png",222,196 lsp unlock_no_lsp,":b/2,0,3;bmp\r_click\sys_btn\no.png",222,234 print 24 ;ボタン処理 *ep7_unlock_loop btndef "" spbtn unlock_yes_lsp,unlock_yes_lsp spbtn unlock_no_lsp,unlock_no_lsp btnwait2 %BtnRes ;%bm_p notif %BtnRes = unlock_yes_lsp goto *ep7_unlock_100 ;エピソード6をクリア状態にする。 se3 1001 mov %UMINEKOEND,70 mov %UMINEKOEND_FLG,70 wait 1000 E_A se1 31 csp -1 bg black,22 wait 2000 se1 25 mov $witchh4, TEXT006 setLangString $witchh4, "" bg $witchh4,22 delay 12000 bg black,22 E_A goto *b_title *ep7_unlock_100 notif %BtnRes = unlock_no_lsp notif %BtnRes = -1 goto *ep7_unlock_200 csp unlock_bg_lsp csp unlock_yes_lsp csp unlock_no_lsp btndef "" seplay 9,1001 print 1 goto *start_sub_menu_100 *ep7_unlock_200 goto *ep7_unlock_loop ;確認メッセージを出して、アンロック処理を行う *ep8_unlock mov $witchh4, "bmp\title\title_menu\unlock_kaku_bg.png" setLangString $witchh4, "" lsp unlock_bg_lsp,$witchh4,201,146 lsp unlock_yes_lsp,":b/2,0,3;bmp\r_click\sys_btn\yes.png",222,196 lsp unlock_no_lsp,":b/2,0,3;bmp\r_click\sys_btn\no.png",222,234 print 24 ;ボタン処理 *ep8_unlock_loop btndef "" spbtn unlock_yes_lsp,unlock_yes_lsp spbtn unlock_no_lsp,unlock_no_lsp btnwait2 %BtnRes ;%bm_p notif %BtnRes = unlock_yes_lsp goto *ep8_unlock_100 ;エピソード7をクリア状態にする。 se3 1001 mov %UMINEKOEND,80 mov %UMINEKOEND_FLG,80 wait 1000 E_A se1 31 csp -1 bg black,22 date %ryuu1,%ryuu2,%ryuu3 if %ryuu1 > 11 && %ryuu2 > 1 goto *notusingpatchskip if %ryuu1 > 12 goto *notusingpatchskip ^Do you want to skip Patch 1 and jump directly to Patch 2?^ br select"^Enable Chapter Select for Patch 1",*usingpatchskip,"^Start from the beginning of Episode 8",*notusingpatchskip *usingpatchskip mov %UMINEKOPATCH,1 *notusingpatchskip wait 2000 se1 25 mov $witchh4, TEXT006 setLangString $witchh4, "" bg $witchh4,22 delay 12000 bg black,22 E_A goto *b_title *ep8_unlock_100 notif %BtnRes = unlock_no_lsp notif %BtnRes = -1 goto *ep8_unlock_200 csp unlock_bg_lsp csp unlock_yes_lsp csp unlock_no_lsp btndef "" seplay 9,1001 print 1 goto *start_sub_menu_100 *ep8_unlock_200 goto *ep8_unlock_loop *start_sub_menu_btn_100 ;------------------------------ ;エピソード5クリア、エピソード6未クリア状態 *start_sub_menu_200 if %UMINEKOEND < 60 goto *start_sub_menu_btn_200 if %UMINEKOEND >= 70 goto *start_sub_menu_btn_200 lsp t_btn_ep5_lsp,":bc/2,0,3;bmp\title\title_menu\ep5.png",500,208 ;178 lsp t_btn_ep6_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_2.png",500,227 ;197 ; lsp t_btn_ep7_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_1.png",500,246 ;216 ; lsp t_btn_ep8_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_1.png",500,265 ;235 ; lsp t_btn_ep7_unlock_lsp,":bc/2,0,3;bmp\title\title_menu\unlock.png",432,246 ;216 ; lsp t_btn_ep8_unlock_lsp,":bc/2,0,3;bmp\title\title_menu\unlock.png",432,265 ;235 lsp t_btn_load_lsp,":bc/2,0,3;bmp\title\title_menu\load.png",500,246 ;254 print 85 *start_sub_menu_btn_200_loop btndef "" exbtn_d "P97,1C80C81C82C83C84C85C86C87C65C64C63C62C78C77" exbtn t_btn_ep5_lsp,t_btn_ep5_lsp,"P65" exbtn t_btn_ep6_lsp,t_btn_ep6_lsp,"P64" ; exbtn t_btn_ep7_lsp,t_btn_ep7_lsp,"P78" ; exbtn t_btn_ep8_lsp,t_btn_ep8_lsp,"P78" ; exbtn t_btn_ep7_unlock_lsp,t_btn_ep7_unlock_lsp,"P77" ; exbtn t_btn_ep8_unlock_lsp,t_btn_ep8_unlock_lsp,"P77" exbtn t_btn_load_lsp,t_btn_load_lsp,"P87" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_lsp mov %scenario_Number,5 : goto *umi_start if %BtnRes = t_btn_ep6_lsp mov %scenario_Number,8 : goto *umi_start ; if %BtnRes = t_btn_ep7_lsp btndef "" : seplay 9,5 : goto *start_sub_menu_btn_100_loop ;弾かれた音を出してボタン処理へ ; if %BtnRes = t_btn_ep8_lsp btndef "" : seplay 9,5 : goto *start_sub_menu_btn_100_loop ;弾かれた音を出してボタン処理へ ; if %BtnRes = t_btn_ep7_unlock_lsp btndef "" : seplay 9,1001 : goto *ep7_unlock_2 ;確認メッセージを出してアンロックする。 ; if %BtnRes = t_btn_ep8_unlock_lsp btndef "" : seplay 9,1001 : goto *ep8_unlock_2 ;確認メッセージを出してアンロックする。 if %BtnRes = t_btn_load_lsp btndef "" : seplay 9,1001 : mov %load_for_title,1 : gosub *loadmode : mov %title_skip,1 : goto *b_title if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *start_sub_menu_btn_200_loop ;確認メッセージを出して、アンロック処理を行う *ep7_unlock_2 mov $witchh4, "bmp\title\title_menu\unlock_kaku_bg.png" setLangString $witchh4, "" lsp unlock_bg_lsp,$witchh4,201,146 lsp unlock_yes_lsp,":b/2,0,3;bmp\r_click\sys_btn\yes.png",222,196 lsp unlock_no_lsp,":b/2,0,3;bmp\r_click\sys_btn\no.png",222,234 print 24 ;ボタン処理 *ep7_unlock_2_loop btndef "" spbtn unlock_yes_lsp,unlock_yes_lsp spbtn unlock_no_lsp,unlock_no_lsp btnwait2 %BtnRes ;%bm_p notif %BtnRes = unlock_yes_lsp goto *ep7_unlock_2_100 ;エピソード1をクリア状態にする。 se3 1001 mov %UMINEKOEND,70 mov %UMINEKOEND_FLG,70 wait 1000 E_A se1 31 csp -1 bg black,22 wait 2000 se1 25 mov $witchh4, TEXT006 setLangString $witchh4, "" bg $witchh4,22 delay 12000 bg black,22 E_A goto *b_title *ep7_unlock_2_100 notif %BtnRes = unlock_no_lsp notif %BtnRes = -1 goto *ep7_unlock_2_200 csp unlock_bg_lsp csp unlock_yes_lsp csp unlock_no_lsp btndef "" seplay 9,1001 print 1 goto *start_sub_menu_200 *ep7_unlock_2_200 goto *ep7_unlock_2_loop ;確認メッセージを出して、アンロック処理を行う *ep8_unlock_2 mov $witchh4, "bmp\title\title_menu\unlock_kaku_bg.png" setLangString $witchh4, "" lsp unlock_bg_lsp,$witchh4,201,146 lsp unlock_yes_lsp,":b/2,0,3;bmp\r_click\sys_btn\yes.png",222,196 lsp unlock_no_lsp,":b/2,0,3;bmp\r_click\sys_btn\no.png",222,234 print 24 ;ボタン処理 *ep8_unlock_2_loop btndef "" spbtn unlock_yes_lsp,unlock_yes_lsp spbtn unlock_no_lsp,unlock_no_lsp btnwait2 %BtnRes ;%bm_p notif %BtnRes = unlock_yes_lsp goto *ep8_unlock_2_100 ;エピソード1をクリア状態にする。 se3 1001 mov %UMINEKOEND,80 mov %UMINEKOEND_FLG,80 wait 1000 E_A se1 31 csp -1 bg black,22 date %ryuu1,%ryuu2,%ryuu3 if %ryuu1 > 11 && %ryuu2 > 1 goto *notusingpatchskip if %ryuu1 > 12 goto *notusingpatchskip ^Do you want to skip Patch 1 and jump directly to Patch 2?^ br select"^Enable Chapter Select for Patch 1",*usingpatchskip,"^Start from the beginning of Episode 8",*notusingpatchskip *usingpatchskip mov %UMINEKOPATCH,1 *notusingpatchskip wait 2000 se1 25 mov $witchh4, TEXT006 setLangString $witchh4, "" bg $witchh4,22 delay 12000 bg black,22 E_A goto *b_title *ep8_unlock_2_100 notif %BtnRes = unlock_no_lsp notif %BtnRes = -1 goto *ep8_unlock_2_200 csp unlock_bg_lsp csp unlock_yes_lsp csp unlock_no_lsp btndef "" seplay 9,1001 print 1 goto *start_sub_menu_200 *ep8_unlock_2_200 goto *ep8_unlock_2_loop *start_sub_menu_btn_200 ;------------------------------ ;エピソード6クリア状態、EP7未クリア *start_sub_menu_300 if %UMINEKOEND < 70 goto *start_sub_menu_btn_300 if %UMINEKOEND >= 80 goto *start_sub_menu_btn_300 lsp t_btn_ep5_lsp,":bc/2,0,3;bmp\title\title_menu\ep5.png",500,208 ;178 lsp t_btn_ep6_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_2.png",500,227 ;197 ; lsp t_btn_ep7_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_2.png",500,246 ;216 ; lsp t_btn_ep8_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_1.png",500,265 ;235 ; lsp t_btn_ep8_unlock_lsp,":bc/2,0,3;bmp\title\title_menu\unlock.png",432,265 ;235 lsp t_btn_load_lsp,":bc/2,0,3;bmp\title\title_menu\load.png",500,246 ;254 print 85 *start_sub_menu_btn_300_loop btndef "" exbtn_d "P97,1C80C81C82C83C84C85C86C87C65C64C63C62C78C77" exbtn t_btn_ep5_lsp,t_btn_ep5_lsp,"P65" exbtn t_btn_ep6_lsp,t_btn_ep6_lsp,"P64" ; exbtn t_btn_ep7_lsp,t_btn_ep7_lsp,"P63" ; exbtn t_btn_ep8_lsp,t_btn_ep8_lsp,"P78" ; exbtn t_btn_ep8_unlock_lsp,t_btn_ep8_unlock_lsp,"P77" exbtn t_btn_load_lsp,t_btn_load_lsp,"P87" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_lsp mov %scenario_Number,5 : goto *umi_start if %BtnRes = t_btn_ep6_lsp mov %scenario_Number,8 : goto *umi_start ; if %BtnRes = t_btn_ep7_lsp mov %scenario_Number,7 : goto *umi_start ; if %BtnRes = t_btn_ep8_lsp btndef "" : seplay 9,5 : goto *start_sub_menu_btn_100_loop ;弾かれた音を出してボタン処理へ ; if %BtnRes = t_btn_ep8_unlock_lsp btndef "" : seplay 9,1001 : goto *ep8_unlock_3 ;確認メッセージを出してアンロックする。 if %BtnRes = t_btn_load_lsp btndef "" : seplay 9,1001 : mov %load_for_title,1 : gosub *loadmode : mov %title_skip,1 : goto *b_title if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *start_sub_menu_btn_300_loop ;確認メッセージを出して、アンロック処理を行う *ep8_unlock_3 mov $witchh4, "bmp\title\title_menu\unlock_kaku_bg.png" setLangString $witchh4, "" lsp unlock_bg_lsp,$witchh4,201,146 lsp unlock_yes_lsp,":b/2,0,3;bmp\r_click\sys_btn\yes.png",222,196 lsp unlock_no_lsp,":b/2,0,3;bmp\r_click\sys_btn\no.png",222,234 print 24 ;ボタン処理 *ep8_unlock_3_loop btndef "" spbtn unlock_yes_lsp,unlock_yes_lsp spbtn unlock_no_lsp,unlock_no_lsp btnwait2 %BtnRes ;%bm_p notif %BtnRes = unlock_yes_lsp goto *ep8_unlock_3_100 ;エピソード1をクリア状態にする。 se3 1001 mov %UMINEKOEND,80 mov %UMINEKOEND_FLG,80 wait 1000 E_A se1 31 csp -1 bg black,22 date %ryuu1,%ryuu2,%ryuu3 if %ryuu1 > 11 && %ryuu2 > 1 goto *notusingpatchskip if %ryuu1 > 12 goto *notusingpatchskip ^Do you want to skip Patch 1 and jump directly to Patch 2?^ br select"^Enable Chapter Select for Patch 1",*usingpatchskip,"^Start from the beginning of Episode 8",*notusingpatchskip *usingpatchskip mov %UMINEKOPATCH,1 *notusingpatchskip wait 2000 se1 25 mov $witchh4, TEXT006 setLangString $witchh4, "" bg $witchh4,22 delay 12000 bg black,22 E_A goto *b_title *ep8_unlock_3_100 notif %BtnRes = unlock_no_lsp notif %BtnRes = -1 goto *ep8_unlock_3_200 csp unlock_bg_lsp csp unlock_yes_lsp csp unlock_no_lsp btndef "" seplay 9,1001 print 1 goto *start_sub_menu_300 *ep8_unlock_3_200 goto *ep8_unlock_3_loop *start_sub_menu_btn_300 ;------------------------------ ;エピソード7本編クリア状態、EP8プレイ前 *start_sub_menu_400 if %UMINEKOEND < 80 goto *start_sub_menu_btn_400 if %UMINEKOEND > 90 goto *start_sub_menu_btn_400 lsp t_btn_ep5_lsp,":bc/2,0,3;bmp\title\title_menu\ep5.png",500,208 ;178 lsp t_btn_ep6_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_2.png",500,227 ;197 lsp t_btn_ep7_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_2.png",500,246 ;216 lsp t_btn_ep8_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_2.png",500,265 ;235 lsp t_btn_load_lsp,":bc/2,0,3;bmp\title\title_menu\load.png",500,284 ;254 print 85 *start_sub_menu_btn_400_loop btndef "" exbtn_d "P97,1C80C81C82C83C84C85C86C87C65C64C63C62C78C77" exbtn t_btn_ep5_lsp,t_btn_ep5_lsp,"P65" exbtn t_btn_ep6_lsp,t_btn_ep6_lsp,"P64" exbtn t_btn_ep7_lsp,t_btn_ep7_lsp,"P63" exbtn t_btn_ep8_lsp,t_btn_ep8_lsp,"P62" exbtn t_btn_load_lsp,t_btn_load_lsp,"P87" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_lsp mov %scenario_Number,5 : goto *umi_start if %BtnRes = t_btn_ep6_lsp mov %scenario_Number,8 : goto *umi_start if %BtnRes = t_btn_ep7_lsp mov %scenario_Number,7 : goto *umi_start if %BtnRes = t_btn_ep8_lsp mov %scenario_Number,8 : goto *umi_start if %BtnRes = t_btn_load_lsp btndef "" : seplay 9,1001 : mov %load_for_title,1 : gosub *loadmode : mov %title_skip,1 : goto *b_title if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *start_sub_menu_btn_400_loop *ep8_unlock_4_200 goto *ep8_unlock_4_loop *start_sub_menu_btn_400 ;------------------------------ ;???? *start_sub_menu_500 notif %UMINEKOEND > 91 goto *start_sub_menu_btn_500 lsp t_btn_ep5_lsp,":bc/2,0,3;bmp\title\title_menu\ep5.png",500,208 ;178 lsp t_btn_ep6_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_2.png",500,227 ;197 lsp t_btn_ep7_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_2.png",500,246 ;216 lsp t_btn_ep8_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_2.png",500,265 ;235 lsp t_btn_load_lsp,":bc/2,0,3;bmp\title\title_menu\load.png",500,284 ;254 print 85 *start_sub_menu_btn_500_loop btndef "" exbtn_d "P97,1C80C81C82C83C84C85C86C87C65C64C63C62C78C77" exbtn t_btn_ep5_lsp,t_btn_ep5_lsp,"P65" exbtn t_btn_ep6_lsp,t_btn_ep6_lsp,"P64" exbtn t_btn_ep7_lsp,t_btn_ep7_lsp,"P63" exbtn t_btn_ep8_lsp,t_btn_ep8_lsp,"P62" exbtn t_btn_load_lsp,t_btn_load_lsp,"P87" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_lsp mov %scenario_Number,5 : goto *umi_start if %BtnRes = t_btn_ep6_lsp mov %scenario_Number,8 : goto *umi_start if %BtnRes = t_btn_ep7_lsp mov %scenario_Number,7 : goto *umi_start if %BtnRes = t_btn_ep8_lsp mov %scenario_Number,8 : goto *umi_start if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *start_sub_menu_btn_500_loop *start_sub_menu_btn_500 ;------------------------------ ;------------------------------ ;お茶会を押した後のサブメニュー *tea_sub_menu btndef "" mov %side_flg,0 ;お茶会以外のボタンを消して、エピソード毎のお茶会ボタンを表示する ;クリアフラグに注意、1以上でep1、3以上でep2を表示して行く。 vsp t_btn_start_lsp,0 ; vsp t_btn_web_lsp,0 vsp t_btn_controls_lsp,0 vsp t_btn_tips_lsp,0 vsp t_btn_exit_lsp,0 vsp t_btn_music_lsp,0 vsp t_btn_ura_tea_lsp,0 seplay 1,51 print 1 wait 100 ;お茶会ボタン上にずらす。 resettimer msp t_btn_tea_lsp,0,-16,-100 : print 1 : waittimer 50 msp t_btn_tea_lsp,0,-16,0 : print 1 : waittimer 100 msp t_btn_tea_lsp,0,-16,0 : print 1 : waittimer 150 msp t_btn_tea_lsp,0,-16,100 :print 1 seplay 9,1001 *tea_sub_menu_100 ;クリア状態によって表示するレイヤーを調整 if %UMINEKOEND >= 51 lsp t_btn_ep5_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep5_tea.png",500,208 ;178 if %UMINEKOEND >= 61 lsp t_btn_ep6_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_tea.png",500,227 ;197 if %UMINEKOEND >= 71 lsp t_btn_ep7_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_tea.png",500,246 ;216 if %UMINEKOEND >= 81 lsp t_btn_ep8_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_tea.png",500,265 ;235 print 85 ;15,10 *tea_sub_menu_btn_100_loop btndef "" exbtn_d "P94,1C80C81C82C83C84C86C45C44C43C42" exbtn t_btn_ep5_tea_lsp,t_btn_ep5_tea_lsp,"" exbtn t_btn_ep6_tea_lsp,t_btn_ep6_tea_lsp,"" exbtn t_btn_ep7_tea_lsp,t_btn_ep7_tea_lsp,"" exbtn t_btn_ep8_tea_lsp,t_btn_ep8_tea_lsp,"" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_tea_lsp btndef "" : mov %scenario_Number,5 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *teatime_5 if %BtnRes = t_btn_ep6_tea_lsp btndef "" : mov %scenario_Number,6 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *teatime_6 if %BtnRes = t_btn_ep7_tea_lsp btndef "" : mov %scenario_Number,7 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *teatime_7 if %BtnRes = t_btn_ep8_tea_lsp btndef "" : mov %scenario_Number,8 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *teatime_8 if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *tea_sub_menu_btn_100_loop ;------------------------------ ;------------------------------ ;裏お茶会を押した後のサブメニュー *ura_tea_sub_menu btndef "" mov %side_flg,0 ;お茶会以外のボタンを消して、エピソード毎のお茶会ボタンを表示する ;クリアフラグに注意、1以上でep1、3以上でep2を表示して行く。 vsp t_btn_start_lsp,0 ; vsp t_btn_web_lsp,0 vsp t_btn_controls_lsp,0 vsp t_btn_tea_lsp,0 vsp t_btn_tips_lsp,0 vsp t_btn_exit_lsp,0 vsp t_btn_music_lsp,0 seplay 1,51 print 1 wait 100 ;お茶会ボタン上にずらす。 resettimer msp t_btn_ura_tea_lsp,0,-16,-100 : print 1 : waittimer 50 msp t_btn_ura_tea_lsp,0,-16,0 : print 1 : waittimer 100 msp t_btn_ura_tea_lsp,0,-16,0 : print 1 : waittimer 150 msp t_btn_ura_tea_lsp,0,-16,0 : print 1 : waittimer 200 msp t_btn_ura_tea_lsp,0,-16,50 : print 1 : waittimer 250 msp t_btn_ura_tea_lsp,0,-16,50 :print 1 seplay 9,1001 *ura_tea_sub_menu_100 ;クリア状態によって表示するレイヤーを調整 if %UMINEKOEND >= 52 lsp t_btn_ep5_ura_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep5_ura_tea.png",500,208 ;178 if %UMINEKOEND >= 62 lsp t_btn_ep6_ura_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep6_ura_tea.png",500,227 ;197 if %UMINEKOEND >= 72 lsp t_btn_ep7_ura_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep7_ura_tea.png",500,246 ;216 if %UMINEKOEND >= 82 lsp t_btn_ep8_ura_tea_lsp,":bc/2,0,3;bmp\title\title_menu\ep8_ura_tea.png",500,265 ;235 print 85 ;15,10 *ura_tea_sub_menu_btn_100_loop btndef "" exbtn_d "P91,1C81C82C83C84C86C25C24C23C22" exbtn t_btn_ep5_ura_tea_lsp,t_btn_ep5_ura_tea_lsp,"" exbtn t_btn_ep6_ura_tea_lsp,t_btn_ep6_ura_tea_lsp,"" exbtn t_btn_ep7_ura_tea_lsp,t_btn_ep7_ura_tea_lsp,"" exbtn t_btn_ep8_ura_tea_lsp,t_btn_ep8_ura_tea_lsp,"" btnwait2 %BtnRes if %BtnRes = t_btn_ep5_ura_tea_lsp btndef "" : mov %scenario_Number,5 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *ura_teatime_5 if %BtnRes = t_btn_ep6_ura_tea_lsp btndef "" : mov %scenario_Number,6 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *ura_teatime_6 if %BtnRes = t_btn_ep7_ura_tea_lsp btndef "" : mov %scenario_Number,7 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *ura_teatime_7 if %BtnRes = t_btn_ep8_ura_tea_lsp btndef "" : mov %scenario_Number,8 : csp -1 : seplay 9,17 : bg white,10 : delay 2000 : goto *ura_teatime_8 if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *ura_tea_sub_menu_btn_100_loop ;------------------------------ ;------------------------------ ;Tipsを押した後のサブメニュー *tips_sub_menu btndef "" vsp t_btn_start_lsp,0 ; vsp t_btn_web_lsp,0 vsp t_btn_controls_lsp,0 vsp t_btn_tea_lsp,0 ;vsp t_btn_tips_lsp,0 vsp t_btn_exit_lsp,0 vsp t_btn_music_lsp,0 vsp t_btn_ura_tea_lsp,0 seplay 8,1001 print 1 wait 100 ;Tipsボタン上にずらす。 resettimer msp t_btn_tips_lsp,0,-16,-100 : print 1 : waittimer 50 msp t_btn_tips_lsp,0,-16,0 : print 1 : waittimer 100 msp t_btn_tips_lsp,0,-16,0 : print 1 : waittimer 150 msp t_btn_tips_lsp,0,-16,0 : print 1 : waittimer 200 msp t_btn_tips_lsp,0,-16,0 : print 1 : waittimer 250 msp t_btn_tips_lsp,0,-16,0 : print 1 : waittimer 300 msp t_btn_tips_lsp,0,-16,50 : print 1 : waittimer 350 msp t_btn_tips_lsp,0,-16,50 :print 1 seplay 9,1001 *tips_sub_menu_100 ;クリア状態によって表示するレイヤーを調整 print 85 ;15,10 *tips_sub_menu_btn_100_loop btndef "" exbtn_d "P93,1C79C81C82C83C86C35C34C33C32" exbtn t_btn_ep5_tips_lsp,t_btn_ep5_tips_lsp,"" exbtn t_btn_ep6_tips_lsp,t_btn_ep6_tips_lsp,"" exbtn t_btn_ep7_tips_lsp,t_btn_ep7_tips_lsp,"" exbtn t_btn_ep8_tips_lsp,t_btn_ep8_tips_lsp,"" btnwait2 %BtnRes mov %r_last_language,1 ;日本語で強制的に始める(英語だとエラーがでるので ; mov %r_last_language,%cur_language if %BtnRes = t_btn_ep5_tips_lsp csp -1 : mov %scenario_Number,5 : seplay 9,1001 : bg black,10,200 : mov %r_hyouji,1 : mov %r_for_title,1 : gosub *rmenu_main_ep5 : mov %title_skip,1 : goto *b_title if %BtnRes = t_btn_ep6_tips_lsp csp -1 : mov %scenario_Number,8 : seplay 9,1001 : bg black,10,200 : mov %r_hyouji,1 : mov %r_for_title,1 : gosub *rmenu_main_ep6 : mov %title_skip,1 : goto *b_title if %BtnRes = t_btn_ep7_tips_lsp csp -1 : mov %scenario_Number,7 : seplay 9,1001 : bg black,10,200 : mov %r_hyouji,1 : mov %r_for_title,1 : gosub *rmenu_main_ep7 : mov %title_skip,1 : goto *b_title if %BtnRes = t_btn_ep8_tips_lsp csp -1 : mov %scenario_Number,8 : seplay 9,1001 : bg black,10,200 : mov %r_hyouji,1 : mov %r_for_title,1 : gosub *rmenu_main_ep8 : mov %title_skip,1 : goto *b_title if %BtnRes = 0 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan if %BtnRes = -1 btndef "" : seplay 9,1001 : mov %r_for_title,1 : goto *b_title_shunkan goto *tips_sub_menu_btn_100_loop ;------------------------------ ;><><><><><><><><>><><><><><><><><> *umi_start ;右クリック第三サイドフラグoff mov %side_flg,0 csp -1 seplay 9,17 bg white,10 E_MA delay 2000 ;現在はシナリオが一つのため強制的にep5へ if %scenario_Number = 5 goto *umi5_standby if %scenario_Number = 6 goto *umi6_standby if %scenario_Number = 7 goto *umi7_standby if %scenario_Number = 8 goto *umi8_standby ;多分、シナリオ選択画面になる予定 *umi5_standby ; if %UMINEKOEND >= 51 goto *scenario_jump_q ; gosub *jump_sysdata_set1_1 goto *wareranokokuhaku ;*umi6_standby ;ここにきている\\ ; if %UMINEKOEND >= 61 goto *scenario_jump_q ; gosub *jump_sysdata_set1_1 goto *d01 ;*umi7_standby ; if %UMINEKOEND >= 71 goto *scenario_jump_q ; gosub *jump_sysdata_set1_1 ; goto *umi7_opning *umi8_standby if %UMINEKOEND >= 71 goto *scenario_jump_q gosub *jump_sysdata_set1_1 goto *d01 ; goto *umi8_opning ;*********************************************************** ; ; シナリオジャンプモード ; ;*********************************************************** *scenario_jump_q csp -1 ;時計に花飾りを表示、背景はチェス盤を使用する。 lsp 703,":c;bmp\r_click\black.bmp",0,0,100 lsp 701,":b;bmp\efe\hana_u.png",0,0,150 lsp 702,":b;bmp\efe\hana_d.png",0,364,150 ;小さい時計の画像を用意する。 bg chess1,2 mov %cur_language,1 ;日本語で。どこかで英語になったりしてしまう、根本解決できる時間がないので力業で。 locate 0,4 setwindow 31,16,22,16,20,20,0,2,20,1,1,#999999,0,0,639,479 langjp^^ You may perform witchcraft to jump forward in time. langen^~c4~ You may perform witchcraft langen^~c4~ to jump forward in time. br notif %cur_language = 1 jumpf langjp^ select ^ Cast the spell^,*scenario_jump_name,^ Do not cast the spell^,*scenario_start ~ select"^~c4~ Cast the spell",*scenario_jump_name,"^~c4~ Do not cast the spell",*scenario_start ;-------------------------------------------- *scenario_start ;シナリオを最初からはじめる ;開始シナリオ確認 if %scenario_Number = 5 gosub *jump_sysdata_set1_1 : goto *wareranokokuhaku ;シナリオ1 基本データ収得 if %scenario_Number = 6 gosub *jump_sysdata_set1_1 : goto *umi6_opning ;シナリオ2 基本データ収 if %scenario_Number = 7 gosub *jump_sysdata_set1_1 : goto *umi7_opning ;シナリオ3 基本データ収得 if %scenario_Number = 8 gosub *jump_sysdata_set1_1 : goto *d01 ;シナリオ4 基本データ収得 ;-------------------------------------------- *scenario_jump_name setwindow 31,16,22,16,20,20,0,2,20,1,1,#999999,0,0,639,479 ;シナリオ変数によりタイトル名取得 notif %scenario_Number = 5 goto *scenario_ep6 ;第5シナリオじゃなきゃ次へ ;シナリオ5 mov %Free1,501 notif %cur_language = 1 goto *engjumpsep5 mov $%Free1,":s#C7C7C7#FFFFFF未完成の原稿 " :inc %Free1 ;*umi5_1 2 mov $%Free1,":s#C7C7C7#FFFFFF猫の腸の中 " :inc %Free1 ;*umi5_2 3 mov $%Free1,":s#C7C7C7#FFFFFF灼熱のフラウロス " :inc %Free1 ;*umi5_3 6 mov $%Free1,":s#C7C7C7#FFFFFF我らの告白 " :inc %Free1 ;*umi5_4 9 goto *postep5jumps *engjumpsep5 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Magic of Miracles ^" :inc %Free1 ;*umi5_1 2 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~A Proper Mystery ^" :inc %Free1 ;*umi5_2 3 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Closed Room Barrier ^" :inc %Free1 ;*umi5_3 6 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Furudo Erika Sat, Oct 4 1986 11:00AM^" :inc %Free1 ;*umi5_4 9 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Witch of Miracles Sat, Oct 4 1986 7:00PM^" :inc %Free1 ;*umi5_5 11 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Those Who Reach It Sat, Oct 4 1986 10:00PM^" :inc %Free1 ;*umi5_6 16 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Frantic Golden Drama Sat, Oct 4 1986 10:47PM^" :inc %Free1 ;*umi5_7 19 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The True Family Conference Sat, Oct 4 1986 11:49PM^" :inc %Free1 ;*umi5_8 22 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Revenge for 19 Years Ago ^" :inc %Free1 ;*umi5_9 25 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Morning of Tragedy Sun, Oct 5 1986 7:00AM^" :inc %Free1 ;*umi5_10 27 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Ten Wedges to Pierce Witches Sun, Oct 5 1986 8:04AM^" :inc %Free1 ;*umi5_11 30 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Reasoning and Inspection Sun, Oct 5 1986 11:00AM^" :inc %Free1 ;*umi5_12 36 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Closet Sun, Oct 5 1986 12:33PM^" :inc %Free1 ;*umi5_13 40 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Great Court of Illusions Sun, Oct 5 1986 12:00AM^" :inc %Free1 ;*umi5_14 44 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Revenge After 19 Years Sun, Oct 5 1986 -------^" :inc %Free1 ;*umi5_15 50 *postep5jumps ;シナリオ5のラベル数取得 mov %Free2,15 ~ *scenario_ep6 notif %scenario_Number = 6 goto *scenario_ep7 ;第6シナリオじゃなきゃ次へ ;シナリオ6 mov %Free1,501 notif %cur_language = 1 goto *engjumpsep6 mov $%Free1,":s#C7C7C7#FFFFFF観劇の魔女 " :inc %Free1 ;*umi6_1 mov $%Free1,":s#C7C7C7#FFFFFFゲームマスター " :inc %Free1 ;*umi6_2 mov $%Free1,":s#C7C7C7#FFFFFF偽ざる気持ち " :inc %Free1 ;*umi6_3 mov $%Free1,":s#C7C7C7#FFFFFF迷惑な客人 " :inc %Free1 ;*umi6_4 mov $%Free1,":s#C7C7C7#FFFFFF魔法の原点 " :inc %Free1 ;*umi6_5 mov $%Free1,":s#C7C7C7#FFFFFF恋人たち 10月4日(土)22時03分 " :inc %Free1 ;*umi6_6 mov $%Free1,":s#C7C7C7#FFFFFF別の解釈の魔法 10月4日(土)22時59分 " :inc %Free1 ;*umi6_7 mov $%Free1,":s#C7C7C7#FFFFFFゼパルとフルフル " :inc %Free1 ;*umi6_8 mov $%Free1,":s#C7C7C7#FFFFFF自立 " :inc %Free1 ;*umi6_9 mov $%Free1,":s#C7C7C7#FFFFFF恋愛の後悔 10月5日(日)00時07分 " :inc %Free1 ;*umi6_10 mov $%Free1,":s#C7C7C7#FFFFFF戦わぬ者の末路 10月5日(日)00時12分 " :inc %Free1 ;*umi6_11 mov $%Free1,":s#C7C7C7#FFFFFF現場巡り 10月5日(日)01時11分 " :inc %Free1 ;*umi6_12 mov $%Free1,":s#C7C7C7#FFFFFF探偵宣言 " :inc %Free1 ;*umi6_13 mov $%Free1,":s#C7C7C7#FFFFFF小さな矛盾 10月5日(日)02時22分 " :inc %Free1 ;*umi6_14 mov $%Free1,":s#C7C7C7#FFFFFFロジックエラー 10月5日(日)02時34分 " :inc %Free1 ;*umi6_15 mov $%Free1,":s#C7C7C7#FFFFFF悪魔の結婚式 " :inc %Free1 ;*umi6_16 mov $%Free1,":s#C7C7C7#FFFFFF恋人達の決闘 " :inc %Free1 ;*umi6_17 mov $%Free1,":s#C7C7C7#FFFFFF赤と青の真実 " :inc %Free1 ;*umi6_18 goto *postep6jumps *engjumpsep6 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Witch of Theatergoing^" :inc %Free1 ;*umi6_1 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Game Master^" :inc %Free1 ;*umi6_2 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~True Feelings^" :inc %Free1 ;*umi6_3 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Annoying Guest^" :inc %Free1 ;*umi6_4 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Source of Magic^" :inc %Free1 ;*umi6_5 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Lovers Sat, Oct 4 1986 10:03PM^" :inc %Free1 ;*umi6_6 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Magic of a Different Interpretation Sat, Oct 4 1986 10:59PM^" :inc %Free1 ;*umi6_7 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Zepar and Furfur^" :inc %Free1 ;*umi6_8 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Self-Reliance^" :inc %Free1 ;*umi6_9 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Romantic Regrets Sun, Oct 5 1986 12:07AM^" :inc %Free1 ;*umi6_10 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Fate of Those Who Do Not Fight Sun, Oct 5 1986 12:12AM^" :inc %Free1 ;*umi6_11 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~About the Crime Scene Sun, Oct 5 1986 1:11AM^" :inc %Free1 ;*umi6_12 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Detective Proclamation^" :inc %Free1 ;*umi6_13 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~A Small Contradiction Sun, Oct 5 1986 2:22AM^" :inc %Free1 ;*umi6_14 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Logic Error Sun, Oct 5 1986 2:34AM^" :inc %Free1 ;*umi6_15 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Demon Wedding Ceremony^" :inc %Free1 ;*umi6_16 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Duel of the Lovers^" :inc %Free1 ;*umi6_17 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Red and Blue Truth^" :inc %Free1 ;*umi6_18 *postep6jumps ;シナリオ6のラベル数取得 mov %Free2,18 ~ *scenario_ep7 notif %scenario_Number = 7 goto *scenario_ep8 ;第7シナリオじゃなきゃ次へ ;notif %scenario_Number = 1 jumpf ;テストモード mov %scenario_Number,7 ;テストモード ;シナリオ7 mov %Free1,501 notif %cur_language = 1 goto *engjumpsep7 mov $%Free1,":s#C7C7C7#FFFFFFベアトリーチェ殺人事件 " :inc %Free1 ;*umi7_1 mov $%Free1,":s#C7C7C7#FFFFFF楼座の告白 " :inc %Free1 ;*umi7_2 mov $%Free1,":s#C7C7C7#FFFFFF右代宮金蔵 " :inc %Free1 ;*umi7_3 mov $%Free1,":s#C7C7C7#FFFFFFサロ共和国 " :inc %Free1 ;*umi7_4 mov $%Free1,":s#C7C7C7#FFFFFF海から来た魔女 " :inc %Free1 ;*umi7_5 mov $%Free1,":s#C7C7C7#FFFFFFベアトリーチェの誕生 " :inc %Free1 ;*umi7_6 mov $%Free1,":s#C7C7C7#FFFFFF貴賓室の怪談 " :inc %Free1 ;*umi7_7 mov $%Free1,":s#C7C7C7#FFFFFFこいつが、犯人だ " :inc %Free1 ;*umi7_8 mov $%Free1,":s#C7C7C7#FFFFFF新しき生活 " :inc %Free1 ;*umi7_9 mov $%Free1,":s#C7C7C7#FFFFFF初めての友人 " :inc %Free1 ;*umi7_10 mov $%Free1,":s#C7C7C7#FFFFFF虜になる日々 " :inc %Free1 ;*umi7_11 mov $%Free1,":s#C7C7C7#FFFFFF新しき日々 " :inc %Free1 ;*umi7_12 mov $%Free1,":s#C7C7C7#FFFFFF新しき元素 " :inc %Free1 ;*umi7_13 mov $%Free1,":s#C7C7C7#FFFFFF試される日 " :inc %Free1 ;*umi7_14 mov $%Free1,":s#C7C7C7#FFFFFF恋の芽、恋の根 " :inc %Free1 ;*umi7_15 mov $%Free1,":s#C7C7C7#FFFFFF黄金郷への旅立ち " :inc %Free1 ;*umi7_16 mov $%Free1,":s#C7C7C7#FFFFFF魔女の蘇る日 " :inc %Free1 ;*umi7_17 mov $%Free1,":s#C7C7C7#FFFFFF魔女幻想、散る " :inc %Free1 ;*umi7_18 goto *postep7jumps *engjumpsep7 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Murder of Beatrice^" :inc %Free1 ;*umi7_1 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Rosa Confesses^" :inc %Free1 ;*umi7_2 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Ushiromiya Kinzo^" :inc %Free1 ;*umi7_3 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Salo Republic^" :inc %Free1 ;*umi7_4 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Witch Who Came from the Sea^" :inc %Free1 ;*umi7_5 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Birth of Beatrice^" :inc %Free1 ;*umi7_6 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Ghost Story of the VIP Room^" :inc %Free1 ;*umi7_7 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Here is the Culprit^" :inc %Free1 ;*umi7_8 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~A New Life^" :inc %Free1 ;*umi7_9 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The First Friend^" :inc %Free1 ;*umi7_10 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Days Enraptured^" :inc %Free1 ;*umi7_11 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~New Days^" :inc %Free1 ;*umi7_12 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~A New Element^" :inc %Free1 ;*umi7_13 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Days of Trials^" :inc %Free1 ;*umi7_14 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Sprout of Love, Root of Love^" :inc %Free1 ;*umi7_15 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Journey to the Golden Land^" :inc %Free1 ;*umi7_16 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Day the Witch Revived^" :inc %Free1 ;*umi7_17 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Breakdown of the Witch's Illusion^" :inc %Free1 ;*umi7_18 *postep7jumps ;シナリオ7のラベル数取得 mov %Free2,18 ~ ;シナリオ8 *scenario_ep8 notif %scenario_Number = 8 goto *scenario_jump ;第8シナリオじゃなきゃ次へ mov %scenario_Number,8 ;テストモード mov %Free1,501 notif %cur_language = 1 goto *engjumpsep8 mov $%Free1,":s#C7C7C7#FFFFFF^Lively tea party^" : inc %Free1 ;*d01 mov $%Free1,":s#C7C7C7#FFFFFF^Witch of the Piece^" : inc %Free1 ;*d02 mov $%Free1,":s#C7C7C7#FFFFFF^Opening^" : inc %Free1 ;*d03 mov $%Free1,":s#C7C7C7#FFFFFF^Ushiromiya Piece^" : inc %Free1 ;*d07 mov $%Free1,":s#C7C7C7#FFFFFF^Overwritten World^" : inc %Free1 ;*d08 mov $%Free1,":s#C7C7C7#FFFFFF^Ushiromiya Asumu^" : inc %Free1 ;*d10 mov $%Free1,":s#C7C7C7#FFFFFF^All-Cast Review^" : inc %Free1 ;*d15 goto *postep8jumps *engjumpsep8 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~To Rokkenjima^" : inc %Free1 ;*umi8_1 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Six-year-old Ange^" : inc %Free1 ;*umi8_2 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Returning the Gold^" : inc %Free1 ;*umi8_3 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Halloween Party^" : inc %Free1 ;*umi8_4 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Quiz Tournament (first half)^" : inc %Free1 ;*umi8_5 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Quiz Tournament (question #1)^" : inc %Free1 ;*umi8_6 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Quiz Tournament (second half)^" : inc %Free1 ;*umi8_7 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Banquet of Witches and Humans^" : inc %Free1 ;*umi8_8 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Bern Puzzle^" : inc %Free1 ;*umi8_9 29 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Challenging Bern^" : inc %Free1 ;*umi8_10 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Visitor Who Came Late^" : inc %Free1 ;*umi8_11 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Black Cat Scratch Marks^" : inc %Free1 ;*umi8_12 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Hachijo Tohya^" : inc %Free1 ;*umi8_13 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~The Book of the Truth^" : inc %Free1 ;*umi8_14 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Hachijo Ikuko^" : inc %Free1 ;*umi8_15 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Siege of the Fleet^" : inc %Free1 ;*umi8_16 mov $%Free1,":s#C7C7C7#FFFFFF^~c4~Ange Makes a Choice^" : inc %Free1 ;*umi8_17 *postep8jumps ;シナリオ8のラベル数取得 mov %Free2,12 if %UMINEKOEND >= 81 mov %Free2,17 ~ *scenario_jump mov %Free1,501 ;X軸基本値 mov %x,60 if %scenario_Number = 5 mov %x,50 if %scenario_Number = 6 mov %x,20 if %scenario_Number = 7 mov %x,50 if %scenario_Number = 8 mov %x,20 ;Y軸基本値 mov %y,60 if %scenario_Number = 6 mov %y,45 if %scenario_Number = 7 mov %y,50 ;画面配置 ;説明テキストの表示 ;lsp 500,":s#FFFFFF行き先をご選択くださいませ",100,20 ;lsp 500,":s#FFFFFF^Please select your destination.^",60,20 ;if %scenario_Number = 6 lsp 500,":s#FFFFFF^Please select your destination.^",20,15 notif %cur_language = 1 jumpf lsp 500,":s#FFFFFF行き先をご選択くださいませ",50,20 if %scenario_Number = 6 mov %x,100 if %scenario_Number = 6 lsp 500,":s#FFFFFF^行き先をご選択くださいませ^",100,15 ~ notif %cur_language = 0 jumpf lsp 500,":s#FFFFFF^Please select your destination. ",50,20 ;;行き先をご選択くださいませ if %scenario_Number = 6 lsp 500,":s#FFFFFF^Please select your destination.^",20,15 if %scenario_Number = 8 lsp 500,":s#FFFFFF^Please select your destination.^",20,15 ~ ;スプライトボタンの配置(EP1〜EP3) ;EP4は二段組にする為、別途ボタンの配置を行う。 if %scenario_Number = 8 jumpf for %Free3 = 1 to %Free2 ;スプライト配置回数が 倍数なら、次の行へ ;スプライト配置開始 lsp %Free1,$%Free1,%x,%y ;ボタン化 spbtn %Free1,%Free3 ;X値増加 add %y,22 inc %Free1 next ;戻るボタンスプライトの設置 ; lsp rmenu_btn_Exit,":s#C7C7C7#FFFFFF戻る ",%x,%y ; if %scenario_Number = 7 mov %y,446 ; lsp rmenu_btn_Exit,":s#C7C7C7#FFFFFF^Back to Main Menu^",%x,%y if %cur_language = 1 lsp rmenu_btn_Exit,":s#C7C7C7#FFFFFF戻る ",%x,%y if %cur_language = 0 && %scenario_Number = 7 mov %y,446 if %cur_language = 0 lsp rmenu_btn_Exit,":s#C7C7C7#FFFFFF^Back to Main Menu ",%x,%y ~ notif %scenario_Number = 8 jumpf mov %x,40 : mov %y,100 if %cur_language = 1 lsp 500,":s#FFFFFF^Please select your destination.^",%x,50 if %cur_language = 0 lsp 500,":s#FFFFFF^Please select your destination.^",%x,50 for %Free3 = 1 to %Free2 ;10以上は2列目に表示を行う。 if %Free3 = 11 mov %x,360 : mov %y,100 ;スプライト配置回数が 倍数なら、次の行へ ;スプライト配置開始 lsp %Free1,$%Free1,%x,%y ;ボタン化 spbtn %Free1,%Free3 ;X値増加 add %y,30 inc %Free1 next ;戻るボタンスプライトの設置 if %cur_language = 1 lsp rmenu_btn_Exit,":s#C7C7C7#FFFFFFBack",40,420 if %cur_language = 0 lsp rmenu_btn_Exit,":s#C7C7C7#FFFFFF^Back to Main Menu^",40,420 ~ spbtn 499,499 spbtn rmenu_btn_Exit,rmenu_btn_Exit amsp 703,0,0,200 print 1 *scenario_jump_btnwait ;ボタン待ち btnwait %btnRes if %btnRes = rmenu_btn_Exit goto *b_title if %btnRes = -1 goto *b_title if %scenario_Number = 5 goto *scenario_jump_data1 if %scenario_Number = 6 goto *scenario_jump_data2 if %scenario_Number = 7 goto *scenario_jump_data3 if %scenario_Number = 8 goto *scenario_jump_data4 ;戻るでタイトルに戻る goto *scenario_jump_btnwait ;ボタン待ちにジャンプ ;--------------------------- *jump_sysdata_set1_1 ;シナリオ1-1システム設定 if %scenario_Number = 8 : mov %play_scene,80010 : mov %r_hyouji_cha,BUT if %scenario_Number = 5 : mov %play_scene,50000 : mov %r_hyouji_cha,BUT btndef "" textoff gosub *DATA_SET csp -1 bg black,22 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 langjp^!sd langen!sd return *jump_sysdata_set1_2 ;シナリオ1-2システム設定 ;右クリックで登場人物リストが閲覧可能 btndef "" textoff gosub *DATA_SET csp -1 bg black,22 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 langjp^!sd langen!sd return *jump_sysdata_set1_3 ;シナリオ1-3システム設定 ;TIPS閲覧可能 btndef "" textoff gosub *DATA_SET csp -1 bg black,22 setwindow 31,350,25,20,23,23,0,2,20,1,1,#999999,0,340,639,479 langjp^!sd langen!sd return *scenario_jump_data1 if %BtnRes < 1 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ if %BtnRes > 17 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ csp -1 lsp 703,":c;bmp\r_click\black.bmp",0,0,200 lsp2 8,":b;bmp\efe\hana_u.png",320,58,100,100,0 lsp2 9,":b;bmp\efe\hana_d.png",320,422,100,100,0 print 22 if %BtnRes = 1 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : gosub *jump_flg_set1_1 :mov %play_scene,50000 : goto *warera01 if %BtnRes = 2 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : gosub *jump_flg_set1_2 : mov %play_scene,50000 : goto *warera02 if %BtnRes = 3 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : gosub *jump_flg_set1_3 :mov %play_scene,50000 : goto *warera03 if %BtnRes = 4 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : gosub *jump_flg_set1_4 :mov %play_scene,50000 : goto *warera04 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ *scenario_jump_data2 if %BtnRes < 1 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ if %BtnRes > 18 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ csp -1 lsp 703,":c;bmp\r_click\black.bmp",0,0,200 lsp2 8,":b;bmp\efe\hana_u.png",320,58,100,100,0 lsp2 9,":b;bmp\efe\hana_d.png",320,422,100,100,0 print 22 if %BtnRes = 1 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi6_1 if %BtnRes = 2 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi6_2 if %BtnRes = 3 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi6_3 if %BtnRes = 4 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi6_4 if %BtnRes = 5 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_2 : goto *umi6_5 if %BtnRes = 6 gosub *scenario_jump_hiduke_1986_10_4 : clock 0,0,22,3,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_6 if %BtnRes = 7 gosub *scenario_jump_hiduke_1986_10_4 : clock 0,0,22,59,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_7 if %BtnRes = 8 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_8 if %BtnRes = 9 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_9 if %BtnRes = 10 gosub *scenario_jump_hiduke_1986_10_5 : clock 0,0,0,7,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_10 if %BtnRes = 11 gosub *scenario_jump_hiduke_1986_10_5 : clock 0,0,0,12,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_11 if %BtnRes = 12 gosub *scenario_jump_hiduke_1986_10_5 : clock 0,0,1,11,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_12 if %BtnRes = 13 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_13 if %BtnRes = 14 gosub *scenario_jump_hiduke_1986_10_5 : clock 24,0,26,22,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_14 if %BtnRes = 15 gosub *scenario_jump_hiduke_1986_10_5 : clock 24,0,26,34,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_15 if %BtnRes = 16 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_16 if %BtnRes = 17 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_17 if %BtnRes = 18 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi6_18 *scenario_jump_data3 if %BtnRes < 1 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ if %BtnRes > 18 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ csp -1 lsp 703,":c;bmp\r_click\black.bmp",0,0,200 lsp2 8,":b;bmp\efe\hana_u.png",320,58,100,100,0 lsp2 9,":b;bmp\efe\hana_d.png",320,422,100,100,0 print 22 if %BtnRes = 1 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_1 if %BtnRes = 2 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_2 if %BtnRes = 3 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_3 if %BtnRes = 4 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_4 if %BtnRes = 5 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_5 if %BtnRes = 6 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_6 if %BtnRes = 7 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_7 if %BtnRes = 8 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_8 if %BtnRes = 9 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_9 if %BtnRes = 10 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_10 if %BtnRes = 11 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_11 if %BtnRes = 12 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_12 if %BtnRes = 13 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_13 if %BtnRes = 14 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_14 if %BtnRes = 15 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_15 if %BtnRes = 16 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_16 if %BtnRes = 17 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_17 if %BtnRes = 18 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_18 if %BtnRes = 19 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : goto *umi7_19 *scenario_jump_data4 if %BtnRes < 1 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ if %BtnRes > 19 goto *scenario_jump_btnwait ;ボタン待ちにジャンプ csp -1 lsp 703,":c;bmp\r_click\black.bmp",0,0,200 lsp2 8,":b;bmp\efe\hana_u.png",320,58,100,100,0 lsp2 9,":b;bmp\efe\hana_d.png",320,422,100,100,0 print 22 if %BtnRes = 1 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d01 if %BtnRes = 2 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d02 if %BtnRes = 3 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d03 if %BtnRes = 4 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d05 if %BtnRes = 5 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d08 if %BtnRes = 6 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d10 if %BtnRes = 7 gosub *scenario_jump_exit_efe1 :gosub *jump_sysdata_set1_1 : mov %play_scene,80010 : goto *d15 ;ep8 時計消去前 ; if %BtnRes = 1 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi8_1 ; if %BtnRes = 2 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi8_2 ; if %BtnRes = 3 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi8_3 ; if %BtnRes = 4 clock 0,0,14,51,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_1 : goto *umi8_4 ; if %BtnRes = 5 gosub *scenario_jump_hiduke_1986_10_4 : clock 0,0,18,7,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_2 : goto *umi8_5 ; if %BtnRes = 6 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_6 ; if %BtnRes = 7 gosub *scenario_jump_exit_efe1 : gosub *scenario_jump_hiduke_1998 : gosub *jump_sysdata_set1_3 : goto *umi8_7 ; if %BtnRes = 8 gosub *scenario_jump_exit_efe1 : gosub *scenario_jump_hiduke_enj_mirai : gosub *jump_sysdata_set1_3 : goto *umi8_8 ; if %BtnRes = 9 csp -1 : csp2 -1 : bg black,2 : gosub *jump_sysdata_set1_3 : goto *umi8_9 ; if %BtnRes = 10 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_10 ; if %BtnRes = 11 clock 0,0,21,48,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_11 ; if %BtnRes = 12 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_12 ; if %BtnRes = 13 gosub *scenario_jump_hiduke_1986_10_4_2 : clock 0,0,22,32,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_13 ; if %BtnRes = 14 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_14 ; if %BtnRes = 15 gosub *scenario_jump_hiduke_1986_10_4_2 : clock 0,0,23,0,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_15 ; if %BtnRes = 16 gosub *scenario_jump_hiduke_1986_10_4_2 : clock 0,0,23,11,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_16 ; if %BtnRes = 17 gosub *scenario_jump_hiduke_1986_10_4_2 : clock 0,0,23,47,11,0,320,240,30 : gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_17 ; if %BtnRes = 18 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_18 ; if %BtnRes = 19 gosub *scenario_jump_exit_efe1 : gosub *jump_sysdata_set1_3 : goto *umi8_19 ;---------------------------------------- *scenario_jump_hiduke_1986_10_4 csp -1 csp2 -1 bg black,2 se1 29 ;bg oct_4_1986,2 mov $witchh4, oct_4_1986 setLangString $witchh4, "" bg $witchh4,2 wait 1000 bg black,2 return *scenario_jump_hiduke_1986_10_4_2 csp -1 csp2 -1 bg black,2 se1 28 ;bg oct_4_1986,2 mov $witchh4, oct_4_1986 setLangString $witchh4, "" bg $witchh4,2 wait 1000 bg black,2 return *scenario_jump_hiduke_1986_10_5 csp -1 csp2 -1 bg black,2 se1 28 ;bg oct_5_1986,2 mov $witchh4, oct_5_1986 setLangString $witchh4, "" bg $witchh4,2 wait 1000 bg black,2 return *scenario_jump_hiduke_1998 E_A csp -1 csp2 -1 bg black,2 se1v 29,70 mov $witchh4, oct_1998 setLangString $witchh4, "" bg $witchh4,80 wait 4000 bg black,22 return *scenario_jump_hiduke_enj_mirai E_A csp -1 csp2 -1 se1v 29,70 mov $witchh4, ENJ_mirai01 setLangString $witchh4, "" bg $witchh4,80 wait 4000 bg black,22 return ;---------------------------------------- *scenario_jump_exit_efe1 ;ガラス割れエフェクトを使用する。 E_A delay 1000 lsp2 1,":b;bmp\efe\ware.png",320,240,100,100,0 seplay 9,1100 print 1 delay 1000 csp2 -1 csp -1 bg black,2 return ;---------------------------------------- ;シナリオジャンプ時のキャラコンディション、フラグの管理 *jump_flg_set1_0 ;キャラ状態、Tipsの初期化 for %tmp = 1 to cha_kazu_ep5 : mov ?r[%scenario_Number][%tmp][condition],0 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next return *jump_flg_set1_1 ;キャラ状態、Tipsの初期化 for %tmp = 1 to cha_kazu_ep5: mov ?r[%scenario_Number][%tmp][condition],0 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?r[%scenario_Number][but][condition],1 mov ?r[%scenario_Number][geo][condition],1 mov ?r[%scenario_Number][hid][condition],1 mov ?r[%scenario_Number][eva][condition],1 mov ?r[%scenario_Number][kir][condition],1 mov ?r[%scenario_Number][rud][condition],1 mov ?r[%scenario_Number][mar][condition],1 mov ?r[%scenario_Number][ros][condition],1 return *jump_flg_set1_2 ;キャラ状態、Tipsの初期化 for %tmp = 1 to cha_kazu_ep5: mov ?r[%scenario_Number][%tmp][condition],0 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?r[%scenario_Number][but][condition],1 mov ?r[%scenario_Number][geo][condition],1 mov ?r[%scenario_Number][hid][condition],1 mov ?r[%scenario_Number][eva][condition],1 mov ?r[%scenario_Number][kir][condition],1 mov ?r[%scenario_Number][rud][condition],1 mov ?r[%scenario_Number][mar][condition],1 mov ?r[%scenario_Number][ros][condition],1 mov ?r[%scenario_Number][jes][condition],1 mov ?r[%scenario_Number][kum][condition],1 return *jump_flg_set1_3 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?r[%scenario_Number][bea][condition],0 mov ?r[%scenario_Number][ber][condition],0 mov ?r[%scenario_Number][sha][condition],0 mov ?r[%scenario_Number][gen][condition],0 mov ?r[%scenario_Number][nat][condition],0 mov ?r[%scenario_Number][kin][condition],0 mov ?r[%scenario_Number][nan][condition],0 mov ?r[%scenario_Number][kla][condition],0 return *jump_flg_set1_4 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?r[%scenario_Number][bea][condition],0 mov ?r[%scenario_Number][ber][condition],0 mov ?r[%scenario_Number][nan][condition],0 mov ?r[%scenario_Number][kla][condition],0 return *jump_flg_set1_5 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next return *jump_flg_set1_6 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 return *jump_flg_set1_7 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 return *jump_flg_set1_8 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 return *jump_flg_set1_9 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 return *jump_flg_set1_10 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 return *jump_flg_set1_11 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?r[%scenario_Number][kla][condition],2 mov ?r[%scenario_Number][rud][condition],2 mov ?r[%scenario_Number][kir][condition],2 mov ?r[%scenario_Number][ros][condition],2 mov ?r[%scenario_Number][goh][condition],2 mov ?r[%scenario_Number][sha][condition],2 return *jump_flg_set1_12 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?r[%scenario_Number][kla][condition],2 mov ?r[%scenario_Number][rud][condition],2 mov ?r[%scenario_Number][kir][condition],2 mov ?r[%scenario_Number][ros][condition],2 mov ?r[%scenario_Number][goh][condition],2 mov ?r[%scenario_Number][sha][condition],2 mov ?r[%scenario_Number][kin][condition],2 return *jump_flg_set1_13 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?r[%scenario_Number][kla][condition],2 mov ?r[%scenario_Number][rud][condition],2 mov ?r[%scenario_Number][kir][condition],2 mov ?r[%scenario_Number][ros][condition],2 mov ?r[%scenario_Number][goh][condition],2 mov ?r[%scenario_Number][sha][condition],2 mov ?r[%scenario_Number][kin][condition],2 return *jump_flg_set1_14 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?r[%scenario_Number][kla][condition],2 mov ?r[%scenario_Number][rud][condition],2 mov ?r[%scenario_Number][kir][condition],2 mov ?r[%scenario_Number][ros][condition],2 mov ?r[%scenario_Number][goh][condition],2 mov ?r[%scenario_Number][sha][condition],2 mov ?r[%scenario_Number][kin][condition],2 mov ?r[%scenario_Number][eva][condition],2 mov ?r[%scenario_Number][hid][condition],2 return *jump_flg_set1_15 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?r[%scenario_Number][kla][condition],2 mov ?r[%scenario_Number][rud][condition],2 mov ?r[%scenario_Number][kir][condition],2 mov ?r[%scenario_Number][ros][condition],2 mov ?r[%scenario_Number][goh][condition],2 mov ?r[%scenario_Number][sha][condition],2 mov ?r[%scenario_Number][kin][condition],3 mov ?r[%scenario_Number][eva][condition],2 mov ?r[%scenario_Number][hid][condition],2 return *jump_flg_set1_16 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],1 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?tips[3][tips_flg],1 mov ?tips[4][tips_flg],1 mov ?r[%scenario_Number][kla][condition],2 mov ?r[%scenario_Number][rud][condition],2 mov ?r[%scenario_Number][kir][condition],2 mov ?r[%scenario_Number][ros][condition],2 mov ?r[%scenario_Number][goh][condition],2 mov ?r[%scenario_Number][sha][condition],2 mov ?r[%scenario_Number][kin][condition],3 mov ?r[%scenario_Number][eva][condition],2 mov ?r[%scenario_Number][hid][condition],2 mov ?r[%scenario_Number][kan][condition],2 return *jump_flg_set1_17 ;キャラ状態、Tipsの初期化 for %tmp = 1 to 18 : mov ?r[%scenario_Number][%tmp][condition],2 : next for %tmp = 0 to 10 : mov ?tips[%tmp][tips_flg],0 : next mov ?r[%scenario_Number][kin][condition],3 mov ?tips[1][tips_flg],1 mov ?tips[2][tips_flg],1 mov ?tips[3][tips_flg],1 mov ?tips[4][tips_flg],1 return *ep5_95 return *ya1 mov %r_for_title,1 mov %r_hyouji_side,0 mov %scenario_Number,7 gosub *rmenu_main mov %play_scene,70000 goto *ya *ry1 ;mov %r_for_title,1 mov %r_hyouji_side,0 mov %scenario_Number,7 mov %play_scene,70000 ;gosub *rmenu_main_ep5_def goto *ry ;************************************************************************************ ;タイトル:*csp ;目的 :Csp文によるスプライト破棄時のカーソルのセット ; :文章を表示するときの矢印などをスプライトを拾得する ;2006/03/15 ;************************************************************************************ *csp getparam %cspParam ;全てのスプライト破棄であるならば、破棄後にカーソルデータを拾得 if %cspParam = -1 _csp -1 : lsph TextCC1SpNum,":l/3,160,2;Bmp\cursor0.bmp",0,0 : lsph TextCC2SpNum,":l/3,160,2;Bmp\cursor1.bmp",0,0 : jumpf if %cspParam = -2 && %efespflg = 0 _csp -1 : lsph TextCC1SpNum,":l/3,160,2;Bmp\cursor0.bmp",0,0 : lsph TextCC2SpNum,":l/3,160,2;Bmp\cursor1.bmp",0,0 : jumpf if %cspParam = -2 && %efespflg > 0 _csp -1 : lsph TextCC1SpNum,":l/3,160,2;Bmp\cursor0.bmp",0,0 : lsph TextCC2SpNum,":l/3,160,2;Bmp\cursor1.bmp",0,0 : dllefe %efespflg,%efespflg2 : jumpf if %cspParam = TextCC1SpNum return if %cspParam = TextCC2SpNum return ;それ以外なら、指定のスプライトを破棄する _csp %cspParam return ~ ;現在ページをログにコピーする ;if %tmordflg = 1 return dec %BacklogNum mov $%BacklogNum,$Textlog inc %BacklogNum return ;新規追加。雨命令の時、雨フラグがあったら雨を降らせる if %rain_flg = 1 : lsp efesp,":a;bmp\efe\rain.bmp",0,0 if %hikari_flg = 1 : lsp efesp,":a;bmp\efe\hikari.bmp",0,0 if %hikari_flg2 = 1 : lsp efesp,":a;bmp\efe\hikari2.bmp",0,0 ;************************************************************************************ ;タイトル:*texton *textoff ;目的 :テキスト表示エリアの背景をスプライトにする ; :文章を表示するときの背景画像のスプライトを拾得する ;2007/01/19 ;************************************************************************************ *texton ;テキスト背景をオンにする vsp text_back,1 ;文字表示エリアを表示する _texton print 1 return *textoff vsp text_back,0 _textoff print 1 return ;******************************************* ;人物紹介フラグコマンド ; ;******************************************* *introduction_flg btndef "" ;if %intro_prog_flg = off jumpf ;スプライトプログラムが動いているかの確認 ;mov %intro_prog_flg,off ;スプライトプログラムのフラグをオフ ;layermessage 10,"clear/10" ;スプライトプログラムのスプライト消去 ;layermessage 10,"program_end/10" ;スプライトプログラムのプログラム停止 ;csp 10 ;print 1 ;~ getparam %Free1,%Free2,%Free3,%Free4 ;人物、状態、コメント1、コメント2 mov %name_namber,%Free1 ;人物紹介開始番号移動 mov ?condition[%Free1],%Free2 ;対象者の状態変更 mov %introduction_open,on ;人物紹介システム フラグオン mov %Free5,Kin_com1 ;コメント1変数の頭だし dec %Free5 add %Free5,%Free1 ;人物番号を追加して、コメント変数を確定する mov %%Free5,%Free3 ;コメント変数にシナリオ進行度を収納する mov %Free5,Kin_com2 ;コメント2変数の頭だし dec %Free5 add %Free5,%Free1 ;人物番号を追加して、コメント変数を確定する mov %%Free5,%Free4 ;コメント変数にシナリオ進行度を収納する ;mov %intro_prog_flg,on ;スプライトプログラムのフラグをオン ;lsp intro,":a/5,600,1;紹介.bmp",340,380 ;lsp 10,"*10" ;layermessage 10,"draw/10,:a;紹介2.bmp,700,390,255,0,1,1,0,-1" ;layermessage 10,"program_begin/10" ;layermessage 10,"set/10,dcenter_x=-20,acenter_x=1" ;layermessage 10,"loop_begin/10,10" ;layermessage 10,"wait/10,2.5" ;layermessage 10,"loop_end/10" ;layermessage 10,"set/10,dcenter_x=0,acenter_x=0" ;layermessage 10,"loop_begin/10,10" ;layermessage 10,"wait/10,3" ;layermessage 10,"loop_end/10" ;layermessage 10,"set/10,dcenter_x=5,acenter_x=1" ;layermessage 10,"loop_begin/10,40" ;layermessage 10,"wait/10,10" ;layermessage 10,"loop_end/10" ;layermessage 10,"clear/10" ;layermessage 10,"loop_begin/10,10" ;layermessage 10,"wait/10,1000" ;layermessage 10,"loop_end/10" ;layermessage 10,"set/10,dcenter_x=0,acenter_x=0" return フェードアウト *Fade_out lsp Fade_back,":c;bmp\black.bmp",0,0,0 ;背景指定 mov %Free1,256 mov %Fade_wait1,100 ;総合ウェイト指定 mov %Fade,20 ;回数指定(透過率のため256回以上不可 *Fade_out_costom mov %Free3,BGM_v_Chx ;音量の減少値 mov %Free4,BGM_v_Chy ;音量値の百倍値 mov %Free5,BGM_v_Ch ;音量値の反映値 mov %Free2,%BGM_v_Ch mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %BGM_v_Chx,%Free3 mov %BGM_v_Chy,%Free2 mov %Free2,%Me_v_Ch0 mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %Me_v_Chx0,%Free3 mov %Me_v_Chy0,%Free2 mov %Free2,%Me_v_Ch1 mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %Me_v_Chx1,%Free3 mov %Me_v_Chy1,%Free2 mov %Free2,%Me_v_Ch2 mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %Me_v_Chx2,%Free3 mov %Me_v_Chy2,%Free2 mov %Free2,%Me_v_Ch3 mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %Me_v_Chx3,%Free3 mov %Me_v_Chy3,%Free2 mov %Free2,%Me_v_Ch4 mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %Me_v_Chx4,%Free3 mov %Me_v_Chy4,%Free2 mov %Free2,%Me_v_Ch5 mul %Free2,100 mov %Free3,%Free2 div %Free3,%Fade mov %Me_v_Chx5,%Free3 mov %Me_v_Chy5,%Free2 div %Fade_wait1,%Fade ;一回のウェイトの計算(総合ウェイト/回数) div %Free1,%Fade ;一回の不透過上昇値の計算(256/回数) for %Free9 = 1 to %Fade resettimer msp Fade_back,0,0,%Free1 ;黒幕をフェード率に合わせて透過値を変化 mov %Free3,BGM_v_Chy mov %Free5,BGM_v_Ch for %Free2 = BGM_v_Chx to Me_v_Chx5 mov %Free4,%%Free3 sub %Free4,%%Free2 sub %%Free3,%%Free2 div %Free4,100 mov %%Free5,%Free4 inc %Free3 inc %Free5 next print 1 bgmvol %BGM_v_Ch ;BGM音量を反映する chvol 10,%Me_v_Ch0 chvol 11,%Me_v_Ch1 chvol 12,%Me_v_Ch2 chvol 13,%Me_v_Ch3 chvol 14,%Me_v_Ch4 chvol 15,%Me_v_Ch5 waittimer %Fade_wait1 next bg black,1 gosub *E_A csp Fade_back print 1 return ;アイキャッチのカスタム ;************************************************** ;背景表示を司るのは<%eye_custom1>で ;ロゴ表示を司るのは<%eye_custom2>です ; ;<%eye_efeB1>〜<%eye_efeB3>は背景表示の時のdllによるパラメーターの制御用です ;<%eye_efeL1>〜<%eye_efeL3>はロゴ表示の時のdllによるパラメーターの制御用です ;<%eye_waitB><%eye_waitL>は背景、ロゴ表示速度です ;************************************************** *eye_custom ; rmode OFF ;右クリック一時使用不可 textoff ;テキストウィンドウ消去(エフェクトはデフォルト) if %intro_prog_flg = off jumpf ;スプライトプログラムが動いているかの確認 mov %intro_prog_flg,off ;スプライトプログラムのフラグをオフ layermessage 10,"clear/10" ;スプライトプログラムのスプライト消去 layermessage 10,"program_end/10" ;スプライトプログラムのプログラム停止 csp 10 ~ lsp Cinema_up,":c;bmp\efe\Cinema.BMP",0,0 lsp Cinema_down,":c;bmp\efe\Cinema.BMP",0,400 ;カスタム値から背景表示エフェクトを選択 ;普通 (上段下段に別れ左右からのみ) if %eye_custom1 = 1 print 7 ;クロスフェード if %eye_custom1 = 2 print 2 ;クロス渦巻き (右巻き左巻き) notif %eye_custom1 = 3 jumpf if %eye_efeB1 = 1 print 99,%eye_waitB,"whirl.dll/r" if %eye_efeB1 = 2 print 99,%eye_waitB,"whirl.dll/l" ~ ;拡散 (上下、左右、拡散集積逆拡散逆集積) notif %eye_custom1 = 4 jumpf if %eye_efeB1 = 1 mov $Free1,"breakup.dll/u" ;上から if %eye_efeB1 = 2 mov $Free1,"breakup.dll/l" ;下から if %eye_efeB2 = 1 mov $Free1,$Free1+"r" ;右から if %eye_efeB2 = 2 mov $Free1,$Free1+"l" ;左から if %eye_efeB3 = 1 mov $Free1,$Free1+"b" ;拡散 if %eye_efeB3 = 2 mov $Free1,$Free1+"p" ;集積 if %eye_efeB3 = 3 mov $Free1,$Free1+"B" ;拡散(反転) if %eye_efeB3 = 4 mov $Free1,$Free1+"P" ;集積(反転) print 99,%eye_waitB,$Free1 ~ ;クロス滝 (上下左右、右左クロス) notif %eye_custom1 = 5 jumpf if %eye_efeB1 = 1 mov $Free1,"cascade.dll/u" ;上から if %eye_efeB1 = 2 mov $Free1,"cascade.dll/d" ;下から if %eye_efeB1 = 3 mov $Free1,"cascade.dll/r" ;右から if %eye_efeB1 = 4 mov $Free1,"cascade.dll/l" ;左から if %eye_efeB2 = 1 mov $Free1,$Free1+"i" ;イン if %eye_efeB2 = 2 mov $Free1,$Free1+"o" ;アウト if %eye_efeB2 = 3 mov $Free1,$Free1+"x" ;クロス print 99,%eye_waitB,$Free1 ~ lsp Cinema_logo,":b;bmp\efe\Cinema_logo.png",320,360 ;カスタム値からロゴ表示エフェクトを選択 ;普通 (上段下段に別れ左右からのみ) if %eye_custom2 = 1 print 7 ;クロスフェード if %eye_custom2 = 2 print 42 ;クロス渦巻き (右巻き左巻き) notif %eye_custom2 = 3 jumpf if %eye_efeL1 = 1 print 99,%eye_waitL,"whirl.dll/r" if %eye_efeL1 = 2 print 99,%eye_waitL,"whirl.dll/l" ~ ;拡散 (上下、左右、拡散集積逆拡散逆集積) notif %eye_custom2 = 4 jumpf if %eye_efeL1 = 1 mov $Free1,"breakup.dll/u" ;上から if %eye_efeL1 = 2 mov $Free1,"breakup.dll/l" ;下から if %eye_efeL2 = 1 mov $Free1,$Free1+"r" ;右から if %eye_efeL2 = 2 mov $Free1,$Free1+"l" ;左から if %eye_efeL3 = 1 mov $Free1,$Free1+"b" ;拡散 if %eye_efeL3 = 2 mov $Free1,$Free1+"p" ;集積 if %eye_efeL3 = 3 mov $Free1,$Free1+"B" ;拡散(反転) if %eye_efeL3 = 4 mov $Free1,$Free1+"P" ;集積(反転) print 99,%eye_waitL,$Free1 ~ ;クロス滝 (上下左右、右左クロス) notif %eye_custom2 = 5 jumpf if %eye_efeL1 = 1 mov $Free1,"cascade.dll/u" ;上から if %eye_efeL1 = 2 mov $Free1,"cascade.dll/d" ;下から if %eye_efeL1 = 3 mov $Free1,"cascade.dll/r" ;右から if %eye_efeL1 = 4 mov $Free1,"cascade.dll/l" ;左から if %eye_efeL2 = 1 mov $Free1,$Free1+"i" ;イン if %eye_efeL2 = 2 mov $Free1,$Free1+"o" ;アウト if %eye_efeL2 = 3 mov $Free1,$Free1+"x" ;クロス print 99,%eye_waitL,$Free1 ~ resettimer ;使用変数の初期化 mov %eye_custom1,0 mov %eye_custom2,0 mov %eye_efeB1,0 mov %eye_efeB2,0 mov %eye_efeB3,0 mov %eye_efeL1,0 mov %eye_efeL2,0 mov %eye_efeL3,0 mov %eye_waitB,2000 mov %eye_waitL,2000 gosub *DATA_SET waittimer 2000 bg black,42 csp -1 print 7 ;setwindow 31,16,25,20,23,23,0,2,20,1,1,#999999,0,0,639,479 ;rmode ON langjp^!sd langen!sd return *eye_rnd rnd %Free1,6 ;背景表示方法 現在五種類 notif %Free1 = 3 jumpf rnd2 %Free2,1,2 ~ notif %Free1 = 4 jumpf rnd2 %Free2,1,2 rnd2 %Free3,1,2 rnd2 %Free4,1,4 ~ notif %Free1 = 5 jumpf rnd2 %Free2,1,4 rnd2 %Free3,1,3 ~ mov %eye_custom1,%Free1 mov %eye_efeB1,%Free2 mov %eye_efeB2,%Free3 mov %eye_efeB3,%Free4 rnd %Free1,5 ;ロゴ表示方法 現在5種類 inc %Free1 notif %Free1 = 3 jumpf rnd2 %Free2,1,2 ~ notif %Free1 = 4 jumpf rnd2 %Free2,1,2 rnd2 %Free3,1,2 rnd2 %Free4,1,4 ~ notif %Free1 = 5 jumpf rnd2 %Free2,1,4 rnd2 %Free3,1,3 ~ mov %eye_custom2,%Free1 mov %eye_efeL1,%Free2 mov %eye_efeL2,%Free3 mov %eye_efeL3,%Free4 rnd2 %Free1,1500,3000 rnd2 %Free2,1500,3000 mov %eye_waitB,%Free1 mov %eye_waitL,%Free2 return *mono getparam %Free1 ;モノクロ値を文字変数で取る notif %Free1 = off jumpf ;OFFで無いならON処理へ mov %monoflg,off monocro off return ~ if %Free1 = 1 mov %monoflg,1 : monocro #cccccc if %Free1 = 2 mov %monoflg,2 : monocro #ff4444 return ;********************************************** ;煉獄の七姉妹ジャンプシステム ;********************************************** *RG_set ; 背景パス名 回数 速度 getparam $RG_move_bg,%RG_move_jp,%RG_move_speed ;数値の設定 for %Free21 = 1 to %RG_move_jp mov %Free1,0 ;念のための初期化 mov %Free2,0 mov %Free3,0 mov %Free4,0 mov %Free11,0 mov %Free12,0 rnd2 %Free1,10,80 ;横幅の設定 この数字の四倍が値となる mov %Free2,%Free1 ;縦幅の設定 この数字の三倍が値となる mul %Free1,4 : mul %Free2,3 mov %Free11,640 : mov %Free12,480 ;xy座標の最大値決めの値 sub %Free11,%Free1 : sub %Free12,%Free2 rnd2 %Free3,0,%Free11 ;x座標の設定 rnd2 %Free4,0,%Free12 ;y座標の設定 mov ?RG_move[%Free21][1],%Free3 ;x座標の設定 mov ?RG_move[%Free21][2],%Free4 ;y座標の設定 mov ?RG_move[%Free21][3],%Free1 ;横幅の設定 mov ?RG_move[%Free21][4],%Free2 ;縦幅の設定 next return *RG_start btndef $RG_move_bg resettimer for %Free1 = 1 to %RG_move_jp se2v 40,100 blt 0,0,640,480,?RG_move[%Free1][1],?RG_move[%Free1][2],?RG_move[%Free1][3],?RG_move[%Free1][4] print 1 waittimer %RG_move_speed resettimer next return *RG_start_f btndef $RG_move_bg resettimer for %Free1 = 1 to %RG_move_jp se2v 40,100 blt 0,0,640,480,?RG_move[%Free1][1],?RG_move[%Free1][2],?RG_move[%Free1][3],?RG_move[%Free1][4] print 1 waittimer %RG_move_speed resettimer next blt 0,0,640,480,90,50,400,300 print 1 waittimer 300 ofscpy btndef "" return ;******************************************************************* *jump_set getparam $RG_move_bg btndef $RG_move_bg return *jump_start textoff blt 0,0,640,480,0,0,640,480 mov %free1,0 mov %free2,0 mov %free3,640 mov %free4,480 mov %free11,0 mov %free12,0 mov %free13,0 mov %free14,0 for %free19 = 1 to 5 resettimer add %free11,4 add %free13,0 add %free12,8 add %free14,12 add %free1,%free11 add %free2,%free13 sub %free3,%free12 sub %free4,%free14 blt 0,0,640,480,%free1,%free2,%free3,%free4 waittimer 30 next ;bg black,1 for %free19 = 1 to 5 resettimer add %free11,4 add %free13,16 add %free12,8 add %free14,6 add %free1,%FREE11 add %free2,%FREE13 sub %free3,%FREE12 sub %free4,%FREE14 blt 0,0,640,480,%free1,%free2,%free3,%free4 waittimer 30 next ofscpy ;bg black,1 btndef "" return ;******************************************************************* ;タイトル:ウミネコのなく頃に ;目的 :音関連のシステムの構築 ; 呼び出す関数の制御 ;製作 :2006/09/7(八咫桜) ;修正 :2006/010/7(八咫桜) ;******************************************************************* ;使用変数名 BGM_Play :曲名のパス収納用 Me_Play :ミュージックエフェクトのパス収納用 Se_Play :サウンドエフェクトのパス収納用 Me_Play_Channel:ミュージックエフェクトの再生チャンネル用 Se_Play_Channel:サウンドエフェクトの再生チャンネル用 Me_Number :再生するミュージックエフェクトのナンバー収納用 Me_s_Ch0 :主音用ミュージックエフェクトのチャンネル使用フラグ Me_s_Ch1 :副音用 Me_s_Ch2 Me_s_Ch3 Me_s_Ch4 Me_s_Ch5 Set_vol :指定ボリューム BGM_v_Ch :BGM用ボリュームフラグ Me_v_Ch0 :主音用ミュージックエフェクトのボリュームフラグ Me_v_Ch1 :副音用 Me_v_Ch2 Me_v_Ch3 Me_v_Ch4 Me_v_Ch5 Se_v_Ch1 :サウンド用 Se_v_Ch2 Se_v_Ch3 Free1 :フリーエリア Free2 ;****************************目的*********************************** ;BGMを再生する ;前回より簡略化する ;******************************************************************* ;******************************************************************* ;BGMのデータ部分 ;******************************************************************* *bgm1 *bgmplay getparam %BGM_s_Ch mov %Set_vol,default_vol mov %BGM_Play_Channel,%BGM_s_Ch goto *BGM_List return *bgm1v *bgmplay2 getparam %BGM_s_Ch,%Set_vol mov %BGM_Play_Channel,%BGM_s_Ch goto *BGM_List return ;******************************************************************* ;BGMの演奏システム部分 ;******************************************************************* *BGM_Play_Mord ;BGMモードの演奏部分 mov %BGM_v_Ch,%Set_vol bgmvol %Set_vol bgm $BGM_Play return ;****************************目的*********************************** ;ミュージックエフェクトをループ再生する。 ;再生チャンネルは10〜14 ; ;******************************************************************* *me1 mov %Set_vol,default_vol getparam %Me_Number ;チャンネルと曲番号と取得 mov %Me_Play_Channel,11 mov %Free1,Me_s_Ch1 mov %Free2,Me_v_Ch1 goto *mesys *me1v getparam %Me_Number,%Set_vol ;チャンネルと曲番号と取得 mov %Me_Play_Channel,11 mov %Free1,Me_s_Ch1 mov %Free2,Me_v_Ch1 goto *mesys *me2 mov %Set_vol,default_vol getparam %Me_Number ;チャンネルと曲番号と取得 mov %Me_Play_Channel,12 mov %Free1,Me_s_Ch2 mov %Free2,Me_v_Ch2 goto *mesys *me2v getparam %Me_Number,%Set_vol ;チャンネルと曲番号と取得 mov %Me_Play_Channel,12 mov %Free1,Me_s_Ch2 mov %Free2,Me_v_Ch2 goto *mesys *me3 mov %Set_vol,default_vol getparam %Me_Number ;チャンネルと曲番号と取得 mov %Me_Play_Channel,13 mov %Free1,Me_s_Ch3 mov %Free2,Me_v_Ch3 goto *mesys *me3v getparam %Me_Number,%Set_vol ;チャンネルと曲番号と取得 mov %Me_Play_Channel,13 mov %Free1,Me_s_Ch3 mov %Free2,Me_v_Ch3 goto *mesys *me4 mov %Set_vol,default_vol getparam %Me_Number ;チャンネルと曲番号と取得 mov %Me_Play_Channel,14 mov %Free1,Me_s_Ch4 mov %Free2,Me_v_Ch4 goto *mesys *me4v getparam %Me_Number,%Set_vol ;チャンネルと曲番号と取得 mov %Me_Play_Channel,14 mov %Free1,Me_s_Ch4 mov %Free2,Me_v_Ch4 goto *mesys *me5 mov %Set_vol,default_vol getparam %Me_Number ;チャンネルと曲番号と取得 mov %Me_Play_Channel,15 mov %Free1,Me_s_Ch5 mov %Free2,Me_v_Ch5 goto *mesys *me5v getparam %Me_Number,%Set_vol ;チャンネルと曲番号と取得 mov %Me_Play_Channel,15 mov %Free1,Me_s_Ch5 mov %Free2,Me_v_Ch5 goto *mesys *se1v mov %Se_Play_Channel,1 ;チャンネルは2固定 dwavestop 1 ;まだ鳴っている演奏を止める getparam %Se_Number,%Set_vol ;効果音番号と音量取得 goto *Se_Play_List *se2v mov %Se_Play_Channel,2 ;チャンネルは3固定 dwavestop 2 ;まだ鳴っている演奏を止める getparam %Se_Number,%Set_vol ;効果音番号と音量取得 goto *Se_Play_List *se3v mov %Se_Play_Channel,3 ;チャンネルは1固定 dwavestop 3 ;まだ鳴っている演奏を止める getparam %Se_Number,%Set_vol ;効果音番号と音量取得 goto *Se_Play_List ;******************************************************************* ;ミュージックエフェクトのデータ部分 ;******************************************************************* *meplay mov %Set_vol,default_vol getparam %Free1,%Me_Number ;チャンネルと曲番号と取得 if %Me_Number = -1 goto *mestop ;停止命令 mov %Free2,%Free1 ; mov %Free3,%Free1 ; add %Free1,Me_s_Ch0 ;ロード時再生エリア番号を取得 add %Free2,Me_v_Ch0 ;ロード時再生エリア番号を取得 add %Free3,10 mov %Me_Play_Channel,%Free3 goto *mesys *meplay2 getparam %Free1,%Me_Number,%Set_vol ;チャンネルと曲番号と取得 if %Me_Number = -1 goto *mestop ;停止命令 mov %Free2,%Free1 ; mov %Free3,%Free1 ; add %Free1,Me_s_Ch0 ;ロード時再生エリア番号を取得 add %Free2,Me_v_Ch0 ;ロード時再生エリア番号を取得 add %Free3,10 mov %Me_Play_Channel,%Free3 goto *mesys *mesys ;実行部分 if %Me_Number = -1 goto *mestop ;停止命令 mov %%Free1,%Me_Number ;曲取得番号をロード時再生エリアにコピー mov %%Free2,%Set_vol ;音量をロード時再生エリアにコピー gosub *Me_List goto *Me_Play_Mord return ;この命令は、チャンネル、曲番号になります *ME_set gosub *Me_List mov %Me_v_Ch0,%Set_vol goto *Me_Play_Mord ;***************************************************************** ;ミュージックエフェクトのシステム部分 ;***************************************************************** *Me_Play_Mord ;ミュージックエフェクトの演奏部分 dwavestop %Me_Play_Channel dwaveload %Me_Play_Channel,$Me_Play dwaveplayloop %Me_Play_Channel chvol %Me_Play_Channel,%Set_vol ;音量反映 return ;****************************目的*********************************** ;サウンドエフェクトの再生 ;複数のチャンネルモードを用意 ;チャンネルは1、2、3(仮)とする ;***************************************************************** ;******************************************************************* ;サウンドエフェクトのデータ部分 ;******************************************************************* *se1 mov %Set_vol,default_vol ;音量はdefault_vol(70)固定 mov %Se_Play_Channel,1 ;チャンネルは1固定 dwavestop 1 ;まだ鳴っている演奏を止める getparam %Se_Number goto *Se_Play_List *se2 mov %Set_vol,default_vol ;音量はdefault_vol(70)固定 mov %Se_Play_Channel,2 ;チャンネルは1固定 dwavestop 2 ;まだ鳴っている演奏を止める getparam %Se_Number goto *Se_Play_List *se3 mov %Set_vol,default_vol ;音量はdefault_vol(70)固定 mov %Se_Play_Channel,3 ;チャンネルは1固定 dwavestop 3 ;まだ鳴っている演奏を止める getparam %Se_Number goto *Se_Play_List *seplay mov %Set_vol,default_vol ;音量はdefault_vol(70)固定 getparam %Se_Play_Channel,%Se_Number ;チャンネルと効果音番号取得 dwavestop %Se_Play_Channel goto *Se_Play_List *seplay2 getparam %Se_Play_Channel,%Se_Number,%Set_vol ;チャンネルと効果音番号と音量取得 dwavestop %Se_Play_Channel goto *Se_Play_List ;******************************************************************* ;サウンドエフェクトの再生部分 ;******************************************************************* *Se_Play_Mord dwaveload %Se_Play_Channel,$Se_Play dwaveplay %Se_Play_Channel chvol %Se_Play_Channel,%Set_vol ;音量反映 return ;******************************************************************* ;音量制御 ;******************************************************************* *bgmvol getparam %Set_vol ;音量取得 _bgmvol %Set_vol mov %BGM_v_Ch,%Set_vol return *mevol getparam %Me_Play_Channel,%Set_vol ;チャンネルと音量取得 mov %Free1,%Me_Play_Channel add %Free1,Me_v_Ch0 ;ロード時再生エリア番号を取得 mov %%Free1,%Set_vol ;音量をロード時再生エリアにコピー add %Me_Play_Channel,10 ;チャンネル値を変換 chvol %Me_Play_Channel,%Set_vol ;音量反映 return ;******************************************************** ;音停止命令 ;********************************************************* *e_ *erase *silent getparam %Free3,%Free1 ;属性とチャンネルを取得 if %Free3 = 1 E_B notif %Free3 = 2 jumpf ; if %Free1 > 6 mov %Free1,1 ; if %Free1 < 0 mov %Free1,1 mov %Free2,%Free1 add %Free2,Me_s_Ch0 add %Free1,10 dwavestop %Free1 mov %%Free2,0 ;使用チャンネルフラグを消す return ~ notif %Free3 = 3 jumpf if %Free1 > 3 mov %Free1,1 dwavestop %Free1 return ~ notif %Free3 = 0 jumpf stop dwavestop 1 dwavestop 2 dwavestop 3 dwavestop 10 dwavestop 11 dwavestop 12 dwavestop 13 dwavestop 14 dwavestop 15 loopbgmstop mov %BGM_s_Ch,0 mov %Me_s_Ch0,0 ;使用チャンネルフラグを消す mov %Me_s_Ch1,0 ;使用チャンネルフラグを消す mov %Me_s_Ch2,0 ;使用チャンネルフラグを消す mov %Me_s_Ch3,0 ;使用チャンネルフラグを消す mov %Me_s_Ch4,0 ;使用チャンネルフラグを消す mov %Me_s_Ch5,0 ;使用チャンネルフラグを消す dwavestop 90 dwavestop 91 dwavestop 92 dwavestop 93 dwavestop 94 dwavestop 95 dwavestop 96 dwavestop 97 dwavestop 98 dwavestop 99 dwavestop 100 bgmvol default_vol ;BGM音量を戻す chvol 1,default_vol chvol 2,default_vol chvol 3,default_vol chvol 10,default_vol chvol 11,default_vol chvol 12,default_vol chvol 13,default_vol chvol 14,default_vol chvol 15,default_vol ~ return ;******************************************************************* ;旧命令 音の停止 ;******************************************************************* *St_BGM ;BGMだけ止める *E_C *E_B *E_B1 stop loopbgmstop bgmvol default_vol ;音量を戻す mov %BGM_s_Ch,0 ;演奏番号を破棄 return *E_B2 ;ミュージックエフェクトの指定チャンネルだけ止める *E_M0 dwavestop 10 chvol 10,default_vol return *E_B3 ;ミュージックエフェクトの指定チャンネルだけ止める *E_M1 dwavestop 11 mov %Me_s_Ch1,0 ;使用チャンネルフラグを消す chvol 11,default_vol return *E_B4 ;ミュージックエフェクトの指定チャンネルだけ止める *E_M2 dwavestop 12 mov %Me_s_Ch2,0 ;使用チャンネルフラグを消す chvol 12,default_vol return *E_B5 ;ミュージックエフェクトの指定チャンネルだけ止める *E_M3 dwavestop 13 mov %Me_s_Ch3,0 ;使用チャンネルフラグを消す chvol 13,default_vol return *E_B6 ;ミュージックエフェクトの指定チャンネルだけ止める *E_M4 dwavestop 14 mov %Me_s_Ch4,0 ;使用チャンネルフラグを消す chvol 14,default_vol return *E_M5 dwavestop 15 mov %Me_s_Ch5,0 ;使用チャンネルフラグを消す chvol 15,default_vol return *E_S1 chvol 1,default_vol dwavestop 1 return *E_S2 chvol 2,default_vol dwavestop 2 return *E_S3 chvol 3,default_vol dwavestop 3 return ;**************************複合********************************** *St_all ;完全停止 *St_a *E_A stop dwavestop 1 dwavestop 2 dwavestop 3 dwavestop 10 dwavestop 11 dwavestop 12 dwavestop 13 dwavestop 14 dwavestop 15 dwavestop 90 dwavestop 91 dwavestop 92 dwavestop 93 dwavestop 94 dwavestop 95 dwavestop 96 dwavestop 97 dwavestop 98 dwavestop 99 dwavestop 100 loopbgmstop mov %BGM_s_Ch,0 mov %Me_s_Ch0,0 ;使用チャンネルフラグを消す mov %Me_s_Ch1,0 ;使用チャンネルフラグを消す mov %Me_s_Ch2,0 ;使用チャンネルフラグを消す mov %Me_s_Ch3,0 ;使用チャンネルフラグを消す mov %Me_s_Ch4,0 ;使用チャンネルフラグを消す mov %Me_s_Ch5,0 ;使用チャンネルフラグを消す bgmvol default_vol ;BGM音量を戻す chvol 1,default_vol chvol 2,default_vol chvol 3,default_vol chvol 10,default_vol chvol 11,default_vol chvol 12,default_vol chvol 13,default_vol chvol 14,default_vol chvol 15,default_vol return *St_All2 ;ロード時の消音専用 *E_A2 loopbgmstop stop dwavestop 1 dwavestop 2 dwavestop 3 dwavestop 10 dwavestop 11 dwavestop 12 dwavestop 13 dwavestop 14 dwavestop 15 return *E_Me_all ;ミュージックエフェクトだけ完全停止 *E_Me_a *E_MA *E_L dwavestop 10 dwavestop 11 dwavestop 12 dwavestop 13 dwavestop 14 dwavestop 15 dwavestop 16 chvol 10,default_vol chvol 11,default_vol chvol 12,default_vol chvol 13,default_vol chvol 14,default_vol chvol 15,default_vol chvol 16,default_vol mov %Me_s_Ch0,0 ;使用チャンネルフラグを消す mov %Me_s_Ch1,0 ;使用チャンネルフラグを消す mov %Me_s_Ch2,0 ;使用チャンネルフラグを消す mov %Me_s_Ch3,0 ;使用チャンネルフラグを消す mov %Me_s_Ch4,0 ;使用チャンネルフラグを消す mov %Me_s_Ch5,0 ;使用チャンネルフラグを消す return *St_Se_all ;サウンドエフェクトだけ完全停止 *St_Se_a *E_SE dwavestop 1 dwavestop 2 dwavestop 3 chvol 1,100 chvol 2,100 chvol 3,100 return *mestop mov %Free2,%Free1 ; add %Free2,Me_s_Ch0 ;ロード時再生エリア番号を取得 mov %%Free2,0 add %Free1,10 mov %Me_Play_Channel,%Free1 dwavestop %Me_Play_Channel return ;*************************************************************************** ;ロード時のMeの再生、及びDllエフェクト再生 ;製作 :2006/09/7(八咫桜) ;/012/6(八咫桜) ;*************************************************************************** *Coll_system ;changeSprites %is_old_sprites gosub *St_All2 mov %Set_vol,%BGM_v_Ch : gosub *BGM_List notif %Me_s_Ch0 = 0 mov %Set_vol,%Me_v_Ch0 : mov %Me_Play_Channel,10 : mov %Me_Number,%Me_s_Ch0 : gosub *Coll_ME ;主音が使用されているかのチェック notif %Me_s_Ch1 = 0 mov %Set_vol,%Me_v_Ch1 : mov %Me_Play_Channel,11 : mov %Me_Number,%Me_s_Ch1 : gosub *Coll_ME ;主音が使用されているかのチェック notif %Me_s_Ch2 = 0 mov %Set_vol,%Me_v_Ch2 : mov %Me_Play_Channel,12 : mov %Me_Number,%Me_s_Ch2 : gosub *Coll_ME ;主音が使用されているかのチェック notif %Me_s_Ch3 = 0 mov %Set_vol,%Me_v_Ch3 : mov %Me_Play_Channel,13 : mov %Me_Number,%Me_s_Ch3 : gosub *Coll_ME ;主音が使用されているかのチェック notif %Me_s_Ch4 = 0 mov %Set_vol,%Me_v_Ch4 : mov %Me_Play_Channel,14 : mov %Me_Number,%Me_s_Ch4 : gosub *Coll_ME ;主音が使用されているかのチェック notif %Me_s_Ch5 = 0 mov %Set_vol,%Me_v_Ch5 : mov %Me_Play_Channel,15 : mov %Me_Number,%Me_s_Ch5 : gosub *Coll_ME ;主音が使用されているかのチェック ;layermessage 10,"program_end/10" ;csp 10 ;layermessage 10,"clear/10" if %efespflg = 0 jumpf lsp efesp,"*0" layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" ;画像登録 if %efespflg2 = 1 layermessage 0,"s|1,30,-9,0,0" : layermessage 0,"f" :vsp efesp,1 if %efespflg2 = 2 layermessage 0,"s|1,30,-9,0,0" ;delay 800 ~ textshow print 1 texton return *Coll_ME gosub *Me_List dwaveload %Me_Play_Channel,$Me_Play dwaveplayloop %Me_Play_Channel chvol %Me_Play_Channel,%Set_vol ;音量反映 return ;**************************************************** ;Dllエフェクトの使用命令、停止命令 ;製作 :2006/09/7(八咫桜) ;修正 :2006/012/6(八咫桜) ;**************************************************** ;old dllefe ;*dllefe ; getparam %efespflg,%efespflg2 ;降らせる物体とエフェクト表示法を取得 ;lsp efesp,"*0" ; if %efespflg = 1 layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" ;画像登録 ; if %efespflg = 2 layermessage 0,"i|bmp\efe\l_snow1.bmp,bmp\efe\l_snow2.bmp,bmp\efe\l_snow3.bmp" ;画像登録 ; if %efespflg = 3 layermessage 0,"i|bmp\efe\l_snow1a.bmp,bmp\efe\l_snow2a.bmp,bmp\efe\l_snow3a.bmp" ;画像登録 ; if %efespflg2 = 1 layermessage 0,"s|1,30,-9,0,0" : layermessage 0,"f" :vsp efesp,1 ; if %efespflg2 = 2 layermessage 0,"s|1,30,-9,0,0" ; if %efespflg2 = 3 layermessage 0,"s|10,5,15,10,2" ; if %efespflg2 = 4 layermessage 0,"s|10,5,15,10,2" : layermessage 0,"f" :vsp efesp,1 ; if %efespflg = 5 layermessage 0,"i|bmp\efe\l_snow1b.bmp,bmp\efe\l_snow2b.bmp,bmp\efe\l_snow3b.bmp" ;画像登録 ; if %efespflg2 = 5 layermessage 0,"s|20,5,0,120,5" : layermessage 0,"f" :vsp efesp,1 ;delay 800 ;return *dllefe getparam %efespflg,%efespflg2 ;降らせる物体とエフェクト表示法を取得 if %efespflg = 1 layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" ;画像登録 ; efespflg 2 was never used if %efespflg = 3 layermessage 0,"i|bmp\efe\l_snow1a.bmp,bmp\efe\l_snow2a.bmp,bmp\efe\l_snow3a.bmp" ;画像登録 if %efespflg2 = 1 layermessage 0,"s|1,30,-9,0,0" : layermessage 0,"f" :vsp efesp,1 if %efespflg2 = 2 layermessage 0,"s|1,30,-9,0,0" if %efespflg2 = 3 layermessage 0,"s|10,5,15,10,2" ;efespflg2 4 is never used ;efespflg 5 is never used ;efespflg2 5 is never used delay 800 return *dllefe getparam %efespflg,%efespflg2 ;降らせる物体とエフェクト表示法を取得 gosub *dllstartdraw ; instant draw if %efespflg2 = 6 mov %efespflg2,1 : jumpf if %efespflg2 = 1 print 91 if %efespflg2 = 2 print 92 ~ return *dllstartdraw notif %efespflg = 3 lsp efesp,":b;bmp\efe\stillrainfront.png",0,0 : lsp 950,":b;bmp\efe\stillrain.png",0,0 if %efespflg = 3 lsp efesp,":b;bmp\efe\snow_front.png",0,0 : lsp 950,":b;bmp\efe\snow_back.png",0,0 if %efespflg = 1 layermessage 0,"i|bmp\efe\rain1.bmp,bmp\efe\rain2.bmp,bmp\efe\rain3.bmp" ;画像登録 ; efespflg 2 was never used if %efespflg = 3 layermessage 0,"i|bmp\efe\l_snow1a.bmp,bmp\efe\l_snow2a.bmp,bmp\efe\l_snow3a.bmp" ;画像登録 if %efespflg2 = 1 layermessage 0,"s|1,30,-9,0,0" : layermessage 0,"f" :vsp efesp,1 if %efespflg2 = 2 layermessage 0,"s|1,30,-9,0,0" if %efespflg2 = 3 layermessage 0,"s|10,5,15,10,2" ;efespflg2 4 is never used ;efespflg 5 is never used ;efespflg2 5 is never used return *dllefe_off mov %efespflg,off layermessage 0,"x" csp efesp csp 950 ;print 1 return ;><><><><><><><><><><><><><> *Fede ;パラメーター取得 ;属性、タイマー(全体時間100ミリ秒ごとで指定) getparam %Free1,%Free9 if %Free1 = 0 goto *Fede_0 ;全てフェードアウト if %Free1 = 1 goto *Fede_10 ;BGMのみフェードアウト if %Free1 = 10 goto *Fede_1 ;Me全てフェードアウト if %Free1 = 11 goto *Fede_11 ;Me1のみフェードアウト if %Free1 = 12 goto *Fede_11 ;Me2のみフェードアウト if %Free1 = 13 goto *Fede_11 ;Me3のみフェードアウト if %Free1 = 14 goto *Fede_11 ;Me4のみフェードアウト if %Free1 = 15 goto *Fede_11 ;Me5のみフェードアウト ;対象チャンネルのvol量計算 *Fede_0 ;全てフェードアウト ;ウェイトタイマーは%bm_ptの変数に代入する。 mov %bm_pt,%Free9/10 mov %Free3,BGM_v_Ch for %Free1 = Free11 to Free17 ;各チャンネルの減少値計算を準備 mov %%Free1,%%Free3 inc %Free3 next ;之により、Free11〜Free17までがvol減少値10ミリ単位と成る mov %Free21,%BGM_v_Ch*10 mov %Free22,%Me_v_Ch0*10 mov %Free23,%Me_v_Ch1*10 mov %Free24,%Me_v_Ch2*10 mov %Free25,%Me_v_Ch3*10 mov %Free26,%Me_v_Ch4*10 mov %Free27,%Me_v_Ch5*10 ;フェードアウト開始 for %Free1 = 1 to 10 resettimer ;内部タイマーをリセット ;減少計算 sub %Free21,%Free11 sub %Free22,%Free12 sub %Free23,%Free13 sub %Free24,%Free14 sub %Free25,%Free15 sub %Free26,%Free16 sub %Free27,%Free17 mov %BGM_v_Ch,%Free21/10 mov %Me_v_Ch0,%Free22/10 mov %Me_v_Ch1,%Free23/10 mov %Me_v_Ch2,%Free24/10 mov %Me_v_Ch3,%Free25/10 mov %Me_v_Ch4,%Free26/10 mov %Me_v_Ch5,%Free27/10 _bgmvol %BGM_v_Ch chvol 10,%Me_v_Ch0 ;音量反映 chvol 11,%Me_v_Ch1 ;音量反映 chvol 12,%Me_v_Ch2 ;音量反映 chvol 13,%Me_v_Ch3 ;音量反映 chvol 14,%Me_v_Ch4 ;音量反映 chvol 15,%Me_v_Ch5 ;音量反映 waittimer %bm_pt ;内部タイマーウェイト next ;ボリューム数値をロード用の値に収納 及び、音完全消去 wait 500 E_A return *Fede_1 ;ウェイトタイマーは%bm_ptの変数に代入する。 mov %bm_pt,%Free9/10 mov %Free3,Me_v_Ch0 for %Free1 = Free12 to Free17 ;各チャンネルの減少値計算を準備 mov %%Free1,%%Free3 inc %Free3 next ;之により、Free11〜Free17までがvol減少値10ミリ単位と成る mov %Free22,%Me_v_Ch0*10 mov %Free23,%Me_v_Ch1*10 mov %Free24,%Me_v_Ch2*10 mov %Free25,%Me_v_Ch3*10 mov %Free26,%Me_v_Ch4*10 mov %Free27,%Me_v_Ch5*10 ;フェードアウト開始 for %Free1 = 1 to 10 resettimer ;内部タイマーをリセット ;減少計算 sub %Free22,%Free12 sub %Free23,%Free13 sub %Free24,%Free14 sub %Free25,%Free15 sub %Free26,%Free16 sub %Free27,%Free17 mov %Me_v_Ch0,%Free22/10 mov %Me_v_Ch1,%Free23/10 mov %Me_v_Ch2,%Free24/10 mov %Me_v_Ch3,%Free25/10 mov %Me_v_Ch4,%Free26/10 mov %Me_v_Ch5,%Free27/10 chvol 10,%Me_v_Ch0 ;音量反映 chvol 11,%Me_v_Ch1 ;音量反映 chvol 12,%Me_v_Ch2 ;音量反映 chvol 13,%Me_v_Ch3 ;音量反映 chvol 14,%Me_v_Ch4 ;音量反映 chvol 15,%Me_v_Ch5 ;音量反映 waittimer %bm_pt ;内部タイマーウェイト next ;ボリューム数値をロード用の値に収納 及び、音完全消去 wait 500 E_MA return *Fede_10 ;BGMフェードアウト ;ウェイトタイマーは%bm_ptの変数に代入する。 mov %bm_pt,%Free9/10 mov %Free11,%BGM_v_Ch ;之により、Free11〜Free17までがvol減少値10ミリ単位と成る mov %Free21,%BGM_v_Ch*10 ;フェードアウト開始 for %Free1 = 1 to 10 resettimer ;内部タイマーをリセット ;減少計算 sub %Free21,%Free11 mov %BGM_v_Ch,%Free21/10 _bgmvol %BGM_v_Ch waittimer %bm_pt ;内部タイマーウェイト next ;ボリューム数値をロード用の値に収納 及び、音完全消去 wait 500 E_B return *Fede_11 ;ウェイトタイマーは%bm_ptの変数に代入する。 mov %bm_pt,%Free9/10 mov %Free3,%Free1 + Me_v_Ch0 - 10 mov %Free12,%%Free3 ;之により、Free11〜Free17までがvol減少値10ミリ単位と成る mov %Free22,%%Free3*10 ;フェードアウト開始 for %Free19 = 1 to 10 resettimer ;内部タイマーをリセット ;減少計算 sub %Free22,%Free12 mov %%Free3,%Free22/10 chvol %Free1,%%Free3 ;音量反映 waittimer %bm_pt ;内部タイマーウェイト next ;ボリューム数値をロード用の値に収納 及び、音完全消去 wait 500 sub %Free1,10 e_ 2,%Free1 return *cross1 ;ウェイト、Me1〜5 getparam %Free9,%Free1,%Free2,%Free3,%Free4,%Free5 ;差分より、増減値を求める(プラスなら音量アップ、マイナスなら音量ダウン) sub %Free1,%Me_v_Ch1 : div %Free1,10 sub %Free2,%Me_v_Ch2 : div %Free2,10 sub %Free3,%Me_v_Ch3 : div %Free3,10 sub %Free4,%Me_v_Ch4 : div %Free4,10 sub %Free5,%Me_v_Ch5 : div %Free5,10 ;ウェイトの計算準備 mov %crosstimer,%Free9/10 for %Free8 = 1 to 10 ;音量変更開始 resettimer ;内部タイマーをリセット ;BGMの音量減少 sub %BGM_v_Ch,%BGM_v_Ch/10 ;Meの増加計算 mov %Free11,Free1 for %Free16 = Me_v_Ch1 to Me_v_Ch5 notif %Free11 = 0 add %%Free16,%%Free11 inc %Free11 next _bgmvol %BGM_v_Ch chvol 11,%Me_v_ch1 ;音量反映 chvol 12,%Me_v_ch2 ;音量反映 chvol 13,%Me_v_ch3 ;音量反映 chvol 14,%Me_v_ch4 ;音量反映 chvol 15,%Me_v_ch5 ;音量反映 waittimer %crosstimer ;内部タイマーウェイト next E_B for %Free1 = Me_v_ch1 to Me_v_ch5 if %%Free1 < 1 e_ 2,%Free1 next return *cross2 ;ウェイト、BGM getparam %Free9,%Free1 ;BGMの一回あたりの増減値を算出(処理回数は10回で固定) sub %Free1,%BGM_v_Ch : div %Free1,10 ;ウェイトタイマーの算出 mov %crosstimer,%Free9/10 for %Free16 = 1 to 10 resettimer ;内部タイマーをリセット ;BGM増減の計算 add %BGM_v_Ch,%Free1 ;Meの減少 for %Free11 = Me_v_Ch1 to Me_v_Ch5 notif %%Free11 = 0 sub %%Free11,%%Free11/10 next _bgmvol %BGM_v_Ch chvol 11,%Me_v_ch1 ;音量反映 chvol 12,%Me_v_ch2 ;音量反映 chvol 13,%Me_v_ch3 ;音量反映 chvol 14,%Me_v_ch4 ;音量反映 chvol 15,%Me_v_ch5 ;音量反映 waittimer %crosstimer ;内部タイマーウェイト next E_MA if %BGM_v_Ch = 0 E_B return ;命令文 (bgm)(Me1)(Me2)(Me3)(Me4)(Me5)(ウェイト) *fedeXX getparam %Fede_bgmv,%Fede_Me1v,%Fede_Me2v,%Fede_Me3v,%Fede_Me4v,%Fede_Me5v,%Fede_wait ;変動なしチェック if %Fede_bgmv > 0 mov %Fede_bgmvflg,1 if %Fede_Me1v > 0 mov %Fede_Me1vflg,1 if %Fede_Me2v > 0 mov %Fede_Me2vflg,1 if %Fede_Me3v > 0 mov %Fede_Me3vflg,1 if %Fede_Me4v > 0 mov %Fede_Me4vflg,1 if %Fede_Me5v > 0 mov %Fede_Me5vflg,1 if %Fede_bgmv < 100 mov %Fede_bgmvflg,1 if %Fede_Me1v < 100 mov %Fede_Me1vflg,1 if %Fede_Me2v < 100 mov %Fede_Me2vflg,1 if %Fede_Me3v < 100 mov %Fede_Me3vflg,1 if %Fede_Me4v < 100 mov %Fede_Me4vflg,1 if %Fede_Me5v < 100 mov %Fede_Me5vflg,1 if %Fede_bgmv = %BGM_v_Ch mov %Fede_bgmvflg,0 if %Fede_Me1v = %Me_v_Ch1 mov %Fede_Me1vflg,0 if %Fede_Me2v = %Me_v_Ch2 mov %Fede_Me2vflg,0 if %Fede_Me3v = %Me_v_Ch3 mov %Fede_Me3vflg,0 if %Fede_Me4v = %Me_v_Ch4 mov %Fede_Me4vflg,0 if %Fede_Me5v = %Me_v_Ch5 mov %Fede_Me5vflg,0 ;変動音量値算出 mov %Free5,Fede_bgmvflg2 ;音量変動方向フラグ on=ダウン off=アップ mov %Free4,Fede_bgmv2 ;音量変動値 mov %Free2,Fede_bgmv ;音量指定値 mov %Free3,bgm_v_ch ;音量現在値 for %Free1 = Fede_bgmvflg to Fede_Me5vflg ;変動なしフラグオンの時は飛ばす if %%Free1 = 0 jumpf if %%Free2 < %%Free3 mov %%Free4,%%Free3 : sub %%Free4,%%Free2 : mov %%Free5,on if %%Free2 > %%Free3 mov %%Free4,%%Free2 : sub %%Free4,%%Free3 : mov %%Free5,off ~ inc %Free2 inc %Free3 inc %Free4 inc %Free5 if %Free3 = Me_v_Ch0 inc %Free3 next ;10ミリ単位の計算準備 mov %Free3,Fede_bgmv4 ;10ミリ用変動値 mov %Free6,Fede_bgmv2 ;変動幅 mov %Fede_bgmv3,%bgm_v_ch ;10ミリ用現在値 mov %Fede_Me1v3,%Me_v_ch1 mov %Fede_Me2v3,%Me_v_ch2 mov %Fede_Me3v3,%Me_v_ch3 mov %Fede_Me4v3,%Me_v_ch4 mov %Fede_Me5v3,%Me_v_ch5 mul %Fede_bgmv3,100 mul %Fede_Me1v3,100 mul %Fede_Me2v3,100 mul %Fede_Me3v3,100 mul %Fede_Me4v3,100 mul %Fede_Me5v3,100 ;†改造部分 ;div %Fede_wait,100 ;100ミリセコンド用ウェイト回数 ;ウェイトタイマーは%bm_ptの変数に代入する。 mov %bm_pt,%Fede_wait/10 for %Free1 = Fede_bgmvflg to Fede_Me5vflg ;変動なしフラグオンの時は飛ばす if %%Free1 = 0 jumpf mov %%Free3,%%Free6 mul %%Free3,100 div %%Free3,10 ~ inc %Free3 inc %Free4 inc %Free6 next ;音量変動実行式 for %Free9 = 1 to 10 resettimer ;内部タイマーをリセット mov %Free2,Fede_bgmv3 ;10ミリ用現在値 mov %Free3,Fede_bgmv4 ;10ミリ用変動値 mov %Free5,Fede_bgmvflg2 mov %Free6,Free11 ;演奏用音量値 ;変動計算 ;変動なしフラグオン時は飛ばす for %Free1 = Fede_bgmvflg to Fede_Me5vflg if %%Free1 = 0 jumpf if %%Free5 = on sub %%Free2,%%Free3 if %%Free5 = off add %%Free2,%%Free3 mov %%Free6,%%Free2 div %%Free6,100 ~ inc %Free2 inc %Free3 inc %Free5 inc %Free6 next ;変動実行部分 if %Fede_bgmvflg = 1 _bgmvol %Free11 if %Fede_Me1vflg = 1 chvol 11,%Free12 ;音量反映 if %Fede_Me2vflg = 1 chvol 12,%Free13 ;音量反映 if %Fede_Me3vflg = 1 chvol 13,%Free14 ;音量反映 if %Fede_Me4vflg = 1 chvol 14,%Free15 ;音量反映 if %Fede_Me5vflg = 1 chvol 15,%Free16 ;音量反映 waittimer %bm_pt ;内部タイマーウェイト next ;ボリューム数値をロード用の値に収納 if %Fede_bgmvflg = 1 _bgmvol %Fede_bgmv : mov %bgm_v_ch,%Fede_bgmv if %Fede_Me1vflg = 1 chvol 11,%Fede_Me1v : mov %Me_v_Ch1,%Fede_Me1v ;音量反映 if %Fede_Me2vflg = 1 chvol 12,%Fede_Me2v : mov %Me_v_Ch2,%Fede_Me2v ;音量反映 if %Fede_Me3vflg = 1 chvol 13,%Fede_Me3v : mov %Me_v_Ch3,%Fede_Me3v ;音量反映 if %Fede_Me4vflg = 1 chvol 14,%Fede_Me4v : mov %Me_v_Ch4,%Fede_Me4v ;音量反映 if %Fede_Me5vflg = 1 chvol 15,%Fede_Me5v : mov %Me_v_Ch5,%Fede_Me5v ;音量反映 for %Free1 = Fede_bgmv to Fede_Me5v4 mov %%Free1,0 next return *flg_set getparam %Free1,%Free2,%Free3 ;%Free1 = フラグタイトルの選択 ;%Free2 = フラグタイトルの主な表示位置(右上とか、左下とか) ;%Free3 = フラグの変数の直接値 ;フラグのタイトル決め if %Free1 = 1 mov $flg_passT,"bmp\efe\number\flg_titel1.bmp" : jumpf ;負けフラグ if %Free1 = 2 mov $flg_passT,"bmp\efe\number\flg_titel1.bmp" : jumpf ;死亡フラグ if %Free1 = 3 mov $flg_passT,"bmp\efe\number\flg_titel1.bmp" : jumpf ;フラグ ;指定値が規定外だったとき mov $flg_passT,"bmp\efe\number\flg_titel1.bmp" ~ ;スプライトの位置決め if %Free2 = 1 mov %flg_sp_x,510 : mov %flg_sp_y,0 : mov %flg_number_tx,530 : mov %flg_number_ty,0 : mov %flg_number_ox,575 : mov %flg_number_oy,0 : jumpf ;右上 if %Free2 = 2 mov %flg_sp_x,510 : mov %flg_sp_y,360 : mov %flg_number_tx,530 : mov %flg_number_ty,420 : mov %flg_number_ox,575 : mov %flg_number_oy,420 : jumpf ;右下 if %Free2 = 3 mov %flg_sp_x,0 : mov %flg_sp_y,0 : mov %flg_number_tx,0 : mov %flg_number_ty,0 : mov %flg_number_ox,45 : mov %flg_number_oy,0 : jumpf ;左上 if %Free2 = 4 mov %flg_sp_x,0 : mov %flg_sp_y,360 : mov %flg_number_tx,0 : mov %flg_number_ty,420 : mov %flg_number_ox,45 : mov %flg_number_oy,420 : jumpf ;左下 ;指定値が規定外だったとき mov %flg_sp_x,0 mov %flg_sp_y,360 mov flg_number_tx,0 mov flg_number_ty,420 mov flg_number_ox,65 mov flg_number_oy,420 ~ ;十の桁算出 mov %flg_number_t,%Free3 div %flg_number_t,10 if %flg_number_t = 0 mov $flg_pass10,"bmp\efe\number\0.bmp" : jumpf if %flg_number_t = 1 mov $flg_pass10,"bmp\efe\number\1.bmp" : jumpf if %flg_number_t = 2 mov $flg_pass10,"bmp\efe\number\2.bmp" : jumpf if %flg_number_t = 3 mov $flg_pass10,"bmp\efe\number\3.bmp" : jumpf if %flg_number_t = 4 mov $flg_pass10,"bmp\efe\number\4.bmp" : jumpf if %flg_number_t = 5 mov $flg_pass10,"bmp\efe\number\5.bmp" : jumpf if %flg_number_t = 6 mov $flg_pass10,"bmp\efe\number\6.bmp" : jumpf if %flg_number_t = 7 mov $flg_pass10,"bmp\efe\number\7.bmp" : jumpf if %flg_number_t = 8 mov $flg_pass10,"bmp\efe\number\8.bmp" : jumpf if %flg_number_t = 9 mov $flg_pass10,"bmp\efe\number\9.bmp" : jumpf mov $flg_pass10,"" ~ ;一の桁算出 mov %flg_number_o,%Free3 mod %flg_number_o,10 if %flg_number_o = 0 mov $flg_pass1,"bmp\efe\number\0.bmp" : jumpf if %flg_number_o = 1 mov $flg_pass1,"bmp\efe\number\1.bmp" : jumpf if %flg_number_o = 2 mov $flg_pass1,"bmp\efe\number\2.bmp" : jumpf if %flg_number_o = 3 mov $flg_pass1,"bmp\efe\number\3.bmp" : jumpf if %flg_number_o = 4 mov $flg_pass1,"bmp\efe\number\4.bmp" : jumpf if %flg_number_o = 5 mov $flg_pass1,"bmp\efe\number\5.bmp" : jumpf if %flg_number_o = 6 mov $flg_pass1,"bmp\efe\number\6.bmp" : jumpf if %flg_number_o = 7 mov $flg_pass1,"bmp\efe\number\7.bmp" : jumpf if %flg_number_o = 8 mov $flg_pass1,"bmp\efe\number\8.bmp" : jumpf if %flg_number_o = 9 mov $flg_pass1,"bmp\efe\number\9.bmp" : jumpf mov $flg_pass1,"bmp\efe\" ~ ;タイトルをセット ;lsph flg_sp1,$flg_passT,%flg_sp_x,%flg_sp_y lsph flg_sp2,$flg_pass10,%flg_number_tx,%flg_number_ty lsph flg_sp3,$flg_pass1,%flg_number_ox,%flg_number_oy return *flg_p inc %flg_number_o if %flg_number_o = 1 mov $flg_pass1,"bmp\efe\number\1.bmp" : jumpf if %flg_number_o = 2 mov $flg_pass1,"bmp\efe\number\2.bmp" : jumpf if %flg_number_o = 3 mov $flg_pass1,"bmp\efe\number\3.bmp" : jumpf if %flg_number_o = 4 mov $flg_pass1,"bmp\efe\number\4.bmp" : jumpf if %flg_number_o = 5 mov $flg_pass1,"bmp\efe\number\5.bmp" : jumpf if %flg_number_o = 6 mov $flg_pass1,"bmp\efe\number\6.bmp" : jumpf if %flg_number_o = 7 mov $flg_pass1,"bmp\efe\number\7.bmp" : jumpf if %flg_number_o = 8 mov $flg_pass1,"bmp\efe\number\8.bmp" : jumpf if %flg_number_o = 9 mov $flg_pass1,"bmp\efe\number\9.bmp" : jumpf ;繰り上がり mov $flg_pass1,"bmp\efe\number\0.bmp" mov %flg_number_o,0 inc %flg_number_t if %flg_number_t = 1 mov $flg_pass10,"bmp\efe\number\1.bmp" if %flg_number_t = 2 mov $flg_pass10,"bmp\efe\number\2.bmp" if %flg_number_t = 3 mov $flg_pass10,"bmp\efe\number\3.bmp" if %flg_number_t = 4 mov $flg_pass10,"bmp\efe\number\4.bmp" if %flg_number_t = 5 mov $flg_pass10,"bmp\efe\number\5.bmp" if %flg_number_t = 6 mov $flg_pass10,"bmp\efe\number\6.bmp" if %flg_number_t = 7 mov $flg_pass10,"bmp\efe\number\7.bmp" if %flg_number_t = 8 mov $flg_pass10,"bmp\efe\number\8.bmp" if %flg_number_t = 9 mov $flg_pass10,"bmp\efe\number\9.bmp" if %flg_number_t = 10 mov $flg_pass10,"bmp\efe\number\0.bmp" : mov %flg_number_t,0 lsp flg_sp2,$flg_pass10,%flg_number_tx,%flg_number_ty ~ erasetextwindow 0 ;vsp flg_sp1,1 notif %flg_number_t = 0 vsp flg_sp2,1 :jumpf csp flg_sp2 ~ lsp flg_sp3,$flg_pass1,%flg_number_ox,%flg_number_oy se1 70 ;効果音 print 1 erasetextwindow 1 return *mld2 getparam %meta_tmp1,%ld_pass_number,%meta_tmp3,%Free1 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法、透過率 gosub *ld_data ;透過率が0以下の時は、0にする if %Free1 < 0 mov %Free1,0 ;透過率が255以上のときは、255にする if %Free1 > 255 mov %Free1,255 mov $witchh2, $ld_pass mldt %meta_tmp1,$witchh2,%meta_tmp3,%Free1 return *mldg getparam %meta_tmp1,%ld_pass_number,%meta_tmp3 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 gosub *ld_data mov $witchh2, $ld_pass mldt %meta_tmp1,$witchh2,%meta_tmp3,155 return *BGM_List ;ミュージックデータパス一覧 if %BGM_s_Ch = 0 return ;無音のときは処理を抜ける if %BGM_s_Ch = 1 mov $BGM_Play,"bgm\tsubaki.ogg" if %BGM_s_Ch = 2 mov $BGM_Play,"bgm\natsu_no_tobira.ogg" if %BGM_s_Ch = 3 mov $BGM_Play,"bgm\HANE.ogg" if %BGM_s_Ch = 4 mov $BGM_Play,"bgm\ride_on.ogg" if %BGM_s_Ch = 5 mov $BGM_Play,"bgm\sea.ogg" if %BGM_s_Ch = 6 mov $BGM_Play,"bgm\kurayami_no_toki.ogg";元はwav if %BGM_s_Ch = 7 mov $BGM_Play,"bgm\novelette.ogg" if %BGM_s_Ch = 8 mov $BGM_Play,"bgm\hope(Ver1.00).ogg" if %BGM_s_Ch = 9 mov $BGM_Play,"bgm\shiroi_kage.ogg" if %BGM_s_Ch = 10 mov $BGM_Play,"bgm\tekuteku.ogg" if %BGM_s_Ch = 11 mov $BGM_Play,"bgm\Towering cloud in summer.ogg" if %BGM_s_Ch = 12 mov $BGM_Play,"bgm\slowmotion.ogg" if %BGM_s_Ch = 13 mov $BGM_Play,"bgm\shika_long.ogg" if %BGM_s_Ch = 14 mov $BGM_Play,"bgm\rinshi_mu.ogg";元はwav if %BGM_s_Ch = 15 mov $BGM_Play,"bgm\rensa_kairo_mu.ogg";元はwav if %BGM_s_Ch = 16 mov $BGM_Play,"bgm4\Dead_of_Dawn.ogg";ギンクロイツさん if %BGM_s_Ch = 17 mov $BGM_Play,"bgm4\10_witch_in_gold_cenba.ogg" if %BGM_s_Ch = 18 mov $BGM_Play,"bgm\izanai.ogg";元はwav if %BGM_s_Ch = 19 mov $BGM_Play,"bgm\hankou_no_yokokujou_yomiage.ogg";元はwav if %BGM_s_Ch = 20 mov $BGM_Play,"bgm\02_stupefaction.ogg";怖い曲 if %BGM_s_Ch = 21 mov $BGM_Play,"bgm\M4.ogg" if %BGM_s_Ch = 22 mov $BGM_Play,"bgm\sakura.ogg" if %BGM_s_Ch = 23 mov $BGM_Play,"bgm\ageha.ogg" if %BGM_s_Ch = 24 mov $BGM_Play,"bgm\rahu_goldenslaughterer.ogg" if %BGM_s_Ch = 25 mov $BGM_Play,"bgm\11b_worldend_solo.ogg" if %BGM_s_Ch = 26 mov $BGM_Play,"bgm\kaiga_no_majo.ogg" if %BGM_s_Ch = 27 mov $BGM_Play,"bgm\09_suspicion.ogg";推理曲 if %BGM_s_Ch = 28 mov $BGM_Play,"bgm\kizuoto.ogg" if %BGM_s_Ch = 29 mov $BGM_Play,"bgm\toitsume.ogg" if %BGM_s_Ch = 30 mov $BGM_Play,"bgm\minute darkness.ogg" if %BGM_s_Ch = 31 mov $BGM_Play,"bgm\u2_nighteyes.ogg" if %BGM_s_Ch = 32 mov $BGM_Play,"bgm\Closed My Heart.ogg" if %BGM_s_Ch = 33 mov $BGM_Play,"bgm\requiem.ogg" if %BGM_s_Ch = 34 mov $BGM_Play,"bgm\mind_2.ogg" if %BGM_s_Ch = 35 mov $BGM_Play,"bgm\11a_worldend.ogg" if %BGM_s_Ch = 36 mov $BGM_Play,"bgm\03_play.ogg" if %BGM_s_Ch = 37 mov $BGM_Play,"bgm\system0.ogg" if %BGM_s_Ch = 38 mov $BGM_Play,"bgm\07_voiceless.ogg" if %BGM_s_Ch = 39 mov $BGM_Play,"bgm\08_deadangle.ogg" if %BGM_s_Ch = 40 mov $BGM_Play,"bgm\organ_shokyoku_rokuoku_hachi.ogg" if %BGM_s_Ch = 41 mov $BGM_Play,"bgm\rougoku.ogg" if %BGM_s_Ch = 42 mov $BGM_Play,"bgm\gc-01.ogg" if %BGM_s_Ch = 43 mov $BGM_Play,"bgm\00_cage_take3.ogg";ここからEP2 if %BGM_s_Ch = 44 mov $BGM_Play,"bgm\ougon_no_kage.ogg" if %BGM_s_Ch = 45 mov $BGM_Play,"bgm\sasorinoharawata.ogg" if %BGM_s_Ch = 46 mov $BGM_Play,"bgm\sy.ogg" if %BGM_s_Ch = 47 mov $BGM_Play,"bgm\Answer.ogg" if %BGM_s_Ch = 48 mov $BGM_Play,"bgm\Answer_short.ogg" if %BGM_s_Ch = 49 mov $BGM_Play,"bgm\sirabe_oche.ogg" if %BGM_s_Ch = 50 mov $BGM_Play,"bgm\Red Dread.ogg" if %BGM_s_Ch = 51 mov $BGM_Play,"bgm\moon.ogg" if %BGM_s_Ch = 52 mov $BGM_Play,"bgm\where-02.ogg" if %BGM_s_Ch = 53 mov $BGM_Play,"bgm\Dread of the grave.ogg" if %BGM_s_Ch = 54 mov $BGM_Play,"bgm\worldenddominator.ogg" if %BGM_s_Ch = 55 mov $BGM_Play,"bgm\u2_kuro_no_ririana.ogg" if %BGM_s_Ch = 56 mov $BGM_Play,"bgm\kyuusoku.ogg" if %BGM_s_Ch = 57 mov $BGM_Play,"bgm\hakujitsumo_no_hate_age.ogg" if %BGM_s_Ch = 58 mov $BGM_Play,"bgm\sirabe_mx.ogg" if %BGM_s_Ch = 59 mov $BGM_Play,"bgm\As time goes by.ogg" if %BGM_s_Ch = 60 mov $BGM_Play,"bgm\hidamari.ogg";ここからEP3 if %BGM_s_Ch = 61 mov $BGM_Play,"bgm\rousokutachi_ga_odoru.ogg" if %BGM_s_Ch = 62 mov $BGM_Play,"bgm\Haruka.ogg" if %BGM_s_Ch = 63 mov $BGM_Play,"bgm\psy-chorus.ogg" if %BGM_s_Ch = 64 mov $BGM_Play,"bgm\far.ogg" if %BGM_s_Ch = 65 mov $BGM_Play,"bgm\akaikutsu.ogg" if %BGM_s_Ch = 66 mov $BGM_Play,"bgm\mother.ogg" if %BGM_s_Ch = 67 mov $BGM_Play,"bgm\haze.ogg" if %BGM_s_Ch = 68 mov $BGM_Play,"bgm\odoru_kimuchi.ogg" if %BGM_s_Ch = 69 mov $BGM_Play,"bgm\Dread of the grave -More fear-.ogg" if %BGM_s_Ch = 70 mov $BGM_Play,"bgm\organ_shokyoku_nioku_hachi.ogg" if %BGM_s_Ch = 71 mov $BGM_Play,"bgm\rhythm-changer.ogg" if %BGM_s_Ch = 72 mov $BGM_Play,"bgm\happiness of marionette_omake.ogg" if %BGM_s_Ch = 73 mov $BGM_Play,"bgm\happiness of marionette.ogg" if %BGM_s_Ch = 74 mov $BGM_Play,"bgm\moon_usagi_no_butou.ogg" if %BGM_s_Ch = 75 mov $BGM_Play,"bgm\Melting away.ogg" if %BGM_s_Ch = 76 mov $BGM_Play,"bgm\soul of soul.ogg" if %BGM_s_Ch = 77 mov $BGM_Play,"bgm\miragecoordinator.ogg" if %BGM_s_Ch = 78 mov $BGM_Play,"bgm\prison.ogg" if %BGM_s_Ch = 79 mov $BGM_Play,"bgm\umaretekitekurete_arigatou.ogg" if %BGM_s_Ch = 80 mov $BGM_Play,"bgm\tsubasa.ogg" if %BGM_s_Ch = 81 mov $BGM_Play,"bgm\shitsurakuen.ogg" if %BGM_s_Ch = 82 mov $BGM_Play,"bgm\wingless.ogg" if %BGM_s_Ch = 83 mov $BGM_Play,"bgm\activepain.ogg" if %BGM_s_Ch = 84 mov $BGM_Play,"bgm\Dread of the grave -rhythm ver-.ogg" ;EP4追加 if %BGM_s_Ch = 85 mov $BGM_Play,"bgm\kuon.ogg" if %BGM_s_Ch = 86 mov $BGM_Play,"bgm\over.ogg" if %BGM_s_Ch = 87 mov $BGM_Play,"bgm\Like the gale.ogg" if %BGM_s_Ch = 88 mov $BGM_Play,"bgm\F Style.ogg" if %BGM_s_Ch = 89 mov $BGM_Play,"bgm\Monochrome Clock.ogg" if %BGM_s_Ch = 90 mov $BGM_Play,"bgm\apathy.ogg" if %BGM_s_Ch = 91 mov $BGM_Play,"bgm\shinpi_no_mori.ogg" if %BGM_s_Ch = 92 mov $BGM_Play,"bgm\sakutarou_no_ganbari_monogatari.ogg" if %BGM_s_Ch = 93 mov $BGM_Play,"bgm\Parallel.ogg" if %BGM_s_Ch = 94 mov $BGM_Play,"bgm\F Style.ogg" if %BGM_s_Ch = 95 mov $BGM_Play,"bgm\599 million ruins.ogg" if %BGM_s_Ch = 96 mov $BGM_Play,"bgm\maria_utanasi_mx.ogg" if %BGM_s_Ch = 97 mov $BGM_Play,"bgm\Surrounding.ogg" if %BGM_s_Ch = 98 mov $BGM_Play,"bgm\hibuta.ogg" if %BGM_s_Ch = 99 mov $BGM_Play,"bgm\death(from stupefaction).ogg" if %BGM_s_Ch = 100 mov $BGM_Play,"bgm\mortal stampede.ogg" if %BGM_s_Ch = 101 mov $BGM_Play,"bgm\Victima_propiciatoria.ogg" if %BGM_s_Ch = 102 mov $BGM_Play,"bgm\Revolt.ogg" if %BGM_s_Ch = 103 mov $BGM_Play,"bgm\rengokukyoukyousoukyoku.ogg" if %BGM_s_Ch = 104 mov $BGM_Play,"bgm\happy_maria_uta_m.ogg" if %BGM_s_Ch = 105 mov $BGM_Play,"bgm\26_dive to emergency.ogg" if %BGM_s_Ch = 106 mov $BGM_Play,"bgm\dir.ogg" if %BGM_s_Ch = 107 mov $BGM_Play,"bgm\endless_nine.ogg" if %BGM_s_Ch = 108 mov $BGM_Play,"bgm\dreamenddischarger.ogg" if %BGM_s_Ch = 109 mov $BGM_Play,"bgm\discode1c.ogg" ;ディスコード if %BGM_s_Ch = 110 mov $BGM_Play,"bgm\kururi.ogg" ;EP5追加 if %BGM_s_Ch = 111 mov $BGM_Play,"bgm\Future.ogg" if %BGM_s_Ch = 112 mov $BGM_Play,"bgm\blue_no_reishou.ogg" if %BGM_s_Ch = 113 mov $BGM_Play,"bgm\meitantei_ha_shitteiru.ogg" if %BGM_s_Ch = 114 mov $BGM_Play,"bgm\emi_naki_soware.ogg" if %BGM_s_Ch = 115 mov $BGM_Play,"bgm\one.ogg" if %BGM_s_Ch = 116 mov $BGM_Play,"bgm\rasen_choir.ogg" if %BGM_s_Ch = 117 mov $BGM_Play,"bgm\6oku_slow_oke.ogg" if %BGM_s_Ch = 118 mov $BGM_Play,"bgm\totemblume.ogg" if %BGM_s_Ch = 119 mov $BGM_Play,"bgm\Justice.ogg" if %BGM_s_Ch = 120 mov $BGM_Play,"bgm\aci-l.ogg" if %BGM_s_Ch = 121 mov $BGM_Play,"bgm\kuina.ogg" if %BGM_s_Ch = 122 mov $BGM_Play,"bgm\proud-dust.ogg" if %BGM_s_Ch = 123 mov $BGM_Play,"bgm\hello your dream.ogg" if %BGM_s_Ch = 124 mov $BGM_Play,"bgm\kodoku_na_shinkaigyo.ogg" if %BGM_s_Ch = 125 mov $BGM_Play,"bgm\shoujotachi_no_witch_hunt.ogg" if %BGM_s_Ch = 126 mov $BGM_Play,"bgm\tsugihagi_chimera.ogg" if %BGM_s_Ch = 127 mov $BGM_Play,"bgm\22_discolor.ogg" if %BGM_s_Ch = 128 mov $BGM_Play,"bgm\0e_resurrectedreplayer.ogg" if %BGM_s_Ch = 129 mov $BGM_Play,"bgm\Final Answer.ogg" if %BGM_s_Ch = 130 mov $BGM_Play,"bgm\hikari.ogg" if %BGM_s_Ch = 131 mov $BGM_Play,"bgm\inochi_no_pan.ogg" if %BGM_s_Ch = 132 mov $BGM_Play,"bgm\yakusoku_vocal.ogg" if %BGM_s_Ch = 133 mov $BGM_Play,"bgm\Tomorrow.ogg" if %BGM_s_Ch = 134 mov $BGM_Play,"bgm\Tubasa(short ver hope).ogg" ;EP6追加 if %BGM_s_Ch = 135 mov $BGM_Play,"bgm2\lg_ep6_org2.ogg";鈍色の空笑 if %BGM_s_Ch = 136 mov $BGM_Play,"bgm2\eb.ogg";永遠の鎖 if %BGM_s_Ch = 137 mov $BGM_Play,"bgm2\LoveExamination.ogg" if %BGM_s_Ch = 138 mov $BGM_Play,"bgm2\setsuna.ogg" if %BGM_s_Ch = 139 mov $BGM_Play,"bgm2\Look.ogg" if %BGM_s_Ch = 140 mov $BGM_Play,"bgm2\dai_001(blue).ogg" if %BGM_s_Ch = 141 mov $BGM_Play,"bgm2\dai_009(hope B&D).ogg" if %BGM_s_Ch = 142 mov $BGM_Play,"bgm2\lg_kina9mx.ogg" if %BGM_s_Ch = 143 mov $BGM_Play,"bgm2\rog-limitation_mst.ogg" if %BGM_s_Ch = 144 mov $BGM_Play,"bgm2\gc-28.ogg";ワルツop34 if %BGM_s_Ch = 145 mov $BGM_Play,"bgm2\dai_015(ALIVE_4m).ogg" if %BGM_s_Ch = 146 mov $BGM_Play,"bgm2\B_o_n_w_inst.ogg" if %BGM_s_Ch = 147 mov $BGM_Play,"bgm2\gc-29.ogg";ruriair if %BGM_s_Ch = 148 mov $BGM_Play,"bgm2\dai_006(engage of marionette).ogg" if %BGM_s_Ch = 149 mov $BGM_Play,"bgm2\dai_011(Life).ogg" if %BGM_s_Ch = 150 mov $BGM_Play,"bgm2\Loreley.ogg" if %BGM_s_Ch = 151 mov $BGM_Play,"bgm2\dai_012_tsumi_Long.ogg" if %BGM_s_Ch = 152 mov $BGM_Play,"bgm2\The first and The last.ogg" if %BGM_s_Ch = 153 mov $BGM_Play,"bgm2\gc-30.ogg" if %BGM_s_Ch = 154 mov $BGM_Play,"bgm2\SF09-sayu-01.ogg" if %BGM_s_Ch = 155 mov $BGM_Play,"bgm2\dai_010(Rebirth).ogg" if %BGM_s_Ch = 156 mov $BGM_Play,"bgm2\dai_002.ogg" if %BGM_s_Ch = 157 mov $BGM_Play,"bgm2\0f_liberatedliberater.ogg" if %BGM_s_Ch = 158 mov $BGM_Play,"bgm2\dai_008(thanks for all people).ogg" if %BGM_s_Ch = 159 mov $BGM_Play,"bgm2\eiji_queen_bee.ogg" if %BGM_s_Ch = 160 mov $BGM_Play,"bgm2\lg_bonw_m_size.ogg" if %BGM_s_Ch = 161 mov $BGM_Play,"bgm2\lg_usan_uta_full.ogg" if %BGM_s_Ch = 162 mov $BGM_Play,"bgm3\26_le4-octobre_mst.ogg" if %BGM_s_Ch = 163 mov $BGM_Play,"bgm3\11_l&d-circulation_mst.ogg" if %BGM_s_Ch = 164 mov $BGM_Play,"bgm3\22_reflection-call_mst.ogg" if %BGM_s_Ch = 165 mov $BGM_Play,"bgm3\29_rain.ogg" if %BGM_s_Ch = 166 mov $BGM_Play,"bgm3\24_7-weights_mst.ogg" if %BGM_s_Ch = 167 mov $BGM_Play,"bgm3\27_fall.ogg" if %BGM_s_Ch = 168 mov $BGM_Play,"bgm3\19_bore-ral_mst.ogg" if %BGM_s_Ch = 169 mov $BGM_Play,"bgm3\15 ballde-continuer_mst.ogg" if %BGM_s_Ch = 170 mov $BGM_Play,"bgm3\namaenonaiuta_Ver2_oche_mst.ogg" if %BGM_s_Ch = 171 mov $BGM_Play,"bgm3\02_lie-alaiaa_mst.ogg" if %BGM_s_Ch = 172 mov $BGM_Play,"bgm3\lg_Golden Nocturne(inst).ogg" if %BGM_s_Ch = 173 mov $BGM_Play,"bgm3\far(flat).ogg" if %BGM_s_Ch = 174 mov $BGM_Play,"bgm3\omocha_bako.ogg" if %BGM_s_Ch = 175 mov $BGM_Play,"bgm3\30_terminal_entrance.ogg" if %BGM_s_Ch = 176 mov $BGM_Play,"bgm3\ningyou_geki.ogg" if %BGM_s_Ch = 177 mov $BGM_Play,"bgm3\04 she-end_mst.ogg" if %BGM_s_Ch = 178 mov $BGM_Play,"bgm3\Bring the fate.ogg" if %BGM_s_Ch = 179 mov $BGM_Play,"bgm3\namae_no_nai_uta_inst.ogg" if %BGM_s_Ch = 180 mov $BGM_Play,"bgm3\the_end_of_the_world_inst_mst.ogg" if %BGM_s_Ch = 181 mov $BGM_Play,"bgm3\43 goddess-gardena_mst.ogg" if %BGM_s_Ch = 182 mov $BGM_Play,"bgm3\kanairo_no_choushou.ogg" if %BGM_s_Ch = 183 mov $BGM_Play,"bgm3\18_ridicule.ogg" if %BGM_s_Ch = 184 mov $BGM_Play,"bgm3\yomi_corruption.ogg" if %BGM_s_Ch = 185 mov $BGM_Play,"bgm3\0h_executioner_m.ogg" if %BGM_s_Ch = 186 mov $BGM_Play,"bgm3\namae_no_nai_uta_ed.ogg" if %BGM_s_Ch = 187 mov $BGM_Play,"bgm4\nuigurumi.ogg" if %BGM_s_Ch = 188 mov $BGM_Play,"bgm4\kaiki_divertimento.ogg" if %BGM_s_Ch = 189 mov $BGM_Play,"bgm4\More_fear.ogg" if %BGM_s_Ch = 190 mov $BGM_Play,"bgm4\en-counse_mst.ogg" if %BGM_s_Ch = 191 mov $BGM_Play,"bgm4\lixAxil.ogg" if %BGM_s_Ch = 192 mov $BGM_Play,"bgm4\revelations_inst.ogg" if %BGM_s_Ch = 193 mov $BGM_Play,"bgm4\hishou.ogg" if %BGM_s_Ch = 194 mov $BGM_Play,"bgm4\lastendconductor.ogg" ;ztsさん曲のマスター if %BGM_s_Ch = 195 mov $BGM_Play,"bgm4\Revelations.ogg" ;xakiさん歌曲のマスター ;// 咲・新規bgm if %BGM_s_Ch = 196 mov $BGM_Play,"bgm4\lg_busy_devils_long.ogg";ビジーデビルズ if %BGM_s_Ch = 197 mov $BGM_Play,"bgm4\dai_asm.ogg";明日の夢(ピアノ&ストリングスVer) if %BGM_s_Ch = 198 mov $BGM_Play,"bgm4\nugerjagmig.ogg";nugerjagmig(BatAAr様) ; if %BGM_s_Ch = 198 mov $BGM_Play,"bgm4\" ;音楽室用 if %BGM_s_Ch = 240 mov $BGM_Play,"bgm4\Byakumu_short.ogg" if %BGM_s_Ch = 241 mov $BGM_Play,"bgm4\uminekononakukoroni.ogg" if %BGM_s_Ch = 242 mov $BGM_Play,"bgm4\dai_asm.ogg" if %BGM_s_Ch = 243 mov $BGM_Play,"bgm4\TEOW.ogg" if %BGM_s_Ch = 244 mov $BGM_Play,"bgm4\lg_busy_short_soundmode.ogg" ;音楽室用 if %BGM_s_Ch = 250 mov $BGM_Play,"bgm4\namaeonnaiutapiano inst ver.ogg" if %BGM_s_Ch = 251 mov $BGM_Play,"bgm4\The End Of The Worldpiano inst ver inst ver.ogg" if %BGM_s_Ch = 252 mov $BGM_Play,"bgm4\yakusoku_og.ogg" if %BGM_s_Ch = 253 mov $BGM_Play,"bgm4\kaze_og.ogg" if %BGM_s_Ch = 254 mov $BGM_Play,"bgm4\Answer_og.ogg" ;BGMを鳴らさずファイルパスを取得する為の命令 if %bgm_mood_file_path_flg = 1 mov %bgm_mood_file_path_flg,0 : return goto *BGM_Play_Mord *Me_List ;ミュージックエフェクトデータパス一覧 if %Me_Number = 1 mov $Me_Play,"ME\A1_03052.WAV" ;飛行機のエンジン音(プロペラ△ if %Me_Number = 2 mov $Me_Play,"ME\A3_05051.WAV" ;港の音△カモメ付き if %Me_Number = 3 mov $Me_Play,"ME\A3_05045.WAV" ;嵐の岸辺の音 if %Me_Number = 4 mov $Me_Play,"ME\A1_03055.WAV" ;船のエンジン音△ if %Me_Number = 5 mov $Me_Play,"ME\A3_01005.WAV" ;風の音△ if %Me_Number = 6 mov $Me_Play,"ME\A3_02012.WAV" ;嵐の音△ if %Me_Number = 7 mov $Me_Play,"ME\A5_01033.WAV" ;雨の音△ if %Me_Number = 8 mov $Me_Play,"ME\A1_12222.WAV" ;古時計の秒針 ; if %Me_Number = 9 mov $Me_Play,"ME\A5_08234.WAV" ;柱時計 ; if %Me_Number = 10 mov $Me_Play,"ME\A5_14445.WAV" ;街の音△ if %Me_Number = 11 mov $Me_Play,"ME\clock_loop_l4.WAV" ;時計の音 if %Me_Number = 12 mov $Me_Play,"ME\rain_2.WAV" ;雨の音○ 静かめ。 if %Me_Number = 13 mov $Me_Play,"ME\Rain4_Long.WAV" ;雨の音雷鳴混じり○ if %Me_Number = 14 mov $Me_Play,"ME\STORM2.WAV" ;暴風の音○ if %Me_Number = 15 mov $Me_Play,"ME\strange_clock.wav" ;時計の音深い。○ if %Me_Number = 16 mov $Me_Play,"ME\A5_14446.wav" ;幽霊のような風 if %Me_Number = 17 mov $Me_Play,"ME\storm_flanger.wav" ;嵐フランジャー if %Me_Number = 18 mov $Me_Play,"ME\wind_flanger_1.wav";風フランジャー if %Me_Number = 19 mov $Me_Play,"ME\clock_flanger_3.wav";時計フランジャー if %Me_Number = 20 mov $Me_Play,"ME\boiler_room.wav" ;重く低い機械音 if %Me_Number = 21 mov $Me_Play,"ME\flangefemale.wav" ;不気味な赤ん坊声 if %Me_Number = 22 mov $Me_Play,"ME\dark_phone_bell_far.wav";電話(小さすぎ! if %Me_Number = 23 mov $Me_Play,"ME\dark_phone_bell.wav" ;電話音(大) if %Me_Number = 24 mov $Me_Play,"ME\gaya_0.wav" ;がやがや if %Me_Number = 25 mov $Me_Play,"ME\in_car_3.WAV" ;電車、がたんごとん。 if %Me_Number = 26 mov $Me_Play,"ME\cave_water_drop.wav" ;洞窟の水滴 ピチョン if %Me_Number = 27 mov $Me_Play,"ME\cave_water_flow.wav" ;洞窟の水流 サラサラ ;EP4追加 if %Me_Number = 28 mov $Me_Play,"ME\breaking_wind.wav" ;壊れた風の音 if %Me_Number = 29 mov $Me_Play,"ME\city_traffic.WAV" ;クラクション系 if %Me_Number = 30 mov $Me_Play,"ME\city_traffic_2.WAV" ;走行音系 if %Me_Number = 31 mov $Me_Play,"ME\cave_water_drop.wav" ; if %Me_Number = 32 mov $Me_Play,"ME\cave_water_drop.wav" ; if %Me_Number = 33 mov $Me_Play,"ME\cave_water_drop.wav" ; if %Me_Number = 34 mov $Me_Play,"ME\cave_water_drop.wav" ; ;時計用効果音 if %Me_Number = 1050 mov $Me_Play,"sys_se\clock_ticking.wav" ;時計、針の進む音 if %Me_Number = 1051 mov $Me_Play,"sys_se\neji.wav" ;時計、針の巻き戻る音 if %Me_Number = 1052 mov $Me_Play,"sys_se\grandfather_clock_bell.WAV" ;時計、12時の鐘 if %Me_Number = 1053 mov $Me_Play,"sys_se\grandfather_clock_bell_2.WAV" ;時計、12時の鐘 return *Se_Play_List if %Se_Number = 1 mov $Se_Play,"SE\A5_06196.WAV" ;タイトル if %Se_Number = 2 mov $Se_Play,"SE\A5_02047.WAV" ;エンド if %Se_Number = 3 mov $Se_Play,"SE\A1_16258.WAV" ;ぴゅーん(コミカル if %Se_Number = 4 mov $Se_Play,"SE\A1_17270.WAV" ;きゅぴーん(閃く if %Se_Number = 5 mov $Se_Play,"SE\A5_08263.WAV" ;しゃきーん if %Se_Number = 6 mov $Se_Play,"SE\A1_07130.WAV" ;叩く(対物軽く if %Se_Number = 7 mov $Se_Play,"SE\A1_07131.WAV" ;叩く(対物早く if %Se_Number = 8 mov $Se_Play,"SE\A1_07132.WAV" ;叩く(対物鈍く if %Se_Number = 9 mov $Se_Play,"SE\A1_07133.WAV";ピュン。軽い。 if %Se_Number = 10 mov $Se_Play,"SE\A5_04105.WAV" ;叩く(対人 if %Se_Number = 11 mov $Se_Play,"SE\A5_04107.WAV" ;叩く(対人 if %Se_Number = 12 mov $Se_Play,"SE\A5_10316.WAV" ;打撃音 if %Se_Number = 13 mov $Se_Play,"SE\A5_10317.WAV" ;倒れる if %Se_Number = 14 mov $Se_Play,"SE\A1_21333.WAV" ;足音(近づく if %Se_Number = 15 mov $Se_Play,"SE\A5_01023.WAV" ;ドアを閉める(屋敷) if %Se_Number = 16 mov $Se_Play,"SE\heavy_door_closing_2.wav";ドアを開ける(屋敷) if %Se_Number = 17 mov $Se_Play,"SE\A5_07201.WAV" ;大扉を開ける(屋敷) if %Se_Number = 18 mov $Se_Play,"SE\A5_07202.WAV" ;大扉を閉める(屋敷) if %Se_Number = 19 mov $Se_Play,"SE\knock_2.wav" ;ノック イマイチ・軽い if %Se_Number = 20 mov $Se_Play,"SE\A5_10315.WAV" ;ぶぉん!(スイング音 if %Se_Number = 21 mov $Se_Play,"SE\distant_thunder_2.wav" ;遠雷ゴロゴロ...。 if %Se_Number = 22 mov $Se_Play,"SE\horror.wav" ;黄金の蝶々ME if %Se_Number = 23 mov $Se_Play,"SE\clock_grand_fathers3.wav";大時計初日終了ME・長いよー!se51はそこだけ切り抜いてあるので便利です。 if %Se_Number = 24 mov $Se_Play,"SE\07_se_umi.wav" ;グロいME if %Se_Number = 25 mov $Se_Play,"SE\A6_24636.WAV" ;カギ開けの音 if %Se_Number = 26 mov $Se_Play,"SE\05_se_umi.wav" ;不思議不気味ME if %Se_Number = 27 mov $Se_Play,"SE\thunder_3.wav" ;落雷! ドーン! if %Se_Number = 28 mov $Se_Play,"SE\SE4.WAV" ;静かなる低音ME if %Se_Number = 29 mov $Se_Play,"ME\dark_phone_bell_far.wav";黒電話・目覚しME if %Se_Number = 30 mov $Se_Play,"SE\reload_fast.WAV";リロード速い! if %Se_Number = 31 mov $Se_Play,"SE\keychain.wav" ;カチャリ(チャリチャリ) if %Se_Number = 32 mov $Se_Play,"SE\kusari.WAV" ;扉を開けようとして鎖 if %Se_Number = 33 mov $Se_Play,"SE\02se_umi.wav" ;怖い物発見系ME if %Se_Number = 34 mov $Se_Play,"SE\01se_umi.wav" ;怖い物衝撃!ME if %Se_Number = 35 mov $Se_Play,"SE\blood_4.wav" ;血ぼた if %Se_Number = 36 mov $Se_Play,"SE\clash.wav" ;煉獄の七杭 if %Se_Number = 37 mov $Se_Play,"SE\ahaha.wav" ;ハッハハハハ! if %Se_Number = 38 mov $Se_Play,"SE\lowclick.wav" ;ゴポリ、グリ... if %Se_Number = 39 mov $Se_Play,"SE\dropped_hatchet.wav";ガランガラーン if %Se_Number = 40 mov $Se_Play,"SE\metal_pipe_hit.wav" ;コーン if %Se_Number = 41 mov $Se_Play,"SE\metal_pipe_hit_b.wav";キーン if %Se_Number = 42 mov $Se_Play,"SE\metal_pipe_hit_c.wav";カーン if %Se_Number = 43 mov $Se_Play,"SE\reload_slow.wav";リロードゆっくり! if %Se_Number = 44 mov $Se_Play,"SE\autolock_5.wav";書斎のオートロック if %Se_Number = 45 mov $Se_Play,"SE\03se_umi.wav";残酷衝撃...!!ME if %Se_Number = 46 mov $Se_Play,"SE\raihuru3d.wav";ライフル発砲! if %Se_Number = 47 mov $Se_Play,"SE\B1.WAV";バネの音:プイン if %Se_Number = 48 mov $Se_Play,"SE\B5.WAV";バネの音:ポヨン if %Se_Number = 49 mov $Se_Play,"SE\shutter_echo_1.wav";シャッター開ける if %Se_Number = 50 mov $Se_Play,"SE\shutter_close_echo_1.wav";閉める if %Se_Number = 51 mov $Se_Play,"SE\bell1.wav";24時の鐘の音(システム時計の) if %Se_Number = 52 mov $Se_Play,"SE\teleport_1.wav";テレポート if %Se_Number = 53 mov $Se_Play,"SE\lift_phone_receiver.wav";受話器を取る if %Se_Number = 54 mov $Se_Play,"SE\applause.wav";パチパチわーわー! if %Se_Number = 55 mov $Se_Play,"SE\mouhatsu.wav";ジュウ! 肉を焼く音。 if %Se_Number = 56 mov $Se_Play,"SE\Z1.wav";メタ空間展開 if %Se_Number = 57 mov $Se_Play,"SE\barrier_tenkai_3.wav";軌跡の剣の展開 if %Se_Number = 58 mov $Se_Play,"SE\tsubazeriai.wav";剣戟の音(ちょっと軽い) if %Se_Number = 59 mov $Se_Play,"SE\barrier_tenkai_2.wav";ベアト赤宣言 if %Se_Number = 60 mov $Se_Play,"SE\heavy_impact_1.wav"; if %Se_Number = 61 mov $Se_Play,"SE\heavy_impact_2.wav"; if %Se_Number = 62 mov $Se_Play,"SE\heavy_impact_3.wav"; if %Se_Number = 63 mov $Se_Play,"SE\impact.wav"; if %Se_Number = 64 mov $Se_Play,"SE\B4.wav"; if %Se_Number = 65 mov $Se_Play,"SE\B_long.wav"; if %Se_Number = 66 mov $Se_Play,"SE\approaching_barrage.wav"; if %Se_Number = 67 mov $Se_Play,"SE\04_se_umi.wav";怖い系SE if %Se_Number = 68 mov $Se_Play,"SE\car_sudden_stop.wav"; if %Se_Number = 69 mov $Se_Play,"SE\school_bell.wav"; if %Se_Number = 70 mov $Se_Play,"SE\pinpon_2.WAV"; if %Se_Number = 71 mov $Se_Play,"SE\24_chime.wav";大広間の大時計の24時 if %Se_Number = 72 mov $Se_Play,"SE\longsword_hit_1.wav"; if %Se_Number = 73 mov $Se_Play,"SE\longsword_hit_2.wav"; if %Se_Number = 74 mov $Se_Play,"SE\longsword_hit_3.wav"; if %Se_Number = 75 mov $Se_Play,"SE\instant_movement_6.wav"; if %Se_Number = 76 mov $Se_Play,"SE\hakushu.wav"; if %Se_Number = 77 mov $Se_Play,"SE\searchlight_thing.WAV"; if %Se_Number = 78 mov $Se_Play,"SE\goriri.WAV";石をする音(希望) if %Se_Number = 79 mov $Se_Play,"SE\jewel_break_1.wav"; if %Se_Number = 80 mov $Se_Play,"SE\jewel_break_5.wav"; if %Se_Number = 81 mov $Se_Play,"SE\shutter_click.wav"; if %Se_Number = 82 mov $Se_Play,"SE\Saku_book_patan.WAV";本を閉じる音@うみねこ咲 if %Se_Number = 83 mov $Se_Play,"SE\Saku_megane.WAV";眼鏡をカチャリ@うみねこ咲 ;if %Se_Number = 79 mov $Se_Play,"SE\AAAAA.wav"; ;システム用効果音(錠前系の音) if %Se_Number = 1000 mov $Se_Play,"sys_se\zyosys7.WAV" ;ボタンを押す音1 if %Se_Number = 1001 mov $Se_Play,"sys_se\zyosys1.WAV" ;ボタンを押す音2 if %Se_Number = 1005 mov $Se_Play,"sys_se\zyosys3.WAV" ;キャンセル音 if %Se_Number = 1006 mov $Se_Play,"sys_se\ZS1.WAV" ;EXIT音(ガラスが割れる音(小)に差替える) if %Se_Number = 1010 mov $Se_Play,"sys_se\page.wav" ;ページをめくる音 if %Se_Number = 1020 mov $Se_Play,"sys_se\ZS1.WAV" ;一人死ぬ(ガラスが割れる音(小)に差替える) if %Se_Number = 1021 mov $Se_Play,"sys_se\one_re.wav" ;一人生き返る(ワインを注ぐ音等?) if %Se_Number = 1022 mov $Se_Play,"sys_se\ZS1.WAV" ;全員死ぬ if %Se_Number = 1023 mov $Se_Play,"sys_se\Z2.wav" ;全員生き返る if %Se_Number = 1030 mov $Se_Play,"sys_se\mizu_d.wav" ;セーブ音(水の落ちる音) if %Se_Number = 1031 mov $Se_Play,"sys_se\mizu_d2.wav" ;セーブ音(水の落ちる音) if %Se_Number = 1040 mov $Se_Play,"sys_se\da_kane.wav" ;スタート音(鐘の音、後で短い物に差替える)) ;時計用効果音 if %Se_Number = 1050 mov $Se_Play,"sys_se\clock_ticking.wav" ;時計、針の進む音 if %Se_Number = 1051 mov $Se_Play,"sys_se\neji.wav" ;時計、針の巻き戻る音 if %Se_Number = 1052 mov $Se_Play,"sys_se\da_kane2.wav" ;時計、12時の鐘 ;右クリック、キャラ追加時、Tips入手時の効果音 if %Se_Number = 1060 mov $Se_Play,"sys_se\KT1.wav" ;キャラの追加(明るいバージョン) if %Se_Number = 1061 mov $Se_Play,"sys_se\mizu_ijou.wav" ;キャラの状態変化(暗いバージョン) if %Se_Number = 1062 mov $Se_Play,"sys_se\KT1.wav" ;Tips入手A if %Se_Number = 1063 mov $Se_Play,"sys_se\mizu_ijou.wav" ;Tips入手B ;ガラスの割れる音(ランダム用、1100番の効果音が指定された、ランダムでガラスの割れる音を返す) notif %Se_Number = 1100 jumpf rnd2 %ran,1,6 if %ran = 1 mov $Se_Play,"sys_se\ZS1.WAV" if %ran = 2 mov $Se_Play,"sys_se\ZS2.WAV" if %ran = 3 mov $Se_Play,"sys_se\ZS3.WAV" if %ran = 4 mov $Se_Play,"sys_se\ZS4.WAV" if %ran = 5 mov $Se_Play,"sys_se\ZS5.WAV" if %ran = 6 mov $Se_Play,"sys_se\ZS6.WAV" ~ goto *Se_Play_Mord *update_backlog if %cur_read_lang = 0 jumpf if %TextCC12Flug = 1 && %jpbacklogTotalNum < jpBacklogpageMax inc %jpbacklogTotalNum ~ notif %cur_read_lang = 0 jumpf if %TextCC12Flug = 1 && %backlogTotalNum < BacklogpageMax inc %backlogTotalNum ~ if %TextCC12Flug = 1 getlog $Textlog,0 : gosub *text_tagsave return *text_cw ;テキストクリックシステム mov %BtnRes,99 ;現在のテキストを取得(セーブデータで使用) gettext $textlognow getcursorpos %x,%y ;テキストのx軸とy軸の拾得 add %x, 5 add %y, 5 ispage %Textcc12Flug ;クリック待ちか、改ページか getreadlang %cur_read_lang erasetextwindow 0 mov %tmordflg,%Textcc12Flug if %cur_read_lang = %cur_language jumpf gosub *update_backlog goto *Text_cw_next_wh ~ if %TextCC12Flug = 0 amsp TextCC1SpNum,%x,%y:vsp TextCC1SpNum,1 if %TextCC12Flug = 1 amsp TextCC2SpNum,%x,%y:vsp TextCC2SpNum,1 print 1 btndef clear ;getenter *Text_cwlp saveoff ;mov %BtnRes,99 ;仮 テキスト異常スキップ対策 delay 100 ;デバック用(ファンクションキーに機能を追加) getfunction ;mov %debag_save_flg,1 ;デバッグ用。ファンクションキーを押さなくてもデバッグモードオン。 ; for %free21 = 0 to 1 step 0 ;ボタン入力を待つ textbtnwait %BtnRes ; if %BtnRes > -4 break ;ボタン入力があるまでループ ; next btndef clear if %BtnRes = 0 goto *Text_cw_next if %BtnRes = -3 goto *Text_cw_next if %BtnRes = -11 goto *Text_cw_next if %BtnRes = -19 goto *Text_cw_next if %BtnRes = -1 && %rmordflg = 0 gosub *text_cw_rclk : btndef clear : goto *Text_cw if %BtnRes = -2 gosub *text_cw_lookback : btndef clear : goto *Text_cw if %BtnRes = 99 goto *Text_cw ;暫定テキスト異常スキップ対策 ;デバッグ専用(配布時には上のコメントアウトを外して、したをコメントにする) if %BtnRes = -10 && %rmordflg = 0 gosub *text_cw_rclk : goto *Text_cw ;if %BtnRes = -10 && %rmordflg = 0 end ;デバッグ専用(F1~F12 -21~-32に、5会話戻って定義リセットする機能を付加) ;notif %debag_save_flg = 1 jumpf ; if %BtnRes = -21 mov %debag_load_no,%debag_save_no - 1 : end ; if %BtnRes = -22 mov %debag_load_no,%debag_save_no - 2 : end ; if %BtnRes = -23 mov %debag_load_no,%debag_save_no - 3 : end ; if %BtnRes = -24 mov %debag_load_no,%debag_save_no - 4 : end ; if %BtnRes = -25 mov %debag_load_no,%debag_save_no - 5 : end ; if %BtnRes = -26 mov %debag_load_no,%debag_save_no - 6 : end ; if %BtnRes = -27 mov %debag_load_no,%debag_save_no - 7 : end ; if %BtnRes = -28 mov %debag_load_no,%debag_save_no - 8 : end ;~ ;notif %BtnRes = -29 jumpf ; ;雨のON,OFF ; if %efespflg = 0 dllefe 1,1 : jumpf ; if %efespflg = 1 dllefe_off : jumpf ;~ ;notif %BtnRes = -30 jumpf ;スプライトの消去 ; csp -1 ; bg black,0 ; cl a,0 ;; mcl a,0 ;~ ;notif %BtnRes = -31 jumpf ;BGMのON、OFFプログラム ; E_A ;~ ;notif %BtnRes = -32 jumpf ;自動セーブモードのON、OFF ; notif %debag_save_flg = 0 goto *autosave_skip ; mov %debag_save_flg,0 ; lsp 10,":s#FF0000自動セーブOFF(左クリックをしてください)",10,400 : print 1 ; delay 2000 ; csp 10 : print 1 ; jumpf ; *autosave_skip ; mov %debag_save_flg,1 ; lsp 10,":s#FF0000自動セーブON(左クリックをしてください)",10,400 ; mov %debag_load_no,0 ; mov %debag_save_no,800 ; mov %debag_save_count,0 : savegame %debag_save_no : inc %debag_save_no ; print 1 ; delay 2000 ; csp 10 : print 1 ;~ ;デバッグモードここまで goto *text_cwlp *Text_cw_next btndef clear btndef "" vsp TextCC1SpNum,0 : vsp TextCC2SpNum,0 print 1 if %tmordflg = 0 jumpf gosub *update_backlog ~ *Text_cw_next_wh texec erasetextwindow 1 ;デバッグモード指定改ページに一回セーブを行う。 ;if %debag_save_flg = 0 jumpf ;notif %TextCC12Flug = 1 jumpf ; if %debag_save_no < 800 mov %debag_save_no,800 ; if %debag_save_no >= 820 mov %debag_save_no,800 ; inc %debag_save_count ; if %debag_save_count >= 3 mov %debag_save_count,0 : savegame %debag_save;_no : inc %debag_save_no ;~ ;;ここまで return *Text_cw_rclk btndef "" ;if %intro_prog_flg = off jumpf ;スプライトプログラムが動いているかの確認 ;mov %intro_prog_flg,off ;スプライトプログラムのフラグをオフ ;layermessage 10,"clear/10" ;スプライトプログラムのスプライト消去 ;layermessage 10,"program_end/10" ;スプライトプログラムのプログラム停止 ;csp 10 ~ ;セーブ画面に入る前にセーブデータ用スクリーンショット画像を取得 getscreenshot 80,60 texthide ;テキストを隠す vsp TextCC1SpNum,0 : vsp TextCC2SpNum,0 print 1 gosub *rmenu_csutom ;取得していたスクリーンショットの破棄 deletescreenshot textshow return *text_tagsave if %cur_read_lang = 0 jumpf ;現在ページをログにコピーする mov $%jpBacklogNum,$Textlog ;ログの現在登録位置の増加 if %jpBacklogNum = jpBacklogpageMax mov %jpBacklogNum,jpBacklogpage0 ;ログ位置が最大値であった場合 inc %jpBacklogNum ~ notif %cur_read_lang = 0 jumpf ;現在ページをログにコピーする mov $%BacklogNum,$Textlog ;ログの現在登録位置の増加 if %BacklogNum = BacklogpageMax mov %BacklogNum,Backlogpage0 ;ログ位置が最大値であった場合 inc %BacklogNum ~ return *text_cw_lookback if %cur_read_lang = 0 jumpf if %jpbacklogTotalNum < 1 return vsp TextCC1SpNum,0 vsp TextCC2SpNum,0 textoff print 1 mov %jpbackloglook,jpBacklogNum ;バックログ表示画面指定 ;表示ページの初期化 mov %jpReadbacklogpage,1 ;ログの頭だし mov %jpbackloglook,%jpBacklogNum dec %jpbackloglook if %jpbackloglook < jpBacklogpage1 mov %jpbackloglook,jpBacklogpageMax ~ notif %cur_read_lang = 0 jumpf if %backlogTotalNum < 1 return vsp TextCC1SpNum,0 vsp TextCC2SpNum,0 textoff print 1 mov %backloglook,BacklogNum ;バックログ表示画面指定 ;表示ページの初期化 mov %Readbacklogpage,1 ;ログの頭だし mov %backloglook,%BacklogNum dec %backloglook if %backloglook < Backlogpage1 mov %backloglook,BacklogpageMax ~ *text_cw_lookback2 notif %cur_read_lang = 0 goto *text_cw_lookback2_jp btndef "" ;ボタン配置 lsp backscreen,":a;bmp\introduction_back1.bmp",0,0 ;ボタンセット ;テキストセット itoa $Free1,%backloglook ;ログ表示 logsp backlogtext,$%backloglook,20,20,#FFFFaa ;現在表示の最大値最低値の判定 if %backlogTotalNum = 1 vsp backlogdownbtn,0 : vsp backlogupbtn,0 : jumpf if %Readbacklogpage = %backlogTotalNum spbtn backlogdownbtn,backlogdownbtn : vsp backlogupbtn,0 : jumpf if %Readbacklogpage = mini spbtn backlogupbtn,backlogupbtn : vsp backlogdownbtn,0 : jumpf if %Readbacklogpage = Max spbtn backlogdownbtn,backlogdownbtn : vsp backlogupbtn,0 : jumpf spbtn backlogupbtn,backlogupbtn spbtn backlogdownbtn,backlogdownbtn ~ ;表示 print 1 ;入力受付 *backlogbtn btnwait2 %BtnRes if %BtnRes = backlogupbtn && %Readbacklogpage < MAX && %Readbacklogpage < %backlogTotalNum inc %Readbacklogpage : dec %backloglook : goto *text_cw_lookback3 if %BtnRes = backlogdownbtn & %Readbacklogpage > mini & %Readbacklogpage <= %backlogTotalNum dec %Readbacklogpage : inc %backloglook : goto *text_cw_lookback3 if %BtnRes = -2 & %Readbacklogpage < Max & %Readbacklogpage < %backlogTotalNum inc %Readbacklogpage : dec %backloglook : goto *text_cw_lookback3 if %BtnRes = -3 & %Readbacklogpage > mini dec %Readbacklogpage : inc %backloglook : goto *text_cw_lookback3 if %BtnRes = -3 & %Readbacklogpage <= mini jumpf if %BtnRes = -1 jumpf ;右クリックで抜ける if %BtnRes = -10 jumpf ;ESCで抜ける if %BtnRes = 0 jumpf ;空打ちで抜ける goto *backlogbtn ~ mov %tmordflg,0 ;テキスト表示モード切替 csp backlogupbtn csp backlogdownbtn csp backscreen csp backlogtext print 1 texton return *text_cw_lookback2_jp btndef "" ;ボタン配置 lsp backscreen,":a;bmp\introduction_back1.bmp",0,0 ;ボタンセット ;テキストセット itoa $Free1,%jpbackloglook ;ログ表示 logsp backlogtext,$%jpbackloglook,20,20,#FFFFaa ;現在表示の最大値最低値の判定 if %jpbacklogTotalNum = 1 vsp backlogdownbtn,0 : vsp backlogupbtn,0 : jumpf if %jpReadbacklogpage = %jpbacklogTotalNum spbtn backlogdownbtn,backlogdownbtn : vsp backlogupbtn,0 : jumpf if %jpReadbacklogpage = mini spbtn backlogupbtn,backlogupbtn : vsp backlogdownbtn,0 : jumpf if %jpReadbacklogpage = Max spbtn backlogdownbtn,backlogdownbtn : vsp backlogupbtn,0 : jumpf spbtn backlogupbtn,backlogupbtn spbtn backlogdownbtn,backlogdownbtn ~ ;表示 print 1 ;入力受付 *backlogbtn_jp btnwait2 %BtnRes if %BtnRes = backlogupbtn && %jpReadbacklogpage < MAX && %jpReadbacklogpage < %jpbacklogTotalNum inc %jpReadbacklogpage : dec %jpbackloglook : goto *text_cw_lookback3_jp if %BtnRes = backlogdownbtn & %jpReadbacklogpage > mini & %jpReadbacklogpage <= %jpbacklogTotalNum dec %jpReadbacklogpage : inc %jpbackloglook : goto *text_cw_lookback3_jp if %BtnRes = -2 & %jpReadbacklogpage < Max & %jpReadbacklogpage < %jpbacklogTotalNum inc %jpReadbacklogpage : dec %jpbackloglook : goto *text_cw_lookback3_jp if %BtnRes = -3 & %jpReadbacklogpage > mini dec %jpReadbacklogpage : inc %jpbackloglook : goto *text_cw_lookback3_jp if %BtnRes = -3 & %jpReadbacklogpage <= mini jumpf if %BtnRes = -1 jumpf ;右クリックで抜ける if %BtnRes = -10 jumpf ;ESCで抜ける if %BtnRes = 0 jumpf ;空打ちで抜ける goto *backlogbtn_jp ~ mov %tmordflg,0 ;テキスト表示モード切替 csp backlogupbtn csp backlogdownbtn csp backscreen csp backlogtext print 1 texton return ;ログのループ対応 *text_cw_lookback3 if %backloglook < Backlogpage1 mov %backloglook,BacklogpageMax if %backloglook > BacklogpageMax mov %backloglook,Backlogpage1 goto *text_cw_lookback2 *text_cw_lookback3_jp if %jpbackloglook < jpBacklogpage1 mov %jpbackloglook,jpBacklogpageMax if %jpbackloglook > jpBacklogpageMax mov %jpbackloglook,jpBacklogpage1 goto *text_cw_lookback2_jp ;********************************************************* ;右クリックカスタムシステム ;右クリックで最初に現れる関数 ;********************************************************* ;*rmenu_csutom ;右クリック画面表示 textoff mov %tmordflg,1 ; *rmenu_custom_spset if %remnu_data = on lsp rmenu_databtn,":a;bmp\system\Menu_data.bmp",180,122 lsp rmenu_back,":a;bmp\system\c.bmp",0,0 lsp rmenu_btn1,":c/3,0,3;bmp\Menu_TipsAll.BMP",180,186 lsp rmenu_btn2,":c/3,0,3;bmp\Menu_save.bmp",180,250 lsp rmenu_btn3,":c/3,0,3;bmp\Menu_load.bmp",180,314 lsp rmenu_btn_Exit,":c/3,0,3;bmp\Exit.bmp",180,378 print 4,500 ; *rmenu_custom_btset btndef "" if %remnu_data = on spbtn rmenu_databtn,rmenu_databtn spbtn rmenu_btn1,rmenu_btn1 spbtn rmenu_btn2,rmenu_btn2 spbtn rmenu_btn3,rmenu_btn3 spbtn rmenu_btn_Exit,rmenu_btn_Exit ; *rmenu_custom_btlp btnwait2 %BtnRes if %BtnRes = rmenu_databtn goto *rmord_data if %BtnRes = rmenu_btn1 goto *rmord_introduction ; if %BtnRes = rmenu_btn2 goto *rmord_save if %BtnRes = rmenu_btn2 goto *savemode if %BtnRes = rmenu_btn3 goto *loadmode if %BtnRes = rmenu_btn_Exit goto *rmord_cls ;Exitボタンで背景消去 if %BtnRes = -1 jumpf ;右クリックで抜ける if %BtnRes = -10 jumpf ;ESCで抜ける ; goto *rmenu_custom_btlp ~ ;mov %tmordflg,0 if %remnu_data = on csp rmenu_databtn csp rmenu_back csp rmenu_btn1 csp rmenu_btn2 csp rmenu_btn3 csp rmenu_btn_Exit print 4,500 texton return ;***************************************** ;背景の鑑賞 ;*************************************** *rmord_cls if %remnu_data = on vsp rmenu_databtn,0 vsp rmenu_back,0 vsp rmenu_btn1,0 vsp rmenu_btn2,0 vsp rmenu_btn3,0 vsp rmenu_btn_Exit,0 print 10,500 textoff click if %remnu_data = on vsp rmenu_databtn,1 vsp rmenu_back,1 vsp rmenu_btn1,1 vsp rmenu_btn2,1 vsp rmenu_btn3,1 vsp rmenu_btn_Exit,1 print 10,200 goto *rmenu_custom_btlp ;************************************************ ;セーブデータ作成 ;************************************************ *rmord_save btndef "" ;背景表示 lsp introduction_back,":c;bmp\black.bmp",0,0 systemcall save csp introduction_back print 1 goto *rmenu_custom_btset *rmord_load btndef "" ;背景表示 lsp introduction_back,":c;bmp\black.bmp",0,0 systemcall load csp introduction_back print 1 goto *rmenu_custom_btset ;************************************* ;文章表示前の割り込みルーチン *pretext if %save_on_flg = on saveon return ;************************************* *check_game ;mov %UMINEKOEND,0 ;mov %UMINEKOPATCH,0 ;mov %UMINEKOEND,90 menu_waveon menu_click_def shadedistance 3,3 mov %show_cgs,0 mov %show_cg_test,0 mov %hide_new_sprites,0 ;if %shownlangselect = 1 goto *postlangselect ;bg beach_2b,0 ;*langselect_btnloop ; mov %witchh1,215 ; mov %witchh2,150 ; lsp title_main_language_back_lsp,title_main_language_back,%witchh1,%witchh2 ; add %witchh1,18 ; add %witchh2,98 ; lsp title_main_english_lsp,title_main_english,%witchh1,%witchh2 ; add %witchh1,96 ; add %witchh2,1 ; ; lsp title_main_japanese_lsp,title_main_japanese,%witchh1,%witchh2 ; print 9 ; ; btndef "" ; ; spbtn title_main_english_lsp,title_main_english_lsp ; spbtn title_main_japanese_lsp,title_main_japanese_lsp ; btnwait2 %BtnRes ; ; notif %BtnRes = title_main_english_lsp jumpf ; changeLanguage 0 ; goto *postlangselect ; ~ ; notif %BtnRes = title_main_japanese_lsp jumpf changeLanguage 1 ; goto *postlangselect ; ~ ; goto *langselect_btnloop *postlangselect mov %shownlangselect,1 csp title_main_english_lsp csp title_main_japanese_lsp csp title_main_language_back_lsp bg black,1 return *toggleLanguage if %cur_language = 1 jumpf changeLanguage 1 return ~ changeLanguage 0 return *changeLanguage getparam %witchh1 mov %cur_language, %witchh1 notif %cur_language = 1 jumpf showlangjp ~ if %cur_language = 1 jumpf showlangen ~ return *setLangPrefix mov $witchh4, "" notif %cur_language = 0 jumpf mov $witchh4, "en\" ~ return *setLangString getparam $witchh5, $witchh6 mov $witchh4, ":b" add $witchh4, $witchh6 notif %cur_language = 1 jumpf add $witchh4, ";" ~ notif %cur_language = 0 jumpf add $witchh4, ";en\" ~ add $witchh4, $witchh5 return *setKakeraMemoryString getparam $witchh19 mov $witchh20,":b;" if %cur_language = 1 jumpf add $witchh19, "_en" ~ if %is_old_sprites = 1 jumpf add $witchh20,"big\" ~ add $witchh20,$witchh19 add $witchh20,".png" return *setLangStringC getparam $witchh5, $witchh6 mov $witchh4, ":bc" add $witchh4, $witchh6 notif %cur_language = 1 jumpf add $witchh4, ";" ~ notif %cur_language = 0 jumpf add $witchh4, ";en\" ~ add $witchh4, $witchh5 return *setRLangString getparam $witchh5, $witchh6 mov $witchh4, ":b" add $witchh4, $witchh6 notif %r_last_language = 1 jumpf add $witchh4, ";" ~ notif %r_last_language = 0 jumpf add $witchh4, ";en\" ~ add $witchh4, $witchh5 return *set_text_bg getparam $witchh11 mov %text_bg,1 mov $text_bg,$witchh11 return *clr_text_bg mov %text_bg,0 return *set_oldnew_bg getparam $witchh11,$witchh12,%witchh13,%witchh14 mov %witchh15,1 if %witchh13 = 0 mov %witchh15,0 notif %show_cgs = 1 jumpf mov %hide_new_sprites,%witchh15 mov %oldnew_bg,1 mov $oldnew_bg,$witchh11 mov $oldnew_bg_old,$witchh12 gosub *displayOldNewBg if %witchh13 = 2 print 99,2000,"breakup.dll/lrp" notif %witchh13 = 2 print %witchh14 ~ if %show_cgs = 1 jumpf bg $witchh12,%witchh14 ~ return *clr_oldnew_bg mov %oldnew_bg,0 mov %hide_new_sprites,0 return *lsphnewold getparam %witchh1,$witchh2,$witchh3,%witchh4,%witchh5 lsphnewold_trans_diff %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh4,%witchh5,255,0 return *lsphnewold_trans getparam %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh6,%witchh7 lsphnewold_trans_diff %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh4,%witchh5,%witchh6,%witchh7 return *lsphnewold_trans_diff getparam %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh6,%witchh7,%witchh19,%witchh20 mov $meta_tmp7, ":b" add $meta_tmp7, $witchh3 add $meta_tmp7, ";" add $meta_tmp7, $witchh2 add $meta_tmp7, ".png" notif %is_old_sprites = 0 jumpf mov %witchh4, %witchh6 mov %witchh5, %witchh7 mov $witchh10, ":b" add $witchh10, $witchh3 add $witchh10, ";big\" add $witchh10, $witchh2 add $witchh10, ".png" ~ if %is_old_sprites = 0 jumpf mov $witchh10, $meta_tmp7 ~ if %hide_new_sprites = 1 && %is_old_sprites = 0 mov $witchh10,":r;bmp\placeholder.png" notif %witchh20 = 0 jumpf lsph %witchh1,$witchh10,%witchh4,%witchh5,%witchh19 return ~ ; code to center sprites notif %is_old_sprites = 0 jumpf lsph %witchh1,$meta_tmp7,%witchh4,%witchh5,%witchh19 getspsize %witchh1,%meta_tmp4,%meta_tmp6,%meta_tmp7 ;画像の幅/4 で画像中心からの幅が求められるので(立ち位置のX座標からその幅を引く) div %meta_tmp4,4 lsph %witchh1,$witchh10,%witchh4,%witchh5,%witchh19 getspsize %witchh1,%meta_tmp5,%meta_tmp6,%meta_tmp7 ;画像の幅/4 で画像中心からの幅が求められるので(立ち位置のX座標からその幅を引く) div %meta_tmp5,4 mov %meta_tmp6,%witchh4 add %meta_tmp6,%meta_tmp4 sub %meta_tmp6,%meta_tmp5 amsp %witchh1,%meta_tmp6,0 ~ notif %is_old_sprites = 1 jumpf lsph %witchh1,$witchh10,%witchh4,%witchh5,%witchh19 ~ return *lspnewold getparam %witchh1,$witchh2,$witchh3,%witchh4,%witchh5 lspnewold_trans_diff %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh4,%witchh5,255,0 return *lspnewold_trans getparam %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh6,%witchh7 lspnewold_trans_diff %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh4,%witchh5,%witchh6,%witchh7 return *lspnewold_trans_diff getparam %witchh1,$witchh2,$witchh3,%witchh4,%witchh5,%witchh6,%witchh7,%witchh19,%witchh20 mov $meta_tmp7, ":b" add $meta_tmp7, $witchh3 add $meta_tmp7, ";" add $meta_tmp7, $witchh2 add $meta_tmp7, ".png" notif %is_old_sprites = 0 jumpf mov %witchh4, %witchh6 mov %witchh5, %witchh7 mov $witchh10, ":b" add $witchh10, $witchh3 add $witchh10, ";big\" add $witchh10, $witchh2 add $witchh10, ".png" ~ if %is_old_sprites = 0 jumpf mov $witchh10, $meta_tmp7 ~ if %hide_new_sprites = 1 && %is_old_sprites = 0 mov $witchh10,":r;bmp\placeholder.png" notif %witchh20 = 0 jumpf lsp %witchh1,$witchh10,%witchh4,%witchh5,%witchh19 return ~ ; code to center sprites notif %is_old_sprites = 0 jumpf lsp %witchh1,$meta_tmp7,%witchh4,%witchh5,%witchh19 getspsize %witchh1,%meta_tmp4,%meta_tmp6,%meta_tmp7 ;画像の幅/4 で画像中心からの幅が求められるので(立ち位置のX座標からその幅を引く) div %meta_tmp4,4 lsp %witchh1,$witchh10,%witchh4,%witchh5,%witchh19 getspsize %witchh1,%meta_tmp5,%meta_tmp6,%meta_tmp7 ;画像の幅/4 で画像中心からの幅が求められるので(立ち位置のX座標からその幅を引く) div %meta_tmp5,4 mov %meta_tmp6,%witchh4 add %meta_tmp6,%meta_tmp4 sub %meta_tmp6,%meta_tmp5 amsp %witchh1,%meta_tmp6,0 ~ notif %is_old_sprites = 1 jumpf lsp %witchh1,$witchh10,%witchh4,%witchh5,%witchh19 ~ return *lsp_var_center getparam %witchh14,$witchh7,%witchh8,%witchh9 lsp_var_inner %witchh14,$witchh7,%witchh8,%witchh9,255,1 return *lsp_var_trans_center getparam %witchh14,$witchh7,%witchh8,%witchh9,%witchh10 lsp_var_inner %witchh14,$witchh7,%witchh8,%witchh9,%witchh10, 1 return *lsp_var getparam %witchh14,$witchh7,%witchh8,%witchh9 lsp_var_inner %witchh14,$witchh7,%witchh8,%witchh9,255, 0 return ;lsp2manual 4,"rg1_akuwaraia2",150,420,150,420,-1,"200","1" *lsp2manual getparam %witchh14,$witchh7,%witchh8,%witchh9,%witchh10,%witchh11,%witchh12,$witchh13,$witchh14 mov %ryuu3,0 if %ryuu3 != 1 mov $witchh9,"bmp\zoom\" if %ryuu3 == 1 mov $witchh9,"bmp\oldzoomz\" mov $witchh8,$witchh7 add $witchh8,"_" add $witchh8,$witchh13 if %witchh12 == -1 add $witchh8,"r" notif $witchh14 == "1" add $witchh8,"_c" : add $witchh8,$witchh14 add $witchh9,$witchh8 if %ryuu3 == 1 goto *past_lsp_offsets mov %ryuu1,0 mov %ryuu2,0 notif %witchh12 != -1 && $witchh13 == "150" jumpf if $witchh8 == "fea_defa1_150" mov %ryuu1,480 : mov %ryuu2,90 if $witchh8 == "kan_waraia1_150" mov %ryuu1,0 : mov %ryuu2,30 ~ ;lsp2manual 3,eri_akuwaraia2"eri_akuwaraia4",-640,-50,-660,-50,-1,"250","1" notif %witchh12 != -1 && $witchh13 == "200" jumpf if $witchh8 == "bea_odorokib4_200" mov %ryuu1,1000 : mov %ryuu2,160 if $witchh8 == "ber_akuwaraia4_200" mov %ryuu1,40 : mov %ryuu2,240 if $witchh8 == "but_futekia2_200" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "but_majimea4_200" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "but_nayamua4_200" mov %ryuu1,680 : mov %ryuu2,240 if $witchh8 == "but_futekia5_200" mov %ryuu1,680 : mov %ryuu2,240 if $witchh8 == "but_odorokia1_200" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "dla_akuwaraia1_200" mov %ryuu1,200 : mov %ryuu2,120 if $witchh8 == "dla_ikaria1_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "dla_ikaria1b_200" mov %ryuu1,200 : mov %ryuu2,0 if $witchh8 == "dla_majimea2_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "dla_majimea3_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "dla_waraia1_200" mov %ryuu1,200 : mov %ryuu2,120 if $witchh8 == "goa_defa0_200" mov %ryuu1,800 : mov %ryuu2,0 if $witchh8 == "goa_defa1_200" mov %ryuu1,800 : mov %ryuu2,0 if $witchh8 == "goa_defa2_200" mov %ryuu1,320 : mov %ryuu2,160 if $witchh8 == "jes_majimea1h_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "jes_tohohoa3h_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kan_fumana1_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kan_fumana2_200" mov %ryuu1,80 : mov %ryuu2,0 if $witchh8 == "kan_ikaria1_200" mov %ryuu1,0 : mov %ryuu2,280 if $witchh8 == "kan_ikaria2_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kan_komarua1_200" mov %ryuu1,0 : mov %ryuu2,0 if $witchh8 == "kan_odorokia2_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kan_waraia1_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kan_waraia3_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kir_komarua1_200" mov %ryuu1,800 : mov %ryuu2,40 if $witchh8 == "kir_waraia2_200" mov %ryuu1,1440 : mov %ryuu2,120 if $witchh8 == "kir_waraib2g_200" mov %ryuu1,1560 : mov %ryuu2,760 if $witchh8 == "rg1_fumana2_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "rg1_irakia1_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "rg1_odorokia1_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "rg4_fumana1_200" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "ron_akuwaraia1_200" mov %ryuu1,440 : mov %ryuu2,40 if $witchh8 == "rud_nakua6_200" mov %ryuu1,200 : mov %ryuu2,240 if $witchh8 == "sha_defa1_200" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_fumana1_200" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_ikaria1_200" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "sha_ikaria2_200" mov %ryuu1,680 : mov %ryuu2,120 if $witchh8 == "sha_majimea1_200" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_majimea2_200" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_majimea3_200" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_tokuia1_200" mov %ryuu1,0 : mov %ryuu2,0 if $witchh8 == "sha_waraia1_200" mov %ryuu1,400 : mov %ryuu2,0 if $witchh8 == "sha_waraia3_200" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "but_nakua2_200_c2" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "but_oyaa1_200_c2" mov %ryuu1,1080 : mov %ryuu2,240 if $witchh8 == "but_majimea1_200_c2" mov %ryuu1,1080 : mov %ryuu2,240 if $witchh8 == "but_majimea6_200_c2" mov %ryuu1,1080 : mov %ryuu2,240 if $witchh8 == "but_nayamua4_200_c2" mov %ryuu1,680 : mov %ryuu2,240 if $witchh8 == "but_futekia3_200_c2" mov %ryuu1,1080 : mov %ryuu2,120 if $witchh8 == "but_futekia5_200_c2" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "eri_futekia1_200_c2" mov %ryuu1,200 : mov %ryuu2,240 if $witchh8 == "fea_defa2_200_c2" mov %ryuu1,680 : mov %ryuu2,440 if $witchh8 == "mar_akuwaraia2_200_c2" mov %ryuu1,560 : mov %ryuu2,240 ~ notif %witchh12 != -1 && $witchh13 == "250" jumpf if $witchh8 == "but_aserua5r_250_c2" mov %ryuu1,1000 : mov %ryuu2,0 if $witchh8 == "bea_defa2_250" mov %ryuu1,950 : mov %ryuu2,100 if $witchh8 == "but_futekia2_250" mov %ryuu1,850 : mov %ryuu2,50 if $witchh8 == "but_majimea4_250" mov %ryuu1,850 : mov %ryuu2,50 if $witchh8 == "but_nakua2_250_c2" mov %ryuu1,850 : mov %ryuu2,0 if $witchh8 == "but_odorokia1_250" mov %ryuu1,850 : mov %ryuu2,50 if $witchh8 == "dla_akuwaraia1b_250_c2" mov %ryuu1,500 : mov %ryuu2,300 if $witchh8 == "dla_ikaria1_250" mov %ryuu1,250 : mov %ryuu2,50 if $witchh8 == "dla_ikaria1b_250" mov %ryuu1,250 : mov %ryuu2,0 if $witchh8 == "dla_majimea1b_250" mov %ryuu1,500 : mov %ryuu2,100 if $witchh8 == "dla_majimea2b_250" mov %ryuu1,500 : mov %ryuu2,100 if $witchh8 == "dla_majimea3_250" mov %ryuu1,250 : mov %ryuu2,50 if $witchh8 == "enj_komarua5_250" mov %ryuu1,150 : mov %ryuu2,300 if $witchh8 == "eri_akuwaraia6_250" mov %ryuu1,650 : mov %ryuu2,100 if $witchh8 == "eri_akuwaraia1_250" mov %ryuu1,400 : mov %ryuu2,300 if $witchh8 == "ev2_akuwaraia7_250_c2" mov %ryuu1,950 : mov %ryuu2,300 if $witchh8 == "ev2_ikaria2_250_c2" mov %ryuu1,950 : mov %ryuu2,300 if $witchh8 == "geo_ikaria1k_250" mov %ryuu1,100 : mov %ryuu2,0 if $witchh8 == "geo_ikaria2k_250" mov %ryuu1,100 : mov %ryuu2,0 if $witchh8 == "kir_futekib1g_250" mov %ryuu1,1550 : mov %ryuu2,750 if $witchh8 == "zep_majimea3_250" mov %ryuu1,1050 : mov %ryuu2,300 if $witchh8 == "mar_ikaria1_250_c2" mov %ryuu1,850 : mov %ryuu2,300 if $witchh8 == "mar_ikaria2_250_c2" mov %ryuu1,850 : mov %ryuu2,300 if $witchh8 == "mar_ikaria3_250_c2" mov %ryuu1,850 : mov %ryuu2,300 if $witchh8 == "mar_majimea1_250_c2" mov %ryuu1,850 : mov %ryuu2,300 if $witchh8 == "mar_sakebua1_250_c2" mov %ryuu1,850 : mov %ryuu2,300 ~ notif %witchh12 != -1 && $witchh13 == "300" jumpf if $witchh8 == "eri_majimea4_300_c2" mov %ryuu1,960 : mov %ryuu2,600 if $witchh8 == "mar_nakua1_300_c2" mov %ryuu1,1500 : mov %ryuu2,600 if $witchh8 == "mar_sakebua1_300_c2" mov %ryuu1,1020 : mov %ryuu2,360 if $witchh8 == "bea_akuwaraia4_300" mov %ryuu1,1800 : mov %ryuu2,420 if $witchh8 == "bea_gamanb7_300" mov %ryuu1,1800 : mov %ryuu2,540 if $witchh8 == "eri_akuwaraia1_300_c2" mov %ryuu1,480 : mov %ryuu2,360 if $witchh8 == "eri_akuwaraia6_300" mov %ryuu1,780 : mov %ryuu2,120 if $witchh8 == "eri_akuwaraia2_300" mov %ryuu1,1200 : mov %ryuu2,120 if $witchh8 == "eri_gamana1_300" mov %ryuu1,1200 : mov %ryuu2,600 if $witchh8 == "jes_nayamua1h_300" mov %ryuu1,600 : mov %ryuu2,420 if $witchh8 == "kir_defb1g_300" mov %ryuu1,2160 : mov %ryuu2,720 if $witchh8 == "kir_komarua2_300" mov %ryuu1,1800 : mov %ryuu2,600 if $witchh8 == "mar_ikaria2_300" mov %ryuu1,1020 : mov %ryuu2,360 if $witchh8 == "rg2_akuwaraia3_300" mov %ryuu1,1560 : mov %ryuu2,600 ~ notif %witchh12 != -1 && $witchh13 == "350" jumpf if $witchh8 == "jes_majimea1h_350" mov %ryuu1,0 : mov %ryuu2,70 ~ notif %witchh12 != -1 && $witchh13 == "400" jumpf if $witchh8 == "bea_akuwaraia2_400" mov %ryuu1,2400 : mov %ryuu2,720 if $witchh8 == "bea_akuwaraia4_400" mov %ryuu1,2400 : mov %ryuu2,560 if $witchh8 == "eri_akuwaraia6_400" mov %ryuu1,1040 : mov %ryuu2,160 if $witchh8 == "geo_ikaria4_400" mov %ryuu1,1200 : mov %ryuu2,800 if $witchh8 == "jes_ikaria1h_400" mov %ryuu1,1280 : mov %ryuu2,960 if $witchh8 == "rg6_akuwaraia3_400" mov %ryuu1,1600 : mov %ryuu2,880 ~ notif %witchh12 == -1 && $witchh13 == "150" jumpf if $witchh8 == "sha_tokuia1_150r" mov %ryuu1,0 : mov %ryuu2,0 ~ notif %witchh12 == -1 && $witchh13 == "200" jumpf if $witchh8 == "bea_akuwaraia1up_200r" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "bea_defb3up_200r" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "bea_futekia1_200r" mov %ryuu1,1320 : mov %ryuu2,120 if $witchh8 == "bea_housinb2_200r" mov %ryuu1,1320 : mov %ryuu2,120 if $witchh8 == "bea_majimeb3up_200r" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "bea_nayamua3_200r" mov %ryuu1,1280 : mov %ryuu2,200 if $witchh8 == "bea_waraib6up_200r" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "bea_waraib7up_200r" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "bea_waraib8_200r" mov %ryuu1,1320 : mov %ryuu2,120 if $witchh8 == "bea_waraib8up_200r" mov %ryuu1,680 : mov %ryuu2,0 if $witchh8 == "ber_akuwaraia2_200r" mov %ryuu1,760 : mov %ryuu2,40 if $witchh8 == "but_futekia3_200r" mov %ryuu1,1080 : mov %ryuu2,120 if $witchh8 == "but_futekia5_200r" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "but_majimea4_200r" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "but_majimeb4_200r" mov %ryuu1,1480 : mov %ryuu2,40 if $witchh8 == "but_nakua1_200r" mov %ryuu1,1400 : mov %ryuu2,120 if $witchh8 == "but_odorokia1_200r" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "but_odorokib1_200r" mov %ryuu1,680 : mov %ryuu2,40 if $witchh8 == "but_sakebua2_200r" mov %ryuu1,1400 : mov %ryuu2,120 if $witchh8 == "dla_defa3_200r" mov %ryuu1,1000 : mov %ryuu2,40 if $witchh8 == "dla_majimea1_200r" mov %ryuu1,1040 : mov %ryuu2,40 if $witchh8 == "dla_majimea3_200r" mov %ryuu1,200 : mov %ryuu2,40 if $witchh8 == "eri_akuwaraia2_200r_c2" mov %ryuu1,800 : mov %ryuu2,80 if $witchh8 == "eri_akuwaraia4_200r_c2" mov %ryuu1,920 : mov %ryuu2,80 if $witchh8 == "eri_komarua1_200r_c2" mov %ryuu1,920 : mov %ryuu2,40 if $witchh8 == "eri_waraia3_200r_c2" mov %ryuu1,920 : mov %ryuu2,240 if $witchh8 == "fur_waraia2_200r" mov %ryuu1,480 : mov %ryuu2,40 if $witchh8 == "gap_akuwaraia5_200r" mov %ryuu1,920 : mov %ryuu2,120 if $witchh8 == "ger_defa2_200r" mov %ryuu1,800 : mov %ryuu2,240 if $witchh8 == "ger_komarua1_200r" mov %ryuu1,800 : mov %ryuu2,240 if $witchh8 == "jes_ikaria1ha_200r" mov %ryuu1,680 : mov %ryuu2,120 if $witchh8 == "kan_defa2_200r" mov %ryuu1,80 : mov %ryuu2,0 if $witchh8 == "kan_fumana2_200r" mov %ryuu1,80 : mov %ryuu2,0 if $witchh8 == "kan_ikaria2_200r" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "kan_komarua1_200r" mov %ryuu1,0 : mov %ryuu2,0 if $witchh8 == "kan_majimea1_200r" mov %ryuu1,80 : mov %ryuu2,0 if $witchh8 == "kan_nayamua1_200r" mov %ryuu1,80 : mov %ryuu2,0 if $witchh8 == "kin_akuwaraia1_200r" mov %ryuu1,960 : mov %ryuu2,120 if $witchh8 == "kin_fukigena1_200r" mov %ryuu1,960 : mov %ryuu2,120 if $witchh8 == "kin_waraia2_200r" mov %ryuu1,960 : mov %ryuu2,120 if $witchh8 == "kir_majimea1_200r" mov %ryuu1,1520 : mov %ryuu2,120 if $witchh8 == "kir_majimea2_200r" mov %ryuu1,1520 : mov %ryuu2,120 if $witchh8 == "kir_waraia2_200r" mov %ryuu1,1440 : mov %ryuu2,120 if $witchh8 == "rg1_akuwaraia2_200r" mov %ryuu1,600 : mov %ryuu2,120 if $witchh8 == "rg3_odorokia1_200r" mov %ryuu1,920 : mov %ryuu2,320 if $witchh8 == "rg5_akuwaraia1_200r" mov %ryuu1,600 : mov %ryuu2,0 if $witchh8 == "sha_ikaria1_200r" mov %ryuu1,0 : mov %ryuu2,40 if $witchh8 == "sha_majimea2_200r" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_majimea3_200r" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "sha_tokuia1_200r" mov %ryuu1,0 : mov %ryuu2,0 if $witchh8 == "sha_waraia1_200r" mov %ryuu1,400 : mov %ryuu2,0 if $witchh8 == "sha_waraia3_200r" mov %ryuu1,640 : mov %ryuu2,0 if $witchh8 == "wal_defa2_200r" mov %ryuu1,1400 : mov %ryuu2,120 if $witchh8 == "wal_ikaria1_200r" mov %ryuu1,1400 : mov %ryuu2,40 if $witchh8 == "wal_ikaria2_200r" mov %ryuu1,1400 : mov %ryuu2,40 if $witchh8 == "zep_waraia4_200r" mov %ryuu1,480 : mov %ryuu2,40 notif %witchh12 == -1 && $witchh13 == "250" jumpf if $witchh8 == "bea_akuwaraia4_250r" mov %ryuu1,1500 : mov %ryuu2,350 if $witchh8 == "but_futekia3_250r" mov %ryuu1,1350 : mov %ryuu2,150 if $witchh8 == "but_futekib2_250r" mov %ryuu1,1200 : mov %ryuu2,200 if $witchh8 == "but_futekib4_250r" mov %ryuu1,1800 : mov %ryuu2,300 if $witchh8 == "but_majimea4_250r_c2" mov %ryuu1,850 : mov %ryuu2,50 if $witchh8 == "but_odorokia1_250r" mov %ryuu1,850 : mov %ryuu2,50 if $witchh8 == "but_odorokib1_250r" mov %ryuu1,850 : mov %ryuu2,50 if $witchh8 == "dla_defa3_250r" mov %ryuu1,1250 : mov %ryuu2,50 if $witchh8 == "dla_ikaria1b_250r" mov %ryuu1,250 : mov %ryuu2,0 if $witchh8 == "dla_majimea1b_250r" mov %ryuu1,500 : mov %ryuu2,100 if $witchh8 == "dla_majimea2b_250r" mov %ryuu1,500 : mov %ryuu2,100 if $witchh8 == "ev2_akuwaraia1_250r_c2" mov %ryuu1,1800 : mov %ryuu2,100 if $witchh8 == "ev2_akuwaraia2_250r_c2" mov %ryuu1,1800 : mov %ryuu2,100 if $witchh8 == "ev2_niramua3_250r_c2" mov %ryuu1,1800 : mov %ryuu2,100 if $witchh8 == "enj_fumana2_250r" mov %ryuu1,1050 : mov %ryuu2,350 if $witchh8 == "eri_akirea1_250r" mov %ryuu1,1300 : mov %ryuu2,350 if $witchh8 == "eri_akirea2_250r" mov %ryuu1,1300 : mov %ryuu2,350 if $witchh8 == "eri_akuwaraia6_250r" mov %ryuu1,650 : mov %ryuu2,100 if $witchh8 == "eri_akuwaraia2_250r" mov %ryuu1,1000 : mov %ryuu2,100 ;if $witchh8 == "eri_akuwaraia4_250r" mov %ryuu1,1150 : mov %ryuu2,100 if $witchh8 == "eri_akuwaraia4_250r" mov %ryuu1,1050 : mov %ryuu2,100 if $witchh8 == "eri_futekia1a_250r" mov %ryuu1,1250 : mov %ryuu2,100 if $witchh8 == "eri_majimea2_250r" mov %ryuu1,1300 : mov %ryuu2,350 if $witchh8 == "eri_niramua1_250r" mov %ryuu1,1300 : mov %ryuu2,350 if $witchh8 == "eri_waraia4_250r" mov %ryuu1,1300 : mov %ryuu2,350 if $witchh8 == "geo_akuwaraia1k_250r" mov %ryuu1,800 : mov %ryuu2,100 if $witchh8 == "geo_majimea5k_250r" mov %ryuu1,800 : mov %ryuu2,100 if $witchh8 == "geo_niramua1_250r" mov %ryuu1,900 : mov %ryuu2,100 if $witchh8 == "jes_majimea1h_250r" mov %ryuu1,0 : mov %ryuu2,50 if $witchh8 == "jes_nayamua1h_250r" mov %ryuu1,500 : mov %ryuu2,350 if $witchh8 == "kir_futekia1_250r" mov %ryuu1,1950 : mov %ryuu2,350 ~ notif %witchh12 == -1 && $witchh13 == "300" jumpf if $witchh8 == "bea_akuwaraia3_300r" mov %ryuu1,1980 : mov %ryuu2,420 if $witchh8 == "dla_akuwaraia1b_300r" mov %ryuu1,600 : mov %ryuu2,360 if $witchh8 == "eri_akuwaraia1_300r" mov %ryuu1,480 : mov %ryuu2,360 if $witchh8 == "eri_futekia1_300r" mov %ryuu1,300 : mov %ryuu2,360 if $witchh8 == "kan_ikaria1_300r" mov %ryuu1,0 : mov %ryuu2,420 ~ notif %witchh12 == -1 && $witchh13 == "350" jumpf if $witchh8 == "kir_sakebua1_350r" mov %ryuu1,2520 : mov %ryuu2,420 ~ notif %witchh12 == -1 && $witchh13 == "400" jumpf if $witchh8 == "kum_defa2_400r" mov %ryuu1,640 : mov %ryuu2,1360 ~ div %ryuu1,2 div %ryuu2,2 add %witchh8,%ryuu1 add %witchh10,%ryuu1 add %witchh9,%ryuu2 add %witchh11,%ryuu2 *past_lsp_offsets lsp_var_inner_diff %witchh14,$witchh9,%witchh8,%witchh9,%witchh10,%witchh11,255,0 return *lsp_var_trans getparam %witchh14,$witchh7,%witchh8,%witchh9,%witchh10 lsp_var_inner %witchh14,$witchh7,%witchh8,%witchh9,%witchh10, 0 return *lsp_var_inner getparam %witchh14,$witchh7,%witchh8,%witchh9,%witchh15,%witchh16 lsp_var_inner_diff %witchh14,$witchh7,%witchh8,%witchh9,%witchh8,%witchh9,%witchh15, %witchh16 return *lsp_var_inner_diff getparam %witchh14,$witchh7,%witchh8,%witchh9,%witchh10,%witchh11,%witchh17,%witchh18 mov %witchh15, 800 add %witchh15,%witchh14 lspnewold_trans_diff %witchh15,$witchh7,"",%witchh8,%witchh9,%witchh10,%witchh11,%witchh17,%witchh18 notif %witchh14 = 1 jumpf mov %varlsp1, 1 mov $varlsp1, $witchh7 mov %varlspx1, %witchh8 mov %varlspy1, %witchh9 mov %varlspnx1, %witchh10 mov %varlspny1, %witchh11 mov %varlsptrans1, %witchh17 mov %varlspcenter1, %witchh18 ~ notif %witchh14 = 2 jumpf mov %varlsp2, 1 mov $varlsp2, $witchh7 mov %varlspx2, %witchh8 mov %varlspy2, %witchh9 mov %varlspnx2, %witchh10 mov %varlspny2, %witchh11 mov %varlsptrans2, %witchh17 mov %varlspcenter2, %witchh18 ~ notif %witchh14 = 3 jumpf mov %varlsp3, 1 mov $varlsp3, $witchh7 mov %varlspx3, %witchh8 mov %varlspy3, %witchh9 mov %varlspnx3, %witchh10 mov %varlspny3, %witchh11 mov %varlsptrans3, %witchh17 mov %varlspcenter3, %witchh18 ~ notif %witchh14 = 4 jumpf mov %varlsp4, 1 mov $varlsp4, $witchh7 mov %varlspx4, %witchh8 mov %varlspy4, %witchh9 mov %varlspnx4, %witchh10 mov %varlspny4, %witchh11 mov %varlsptrans4, %witchh17 mov %varlspcenter4, %witchh18 ~ notif %witchh14 = 5 jumpf mov %varlsp5, 1 mov $varlsp5, $witchh7 mov %varlspx5, %witchh8 mov %varlspy5, %witchh9 mov %varlspnx5, %witchh10 mov %varlspny5, %witchh11 mov %varlsptrans5, %witchh17 mov %varlspcenter5, %witchh18 ~ notif %witchh14 = 6 jumpf mov %varlsp6, 1 mov $varlsp6, $witchh7 mov %varlspx6, %witchh8 mov %varlspy6, %witchh9 mov %varlspnx6, %witchh10 mov %varlspny6, %witchh11 mov %varlsptrans6, %witchh17 mov %varlspcenter6, %witchh18 ~ notif %witchh14 = 111 jumpf mov %varlsp111, 1 mov $varlsp111, $witchh7 mov %varlspx111, %witchh8 mov %varlspy111, %witchh9 mov %varlspnx111, %witchh10 mov %varlspny111, %witchh11 mov %varlsptrans111, %witchh17 mov %varlspcenter111, %witchh18 ~ notif %witchh14 = 112 jumpf mov %varlsp112, 1 mov $varlsp112, $witchh7 mov %varlspx112, %witchh8 mov %varlspy112, %witchh9 mov %varlspnx112, %witchh10 mov %varlspny112, %witchh11 mov %varlsptrans112, %witchh17 mov %varlspcenter112, %witchh18 ~ notif %witchh14 = 113 jumpf mov %varlsp113, 1 mov $varlsp113, $witchh7 mov %varlspx113, %witchh8 mov %varlspy113, %witchh9 mov %varlspnx113, %witchh10 mov %varlspny113, %witchh11 mov %varlsptrans113, %witchh17 mov %varlspcenter113, %witchh18 ~ notif %witchh14 = 114 jumpf mov %varlsp114, 1 mov $varlsp114, $witchh7 mov %varlspx114, %witchh8 mov %varlspy114, %witchh9 mov %varlspnx114, %witchh10 mov %varlspny114, %witchh11 mov %varlsptrans114, %witchh17 mov %varlspcenter114, %witchh18 ~ notif %witchh14 = 115 jumpf mov %varlsp115, 1 mov $varlsp115, $witchh7 mov %varlspx115, %witchh8 mov %varlspy115, %witchh9 mov %varlspnx115, %witchh10 mov %varlspny115, %witchh11 mov %varlsptrans115, %witchh17 mov %varlspcenter115, %witchh18 ~ notif %witchh14 = 116 jumpf mov %varlsp116, 1 mov $varlsp116, $witchh7 mov %varlspx116, %witchh8 mov %varlspy116, %witchh9 mov %varlspnx116, %witchh10 mov %varlspny116, %witchh11 mov %varlsptrans116, %witchh17 mov %varlspcenter116, %witchh18 ~ return *csp_var getparam %witchh1 mov %witchh5, 800 add %witchh5,%witchh1 csp %witchh5 notif %witchh1 = 1 jumpf mov %varlsp1, 0 ~ notif %witchh1 = 2 jumpf mov %varlsp2, 0 ~ notif %witchh1 = 3 jumpf mov %varlsp3, 0 ~ notif %witchh1 = 4 jumpf mov %varlsp4, 0 ~ notif %witchh1 = 5 jumpf mov %varlsp5, 0 ~ notif %witchh1 = 6 jumpf mov %varlsp6, 0 ~ notif %witchh1 = 111 jumpf mov %varlsp111, 0 ~ notif %witchh1 = 112 jumpf mov %varlsp112, 0 ~ notif %witchh1 = 113 jumpf mov %varlsp113, 0 ~ notif %witchh1 = 114 jumpf mov %varlsp114, 0 ~ notif %witchh1 = 115 jumpf mov %varlsp115, 0 ~ notif %witchh1 = 116 jumpf mov %varlsp116, 0 ~ return *displayOldNewBg notif %is_old_sprites = 0 jumpf if %hide_bgsp2_cg = 1 vsp bgsp2,0 mov $witchh12, ":b;big\" add $witchh12, $oldnew_bg add $witchh12, ".png" ~ if %is_old_sprites = 0 jumpf mov $witchh12, $oldnew_bg_old if %hide_bgsp2_cg = 1 vsp bgsp2,1 ~ bg $witchh12,0 return *toggleSprites if %is_old_sprites = 1 jumpf changeSprites 1 return ~ changeSprites 1 return *changeSprites getparam %witchh1 mov %is_old_sprites, %witchh1 tachistate %witchh7,%witchh8,%witchh9 ; This is to switch language background images when we fucking need to notif %text_bg = 1 goto *post_lang_bg_load notif %cur_language = 1 jumpf ;is japanese mov $witchh12, ":b;" add $witchh12, $text_bg ~ if %cur_language = 1 jumpf mov $witchh12, ":b;en\" add $witchh12, $text_bg ~ bg $witchh12,0 *post_lang_bg_load notif %oldnew_bg = 1 goto *post_oldnew_bg_load gosub *displayOldNewBg *post_oldnew_bg_load notif %text_mbg = 1 goto *post_lang_mbg_load notif %cur_language = 1 jumpf ;is japanese mov $witchh12, ":bc;" add $witchh12, $text_mbg ~ if %cur_language = 1 jumpf mov $witchh12, ":bc;en\" add $witchh12, $text_mbg ~ mbg $witchh12,0 *post_lang_mbg_load notif %witchh7 = 1 jumpf ld_old l,$last_l,0 ~ notif %witchh8 = 1 jumpf ld_old c,$last_c,0 ~ notif %witchh9 = 1 jumpf ld_old r,$last_r,0 ~ notif %meta_l_on = 1 jumpf mldt l,$last_meta_l,0,%last_meta_l_trans ~ notif %meta_c_on = 1 jumpf mldt c,$last_meta_c,0,%last_meta_c_trans ~ notif %meta_r_on = 1 jumpf mldt r,$last_meta_r,0,%last_meta_r_trans ~ notif %metab_l_on = 1 jumpf blade_draw l ~ notif %metab_c_on = 1 jumpf blade_draw c ~ notif %metab_r_on = 1 jumpf blade_draw r ~ notif %varlsp1 = 1 jumpf lspnewold_trans_diff 801,$varlsp1,"",%varlspx1,%varlspy1,%varlspnx1,%varlspny1,%varlsptrans1,%varlspcenter1 ~ notif %varlsp2 = 1 jumpf lspnewold_trans_diff 802,$varlsp2,"",%varlspx2,%varlspy2,%varlspnx2,%varlspny2,%varlsptrans2,%varlspcenter2 ~ notif %varlsp3 = 1 jumpf lspnewold_trans_diff 803,$varlsp3,"",%varlspx3,%varlspy3,%varlspnx3,%varlspny3,%varlsptrans3,%varlspcenter3 ~ notif %varlsp4 = 1 jumpf lspnewold_trans_diff 804,$varlsp4,"",%varlspx4,%varlspy4,%varlspnx4,%varlspny4,%varlsptrans4,%varlspcenter4 ~ notif %varlsp5 = 1 jumpf lspnewold_trans_diff 805,$varlsp5,"",%varlspx5,%varlspy5,%varlspnx5,%varlspny5,%varlsptrans5,%varlspcenter5 ~ notif %varlsp6 = 1 jumpf lspnewold_trans_diff 806,$varlsp6,"",%varlspx6,%varlspy6,%varlspnx6,%varlspny6,%varlsptrans6,%varlspcenter6 ~ notif %varlsp111 = 1 jumpf lspnewold_trans_diff 911,$varlsp111,"",%varlspx111,%varlspy111,%varlspnx111,%varlspny111,%varlsptrans111,%varlspcenter111 ~ notif %varlsp112 = 1 jumpf lspnewold_trans_diff 912,$varlsp112,"",%varlspx112,%varlspy112,%varlspnx112,%varlspny112,%varlsptrans112,%varlspcenter112 ~ notif %varlsp113 = 1 jumpf lspnewold_trans_diff 913,$varlsp113,"",%varlspx113,%varlspy113,%varlspnx113,%varlspny113,%varlsptrans113,%varlspcenter113 ~ notif %varlsp114 = 1 jumpf lspnewold_trans_diff 914,$varlsp114,"",%varlspx114,%varlspy114,%varlspnx114,%varlspny114,%varlsptrans114,%varlspcenter114 ~ notif %varlsp115 = 1 jumpf lspnewold_trans_diff 915,$varlsp115,"",%varlspx115,%varlspy115,%varlspnx115,%varlspny115,%varlsptrans115,%varlspcenter115 ~ notif %varlsp116 = 1 jumpf lspnewold_trans_diff 916,$varlsp116,"",%varlspx116,%varlspy116,%varlspnx116,%varlspny116,%varlsptrans116,%varlspcenter116 ~ print 1 return ; params $witchh2 ; uses $witchh12, $witchh10, $witchh11 *ld_inner notif %is_old_sprites = 1 goto *ld_inner_postold mov $witchh12, ":b;" add $witchh12, $witchh2 add $witchh12, ".png" mov $witchh10, $witchh2 add $witchh10, ".png" fileexist %witchh11, $witchh10 if %witchh11 = 1 goto *ld_inner_postold mov $witchh12, ":a;" add $witchh12, $witchh2 add $witchh12, ".bmp" *ld_inner_postold if %is_old_sprites = 1 jumpf mov $witchh12, ":b;big\" add $witchh12, $witchh2 add $witchh12, ".png" ~ return *ld_old getparam %witchh1,$witchh2,%witchh3 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 gosub *ld_inner mov $witchh15,$witchh12 mov %witchh16,%witchh3 if %hide_new_sprites = 1 && %is_old_sprites = 0 mov $witchh15,":r;bmp\placeholder.png" : mov %witchh16,1 ;左の画像が前に来るようにする。 notif %witchh1 = l jumpf _ld l,$witchh15,%witchh3 : mov $last_l, $witchh2 ~ if %witchh1 = c _ld c,$witchh15,%witchh16 : mov $last_c, $witchh2 if %witchh1 = r _ld r,$witchh15,%witchh16 : mov $last_r, $witchh2 return *ld4 getparam %witchh1,$witchh2,%witchh3,%witchh5 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 gosub *ld_inner mov $witchh15,$witchh12 if %hide_new_sprites = 1 && %is_old_sprites = 0 mov $witchh15,":r;bmp\placeholder.png" ;左の画像が前に来るようにする。 if %witchh1 = l _ld l,$witchh15,%witchh3,%witchh5 : mov $last_l, $witchh2 if %witchh1 = c _ld c,$witchh15,%witchh3,%witchh5 : mov $last_c, $witchh2 if %witchh1 = r _ld r,$witchh15,%witchh3,%witchh5 : mov $last_r, $witchh2 return *ld5 getparam %witchh1,$witchh2,%witchh3,%witchh5,$witchh6 ;立ち絵位置(l左 C中央 r右)、立ち絵、表示方法 gosub *ld_inner ;左の画像が前に来るようにする。 mov $witchh15,$witchh12 if %hide_new_sprites = 1 && %is_old_sprites = 0 mov $witchh15,":r;bmp\placeholder.png" if %witchh1 = l _ld l,$witchh15,%witchh3,%witchh5,$witchh6 : mov $last_l, $witchh2 if %witchh1 = c _ld c,$witchh15,%witchh3,%witchh5,$witchh6 : mov $last_c, $witchh2 if %witchh1 = r _ld r,$witchh15,%witchh3,%witchh5,$witchh6 : mov $last_r, $witchh2 return *showchartiptachi ;(表示処理) notif %is_old_sprites = 1 jumpf mov $witchh4, ":ba;" add $witchh4, $r_cha_tati_path add $witchh4, ".png" lsp cha_lsp,$witchh4,?r[%scenario_Number][%witchh5][tati_x],0 ~ if %is_old_sprites = 1 jumpf mov $witchh4, ":ba;big\" add $witchh4, $r_cha_tati_path add $witchh4, ".png" lsp cha_lsp,$witchh4,290,-10 ~ return *set_achievement getparam $witchh14 steamsetachieve $witchh14 if $witchh14 == "UMC51" mov %achieve_51,1 if $witchh14 == "UMC52" mov %achieve_52,1 if $witchh14 == "UMC53" mov %achieve_53,1 if $witchh14 == "UMC54" mov %achieve_54,1 if $witchh14 == "UMC55" mov %achieve_55,1 if $witchh14 == "UMC61" mov %achieve_61,1 if $witchh14 == "UMC62" mov %achieve_62,1 if $witchh14 == "UMC63" mov %achieve_63,1 if $witchh14 == "UMC64" mov %achieve_64,1 if $witchh14 == "UMC65" mov %achieve_65,1 if $witchh14 == "UMC71" mov %achieve_71,1 if $witchh14 == "UMC72" mov %achieve_72,1 if $witchh14 == "UMC73" mov %achieve_73,1 if $witchh14 == "UMC74" mov %achieve_74,1 if $witchh14 == "UMC75" mov %achieve_75,1 if $witchh14 == "UMC81" mov %achieve_81,1 if $witchh14 == "UMC82" mov %achieve_82,1 if $witchh14 == "UMC83" mov %achieve_83,1 if $witchh14 == "UMC84" mov %achieve_84,1 if $witchh14 == "UMC85" mov %achieve_85,1 if $witchh14 == "UMC86" mov %achieve_86,1 if $witchh14 == "UMC87" mov %achieve_87,1 if %achieve_51 == 1 && %achieve_52 == 1 && %achieve_53 == 1 && %achieve_54 == 1 && %achieve_55 == 1 && %achieve_61 == 1 && %achieve_62 == 1 && %achieve_63 == 1 && %achieve_64 == 1 && %achieve_65 == 1 && %achieve_71 == 1 && %achieve_72 == 1 && %achieve_73 == 1 && %achieve_74 == 1 && %achieve_75 == 1 && %achieve_81 == 1 && %achieve_82 == 1 && %achieve_83 == 1 && %achieve_84 == 1 && %achieve_85 == 1 && %achieve_86 == 1 && %achieve_87 == 1 steamsetachieve "UMC88" : mov %achieve_88,1 return ;************************************* ;ウインドウサイズ変更ボタン *window_size_change isfull %r_full_window_check if %r_full_window_check==0 menu_full notif %r_full_window_check==0 menu_window texton csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp btndef "" print 1 return ;************************************* ;************************************* ;20180913新規文字速度モード *message_mode textoff btndef "" lsp r_mes_low,":a/2,0,3;bmp\r_click\r_btn_mes_low.bmp",205,285 lsp r_mes_nom,":a/2,0,3;bmp\r_click\r_btn_mes_nom.bmp",255,285 lsp r_mes_high,":a/2,0,3;bmp\r_click\r_btn_mes_high.bmp",305,285 lsp r_mes_back,":a/2,0,3;bmp\r_click\r_btn_mes_back.bmp",355,285 print 1 *message_mode_btlp btndef "" spbtn r_mes_low,r_mes_low spbtn r_mes_nom,r_mes_nom spbtn r_mes_high,r_mes_high spbtn r_mes_back,r_mes_back btnwait2 %BtnRes if %BtnRes = r_mes_low seplay 9,1001 :textspeed 40:goto *message_mode if %BtnRes = r_mes_nom seplay 9,1001 :textspeed 20: goto *message_mode if %BtnRes = r_mes_high seplay 9,1001 :textspeed 0: goto *message_mode if %BtnRes = r_mes_back seplay 9,1001 :csp r_mes_low:csp r_mes_nom:csp r_mes_high:csp r_mes_back:print 1 : goto *rmenu_csutom if %BtnRes = -1 seplay 9,1001 :csp r_mes_low:csp r_mes_nom:csp r_mes_high:csp r_mes_back:print 1 : goto *rmenu_csutom goto *message_mode ;************************************* ;************************************* ;20180913新規スキップモード *skip_mode systemcall skip texton csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp btndef "" print 1 return ;************************************* ;************************************* ;オートモード切替 *auto_click_mode systemcall automode wait 100 texton csp r_auto_lsp csp r_skip_lsp csp r_message_lsp csp r_full_window_lsp csp r_clear_lsp csp r_system_lsp btndef "" print 1 return ;************************************* ;************************************* ;降雨命令 *rain_on mov %rain_flg,1 lsp efesp,":a;bmp\efe\rain.bmp",0,0 print 5 return ;************************************* ;************************************* ;雨停止 *rain_off mov %rain_flg,0 csp efesp print 22 return ;************************************* ;************************************* ;ウィルクレル戦闘光エフェクト命令 *hikari_on mov %hikari_flg,1 lsp efesp,":a;bmp\efe\hikari.bmp",0,0 print 5 return ;************************************* ;************************************* ;ウィルクレル戦闘光エフェクト停止 *hikari_off mov %hikari_flg,0 csp efesp print 5 return ;************************************* ;************************************* ;ピース出現時の光エフェクト命令 *hikari2_on mov %hikari2_flg,1 lsp efesp2,":a;bmp\efe\hikari2.bmp",0,0 print 5 return ;************************************* ;************************************* ;ピース出現時の光エフェクト停止 *hikari2_off mov %hikari2_flg,0 csp efesp2 print 5 return ;*************************************