{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Capture and demodulate FM radio\n", "\n", "* You need a RTLSDR USB dongle (https://osmocom.org/projects/rtl-sdr/wiki/Rtl-sdr)\n", "* The library `librtlsdr`\n", "* Julia 1.0 (or later) with some packages installable as:\n", "```julia\n", "using Pkg\n", "Pkg.add(\"DSP\")\n", "Pkg.add(\"WAV\")\n", "Pkg.add(\"PyPlot\")\n", "Pkg.add(\"RTLSDR\")\n", "``` \n", "\n", "The code is tested in Linux." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Import the necessary packages" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "┌ Info: Recompiling stale cache file /home/abarth/.julia/compiled/v1.0/PyPlot/oatAj.ji for PyPlot [d330b81b-6aea-500a-939a-2ce795aea3ee]\n", "└ @ Base loading.jl:1187\n", "┌ Info: Recompiling stale cache file /home/abarth/.julia/compiled/v1.0/RTLSDR/ATj0M.ji for RTLSDR [71cfaeeb-f3e6-59e7-80b9-1b8c23d8f010]\n", "└ @ Base loading.jl:1187\n" ] } ], "source": [ "import DSP\n", "using WAV\n", "using PyPlot\n", "using Test\n", "using Statistics\n", "using RTLSDR\n", "using Dates" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "fm_deempth" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "data = read(\"/home/abarth/Downloads/FMcapture1043_3.dat\");\n", "#data = read(\"/home/abarth/Downloads/sample.rtl\");\n", "#data = read(\"/home/abarth/gqrx_20190820_195237_88458000_1800000_fc.raw\");\n" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "88250000" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# number of bytes in buffer\n", "buffer_size = 1024*10*2\n", "# sample rate\n", "Fs = 1_140_000 # Hz\n", "\n", "# frequency of the radio station\n", "frequency_station = 88_500_000 # Hz\n", "\n", "# offset frequency to avoid DC spike\n", "frequency_offset = 250_000 # Hz\n", "\n", "\n", "# center frequency for capture\n", "freq = frequency_station - frequency_offset\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Connect to the software-defined ratio, set the center frequency and the sampling rate and read several chunks of data." ] }, { "cell_type": "code", "execution_count": 152, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Found Rafael Micro R820T tuner\n" ] }, { "data": { "text/plain": [ "Ptr{RTLSDR.rtlsdr_dev} @0x000000000ebace60" ] }, "execution_count": 152, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#rf = RTLSDR.rtlsdr_open()\n" ] }, { "cell_type": "code", "execution_count": 248, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Found Rafael Micro R820T tuner\n", "Exact sample rate is: 1140000.002265 Hz\n" ] }, { "data": { "text/plain": [ "(107, 148)" ] }, "execution_count": 248, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rf = RTLSDR.rtlsdr_open()\n", "RTLSDR.rtlsdr_reset_buffer(rf)\n", "RTLSDR.rtlsdr_set_center_freq(rf, freq);\n", "RTLSDR.rtlsdr_set_sample_rate(rf, Fs);\n", "RTLSDR.rtlsdr_set_agc_mode(rf,1)\n", "RTLSDR.rtlsdr_set_tuner_gain_mode(rf,0)\n", "\n", "buffers = [RTLSDR.read_bytes(rf, buffer_size) for i in 1:1000];\n", "RTLSDR.rtlsdr_close(rf)\n", "rf = nothing\n", "\n", "data = reduce(vcat,buffers);\n", "extrema(Int.(data))" ] }, { "cell_type": "code", "execution_count": 101, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "20480000" ] }, "execution_count": 101, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# read file as unsigned integers UInt\n", "#write(\"FMcapture-samplerate-$(Fs)-offset-$(frequency_offset)-$(Dates.now()).raw\",data)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "20480000-element Array{UInt8,1}:\n", " 0x70\n", " 0xa3\n", " 0x6d\n", " 0x86\n", " 0x6e\n", " 0x69\n", " 0x84\n", " 0x56\n", " 0x83\n", " 0x90\n", " 0x91\n", " 0x79\n", " 0x77\n", " ⋮\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f\n", " 0x7f" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data = read(\"FMcapture-samplerate-1140000-offset-250000-2019-08-21T21:36:25.223.raw\")" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "1-element Array{PyCall.PyObject,1}:\n", " PyObject " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "plot(data[1:2:100])" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "scrolled": true }, "outputs": [], "source": [ "y = complex.(data[1:2:end] .- 255/2,data[2:2:end] .- 255/2)\n", "\n", "y_range = extrema(abs.(y))\n", "\n", "# normalize\n", "x1 = -1 .+ 2 * (y .- y_range[1])./(y_range[2] .- y_range[1]);\n", "#x1 = y .- mean(y)\n", "#x1 = y" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Plot the spectogram of the normalized signal" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "ename": "UndefVarError", "evalue": "UndefVarError: Fs not defined", "output_type": "error", "traceback": [ "UndefVarError: Fs not defined", "", "Stacktrace:", " [1] top-level scope at In[5]:1" ] } ], "source": [ "specgram(x1, NFFT=1024, Fs=Fs)\n", "title(\"Spectogram of signal post normalization\")\n", "xlabel(\"Time\")\n", "ylabel(\"Frequency\");" ] }, { "cell_type": "code", "execution_count": 255, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "psd(x1, NFFT=1024, Fs=Fs)\n", "title(\"PSD of normalized signal\");" ] }, { "cell_type": "code", "execution_count": 256, "metadata": {}, "outputs": [], "source": [ "#scatter(real(x1[1:Fs]), imag(x1[1:Fs]))\n", "#title(\"Constellation of the 'signal' loaded from file\")\n", "#" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Comensate for the frequency offset" ] }, { "cell_type": "code", "execution_count": 257, "metadata": {}, "outputs": [], "source": [ "## Mixer Processing\n", "Fs = 1_140_000\n", "fc = exp.(-2im * π * frequency_offset/Fs * (0:length(x1)-1))\n", "x2 = x1 .* fc;" ] }, { "cell_type": "code", "execution_count": 258, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAk4AAAHHCAYAAABJDtd4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAAPYQAAD2EBqD+naQAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDIuMi4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvIxREBQAAIABJREFUeJzs3Xd4FOX6N/Dvbkg2PSHJhpZGQu+9KdIFRcEfKIKoFEV9UTkgHrAcpShiOEdRFMEK0o5HAdGDkISmFAFpObTQSQKBhDTSSZbNvH8Ms2RJm93MbEm+n+vKtbuzszP3TsreuZ97ntEIgiCAiIiIiKqltXcARERERM6CiRMRERGRTEyciIiIiGRi4kREREQkExMnIiIiIpmYOBERERHJxMSJiIiISCYmTkREREQyMXEiIiIikomJE5GTiomJQadOneDu7g6NRoObN29ava3+/fujXbt21a6XmJgIjUaDlStXqhaLHBqNBnPnzq1ynd9//x0ajQbr169XNRZrTZw4Ed7e3vYOw8zcuXOh0WgU2VZERAQmTpyoyLZqqrKfWyJrMHGiOmflypXQaDSmL3d3d7Ro0QKvvPIK0tLSzNZNTEzEpEmTEBUVBXd3dzRs2BAPPPAA5syZY7Ze//79TdvTarXw9fVFy5Yt8cwzz2Dbtm2Kv4fMzEyMGTMGHh4eWLp0KVavXg0vLy/F91OTWNatW4dPPvnELjEREamlnr0DILKX+fPno2nTprh16xb27t2LZcuWYcuWLTh58iQ8PT1x4cIFdO/eHR4eHpg8eTIiIiJw/fp1HD16FNHR0Zg3b57Z9kJCQrBw4UIAQEFBAS5cuICNGzdizZo1GDNmDNasWQNXV1dFYj906BDy8vLw3nvvYfDgwYpsU47w8HAUFRWZvY/KYlm3bh1OnjyJ6dOn2yw+chxnz56FVsv/zan2YeJEddZDDz2Ebt26AQCef/55BAYG4uOPP8Yvv/yCcePGYfHixcjPz0d8fDzCw8PNXnvjxo1y2/Pz88PTTz9ttuzDDz/EtGnT8MUXXyAiIgLR0dGKxC7t39/fX5HtySVV6BwhFnJsOp3O3iEQqYL/DhDdMXDgQADA5cuXAQAXL15ESEhIuaQJAIKDg2Vt08XFBUuWLEGbNm3w+eefIycnp9rX/PTTT+jatSs8PDwQFBSEp59+GikpKabn+/fvjwkTJgAAunfvDo1GU2UvSV5eHqZPn46IiAjodDoEBwdjyJAhOHr0aLl1T58+jQEDBsDT0xNNmjTBokWLzJ6/t1ekslj69++P3377DUlJSaYhzIiICNN2iouLMWfOHDRr1gw6nQ6hoaGYNWsWiouLzfZXXFyMGTNmQK/Xw8fHByNGjMDVq1erPYZlGY1GvPXWW2jYsCG8vLwwYsQIXLlyxWydPXv24IknnkBYWJgpnhkzZqCoqMhsvdTUVEyaNAkhISHQ6XRo1KgRRo4cicTERLP1tm7dir59+8LLyws+Pj4YPnw4Tp06VWF8ly5dwtChQ+Hl5YXGjRtj/vz5EATBbJ1//etf6NOnDwIDA+Hh4YGuXbtW2Lul0WjwyiuvYNOmTWjXrh10Oh3atm2LmJiYcuvu3bsX3bt3h7u7O6KiovDll1/KOZwAgPPnz2P06NFo2LAh3N3dERISgrFjx5r9fFfU43T8+HH069cPHh4eCAkJwfvvv48VK1ZAo9GYHcOIiAg88sgj2Lt3L3r06AF3d3dERkZi1apVZtvLysrC66+/jvbt28Pb2xu+vr546KGH8L///U/2eyGyFCtORHdcvHgRABAYGAhAHJbavn07du7caUqqrOHi4oJx48bhnXfewd69ezF8+PBK1125ciUmTZqE7t27Y+HChUhLS8Onn36Kffv24dixY/D398fbb7+Nli1b4quvvjINN0ZFRVW6zZdeegnr16/HK6+8gjZt2iAzMxN79+5FQkICunTpYlovOzsbw4YNw6hRozBmzBisX78es2fPRvv27fHQQw9VuO3KYsnPz0dOTg6uXr2KxYsXA4CpEbq0tBQjRozA3r178cILL6B169Y4ceIEFi9ejHPnzmHTpk2m7T///PNYs2YNnnrqKfTp0wc7d+6s8vhVZMGCBdBoNJg9ezZu3LiBTz75BIMHD0Z8fDw8PDwAiMlqYWEh/t//+38IDAzEX3/9hc8++wxXr17FTz/9ZNrW6NGjcerUKbz66quIiIjAjRs3sG3bNiQnJ5sSw9WrV2PChAkYOnQooqOjUVhYiGXLluH+++/HsWPHzBJIo9GIYcOGoVevXli0aBFiYmIwZ84c3L59G/Pnzzet9+mnn2LEiBEYP348SkpK8MMPP+CJJ57A5s2byx2PvXv3YuPGjZg6dSp8fHywZMkSjB49GsnJyaaf7RMnTuDBBx+EXq/H3Llzcfv2bcyZMwcNGjSo9niWlJRg6NChKC4uxquvvoqGDRsiJSUFmzdvxs2bN+Hn51fh61JSUjBgwABoNBq8+eab8PLywjfffFNpZerChQt4/PHH8dxzz2HChAn47rvvMHHiRHTt2hVt27YFICadmzZtwhNPPIGmTZsiLS0NX375Jfr164fTp0+jcePG1b4fIosJRHXMihUrBADC9u3bhfT0dOHKlSvCDz/8IAQGBgoeHh7C1atXBUEQhJMnTwoeHh4CAKFTp07C3/72N2HTpk1CQUFBuW3269dPaNu2baX7/PnnnwUAwqefflrpOiUlJUJwcLDQrl07oaioyLR88+bNAgDh3XffLfceDh06VO379fPzE15++eUq1+nXr58AQFi1apVpWXFxsdCwYUNh9OjRpmWXL18WAAgrVqyoNpbhw4cL4eHh5fa1evVqQavVCnv27DFbvnz5cgGAsG/fPkEQBCE+Pl4AIEydOtVsvaeeekoAIMyZM6fK97Rr1y4BgNCkSRMhNzfXtPzHH38s970oLCws9/qFCxcKGo1GSEpKEgRBELKzswUAwj//+c9K95mXlyf4+/sLU6ZMMVuempoq+Pn5mS2fMGGCAEB49dVXTctKS0uF4cOHC25ubkJ6enql8ZWUlAjt2rUTBg4caLYcgODm5iZcuHDBtOx///ufAED47LPPTMsee+wxwd3d3fTeBEEQTp8+Lbi4uAjVfSwcO3ZMACD89NNPVa4XHh4uTJgwwfT41VdfFTQajXDs2DHTsszMTCEgIEAAIFy+fNnstQCE3bt3m5bduHFD0Ol0wsyZM03Lbt26JRiNRrP9Xr58WdDpdML8+fPNlt37c0tkLQ7VUZ01ePBg6PV6hIaGYuzYsfD29sbPP/+MJk2aAADatm2L+Ph4PP3000hMTMSnn36Kxx57DA0aNMDXX39t0b6kakteXl6l6xw+fBg3btzA1KlTzfqIhg8fjlatWuG3336z4l2KvUcHDx7EtWvXqo2xbI+Wm5sbevTogUuXLlm138r89NNPaN26NVq1aoWMjAzTl1TV27VrFwBgy5YtAIBp06aZvd7SZvNnn30WPj4+psePP/44GjVqZNo+AFPlCRAb+zMyMtCnTx8IgoBjx46Z1nFzc8Pvv/+O7OzsCve1bds23Lx5E+PGjTN7by4uLujZs6fpvZX1yiuvmO5LQ20lJSXYvn17hfFlZ2cjJycHffv2rXC4dfDgwWYVyA4dOsDX19f0fTQajYiNjcVjjz2GsLAw03qtW7fG0KFDK3xfZUkVpdjYWBQWFla7viQmJga9e/dGp06dTMsCAgIwfvz4Ctdv06YN+vbta3qs1+vRsmVLs59HnU5nakA3Go3IzMyEt7c3WrZsWeGxIVICEyeqs5YuXYpt27Zh165dOH36tKnXpKwWLVpg9erVyMjIwPHjx/HBBx+gXr16eOGFF8w+2KqTn58PAGYf4PdKSkoCALRs2bLcc61atTI9b6lFixbh5MmTCA0NRY8ePTB37twKk6GQkJByc/jUr1+/0iTBWufPn8epU6eg1+vNvlq0aAHgbrN5UlIStFptuWHIio5PVZo3b272WKPRoFmzZmY9NcnJyZg4cSICAgLg7e0NvV6Pfv36AYCpb0en0yE6Ohpbt25FgwYN8MADD2DRokVITU01e2+A2C937/uLi4srd1KBVqtFZGSk2TLpOJSNb/PmzejVqxfc3d0REBAAvV6PZcuWVdgzVzYZkpT9Pqanp6OoqKjccQHkHdumTZvitddewzfffIOgoCAMHToUS5curbZ/LykpCc2aNSu3vKJlct4HIA77Ll68GM2bN4dOp0NQUBD0ej2OHz8uq5+QyBrscaI6q0ePHqaz6qrj4uKC9u3bo3379ujduzcGDBiAtWvXyp4K4OTJkwAq/5BQ05gxY9C3b1/8/PPPiIuLwz//+U9ER0dj48aNZr1LLi4uFb5euKdRuaZKS0vRvn17fPzxxxU+Hxoaquj+qmM0GjFkyBBkZWVh9uzZaNWqFby8vJCSkoKJEyeitLTUtO706dPx6KOPYtOmTYiNjcU777yDhQsXYufOnejcubNp3dWrV6Nhw4bl9lWvnuV/cvfs2YMRI0bggQcewBdffIFGjRrB1dUVK1aswLp168qtb4vv40cffYSJEyfil19+QVxcHKZNm4aFCxfiwIEDCAkJUWQfct7HBx98gHfeeQeTJ0/Ge++9h4CAAGi1WkyfPt3s+0akJCZORBaSkq3r16/LWt9oNGLdunXw9PTE/fffX+l60tl7Z8+eLdeMfvbs2QrP7pOrUaNGmDp1KqZOnYobN26gS5cuWLBgQaVN30qobAbqqKgo/O9//8OgQYOqnKU6PDwcpaWluHjxolkl5OzZsxbFIVWBJIIg4MKFC+jQoQMAsVH63Llz+P777/Hss8+a1qts4tKoqCjMnDkTM2fOxPnz59GpUyd89NFHWLNmjak6FhwcLCupLi0txaVLl0xVJgA4d+4cAJiayDds2AB3d3fExsaaNVKvWLFCxrsvT6/Xw8PDo9xxASw7ttI/Ev/4xz/w559/4r777sPy5cvx/vvvV7h+eHg4Lly4UG55RcvkWr9+PQYMGIBvv/3WbPnNmzcRFBRk9XaJqsKhOqJK7NmzBwaDodxyqTdGzrCG0WjEtGnTkJCQgGnTpsHX17fSdbt164bg4GAsX77c7LT8rVu3IiEhweKzyaT93ztkERwcjMaNG5c79V9pXl5eFQ6XjBkzBikpKRX2iRUVFaGgoAAATEndkiVLzNaxdDbyVatWmfWWrV+/HtevXzdtX6pslK1kCIKATz/91Gw7hYWFuHXrltmyqKgo+Pj4mI7l0KFD4evriw8++KDCn5309PRyyz7//HOz/X7++edwdXXFoEGDTPFpNBoYjUbTeomJiWZnH1rCxcUFQ4cOxaZNm5CcnGxanpCQgNjY2Gpfn5ubi9u3b5sta9++PbRabZU/U0OHDsX+/fsRHx9vWpaVlYW1a9da8S5ELi4u5SppP/30k9n0HURKY8WJqBLR0dE4cuQIRo0aZapOHD16FKtWrUJAQEC5JuWcnBysWbMGgPghK80cfvHiRYwdOxbvvfdelftzdXVFdHQ0Jk2ahH79+mHcuHGm6QgiIiIwY8YMi99DXl4eQkJC8Pjjj6Njx47w9vbG9u3bcejQIXz00UcWb88SXbt2xX/+8x+89tpr6N69O7y9vfHoo4/imWeewY8//oiXXnoJu3btwn333Qej0YgzZ87gxx9/RGxsLLp164ZOnTph3Lhx+OKLL5CTk4M+ffpgx44dFlcoAgICcP/992PSpElIS0vDJ598gmbNmmHKlCkAxP6xqKgovP7660hJSYGvry82bNhQrrfr3LlzGDRoEMaMGYM2bdqgXr16+Pnnn5GWloaxY8cCAHx9fbFs2TI888wz6NKlC8aOHQu9Xo/k5GT89ttvuO+++8wSJXd3d8TExGDChAno2bMntm7dit9++w1vvfUW9Ho9APHkgI8//hjDhg3DU089hRs3bmDp0qVo1qwZjh8/btX3Zt68eYiJiUHfvn0xdepU3L59G5999hnatm1b7TZ37tyJV155BU888QRatGiB27dvY/Xq1XBxccHo0aMrfd2sWbOwZs0aDBkyBK+++qppOoKwsDBkZWVZdY28Rx55BPPnz8ekSZPQp08fnDhxAmvXri3XN0akKLudz0dkJ3JP5d+3b5/w8ssvC+3atRP8/PwEV1dXISwsTJg4caJw8eJFs3Wl0/mlL29vb6F58+bC008/LcTFxVkU33/+8x+hc+fOgk6nEwICAoTx48ebpkiw9D0UFxcLf//734WOHTsKPj4+gpeXl9CxY0fhiy++KBd/RdMpTJgwwWxKAUumI8jPzxeeeuopwd/fXwBgtp2SkhIhOjpaaNu2raDT6YT69esLXbt2FebNmyfk5OSY1isqKhKmTZsmBAYGCl5eXsKjjz4qXLlyxaLpCP79738Lb775phAcHCx4eHgIw4cPNzsNXxDEU/EHDx4seHt7C0FBQcKUKVNMp/FL7zUjI0N4+eWXhVatWgleXl6Cn5+f0LNnT+HHH3+scN9Dhw4V/Pz8BHd3dyEqKkqYOHGicPjwYbNj6+XlJVy8eFF48MEHBU9PT6FBgwbCnDlzyp1i/+233wrNmzcXdDqd0KpVK2HFihXCnDlzyk0dAKDCqSfunRpAEAThjz/+ELp27Sq4ubkJkZGRwvLlyyvc5r0uXbokTJ48WYiKihLc3d2FgIAAYcCAAcL27dur3eexY8eEvn37CjqdTggJCREWLlwoLFmyRAAgpKammr12+PDh5fbdr18/oV+/fqbHt27dEmbOnCk0atRI8PDwEO677z5h//795dbjdASkJI0gKNz5SUREJNP06dPx5ZdfIj8/v9KGcCJHwh4nIiKyiXsvYZOZmYnVq1fj/vvvZ9JEToM9TkREZBO9e/dG//790bp1a6SlpeHbb79Fbm4u3nnnHXuHRiQbEyciIrKJhx9+GOvXr8dXX30FjUaDLl264Ntvv8UDDzxg79CIZGOPExEREZFM7HEiIiIikomJExEREZFM7HG6R2lpKa5duwYfHx+rJmQjIiIi2xMEAXl5eWjcuDG0WvXqQkyc7nHt2jWbX2SUiIiIlHHlyhXFLjZdESZO9/Dx8QEgHviqritmMBgQFxeHBx98EK6urrYKr9bg8bMej531eOysx2NnPR4761ly7HJzcxEaGmr6HFcLE6d7SMNzvr6+1SZOnp6e8PX15S+CFXj8rMdjZz0eO+vx2FmPx8561hw7tdts2BxOREREJBMTJyIiIiKZmDgRERERycTEiYiIiEgmJk5EREREMjFxIiIiIpKJiRMRERGRTEyciIiIiGRi4kREREQkExMnIiIiIpmYOBERERHJxMSJiIiISCYmTkRUZxQVAaWl9o6CiJxZPXsHQERkC9nZQECAK5o3fwCPPGLvaIjIWbHiRER1QlyceHv+fH37BkJETo2JExEREZFMTJyIiIiIZGLiRERERCQTEyciIiIimZg4EREREcnExImIiIhIJiZORCpKy0/DoFWD8O8T/7Z3KEREpAAmTkQqWrBnAXZe3omnNj5l71CIiEgBTJyIVFRiLLF3CHSHIFR8n4jIEkyciFQU5Blk7xCoAkajvSMgImfFxIlIRWUTJ4PRYMdISKO5e58X+iUiazFxIlKRv7u/6X5GYYYdI6GyWHEiImsxcSJSkQZ3yxyZRZl2jITKYsWJiKzFxIlIRQLudiHfLr1tx0ioLFaciMhaTJyIVCSUOX1L4KlcDoMVJyKyFhMnIhWVCnc/octWn8i+WHEiImsxcSJSUdlkiRUn+yp7+FlxIiJrMXEiUpHZUB0rTnZVNllixYmIrMXEiUhFrDg5jrKJEytORGQtJk5EKmLFyXGUrTKx4kRE1mLiRKQiVpwcBytORKQEJk5EKuJZdY6DFSciUgITJyIVcR4nx8GKExEpgYkTkYrMhupYcbIrVpyISAlMnIhUxIqT42DFiYiUwMSJSEWsODkOVpyISAlMnIhUxIqT42DFiYiUwMSJSEWsMjkOVpyISAlMnIhUxOkIHEfZKhOLf0RkLSZORCriUJ3jMB+q09gvECJyakyciFTE5nDHwaE6IlICEyciFbHi5DjYHE5ESmDiRKQiVpwcBytORKQEJk5EKmLFyXGw4kRESmDiRKQinlXnOFhxIiIlMHEiUpHZUB0rTnbFihMRKYGJE5GKzIbqWHGyK1aciEgJTJyIVMSKk+NgxYmIlMDEiUhFrDg5DlaciEgJTJyIVMSKk+NgxYmIlMDEiUhFPKvOcbDiRERKYOJEpCLO4+Q4WHEiIiUwcSJSEWcOdxysOBGREpg4EamIFSfHwYoTESnBaRKnESNGICwsDO7u7mjUqBGeeeYZXLt2zWyd2NhY9OrVCz4+PtDr9Rg9ejQSExPtEzARWHFyJGWTJVaciMhaTpM4DRgwAD/++CPOnj2LDRs24OLFi3j88cdNz1++fBkjR47EwIEDER8fj9jYWGRkZGDUqFF2jJrqOlacHAcPPxEpoZ69A5BrxowZpvvh4eF444038Nhjj8FgMMDV1RVHjhyB0WjE+++/D61WzAdff/11jBw50rQOka3xrDrHUTZxYhJFRNZymsSprKysLKxduxZ9+vQxJURdu3aFVqvFihUrMHHiROTn52P16tUYPHhwlUlTcXExiouLTY9zc3MBAAaDAQaDodLXSc9VtQ5Vrq4cv9ult033Dber/pmSq64cO6WVlrpAKrIbjUYYDMyeLMGfO+vx2FnPkmNnq+OrEZxo/GD27Nn4/PPPUVhYiF69emHz5s0IDAw0Pf/HH39gzJgxyMzMhNFoRO/evbFlyxb4+/tXus25c+di3rx55ZavW7cOnp6eqrwPqju+v/Y9fr7xMwBgdsRs9PbvbeeI6q7PPuuEHTvCAQDTpx9B//5X7RwRESmpsLAQTz31FHJycuDr66vafuyaOL3xxhuIjo6ucp2EhAS0atUKAJCRkYGsrCwkJSVh3rx58PPzw+bNm6HRaJCamooHHngAjz32GMaNG4e8vDy8++67qFevHrZt2waNRlPh9iuqOIWGhiIjI6PKA28wGLBt2zYMGTKEw4BWqCvH742db+DjAx8DAH4Y9QNGtap5z11dOXZKe/55F6xaJVacvv66GBMmOE2Lp0Pgz531eOysZ8mxy83NRVBQkOqJk12H6mbOnImJEydWuU5kZKTpflBQEIKCgtCiRQu0bt0aoaGhOHDgAHr37o2lS5fCz88PixYtMq2/Zs0ahIaG4uDBg+jVq1eF29fpdNDpdOWWu7q6yvoBl7seVay2Hz+t5u6Hs4uLi6LvtbYfO6Vpy+RJ4vfCKTsV7I4/d9bjsbOenGNnq2Nr178cer0eer3eqteW3jm3WKoWFRYWmprCJS4uLmbrEtkar1XnONgcTkRKcIpa9cGDB/H5558jPj4eSUlJ2LlzJ8aNG4eoqCj07i32jAwfPhyHDh3C/Pnzcf78eRw9ehSTJk1CeHg4OnfubOd3QHWV2XQEPKuOiMjpOUXi5OnpiY0bN2LQoEFo2bIlnnvuOXTo0AF//PGHaZht4MCBWLduHTZt2oTOnTtj2LBh0Ol0iImJgYeHh53fAdVVZtMRsMxhV6w4EZESnGKQv3379ti5c2e1640dOxZjx461QURE8nDmcCKi2sUpKk5EzoozhxMR1S5MnIhUxIqT42DeSkRKYOJEpCJWnIiIahcmTkQqYpXJcbA5nIiUwMSJSEW8yC8RUe1i8Vl1xcXFOHjwIJKSklBYWAi9Xo/OnTujadOmasRH5NQ4VOc4WHEiIiXITpz27duHTz/9FP/9739hMBjg5+cHDw8PZGVlobi4GJGRkXjhhRfw0ksvwcfHR82YiZwGm8OJiGoXWUN1I0aMwJNPPomIiAjExcUhLy8PmZmZuHr1KgoLC3H+/Hn84x//wI4dO9CiRQts27ZN7biJnAIrTkREtYusitPw4cOxYcOGSi+gFxkZicjISEyYMAGnT5/G9evXFQ2SyFmx4uQ4OFRHREqQlTi9+OKLsjfYpk0btGnTxuqAiGoTVpyIiGoXi8+qmzx5Mr7//vtyy3NzczF58mRFgiKqLXhWneNgxYmIlGBx4rRy5UpMnToV06ZNQ2np3Q+FoqKiChMqorrMbKiOn9ZERE7PqnmcfvvtN2zZsgVDhw5Fdna20jER1RrscXIcrDgRkRKsSpzatGmDgwcPwmAwoEePHkhISFA6LqJagT1ORES1i8WJk0ajAQAEBgZi+/bt6NevH3r37o1ff/1V8eCInB0rTo6DFSciUoLFM4eX/a+5Xr16+Oabb9CmTRtMnTpV0cCIagNWnIiIaheLE6ddu3YhICDAbNlrr72GDh06YN++fYoFRlQbsOJERFS7WJw49evXr8LlgwcPxuDBg2scEFFtYjYdAStOdmU+VKexXyBE5NRkJ06vvfaarPU+/vhjq4Mhqm3MhupYcSIicnqyE6djx46ZPd67dy+6du0KDw8P0zKpcZyIRJzHyXGwOZyIlCA7cdq1a5fZYx8fH6xbtw6RkZGKB0VUW7DiRERUu1g1jxMRycOKk+NgxYmIlMDEiUhFrDgREdUuTJyIVMSz6oiIahfZPU7Hjx83eywIAs6cOYP8/Hyz5R06dFAmMqJagPM4OQ4O1RGREmQnTp06dYJGozH7r/mRRx4BANNyjUYDo9GofJREToozhxMR1S6yE6fLly+rGQdRrcSKk+NgxYmIlCA7cdqxYwdGjBiBoKAgNeMhqlVYcSIiql1kN4evWbMGISEh6NOnD6Kjo5GQkKBmXES1AitOjoMVJyJSguzEaefOnbh+/TqmTp2KI0eOoGfPnmjevDlmzpyJ3bt3o7S0tPqNENUxPKuOiKh2sWg6gvr16+Ppp5/Gjz/+iIyMDHz22WcoKirC+PHjERwcjGeffRbr169HQUGBWvESORXO40REVLtYPY+Tm5sbhg0bhi+++AJXrlxBTEwMIiIi8N577/FCv0R3cOZwx8GhOiJSguzm8Op069YN3bp1w/z582EwGJTaLJFTY8WJiKh2sajidP78eWzYsME0NcFvv/2GBx54AN27d8eCBQtMHxKurq7KR0rkhFhxchysOBGREmRXnH7++WeMGTMGWq0WGo2tOW0MAAAgAElEQVQGX331FV588UX0798fvr6+mDt3LurVq4fZs2erGS+RU2HFiYiodpFdcVqwYAFmzZqFW7duYdmyZXjppZewcOFCbN26FZs3b8bSpUuxcuVKFUMlcj5lz6oj+2LFiYiUIDtxOnv2LCZPngyNRoMJEyagpKQEgwcPNj3/4IMPIikpSZUgiZwVh+qIiGoX2YlTQUEBfHx8xBdptfDw8ICnp6fpeQ8PDxQXFysfIZET41Cd42DeSkRKkJ04aTQaaDSaSh8TUXmsODkmfiuIyFqym8MFQUCLFi1MyVJ+fj46d+4MrVZrep6IzLHiRERUu8hOnFasWKFmHES1EitOjuM2bgH1U4DsSFac7CwrC7h2DWjbFuDABTkb2YnThAkT1IyDqFZixckx5BbnYk+bnkDXM0DyfSgQNgIItndYdVJeHtCjB3DxItCnD/Drr0BgoL2jIpLP6kuuEFH1eJFfx7Bg9wLke5wRH4Ttw86SaPsGVIe9/baYNAHAn38CH3xg33iILCUrcapfvz4CAgJkfRHRXWZDdaw42UXx7WIsPbRUfHBsEgBg9+2P8du53+wYVd10+zawZo14f5L4rcD33wNHjtgvJiJLyRqq++STT0z3MzMz8f7772Po0KHo3bs3AGD//v2IjY3FO++8o06URE7KbKiOFSe7+PPKnygwFEBX0hDFv34NhO0BAi9g6papuBB1Aa4uvESUrezbB2Rni0Nzn30GHD4MnDgBjBwJXLgAuLvbO0Ki6slKnMr2N40ePRrz58/HK6+8Ylo2bdo0fP7559i+fTtmzJihfJRETooVJ/uLvRgLAAjKfRApgguwagcwIxzJOclYe2ItJnaaaN8A65BffxVvhw8HvLyA3bvFBvGUFGDDBmD8ePvGRySHxT1OsbGxGDZsWLnlw4YNw/bt2xUJiqi2YMXJ/rZfEv8uBeUMERfkhGFYvQUAgBXxPFvYVgQB+OUX8f6IEeKtvz/w/PPi/X//2z5xEVnK4sQpMDAQv0g//WX88ssvCOSpEURmWHGyr/ySfMSnxgMA6uf2Ny3v5PIUAGBP0h7cvHXTHqHVOUlJYlN4vXrAgw/eXT5unHgbGwtkZtonNiJLyJ6OQDJv3jw8//zz+P3339GzZ08AwMGDBxETE4Ovv/5a8QCJnBnPqrOvv1L+glEwIswvDB4lIabl/ppQRNaPxKXsSziUcghDoobYMcq64eBB8bZTJ+DO1bsAAK1aiV9nzgAHDojDeESOzOKK08SJE7Fv3z74+vpi48aN2LhxI3x9fbF3715MnDhRhRCJnBfncbKvfcn7AAD3hd5nNumlIAC9QnoBAA6mHLRHaHWOlDjd+X/bTPfu4i3PriNnYHHFCQB69uyJtWvXKh0LUa3GipPt/Xn1TwBAn9A+uHfygV5NemHdiXU4cPWA7QOrg6pKnLp2BVavFs+yI3J0sipOBQUFFm3U0vWJaiv2ONlPqVCK/Vf2A6i64nTg6gEmtSozGICjR8X7PXqUf75bN/GWFSdyBrISp2bNmuHDDz/E9evXK11HEARs27YNDz30EJYsWaJYgETOjGfV2c/p9NPIKc6Bl6sX2jdoX+75jg07wr2eOzKLMnE+67wdIqw7jh8Hbt0C6tcHWrQo/3ynToBWK16/roqPGSKHIGuo7vfff8dbb72FuXPnomPHjujWrRsaN24Md3d3ZGdn4/Tp09i/fz/q1auHN998Ey+++KLacRM5BVac7EcaguvRpAfqacv/qXNzcUPnhp2x/+p+HLl2BC0CK/hEJ0UcuDMa2qtXxRf19fISG8RPnxarTo88Ytv4iCwhK3Fq2bIlNmzYgOTkZPz000/Ys2cP/vzzTxQVFSEoKAidO3fG119/jYceegguLi5qx0zkNFhxsh8pcZKG5O4dqgOA1kGtsf/qflacVFY2capMt25i4nT4MBMncmwWNYeHhYVh5syZmDlzplrxENUqrDjZz72JU0WaBzYHACZOKjt0SLytqDFc0rUrsGoV+5zI8Vk8HQERyceKk33kl+TjdPppAEDPJuKndUUVp5aBLQEA/0v9n03jq0tKSsTr0AFAu3aVryc1iPPMOnJ0TJyIbIQVJ9tJSE+AAAENvBqggXeDSte7P+x+AMCJGydwo+CGrcKrUy5eBIxGwNsbaNy48vWkBvHUVLFJnMhRMXEiUpHZUB0rTjZz8sZJAEDb4LamZRVVnPReerQOag0AOHKNY0RqOHNGvG3VquLGcImnJ9CmjXifVSdyZEyciFTEmcPt41zmOQAwJUVV6dCgA4C7yRYpq2ziVJ2OHcXbU6fUi4eoppg4EamIFSf7uJh9EQDQLKBZteu2DxbneDpx44SqMdVVliROLcWWM5w9q148RDVlceIUERGB+fPnIzk5WY14iGoVVpzs40KW2I0cVT/KtKyioToAaBcsdiyz4qQOKQmSkqKqSMkVEydyZBYnTtOnT8fGjRsRGRmJIUOG4IcffkBxcbEasRE5PVacbE8QBFPFKSogqpq1YZpV/HT6adwuva1qbHWNIFhXcTpzxjy5JXIkViVO8fHx+Ouvv9C6dWu8+uqraNSoEV555RUclS5GREQAWHGyh8yiTOQW5wIAmvo3NS2vrOIU4R8BL1cvFBuLcTHroq3CrBPS0oCcHPFsuWbVj5qieXOxgfzmTSA9Xf34iKxhdY9Tly5dsGTJEly7dg1z5szBN998g+7du6NTp0747rvvVPvvuri4GJ06dYJGo0F8fLzZc7GxsejVqxd8fHyg1+sxevRoJCYmqhIHkaVYcbINKflp4tMEHq4e1a6v1WhNZ9+xz0lZUrWpaVPA3b369T08gPBw8f7p0+rFRVQTVidOBoMBP/74I0aMGIGZM2eiW7du+OabbzB69Gi89dZbGD9+vJJxmsyaNQuNK5gM5PLlyxg5ciQGDhyI+Ph4xMbGIiMjA6NGjVIlDiI5OHO47Un9Tfc2hldWcQKAdnr2OanBkmE6SefO4q002ziRo7HokisAcPToUaxYsQL//ve/odVq8eyzz2Lx4sVoVeY34//+7//QvXt3RQMFgK1btyIuLg4bNmzA1q1bzZ47cuQIjEYj3n//fWi1Yj74+uuvY+TIkTAYDHB1dVU8HqLqsMpke6b+pvrV9zdJWgWJf7+kaQxIGdYkTr16AT//zMSJHJfFFafu3bvj/PnzWLZsGVJSUvCvf/3LLGkCgKZNm2Ls2LGKBQkAaWlpmDJlClavXg1PT89yz3ft2hVarRYrVqyA0WhETk4OVq9ejcGDBzNpIrthc7jtVdYYXtXhl6pTvGadsi5dEm+j5OewpiTr8mXl4yFSgsUVp0uXLiFcGoSuhJeXF1asWGF1UPcSBAETJ07ESy+9hG7dulXYt9S0aVPExcVhzJgxePHFF2E0GtG7d29s2bKlym0XFxebnRWYmys2lRoMBhgMhkpfJz1X1TpUubpy/EqFUtN9Y6lRkfdbV46dtS5kikN14b7hZsdIEFwg/a9oNBphMNz93kT4RgAAzmee53GthDU/d4mJ9QBoEBJyGwaDvH8cGjYEAFdcuSLAYKgdZznyd9Z6lhw7Wx1fixOnAQMG4NChQwgMDDRbfvPmTXTp0gWXpH8xZHjjjTcQHR1d5ToJCQmIi4tDXl4e3nzzzUrXS01NxZQpUzBhwgSMGzcOeXl5ePfdd/H4449j27Zt0FQy1//ChQsxb968csvj4uIqrGzda9u2bdWuQ5Wr7cevIL/AdD85ObnaRN4Stf3YWSshNQEAkHo6FVsS7x7vzMw+APQAgAsXLmLLlrvDckXGIgBATnEO1v93PTxdqv/dr6ss+bm7ePFhAK5IStqNLVvyZL0mN9cNwENIS9Pgl19i4OpaWu1rnAV/Z60n59gVFhbaIBJAI1g4fqDVapGamorg4GCz5WlpaQgLC7NoTqf09HRkZmZWuU5kZCTGjBmD//73v2bJj9FohIuLC8aPH4/vv/8e77zzDmJiYnCozMD41atXERoaiv3796NXr14Vbr+iilNoaCgyMjLg6+tbaVwGgwHbtm3DkCFDOBRohbpy/Fova20aOprUcRK+HP5ljbdZV46dNfJL8hHwrwAAQNqMNNT3qG96bvBgF+zeLVac/vGPErz7rvk/U/qP9MgpzkH8lHi00bexXdBOwtKfu5s3geBgcb3sbAO8vOTtRxAAP796uHVLgzNnDIiMrEnUjoG/s9az5Njl5uYiKCgIOTk5VX5+15TsitOvv/5quh8bGws/Pz/TY6PRiB07diAiIsKinev1euj1+mrXW7JkCd5//33T42vXrmHo0KH4z3/+g549ewIQM02pKVzi4uICACgtrfw/Fp1OB51OV265q6urrB9wuetRxerS8dNoNIq+17p07OS6knUFABDgEYBgX/N/7soWnbVaF7i6upg9H+Ibgpz0HKQVpaGja0fVY3VWcn/url0Tb4OCAH9/y35OQ0OB8+eB69ddZc047iz4O2s9OcfOVsdWduL02GOPARD/+E+YMMHsOVdXV0REROCjjz5SNro7wsLCzB57e3sDAKKiohASEgIAGD58OBYvXoz58+ebhureeusthIeHo7N0fiuRjXE6AtuS5nCq6Iy6qqYjAIBQv1CcSj+Fq7lX1QqvTpFaUS38fxoAEBYmJk5XrigZEZEyZJ9VV1paitLSUoSFheHGjRumx6WlpSguLsbZs2fxyCOPqBlrlQYOHIh169Zh06ZN6Ny5M4YNGwadToeYmBh4eFQ/CR6RGjhzuG1ZcqmVe4X4iP+EXcnhp7USkpLE22rOJapQaKh4y8SJHJHFzeGXHeAc0YiIiApP7R47dqzi0yAQ1QSnI7AtqeLUrH7563vIqTgBYMVJIVLFiYkT1TayEqclS5bghRdegLu7O5YsWVLlutOmTVMkMKLagBUn27qQLU5FYFXFyVesOF3NY+KkBKniZM1QHRMncmSyEqfFixdj/PjxcHd3x+LFiytdT6PRMHEiKoMVJ9uqqsepOqG+rDgpSYmKU3KyYuEQKUZW4lR2eM4RhuqInBErTuoyGA1IzhE/aSuqOFU3VCdVnNjjpIyaVJyk84FYcSJHZPVFfiVGoxHx8fHIzs5WIh6iWsVsqI4VJ1Vdyb0Co2CEez13NPJuZPHrpcQppzgHecXyJmukiuXnA9IUfTWpON28KW6LyJFYnDhNnz4d3377LQAxaXrggQfQpUsXhIaG4vfff1c6PiKnxukIbEeqFIX6hlZ4pYDqKk4+Oh/46cT56VLyUlSJsa6Qqk3+/kCZKf9k8/G5+zpWncjRWJw4rV+/Hh07ipPD/fe//0ViYiLOnDmDGTNm4O2331Y8QCJnxoqT7VzJvZM43Tk7zhocrlNGTaYikLBBnByVxYlTRkYGGopXYcSWLVvwxBNPoEWLFpg8eTJOnDiheIBEzowVJ9spW3GqSHUVJwAI8xOba5JykhSNra6pyeSXEiZO5KgsTpwaNGiA06dPw2g0IiYmBkOGDAEgXvJEusQJEYlYcbIdqeIkVY2sEe4nlkiSbjJxqgklKk5SgzjPrCNHY/EEmJMmTcKYMWPQqFEjaDQaDB48GABw8OBBtGrVSvEAiZwZK062Yxqqq6TiJEeEfwQAVpxqihUnqs0sTpzmzp2Ldu3a4cqVK3jiiSdMF8h1cXHBG2+8oXiARLUFK07qkuZfqqzHSc5QXbj/nYoTE6caYY8T1WYWJ04A8Pjjj5dbdu+Ff4mIM4fbUnU9TnJwqE4ZNZn8UsLEiRyVVYnTjh07sGPHDtPFfsv67rvvFAmMqDbgzOG2UWgoRGaROHGQEhWnq7lXcbv0NupprfoTWafdugWkpYn3azJUJyVdSUni96uCGSaI7MLi5vB58+bhwQcfxI4dO5CRkYHs7GyzLyK6ixUn25CG6bzdvE1zMVmjoXdDuLm4wSgYkZLLuZysITVze3kBAQHWbyckBNBqxUTsxg1lYiNSgsX/Ti1fvhwrV67EM888o0Y8RLUKK062Ud3kl4C8ipNWo0WobyguZl9EUk6SqQJF8pVtDK9JlcjNDWjSRByqS0wEGjRQIDgiBVhccSopKUGfPn3UiIWo1mHFyTaUmIpAYmoQZ5+TVZRoDJdIQ31SMkbkCCxOnJ5//nmsW7dOjViIah1WnGzDdEZdDRrDJaYGcZ5ZZxUlpiKQMHEiR2TxUN2tW7fw1VdfYfv27ejQoQNcXV3Nnv/4448VC46oNmHFST2moboqLrciZ6gO4Jl1NcWKE9V2FidOx48fR6dOnQAAJ0+eNHuust4CorqKM4fbhhKTX0o4CWbNXBWLf6bpBGqCiRM5IosTp127dqkRB1GtxJnDbUPOBX5lV5w4CWaNXLsm3jZpUvNtMXEiR2Rxj5PkwoULiI2NRVFREQD+N01UEVacbONanvhp3cSn5p/WZYfqSoXSatame0mJU6NGNd9W2cSJvz7kKCxOnDIzMzFo0CC0aNECDz/8MK5fvw4AeO655zBz5kzFAyRyZqw4qa/4djGyirIAAI18Kv+0lltxCvENgVajRbGxGDcKOIGQJfLygIIC8b4SiRPnciJHZHHiNGPGDLi6uiI5ORmenp6m5U8++SRiYmIUDY7I2bHipL7U/FQAgJuLG+q716/x9lxdXNHYpzEANohbSqo2+foC3t41356bG9BY/FZwuI4chsWJU1xcHKKjoxESYj5fSvPmzZGUxD8yRGWx4qQ+KXFq6N2wyhNULMlbOSWBdaTESUp2lCB91EjbJrI3ixOngoICs0qTJCsrCzqdTpGgiIjkup4vtgs08pY/NlRdEsVJMK2jRuIkbYuJEzkKixOnvn37YtWqVabHGo0GpaWlWLRoEQYMGKBocETOjkN16rueJyZODb0bKrbNEB+xzJGSx+vVWYKJE9UFFk9HsGjRIgwaNAiHDx9GSUkJZs2ahVOnTiErKwv79u1TI0Yip8WhOvXJrThZkrdKPU7StkkeJc+ok0jbYuJEjsLiilO7du1w7tw53H///Rg5ciQKCgowatQoHDt2DFFRUWrESOS0WHFSnxoVJ+nsPGnbJI+SczhJpIrTdX4ryEFYXHECAD8/P7z99ttKx0JU67DipL7UArE5vKqpCAD50xEAd6tXrDhZJuXOyKYaiRMrTuQoLE6czp8/j19++QWJiYnQaDSIjIzEY489hqZNm6oRH5FTY8VJfdJ16pSY/FIiJWHX8q5BEAReTkom9jhRXWBR4rRw4UK8++67KC0tRXBwMARBQHp6OmbPno0PPvgAr7/+ulpxEjklVpzUl3gzEcDda8xVxpqKU6GhEHklefDV+dYgwrpBENQZqpN6nDIzgeJigCdvk73J7nHatWsX/vGPf+Dtt99GRkYGrl+/jtTUVKSnp+ONN97AG2+8gd27d6sZK5FTY8VJeTdv3UROcQ6Au1MIKMHLzcuULLHPSR4psQGUbQ4PCBAnwgSA1FTltktkLdmJ0/Lly/H8889j7ty5qF//7uy8AQEBmD9/PiZPnoxly5apEiSRszIbqmPFSXHJOckAgECPQHi7KTBVdRnsc7KMVG3S6+8mOkrQaDhcR45FduL0119/4Zlnnqn0+WeeeQYHDhxQJCii2sJsqI4VJ8Wl5acBuDt9QFUsGaoDzPucqHpSY7iS/U0SJk7kSGQnTmlpaYiQLlVdgaZNmyKVdVQiM6w4qUu6CG+wV7Di2zZVnDhUJ4sa/U0SzuVEjkR24nTr1i24VVF/dXV1RUlJiSJBEdUWrDipy5LEydKKEyfBtIwak19KWHEiR2LRWXXffPMNvCu55HVeXp4iARHVJqw4qcsmFScmTrLcEL8VaNBA+W1LyRgHNcgRyE6cwsLC8PXXX1e7DhHdxYqTuqTESe+pr3Zda3ucOFQnT5rYbqZK4tTwzqTwTJzIEchOnBITE1UMg6j2Y8VJeWkF4qd1A2/lP62lihObw+WREqdg5Yt/psRJ2geRPVl8rToisg4rTspLzRdLEEpep05iqjhxqE4WNYfqpG2y4kSOgIkTkUruTZRYcVKeqeLkVf2ntbXN4bnFuSg0FFoVX11ii6G6GzcAo1H57RNZgokTkUruTZRYcVKWIAimeZzUGKrzcfOBp6snAPY5VaekBMjOFu+rMVSn14sTYRqN4gzlRPbExIlIJaw4qSv7VjYMpQYA6lScNBoNz6yTKT1dvHVxES+RojRXVyAoSLzP4TqyNyZORCphxUldUrXJ390funrqXPmVs4fLU7YxXKvSpwrPrCNHIeusutzcXNkb9PXlVcSJAFac1CY1hsupNgGWV5yAMpNgcqiuSmr2N0kaNgROnOCZdWR/shInf39/aDSaKtcRBAEajQZGdu4RVYgVJ2VJjeFqnFEn4VCdPGpORSBhxYkchazEadeuXWrHQVTrlBuqY8VJUWo2hkuYOMmj5lQEEiZO5ChkJU79+vVTOw6iWqfcUB0rToqyxVAde5zksdVQHcDEiezPomvVlVVYWIjk5ORyF/bt0KFDjYMiqg1YcVKXLYbq2OMkjy2G6jgJJjkKixOn9PR0TJo0CVu3bq3wefY4EYlYcVKXTSpOHKqTxZZDddf5rSA7s/jE0enTp+PmzZs4ePAgPDw8EBMTg++//x7NmzfHr7/+qkaMRE6JFSd12aQ5/M5QXVZRFopvF6u2H2d37c5IZkP1vhVo0kS8vXpVfuJLpAaLK047d+7EL7/8gm7dukGr1SI8PBxDhgyBr68vFi5ciOHDh6sRJ5HTYcVJXZY2h1tTcarvXh86Fx2KjcVIzU9FuH+4pWHWCVeuiLehoertIyxMvM3LA27eBOrXV29fRFWxuOJUUFCA4DsD2fXr10f6nSlj27dvj6NHjyobHVEtwoqTckqMJaahOqkPSQ0ajcZU0WKDeMVyc8UvQN3EydNTvPQKACQlqbcfoupYnDi1bNkSZ8+eBQB07NgRX375JVJSUrB8+XI0atRI8QCJnBVnDlfPpexLMApGeLl6mfqQqmPt4Tc1iLPPqUJStcnfH/D2Vndf4XcKfkycyJ4sHqr729/+hut3uvPmzJmDYcOGYe3atXBzc8PKlSuVjo/IaXHmcPWczRD/eWsR2KLayXkrYkkSJfU58cy6iiUni7dqVpsk4eHA4cNMnMi+LE6cnn76adP9rl27IikpCWfOnEFYWBiCpKswEhErTipKzhE/rZvWb6r6vnhmXdWkipPUg6QmVpzIEVg0VGcwGBAVFYWEhATTMk9PT3Tp0oVJE9E9mCipJ7MoEwCg99TLfo01zeHA3cSJPU4Vs0VjuISJEzkCixInV1dX3Lp1S61YiGoVTkegnsxCMXEK9AhUfV/scaoaEyeqayxuDn/55ZcRHR2N27dvqxEPUa3B6QjUI1WcAj3lJ05WV5zY41SljAzxVs3JLyVMnMgRWNzjdOjQIezYsQNxcXFo3749vLy8zJ7fuHGjYsER1SasOCnHlDjZoOLEHqeq3bwp3vr7q78vKXFKTwcKC8UpCohszeLEyd/fH6NHj1YjFqJahc3h6skoFMscQZ7yeyvNK07yz8SThurSC9JRYiyBm4ub7NfWBdnZ4q0tJqT09wd8fMRJMJOTgVat1N8n0b0sTpxWrFihRhxEtQ6nI1CPqcfJgqE6awV5BsHbzRv5Jfm4lH0JrYL4aV2WLStOGo149t6pU+JwHRMnsgeLe5wGDhyIm9JvShm5ubkYOHCgIkER1QasOKnHlkN1Go0GzQOaAwDOZ55XfX/OxpaJE8A+J7I/ixOn33//HSUlJeWW37p1C3v27FEkKKLagBUndRTfLkZ+ST4A2zSHA+JEmwCQkJFQzZp1S0mJ2GsE2O7acUycyN5kD9UdP37cdP/06dNITU01PTYajYiJiUET6fLVRMSKk0qkapNWo4W/u23KHJ0bdsZ/Tv0HB1MO2mR/zkLqbwIAX1/b7DMiQry9fNk2+yO6l+zEqVOnTtBoNNBoNBUOyXl4eOCzzz5TNDgiZ8aKkzqk/qYAjwBoNfKL5jWpOPUO7Q0AOHztsGUvrOUSE8XbJk0AFxfb7FPqazp92jb7I7qX7L86ly9fxsWLFyEIAv766y9cvnzZ9JWSkoLc3FxMnjxZtUAjIiJMiZv09eGHH5qtExsbi169esHHxwd6vR6jR49GovSbTWRnrDgpI70wHYBt+pskUfWjAAApuSkoFUpttl9Hd/GieBsVZbt9tmsn3p45AxiNttsvkUR2xSn8zsByaan9/mjMnz8fU6ZMMT328fEx3b98+TJGjhyJ1157DWvXrkVOTg5mzJiBUaNG4ejRo/YIl+o4zhyujt1JuwEAbYPbWvS6mlScGng3gAYaGAUj0gvS0cDbBrM9OoELF8TbZs1st8+ICKBePaC4GEhNFatdRLZkcXP4woUL8d1335Vb/t133yE6OlqRoCrj4+ODhg0bmr7KTr555MgRGI1GvP/++4iKikKXLl3w+uuvIz4+HgaDQdW4iCrCmcPV8eeVPwEAQ6OG2myf9bT1EOwVDIATYZZ18qR4a8tpAbRaQLo0anq67fZLJLE4cfryyy/RqoLfkrZt22L58uWKBFWZDz/8EIGBgejcuTP++c9/ml32pWvXrtBqtVixYgWMRiNycnKwevVqDB48GK6urqrGRVQRVpzUkZKXAuDu8JmtSJde4cV+74qPF287dbLtfvV3ru3MxInsweIJMFNTU9GoUaNyy/V6Pa5fV+8/sWnTpqFLly4ICAjAn3/+iTfffBPXr1/Hxx9/DABo2rQp4uLiMGbMGLz44oswGo3o3bs3tmzZUuV2i4uLUVxcbHqcm5sLADAYDFVWqqTnWM2yTl04fiUG82k7BEFQ5P3WhWNXlZRcMXHSe+gtOgaCUA+AOGO40VgKg8GyBplGXo0Qj3hcvXm1Th77e3/ujEbgwgXxmLZoYYAtD0lQkAsALa5duw2DwfH/Ianrv7M1Ycmxs9XxtThxCg0Nxb59+91KJkUAACAASURBVNC0aVOz5fv27UPjxo0t2tYbb7xR7fBeQkICWrVqhddee820rEOHDnBzc8OLL76IhQsXQqfTITU1FVOmTMGECRMwbtw45OXl4d1338Xjjz+Obdu2QaOp+BILCxcuxLx588otj4uLg6eMCyFt27at2nWocrX5+GWUZJg9LrpVVG0ib4nafOwqc8t4CznFOQCAU/tP4bKL/HPSCwuHABB/p69dS8GWLfEW7duQLf5R/uPoH2hwre72OEk/dzdvukEQHoJGI+DIka2Ij7ddAmMwdAUQgt27E+Dvf8lm+62puvg7qxQ5x65QmlRMZRYnTlOmTMH06dNhMBhM0xLs2LEDs2bNwsyZMy3a1syZMzFx4sQq14mMjKxwec+ePXH79m0kJiaiZcuWWLp0Kfz8/LBo0SLTOmvWrEFoaCgOHjyIXr16VbidN9980ywpy83NRWhoKB588EH4VjExicFgwLZt2zBkyBAOBVqhLhy/K7lXgDKnTOt0Ojz88MM13m5dOHaVuZB1ATgBeLl6YfQjoyv9h6giHh53/9w1atQEDz9s2T96f/3xF7bv2w6fxj54eFjNv4/O5t6fO6m/KSAAePTRh2way7ZtWuzdCwQFtcHDDzv+dVfq8u9sTVly7KQRI7VZnDj9/e9/R2ZmJqZOnWqaQdzd3R2zZ8/Gm2++adG29Ho99NJgtYXi4+Oh1WoRHCw2bBYWFkKrNW/ZcrkzsUhVZwLqdDrodLpyy11dXWX9gMtdjypWm4+faz3z9yVAUPS91uZjV5n0W2JTS2OfxnBzs/5iu1qtFq6ulrV4hviFAADSCtPq3HEvS/q5ky61otdrbH48QsRvBVJTXeDqaqMJpBRQF39nlSLn2Nnq2FqcOGk0GkRHR+Odd95BQkICPDw80Lx58wqTD6Xs378fBw8exIABA+Dj44P9+/djxowZePrpp1H/zjz/w4cPx+LFizF//nzTUN1bb72F8PBwdO7cWbXYiCrDmcOVJ/U3NfaxrFoE1Gw6AuBuc/j1PJ5VB9xtzL7zv6tNSVMQpKTYft9EFp9VJ0lNTUVWVhaioqKg0+lU/VDQ6XT44Ycf0K9fP7Rt2xYLFizAjBkz8NVXX5nWGThwINatW4dNmzahc+fOGDZsGHQ6HWJiYuDh4aFabESV4czhypPOaLMmcaopaZ88q04kXXXLykGDGmHiRPZkccUpMzMTY8aMwa5du6DRaHD+/HlERkbiueeeQ/369fHRRx8pHmSXLl1w4MCBatcbO3Ysxo4dq/j+iazBipPy7Jk4NfIWK06p+akoFUotutxLbXTunHhry1nDJdJQHRMnsgeLf/NnzJgBV1dXJCcnm5119uSTTyImJkbR4IicGStOykvMSQQAhPqGWvzamg7VNfRuCAAwlBpM18ury86eFW9btrT9vqWKU14eYKN+YCITixOnuLg4REdHI0RK+e9o3rw5kpKSFAuMyNmx4qS8i1nixdGaBdjwGh93uLq4Qu8pjktx9vC716lr0cL2+/byAvz8xPusOpGtWZw4FRQUVDi/UVZWlqoN4kTOhhUnZQmCIE5HACAqwPLxoZpWnAA2iEsE4W6Pk4XT9ymGfU5kLxYnTn379sWqVatMjzUaDUpLS7Fo0SIMGDBA0eCIahNWnGrm5q2bKDAUAADC/cLtEgMbxEX5+UBRkXi/gZ3mApUGPa5etc/+qe6yuDl80aJFGDRoEA4fPoySkhLMmjULp06dQlZWFvbt26dGjEROideqU1Z6oXj+u7ebNzxcLT9TVpGK050G8bo+VHfjhnjr6SkOm9kDK05kLxZXnNq1a4dz587h/vvvx8iRI1FQUIBRo0bh2LFjiLLH6RVEDqrcUB0rTjWSXiAmTlKfkT1IFae6PlSXlibe2qvaBDBxIvuxuOIEAH5+fnj77beVjoWoVmHFSVkZheK1//Re1iVOSuStUsXpWn7dHqqT+pvsmThxSgKyF6sSp+zsbHz77bdISEgAALRp0waTJk1CQECAosEROTNWnJQlDdUpUXFic3jNSH1FoZbPCqEYqeLEHieyNYuH6nbv3o2IiAgsWbIE2dnZyM7OxpIlS9C0aVPs3r1bjRiJnBIrTsq6USA21gR5BtktBjaHi65cEW/vmZXGpqTE6Vrd/laQHVhccXr55Zfx5JNPYtmyZaaL6BqNRkydOhUvv/wyTpw4oXiQRM6IFSdlXcq+BABo6t/Uqtcr3RwuCAI0Go11G3JyUuJkz4qTNA1CWhpw+zZQz6rxEyLLWVxxunDhAmbOnGlKmgDAxcUFr732Gi5cuKBocEREEmkOJ3tMfimRZg8vMZYg+1a23eKwN0dInPR6wMVFTIKlZnUiW7A4cerSpYupt6mshIQEdOzYUZGgiGoDDtUpqyaTXwLKVJx09XQI9AgEULeH6xxhqE6rBRqJBUAO15FNWVzcnDZtGv72t7/hwoUL6NWrFwDgwIEDWLp0KT788EMcP37ctG6HDh2Ui5TIyXCoTjnGUqNp7qQwvzC7xtLIpxEyizJxPe862gW3s2ss9mA03k1U7FlxAsThuqtXmTiRbVmcOI0bNw4AMGvWrAqf02g0prF/o9FY8wiJnBQrTspJL0xHqVAKDTQI9gq2ahtK5a2NfRrj5I2TdXYSzNRUMXlycQEaNrRvLFKfExMnsiWLE6fLly+rEQdRrcOKk3Kk0/+DvYJRT1vzLuCafCtMcznV0aG6q1fFhvjGjcXkyZ6YOJE9WPwXKDzcPteIInI2rDgpJzVfnHFRmkfJnkJ8xcaexJuJ9g3EThyhMVzCxInsQXZz+Llz5/DXX3+ZLduxYwcGDBiAHj164IMPPlA8OCJnxoqTcq7mirMcStUeayjRHA4AbfRtAACn009bvxEnJlWcHClxkpI5IluQnTjNnj0bmzdvNj2+fPkyHn30Ubi5uaF3795YuHAhPvnkE1WCJKoNWHGy3sXsiwCAqPr2vx5mW31bAMDJGyftHIl9SDN12/OMOkmzOzNTnD9v3ziobpGdOB0+fBgPPfSQ6fHatWvRokULxMbG4tNPP8Unn3yClStXqhEjkVMqN1THipPVlJjDSamKkxRD9q1s5BXnWb8hJyVVnBwhcWrZUrxNSgKKiuwbC9UdshOnjIwMhJT5Tdm1axceffRR0+P+/fsjMTFR0eCInJmUKGk14q8ZK07Wu3xTPCklsn6knSMBvNy84KfzAwCk5NW9K8xK/USOkDjp9YCfn5gIX7pk72iorpCdOAUEBOD6dfHMltLSUhw+fNg0jxMAlJSU8D9qojKkRMmUOPH3w2rSWXVNfJtYvQ2lKk7A3WvWpeTWxcTJcSpOGs3dPqfUVPvGQnWH7MSpf//+eO+993DlyhV88sknKC0tRf/+/U3Pnz59GhERESqESOScWHFShrHUaLrAr3TJE3uTEri6VnEqLb1bcWpifQ6rqAYNxFtedoVsRfZ0BAsWLMCQIUMQHh4OFxcXLFmyBF5eXqbnV69ejYEDB6oSJJEzurfiRNbJLMqEUTBCAw30nnp7hwMAaOIjZg11bS6nnBwdbt/WQKu1/+SXEikOJk5kK7ITp4iICCQkJODUqVPQ6/VoLNVH75g3b55ZDxRRXSdVnDTQmC3TaDSVvYQqIM3hFOQZBFcXV6u3o+RQnZQ41bWhuv/P3n2HN1l2fwD/Jh3pbtM96KQtLZSyVwERKFsBQRFFEQR+gKggiIKoqK8IAvrqi4ILKyqIosiQUZA9CrTMUjqAUmhL995Nk/v3x03ShraQlIwmPZ/rytXmyZPk5Gny5PQe5y4osADAW3nMWv6n0ChqcSK6plYBTFNT02YX8qUFfglpWsMWJwamlEiRh5MnJ62h+KWcYoxTG+uqKyiwBNA6xjfJyf+Hz2xbfwqiR9SHQIiWNNVVRwPE1SefUefv4P9Ij6PRFqc2OsZJ3uLUWsY3AYB8aC2tBkZ0hRInQrTk/sHhAA0Qbwn50iZ+Dn56jaOhttpVl5trBaB1VA2X87+XT1M5AqIrlDgRoiXU4qQZ8sSpNbY4ZZdnQyqTPtqDGZA7d+wAAJ066TmQBuSJU1YWFcEkuqFW4lRXV4ePPvoIGfKa+4SQZlGLk2bIu+paU4uTq7UrhAIhpKy+VEJbcPs2T5zCw/UcSANOToAF70GkxX6JTqiVOJmammLNmjWoq6vTVjyEGA15ktRwFh21OKmvNXbVmQpNFTWl2so4p5qa+sHhQUF6DqYBgaB+zBUlTkQX1O6qGzJkCI4dO6aNWAgxKvIkyURgUr+NWpzUUl5bjvzKfACPnjhpsqsOaHvjnOSz1iwsGJyc9BvL/eSJE82sI7qgVjkCABg1ahSWLFmC+Ph49OjRQ6kIJgCMHTtWY8ERYshkTAYAMBE2SJyoxUkt8tYmsYUY9hb2+g3mPm2tJEFmZv1SK62tFBklTkSX1E6cXnnlFQDA559/3ug2gUAAqbTtDJQk5EEUiRO1OLWYJrvptNXi1Faqh8uHtnp5MaCV1SKjxInoktqJk0wm00YchBgdKeP/RJgK6z9m1OKkHsWMOvGjzajThrZWy0ne4tSaajjJUeJEdOmRyhFUV1drKg5CjE6TXXXU4qSWW0X3ZtTZ+z3yY2mrxSmjtG3MMpYnJbzFqXWRVw+nweFEF9ROnKRSKf7zn//Ay8sLNjY2SL1Xdey9997Dxo0bNR4gIYaqya46anFSS1pJGoDWNaNOztfBF0B9cmfsMjLqxzi1NtTiRHRJ7cRpxYoV+Omnn7B69WqYm5srtoeFheGHH37QaHCEGDJqcXp08qREE111ms5Z24vbAwBul9xGncz4S7TIxzi1a9f63sPyZC4zE6DRJETb1E6cfv75Z3z33XeYMmUKTEzqvxC6dOmCpKQkjQZHiCGTV5SmMU4tp60aThrpqrPzgshEhDpZHdJL0h/9AVsxxoDr13mLk59f63sPe3oCQiFQWwvktp16pERP1E6cMjMzERgY2Gi7TCaDRCLRSFCEGAOaVfdoSqpLUFRdBADwtffVczSNCQVC+Nj7AADulNzRczTadfcuUFoqgFDIEBys72gaMzOrH+d0x7j/FKQVUDtx6tixI06cONFo+59//olu3bppJChCjIE8caIWp5aRL7XiYuUCW5HtIz+epgeHA/W1nIy9JIG8M8HdvQIikX5jaY4Pz2EpcSJap3Y5gvfffx8vvfQSMjMzIZPJsH37diQnJ+Pnn3/GP//8o40YCTFITSVO8hIF5OFSi/jEkwBxgJ4jaZ68JIGxJ063b/Of7u4VAFpn5uTjA5w+TYkT0T61W5zGjRuH3bt3499//4W1tTXef/99JCYmYvfu3Rg2bJg2YiTEIDWVOMm3kYeTJ06aquGklRYnm7ZRPVyeODk7V+k3kAegFieiK2q3OAHAwIEDcfDgQU3HQohRkbcuCQVCCAVCyJhMMWCcPJx8Rl2AQ+ttcWorXXXyZMTFpfUmTt7e/CclTkTb1G5xev/993HkyBEqfknIQzQsRyAfIE5ddapLLdZsV502hpe1la46eTLi7Fyp30AegFqciK6onTjFxMTgySefhIODAwYOHIh3330X//77L6qqWu9/IoTogzxxkrc4NdxGHk7TXXXa0FYW+pUnI66urfc8L0+c0o27MgRpBdROnA4ePIji4mIcOnQIo0ePRlxcHCZMmAAHBwcMGDBAGzESYpAaJk7yIpjUVacaxhgyS3ky4m3nredomtdwoV9jnTEpk9UnI4bQ4pSbC9D/8USbWjTGydTUFP3794eLiwscHR1ha2uLHTt2UAFMQhpQSpzuddVRi5NqymrLUCGpAFDfqvOotDE43MPWAwBQXVeNouoiOFo6auaBW5G8PKCmBhAKGZycWu8QDbEYsLYGKip4lfOgIH1HRIyV2i1O3333HZ5//nl4eXkhIiIC+/fvx4ABAxAXF4e8vDxtxEiIQZK3LjXsqqMxTqrJKssCANia28La3FrP0TTPwtQCrtauAIy3CKZ8/Tc3N8DUtPW2qgkENM6J6IbaLU5z5syBi4sLFi1ahFdeeQU2NjbaiIsQg9ewcri8q45anFQjH2ytqdYmQDstTgDgY++D3Ipc3Cm5g67uXTX3wK1EFs9h4e6u3zhU4eMDJCZS4kS0S+0Wp+3bt2PKlCnYunUrXFxcEBERgXfeeQcHDhxAZWXr7f8mRNeaGhxOY5xUk1XOv63lXWGtmbEvu5KdzX+6u7fe1iY5KklAdEHtFqfx48dj/PjxAICSkhKcOHEC27ZtwxNPPAGhUEhlCgi5h8Y4tZy8q87DRnOJk7ZanNqL2wMA4nPiNfegrYg8cXJz028cqpB31ckLdhKiDS0aHF5QUIBjx47h6NGjOHr0KBISEiAWizFw4EBNx0eIwWqyxYnGOKlE3lWnycRJWwb6DMSa02twJO2IvkPRivquutbf4iQfEH7pkn7jIMZN7cSpc+fOSExMhFgsxmOPPYZZs2Zh0KBBCA8P10Z8hBishpXDaYyTeuRddZoc46QtA3x4GZbrhddRUFkAJysnPUekWfVddfqNQxWDB/OfFy8CBQWAk3H9KUgr0aLB4YMGDUJYWJg24iHEaDSsHE5jnNSjaHHS4BgnbXXViS3FaC9uj5tFN3Eh6wKGtTeuNTvru+paf4uTmxvg7w/cugVcvQoMGqTviIgxUntw+Lx58xRJE2PMaIu+EfKoaIxTy6WX8oqLrbn4ZUPy2XRXc6/qORLNM6RZdQAQGsp/JibqNw5ivNROnADg559/RufOnWFpaQlLS0uEh4fjl19+0XRshBg0GuPUMjImQ3oJT5zkM9Y0QVstTkD9enppxWmafWA9Y8ywZtUBlDgR7VO7q+7zzz/He++9h1dffRX9+/cHAJw8eRJz5sxBfn4+3njjDY0HSYghalgAk5ZcUV1WWRYkMglMBCaKRXRbO38Hvp7ereJbeo5Es4qKAHmVGXd3IDlZv/Gowv/e0oZUkoBoi9qJ07p167BhwwZMnTpVsW3s2LHo1KkTPvjgA0qcCLmHuupa5kbhDQCAt703TIUtmvjbJG22OMkXIja2Fif5Klrt2vHlTAwBVQ8n2qZ2V11WVhYiIiIabY+IiECWvDOcEKJUOZy66lR3Pus8AKCbezc9R6I6Pwc/ALzFyZjGfcq7u0JC9BuHOuSJk3xhYkI0Te3EKTAwEH/88Uej7b///juCaFVFQhSUWpyoHIHKLmZfBAD08Oih50hUJ0+cymvLUVBVoN9gNEje4iQfN2QI5IlTXh5QVaXfWIhxUrsd/MMPP8Szzz6L48ePK8Y4nTp1CocOHWoyoSKkraIlV1pG3t0V5KTZf8S02VVnYWoBDxsPZJVnIa04Dc5Wzpp9Aj2RtzgZUuLk4ADY2ADl5bzVKThY3xERY6N2i9PEiRNx9uxZODs7Y8eOHdixYwecnZ1x7tw5PPXUU9qIkRCDpFQAk8Y4qUy+5psmZ9Tpgnyc060i4xkgLm9xMqSuOoGA1qwj2tWikZc9evTAr7/+qulYCDEqVI5AfVKZFJmlmQA0X8NJmy1OAO+uO51+2mhm1lVX80KSgGG1OAG8uy4xkRInoh0qtzjJZDJ8+umn6N+/P3r16oUlS5agijqQCWlWw8HhNMZJNRmlGZAyKcyEZnC3MZCKi/fISxIYy8y6lBRAJuNdX4awwG9DAbysFq5f128cxDipnDitWLEC77zzDmxsbODl5YUvv/wS8+bN02ZshBg0GuOkvsR8PqgmyClIkWxqirZbnOSJk7ycgqFr2E0nEOg3FnXJVwSLj9dvHMQ4qZw4/fzzz1i/fj2io6OxY8cO7N69G5s3b4ZMprv/oPfs2YM+ffrA0tISYrEY48ePV7o9NjYWQ4cOhYODA8RiMUaMGIHLly/rLD5CGqI6TupLzOOJU6izgfUNAejk2gkAEJ9rHN/WhjgwXE6eOF01vhVwSCugcuJ0584djB49WnE9MjISAoEAd+/e1Upg9/vrr7/w4osvYvr06bh8+TJOnTqF559/XnF7eXk5Ro4cCR8fH5w9exYnT56Era0tRowYAYlEopMYCWmoYeVwGuOkGnmLkyEmTp1dO0MAAbLLs5FbkavvcB6ZIQ4Ml+vcmf+8fRsoLdVvLMT4qJw41dXVwcLCQmmbmZmZTpKSuro6zJ8/H2vWrMGcOXMQHByMjh07YtKkSYp9kpKSUFhYiI8++ggdOnRAp06dsHz5cuTk5OD27dtaj5GQ+ynGOAlNaMkVFSkSJxftJk7a6KqzNrdGoGMgAOBytuG3dBtyi5NYDHjdW62HWp2Ipqk8q44xhmnTpkEkEim2VVdXY86cObBuUIt/+/btmo0QwIULF5CZmQmhUIhu3bohOzsbXbt2xZo1axB2r022Q4cOcHJywsaNG/HOO+9AKpVi48aNCA0NhZ+fn8ZjIuRhmhrjRF11D2bIXXUA0MW9C64XXsflnMsY1n6YvsNpMam0fl06Q0ycACA8HMjMBC5dAppY7IKQFlM5cXrppZcabXvhhRc0GkxzUlNTAQAffPABPv/8c/j5+eGzzz7D448/jpSUFDg6OsLW1hZHjx7F+PHj8Z///AcAEBQUhOjoaJiaNv8ya2pqUFNTo7heeq9dVyKRPLA1TX4bdQO2TFs4fnXSOgAAkzEI7zXu1tTVPPJrNtZjl1eRh4KqAgggQIB9gEZfH29hMlNcl8mYVo5fmHMY/sSfuHD3gkH/fW7dAqqrzWBuzuDlVQeJxPDed127CrFvnwnOnZNh1iz9tvQa2rFrTdQ5dro6vionTlFRURp/8iVLluDTTz994D6JiYmKAejLli3DxIkTFfG0a9cO27Ztw+zZs1FVVYUZM2agf//++O233yCVSrF27VqMGTMGsbGxsLS0bPLxV65ciQ8//LDR9gMHDsDKyuqhr+HgwYMP3Yc0z5iPX2oGT/hTb6YqluG4dOkSnNKdNPL4xnbsEsoTAACu5q44evCoRh+bJ07jFNcLCwuxd+9pjT4HAEhK+In71M1T2Lt3r8YfX1fi4lwB9IO7exkOHDiidJvhvO/cAfTB0aNl2Lv3qL6DAWBIx671UeXYVVZW6iCSFhbA1JRFixZh2rRpD9wnICBAsXhwx44dFdtFIhECAgJw516Fsy1btiAtLQ0xMTEQCoWKbWKxGDt37sTkyZObfPylS5di4cKFiuulpaXw9vbG8OHDYWdn12xcEokEBw8exLBhw2BmZtbsfqRpbeH47du/D8gHgoOCUZ5dDpQCYZ3DMLrr6Iff+QGM9dhlXMgAbgDdvbsrTUTRhPsn/4rFjhp/DgDoUtYFK9atQGZNJgYPGwxLs6b/YWvtUlL4ObRnTxvFcTK0913XrsDKlUB6uh0ef3w0VPg/WGsM7di1Juocu1IdzQTQa+Lk4uICFxeXh+7Xo0cPiEQiJCcnY8CAAQD4wUxLS4Ovry8AnmkKhUIIGhQckV9/UMkEkUikNG5LzszMTKU3uKr7kaYZ9fG791Y0NzWHmQl/jQKhQGOv19iOXUphCgCgo2tHjb+u+08BAoHm/g4N+Yp94WzljPzKfCQXJaOXVy+NP4cupPA/BTp1EsLMTHkOkaG873x9AQ8PICtLgIQEs1YxzslQjl1rpMqx09WxVXutOn2ws7PDnDlzsHz5chw4cADJycmYO3cuAOCZZ54BAAwbNgxFRUWYN28eEhMTkZCQgOnTp8PU1BSDBw/WZ/ikjaIlV9SjzVIE2phF1xSBQIBu7t0AAJeyL+nmSbXAkGfUNdSzJ/8ZF6ffOIhxMYjECQDWrFmDyZMn48UXX0SvXr1w+/ZtHD58GGKxGAAQEhKC3bt348qVK+jXrx8GDhyIu3fvYv/+/fDw8NBz9KQtUiqASUuuPJSuShEA2k2kurp3BWDYiZMh13BqSJ44nT+v3ziIcdFrV506zMzMsHbtWqxdu7bZfYYNG4Zhwwx3CjAxLrTkiurKasqQUZoBwHBLEcjJE6eL2Rf1HEnL5OUBBQV8mZUOHfQdzaORD4ulNeuIJhlMixMhhkbeLUdLrjxcUj5v4nCzdoPYUqzxx7+/hUkXLU7xufFguuoj1CB5a5OvL/Q6oFoT2rfnP28Yx/KBpJWgxIkQLWlYOZzGOD2YLrvptC3QMRBCgRDlteXILs/Wdzhqk49vMvRuOqA+ccrLA0pK9BsLMR6UOBGiJU2NcaKuuqZpu2K4LluczE3M4efgBwBIKUjR3hNpibEMDAcAOzvAx4f/ftEwe05JK0SJEyFaQkuuqM6QF/dtSkcXPrgm9m6sniNRn7EMDJfr3Zv/PHdOv3EQ40GJEyFaIm9dajjGibrqmiYfSN3ZrbNWHl+XLU4AMDxgOABg73XDqx5uTC1OACVORPMocSJESyQyvvyGmdCMBoc/QF5FHu6U8BUA5DWQDF0/734AgGt51/QciXrS04Hbt/nvDRZqMGjyxOnsWf3GQYwHJU6EaEl1XTUAwNLMksoRPMDulN0AgM6unWFvYa/naDSjvZiPSs6pyEF5bbmeo1Hd33/zn489BjhpZklFvevKJzkiIwMoK9NvLMQ4UOJEiJZUSaoAABamFjAV8pJpdbI6fYbUKh1M5Yt3Pt3xaa09h6676sSWYogteFmF1KJU7T6ZBl26V7PTmBZbsLevTwJTDedPQVoxSpwI0RJFi5OppWKx16q6Kn2G1CrJazgZSzedXIgzH12dkJug50hUd+UK/xkert84NE1eluDmTf3GQYwDJU6EaIk8SbIwtYCl6b3ESUKJU0MyJlNM2ZcnGtqg6xYnwPCWXqmrAxLu5XjGljjJK6BfuKDfOIhxoMSJEC1pOMaJWpyallachkpJJcxNzOEv9td3OBrV3aM7AOB0xmk9R6KaGzeA6mpeLTwgQN/RaJa86/HAAf3GQYwDJU6EaEnDMU6KFidKnJTIW2M6u3ZWjAPTBn20OA0L4OtmxqTHIL8yX/tP+Iji4/nPsDBAaGTfDMN5dQjE9xpMTwAAIABJREFUxfF1+Ah5FEb28SCk9ZC3OFmYWtS3OFFXnRJ54iTv1jImvg6+6OHRA1ImRdTFKH2H81DGOr4JALy8eELIGLB5s76jIYaOEidCtERpcDi1ODXJmBMnAJjVfRYA4PeE3/UcycNdvsx/dtZODVK9e+UV/nPjRv3GQQwfJU6EaInS4HBqcWqEMYbzWecBaD9x0kdXHQBMCJ0AADifdR65Fbm6edIWkEiA48f57/KCkcbm6XvVLq5cAbINb+1l0opQ4kSIFtTJ6hQ1myzNqMWpKfG58bhbdheWppaKgdTGxsXaBWGuYQCAE7dP6Dma5p0+DZSUAM7OQK9e+o5GO1xcgG73Kl78+69+YyGGjRInQrRA3k0HUItTc3Yk7QAADG8/HFZmVlp9Ln21OAH1g8S/PPul7p5UTXv28J+jRgEmJvqNRZvkg8R/+02/cRDDRokTIVrQKHGiFicljDH8ee1PAMC4DuP0HI12vRnxJkyFpjhx5wSu5FzRdzhN+ucf/nPMGP3GoW0vv8xnDO7dC6Sk6DsaYqgocSJEC0qqSwDwgeFCgZBanO5zMPUg4nPjYW5ijnEh2k+c9Nni5GnrifEh4wEA38Z9q7snVtGOHUBiImBqCowYoe9otCs4uP41/vqrfmMhhosSJ0K0IK04DQCfkg5A0RVVIanQV0ityicnPgEATO86HY6WjnqORvtm95gNAPg1/ldU1Lau98D69fzn668DDg76jUUXpk7lP3/6Cait1WsoxEBR4kSIFtwqvgUA8Hfg1bA9bT0BAKU1pSisKtRbXK1BlaQKMRkxAICF/Rbq5Dl12cLUlCH+Q9Be3B6lNaX47vx3+g2mgcpK4MgR/vucOfqNRVfGjQPc3ID0dOCHH/QdDTFElDiRVqm6GqhQ8x/zlnw5MsZQWlMKpsadD948iMUHFiOrLAun7pwCYwyMMUhlUsiYDAAQn8PLMPs5+AEAbMxt4G3nDQD4Ju4btZ7P2MRkxKBWWgtPW08EOQYptkulwJYtQL4aRbZPnADefZdPL7//kNbVNX8/xvgU/JoaNYNvIaFAiCUDlgAAFh5YiOgb0bp54oc4f54fJw8PIDBQ39HohqUl8P77/Pd584CjR/UaTqvVcJxmU9ryOUx7axwQ8gAyGf/yyskBqqp48b0hQ/gX59atQEwMn93j78/XzRo+nM/4+f574Ngx/p9yaCgwejQ/8Xl6Aps28eJ9y5YBSUlAURFfd8vaGnjySSA1FQgJAcTi+ji+jv0ar+17De427ni207PwsvUCAEhkEtia26JWWoszmWeQUpCC/Mp8jOswDhviNgAA1sasVTyOm7UbcipyAACmQlNFKYIR7esHjYS5hiG9NB3LDi+DqdAUfdv1RWxmLF7t/SqKq4txJO0IRgaOhIOF8faXMMbw1sG3AACD/QaDMQEAQCAAvv4amD+f/91nzwbatQPMzYHycv5e8fUFfvkFOHWKTy2PiAC2beOPu2JF/XOIxfxvD/D98vL4+J2Gzp8Xwtycvz+++YY/Z0UFMHMmUFgI/P03MH48n56vKVO7TMWa02uQUpCCkZtHYuXQlXi7/9sQCASaexI1MAasXs1/79+f/w3aihkz+Hvm7l2+jt3bbwMrV7atYwAANXU1SC9Nx/WC6/B18EVhVSF+uPADzmScwfXC6/B38OclNVzCML3bdGSWZiLqUhT23diHYKdgbBy7EXdK7uB28W18c/4bWJlZYXHEYliaWuJa3jXYimzBGMOTHZ6EUCBEbkUuBvoM1Nt7XlMErC2njU0oLS2Fvb09SkpKYGdn1+x+EokEe/fuxejRo2FmZvbQx/3izBcory1HlaQKeZV5eKPvGyiuLkaoSyhiM2OxI2kHPG09MbXLVEiZFG7Wbsgqz4KdyI5Xnjbjg4wNUXY2sGgRL6w3dCiwaxfw448MN2+q++FhABrcp10M4HoVMKkFqsVAmQcQsRbwuMi3lXoB+SGATQ5Q5gkIZIBNDoTeZyEz0f4g7Z6ePXFu5jnFSeJKzhVM/GMibhTeeOD9enj0AMC79RwtHTGuwzh0ce8CqUyKYKdgmAvMceXklQe+9xhjOjs51dXx8SLFxYCTE2/FcXAAPvsMKC3lrYceHjwRis05iTuRAwEAXeJOIfFABGpr+f1a4xpiffvy1xIfD3ToAERG8uU75C00jo6ASARYWKj2eNcLriP4q2DF9fl95uOjwR/BTtT8ueZBruRcgZOlE7zsvNS+7/79/J8Rc3O+htvDKoare85r7c6fB554or4Y5iefAAsX8r9nS2zfzj8DL7/c+DZ9H7s1p9Zge9J2RI2LwrG0Y7iUfQnbk7ajqKoIEplEp7EEOQZhSucpmN1zNtxt3B+6vzrHTtXv70dFidN9tJU4dfiqA1IKWjb/1URggjHBYzC/z3z08eqDCkkFXK1dW/RYupCSwv+TlUoBe3s+e6UlX4oRU47gvO2HEDAhpBa5kFhmwLI0HKH2vXBB9Llmg46dA5hWAyE7AbMKwLTBqNGsbkC1A+B/bzAIE+KDzlsgE6fgi7OfQQYpymvL4WzljKH+QxHmGoa8ijy81f+tRl9ojDFM3TEVv155tCk9YlMxBgYMhKOVI6rrqnG37C6S85Phau0KkakINwtvYs2wNZjRfUaT9z95krfYOTmp9nyXLwPr1vHWm8RE3uLn5gY8/jjwuTp/isnjgJBdwMXpwM4fm9xl7lw+/iQ2lr9vGOPvJYC3Pjo68kSmpoYnLL/8wr+0GtbmEQiAxYt5d9wffwAdOwIHDwIffSRFRcV5REf3QmmpAEVF9a1TjyIoCLC1Bfr1A27e5EnJ1Kn8sY8fB9asAWbx1VdQVFWEZYeXKVoubcxtMDF0IlysXFAnq0NkQCQG+AyAvYU9AOBy9mXsSNqBouoifDT4IzDGYG9hj4zSDAR8GQCRqQjXX7uu0pdQQxMn8uP22mvA//738P31/eWvDRUVwKBBPIkCAFdX3vKdlcV/nz6dt0jJE+OLF/m4qMBA3molEvFLaSk/1wFAQgJ/vzWky2NXK63FO4feAWMMDhYO2HJ1C5Lyk9R+HF97X7jbuCOrPAsmAhNklmXCXmSP4e2Hw8feBytPrgQAiC14E357x/bwtffFjqQdcLNxQ1lNGcpqy5p9/LMzz6K318PL1FPiZAC0lTitPLESyQXJqJHWYFfyLlRKKlscowACPBH8BBLyEpBalAoAGBk4El+O/BLBTsE4lHoIH5/4GDO7zcQAnwHYe30vquuqsaDvAo23QsjfPSUl/Ivrm2/qFwtV4ncEmPQMkBuGDhkr0SGYIdjKDP2eqcGvyd8hp/IuJPk+SCk7j0BXb1TJSnGtQvVKy13duyI5P7lRnaRAhw4wkTigrK4Qo4JGIS/FDxkXwlDjdhIWIiGK4/vj5vFeQI19w1cF2N4F7NOBjL71m83LgDpLwKIIqHRRbO4/QAaJsAxXztmjuprXwvnxR37ijY7mXQIvvsi/9JOTAZGFDB2GnkGgUwCO3z6O1KJURAZEok5Wh39T/4W1mTXyKvNwOecy9l7fq/IxaEpkQCTulNyBmdAMpTWl6OTaCf2Er2H5rJ4I61GGzevbQchE6NSJf8Fv2cK/+LOyeBdqly48admzh3evqqJPH+Ds2cbbzYNOoHbKYwAT4qXyBHTzDkFICO+WDQnhidjAgfXdajIZT3zkXbq+vvWPJZPxlqyaGuWuV8bqE6r7FRYCNjYS7Nu3F6NGjYa5uRmqq4ELF3gL0sWLwNWrPKEwM+PddebmPPkpLuaJo5xAoN6YOhMT4Kmn+DHOzQWcXRjCXvgRSY6fI1t6rdH+YgsxAsQByCzLRHZ54/VBngp5CnYiO2y6vAkAEOocipndZ+Ja3jWMDByJvu36wsvWq9HnPa8iD/P2zkMv0xl4a8IICAQ8KVZlfTpjTJwA/ndcv563ON292/h2V1fe3X/rVuPb7O35GLujR+uLiD73HG+dTEwEJkzgiZe9PX/fNTx21dW8pa93b/4+aympjP9XcejWIfz3zH+x/8b+B+7vYOGAof5D8VTIU+jTrg/crN1QUFWA83fP49jtY+jk0gkdnDsg3C1cacbr/a3Yt4puIbMsExHeEUq9IYVVhbAT2cFEYIKy2jKU15bjQtYFPOb7GP5N/Ren00+jqKoIP4z9QaXvI0qcDIC2EqeGymvLseL4Crhau6K9Y3uYCk3xuN/jirExacVp2J28GwN9B+Jo2lGsPb0WRdWP/m/x5LDJkDEZruVdg4WpheLN7WbjhoraCvjY+2BB3wVws3ZDSU0J4nPi4W3vDXcbd7x/5H04WznDw8YD26/tQmzGeQy2eh37P5uEcpuLQPA/QOjfQE5n4CYvzyvueAkVNldQa/PgrqmHCXcLVyoc6GTphPEh41Enq0N/7/6IDIiEv9gfZTVlyCrPQrAT7wopqymDtbn1A7s4q6r4mCpra/5lWVHBm+8dHICMDN56dvYs8NhjvOVs924gM/ORXg4APnZr4EA+Xis4mD93bS1f7uL2bd6CMWMG797acTIZuXky9AsMhb1rGRauicXtgiuw6HQJpyo3PXowEkvg/Cxg/8MrWwcGAjdu8ERAKuVjgIYMAcLD+XIWQUH8Im9hdHDgXXlX869g0KYIVEgqMK3rNESNi3r0uFvgUT63Mhl/TxQX80SjtpYnxtu38y9V+Vpv93twNyQD/I4C7Q8C1rlAd82tQOtt540gpyAMDxiONyPeRKWkErP/mY3frt5rmvuAYdYs4DsVJ/kZa+IkV13Nk5/Tp3mBzCT1G2ma5e/P4OKSjQEDXPHJJyYoKQE++ADYsIGPpfv7b9UfSyqT4nT6aThZOeH5v57H5ZzLTe7XXtweJkITZJZmYsWQFZjVYxbyK/PhZu0GkWkL+yP1gBInA6CLxEldjDHE3Y1DjbQGsZmx+PLsl7hdcltxe3/v/riWd00jyZU+RHhHIK04DYGOgZBIJTibeRb2IntsGLMBz3R6RpH4yGfAWZpZwtzkEf5FewSM8Ra13FwgLY23QsTzCXRwceGJRFYW/3LVGdtMoNwDYAKgw26gxg64PRAI+x3wjAOsc/gYsN5fP/hxMvrAT/AY7hRmwcwjBQKZGWrKrOFlEYx+vaywZMxktLP3QlFVMXwdfFBdbgGRiM9Sakp+ZT5O3D4BgUCApYeWIik/Cf29+2PflH2wFdlq/jioQNuf25s3ectYXR2wZAkf0zdqFE/Or17lrRDFxXzm4LXGDU2AqASwKIbP0H1wdTaFVGIGUUEPiOs6oaC8FPZhJ5Em2gWn9mlIr05EZnk6enj0gKnQFDeLbiK/UvUpie0Tv8Hez8ci2NNDpf2NPXG6X20t/3sePswnlri48Bakmhr+D80///BuuQsXeDewuTkf3xQXxy/qePdd4I03eDc0UN+a2bBBplZai1N3TuGTk5/g39SmF9vr6NIRY4PHok+7Poqiq4aOEicD0BoTp/sVVxcjqywLoS6hStvPZJzBmYwz6OreFX3b9cWmS5vg5+CHzm6dsTNpJ3al7EJRVRHulNxBcXUxXu72Mtys3XCj6AaKqoqQVpyG+Nz4Zp9XUNwezOEmv1LqBVS4AO6XAQGDu0koPF0s4WXnhfLacnjbe6OrW1e4Wrvi31v/oqKWtzT08eoDewt7JOUm4cjRI3h29LNwtVMer1VaUwpbc1uDmHlRVcW/EHv2rD/JMQbs3Mn/c50+HWjfHvjrL96s36cPHxz/9de8eyg/n7fKdOzIWyaSkwEbG/6f7+3bzT+vu3s5srNtAPDWHpmMx2FjwysjV1QAly4B3bvzFqDQUN4FtnNPNW7absK4MSLYOVegVliEb8/+hLvVN9V+7S5WLhBbiuFk6QQXaxc85vMYnu74NCokFfjizBf4/sL3Svu7Wrsifm68XsfntaYvf8Z493ZVFU/E7ez4WKgH/d0bMS+DsM4WY8bw+2felUEmKkTvyAx8XdUfVdKHDwnwc/CDs5UzBBDAxdoFP437CS7WLo32a03HrrWRSHiLlW2D/wfy8/nyLqmpwJAhDGVlDz6fOTrypNvcnLdyd+oEnDnDP9MJuQkYtXkU0kvTle7jau2K9x57D0n5SVjQdwECHY2vpgQlTgbAEBInbWGM4WL2RRy8eRD+Yn8M95qEfw7n4/PvsnHxYAggMwXcLsPFRYCtX4bDwgII7lIIa3NLxZIiqjLG46dpqan8izU8nJdTyM+Xj/WR4NChvYiIGA1LSzPY2NS3hLVvz0+06qiUVOL47ePYlbwL57POY1jAMPTw6IGSmhIUVhXiVtEtJOQl4EjakRa/lrEdxuKz4Z/p/cTe2t93lZU8sf73Xz44Xl5yo7ycX7e25j/PnVPhwezS+Wc2aC9gnQfcGQAwIcYN8cTVriNws6TpySpv938bywYua9TN3dqPXWt2964Eu3Ydg7f346irM0WHDvzzbWLCJzHEN/X/qkCG5z/aCavw/fjhYn1/qqWpJVZFrkK4Wzjai9vD295bdy9ED1pj4kR1nNo4mYzPKPHxAdzcBOju0R1F17pj9/fAs18CgPO9C59B9euvXeDo2LB7xviXy9CXgABek0rO2ZlfJPdmDzs48LFRAG/t6tKlZc9jZWaFkYEjMTJwZLP7yJgMV3OvwtPWE/E58TidfhobL25UVEi/n7mJObq5d8PHQz7GIN9BMDOhL1pVWFkBkyfzy4Pk5fFEuqKCD+7etYsnVFlZDXYq5V+onjkz0L498M63vDVSIACksmvIq8zDsbRjsDC1wJ2SO9h0eRPOZ53H6lOr8empTwEAr/Z6FS+Ev4DSmlI87vO4dl50G+DiAnh4VGD4cKb4zIaE8J9HTJbCOvUUFrrvgYXAFvFpWVj+6z7UhX+LLdJzwMX6x3nD42+Y3hyHud0FoNxVfyhxauN+/JFPkxaJgEmT+LTanTuV93Fy4sUljX3ldNI8oUCIcLdwAMBg/8EY7D8Yyx7jWV2ttBY1dTWQMRnMTcxRVlvWqstlGAMXF34BeDfxjHtVJ3Jz+SxD+RpsVVVNF/E0EZrwoq9hzyq2zes9D12+6YKruVcV276K/QpfxX4FAPjfiP/BBz5aeT1tVa20Fp+eWgUA+Ew4DNbm1jhceBgYfd+O514B9n6F/96rYxccxIu1Ev2gxKmNO3aM/6yp4fVw5Jyc+KDWFSsAb++2V1GXqM7cxFxpsL663bZEc1zv5avy1ghra9XvKxQIcXzacdwovAFfB19svLARq06tQmlNKQBgfvR8WAotEVkZifVj1reo6CZRdjm7fkbc2cz6Gh7uNu4Y5B2Jviav4LGgbkjpIMKs4wKUl/PbZ83i5RNGjOCFZq2sdB1522aYpajJI5NK+YDlX++rw9ijBy/wlpfHEykfH0qaCGkrxJZi9PLqBVdrVywduBRJ85Lw16S/EOEdAQaGSlkldqXsQrv/tsOsXbPa/ILVj+JQ6iEMjBqotM3BwgFze87FtVeuYeukX7BgYj90D7fA5MkCpKTwmXwDBvB9b93idfMcHIBVq+q78In2UeLUxjDG6/BMmQI8/XT99vnz+YcyLo43+1OyRAjxsPXAhNAJODn9JE5PO42BDvVf9D9c/AFOq50Q+L9A/HjxR0UhRvJgmaWZ+OvaX4j8JRI1Ur7K9Gu9X8Nnwz9D2vw0rB+zHmJLcaP7eXjw4RInTgB37gALFvCWJokEWLqUz8bz9+e1oWprG92daBAlTm2ETMYXRx0+nE9P//13vv2pp4B9+4AvvqAxTISQpgkEAvT07IlFfotQ8XYF9k3ZBxcrPsjqZtFNzNg1A5G/ROLgzYOQSKnpoynFkmIsO7IM7f7bDk9v4/+1mghM8EbfN7Bm2Bos7LdQsczOw3h7A//9L59tuWlTff2ntDTglVd4F96VK6pX+yfqoTFObUBqKvDMM7xQW0Pr1gGvvqqfmAghhsnMxAwjA0fi3KxzuJx9GQl5Cfj4+Mc4mnYUR9OOoodHDywZsASD/QbDyUrFxRCNGGMMSw4twX8T/guG+uo/3T264/TLpx+pirdAwNdEjIzkRTiTkoC1a/kSMF268Jm5GzbwZV9oFp7mUOJkZD77jC8D8ddfvFsuKYl/sJKT+e0jRwIvvQR4evJlRAghpCX8HPzg5+CHcSHj0N+7P8ZuHYvSmlKczzqPZ7Y9g3C3cMTNimtTpShyynNwJO0IJoZOhIzJUFRdhA+Pfohvzn8DAAh0DMTSAUvhZOmEQX6DNLb0iacnP68DvO7X2LG8Qn1qKm99CgzkLVDNVfkn6qHEyYgwBrz5Jv/9/tpfTk68aF5AgO7jIoQYt0F+g1D4ViEO3DyAqEtR2HZtG67kXIHoYxEW9luI+X3m427ZXfT26m0QKwK01JJDS/DTpZ+avG2W1yx8/dLXWi8eOnAgX0/z33+BqChgxw4+rtXKCnj/fT4j7/p1XpfPiP8UWkVjnIxIRkbT2ydM4MtvUNJECNEWE6EJRgWNwh/P/IG/Jv0FG3MbMDB8FvMZfL7wQd+NffHJiU/0HaZWNZU0iS3E2DphK8a46G4QqZUVb3X6+29g/fr67R99xMdHDRkC/O9/OgvH6FDiZEQOHVK+PmMGnym3bRtflJIQQnRhQugEXJlzBQN8BihtXxuzFl+e+RJnM842c0/DJZFKYG1WXzjL1twWPzz5A1JeS8GEkAl6i2vuXD4xaNIkvl6m3PLlvADyTfWXqWzzqKvOwEkkfGHQVauAsjK+bexYXoNJi0v1EELIA/mL/XFi+glUSiqRXpKOYb8MQ3ppOhZELwAA9GvXDxvGbEAX9xauFdRKHLx5EB8c+wCn008rtv028Tc82+lZRbekRM9FliIi+KWujpcyiIzkdaDkFee7dgW+/Rbo3VuvYRoManEyYL/9BvTpw9czkydNAG+OpaSJENIaWJlZoYNzB+ybsg+D/QYrtsdkxKDPD32wJ2UPZMzw5s2nFKQgeF0whv86XJE0OVg4YN2odZgcNrlVjuUyNeVDNrZv54mU3KVLvPvuwAEqpKkKSpwM1JYtwPPPAxfvLQDp7Ay89x5fXb2li70SQoi2dHLthMMvHUb1smpsnrAZ3T26o0Zagyd+ewImH5lg8YHF+g5RZaU1pRj560hcL7yu2DbEfwgS5yXi1d6tv8ZL1668+662ln+XhITwBaNHjAAsLPhyLqR5lDgZEKmUv6EdHXnlb4D/fvIkXyLlo49ouikhpHUTmYrwfOfnETMjBt3cuym2r41Zi3cOvYOk/CQ9Rvdgx28fx8CogbBfZY9bxbcAAG/3fxvS96U4NPUQ3G3c9RyheszMgOee4zX+AgP5NpmM92K8+y5fNJo0RomTAUhLA4qKgNmz+Ru6qIhv79QJOH0a6N9fr+ERQojazE3MsXfKXmwYswHDAoYBAFaeXInQr0Pxyp5XUFJdoucIufLackhlUmxL2IbInyNx8s5JAHxR5O2TtmNV5CoIBYb9VWppCRw/Dnz+OfDEE3zbihV8UtHTT/PyBaSeYf+1jZxMxgd++/vzlqWNG/n2xx/nlWHj44EOHfQZISGEtJy7jTvm9JyD6BeisXnCZoxoPwIAsCFuAxw+dUDo16G4UXhDb/HF58Qj8H+BMP2PKSb9OQkSmQThbuHY+/xe5LyZg6dCn9JbbJrm4QG88Qawaxfwxx98oLhEwospBwcDoaG8PhShxKnV2rePD/x+6636bRYWwLx5vOzAoEFUvIwQYhwEAgGe7/w89r+wHwdfPIhgp2AAQFJ+EoLWBWHF8RWoqK3QWTyFVYV4be9rCP8mHDkVOYrt/dr1w9/P/o1RQaPgbOWss3h0SSDgS3SdPQvs2VO/PSmJt0CtXQtUV+svvtaAEqdWgjHegvT11/yNO3o0EBfHb3NwAJYs4W/cr74ChPRXI4QYqciASJybeQ7LBi5TbHv3yLuwWWkD/y/9MW/PPJTXlqOwqlCjz1taU4orOVcQviEcTqud8FXsVwAADxsPjAkag7+f/RunZ5xGgLjtVBIePZoPFfnwQ8DEhG9bvJh37VlZAS+8wAeVV1XpNUydo69gHcrMVO4rrq7mCVNBAc/ww8OVF911da0f37RyJeDrq/OQCSFE5+wt7PHxkI9R+U4lPnz8Q1iZWQEA0orTsD5uPWxX2sJptROmbJ+ickuURCpBcXWx4rpUJkVpTSkAYHfybvj81wddvumC+Nx4ALzi945nd+Duorv45/l/MD5kvIZfpWHw9eVLtVRXA++8A3h58e1VVcDmzYCNDU+iJk1qviXqxg0+uclYUOKkI4WFQPfuQMeOvBtuwwb+hvPy4qUE/vpLef/XX+cFyihZIoS0VZZmlnh/0Pu4u/Auvn/ye3wy5BN42noqbt8SvwXdvu2GD49+iLyKPGSUZqCmrgYnbp/AsF+G4atzX+Fa3jVcyLqAqTumQvypGPP2zENWWRYifoyA/Sp7CD4UYOzWsSipqR+MHugYiBPTT2BcyDh9vOxWydSUDxi/fp33fMycqVwvcNs2/s//kiX8+664GCgp4clVUBCfpVdVxRsKKnTX66oVVDlcRz78sH5q5+jR9duzsup///JLnrW7G9aMVkII0Sp7C3vM7D4TADCz+0xsvLgRmaWZ+CvxL1wvvI4Pjn2AD459AAAQmYhQI60BAPyb+m+jx1oftx7r49Y32j4+ZDzee+w9dHLpBJGpSHsvxsBZWvKxtgBPpFav5q1JmzfzpOrTT/nlfqtW8Yv8MVJSDHcpMEqcdKCkBPjpp8bbFy0CRo4EEhOBp54y3DcRIYToiou1C5YMWAIA+HDwh9ieuB2fnPhEUVdJnjQ9jJ+DHzaM2YCruVfh5+CHpzs+rbWYjZWrKx8sDvBSOb/9xq/fufPg+1VVAbdvG+53HiVOOmBvDyQk8KbMGTN4K1N+Pi95LxDwdYMIIYSox9HSETO7z8T4kPHYnrgdXdy6oFJSiXOZ5xDqEgp7kT3SitMwRHaRAAAVHElEQVRwLvMcBvsPxsjAkaiSVOFm0U2Eu4XDyswKIwNH6vtlGAVnZ+C113hBzY0bgQEDgNRUXpx56FCgpoYnS3/8wQeVG3L9QUqcdKRdO14jA+D9wlR/iRBCNMPZyhn/1+P/FNcH+9eviTcIg/BS15cU123MbeBi7aLT+NoSZ2fg7bf57/cnR71784lQho4GhxNCCCGEqIgSJ0IIIYQQFVHiRAghhBCiIkqcCCGEEEJURIkTIYQQQoiKKHEihBBCCFERJU6EEEIIISqixIkQQgghREWUOBFCCCGEqIgSJ0IIIYQQFRlE4nT06FEIBIImL7GxsYr9oqOj0bdvX9ja2sLFxQUTJ05EWlqa/gInhBBCiFExiMQpIiICWVlZSpeZM2fC398fPXv2BADcunUL48aNw5AhQ3Dp0iVER0cjPz8fEyZM0HP0hBBCCDEWBrHIr7m5Odzd3RXXJRIJdu7ciddeew0CgQAAcP78eUilUnz88ccQCnk++Oabb2LcuHGQSCQwMzPTS+yEEEIIMR4G0eJ0v127dqGgoADTp09XbOvRoweEQiGioqIglUpRUlKCX375BZGRkZQ0EUIIIUQjDKLF6X4bN27EiBEj0K5dO8U2f39/HDhwAJMmTcLs2bMhlUrRr18/7N2794GPVVNTg5qaGsX1kpISAEBhYSEkEkmz95NIJKisrERBQQElZi1Ax6/l6Ni1HB27lqNj13J07FpOnWNXVlYGAGCMaTcopkdvv/02A/DAS2JiotJ90tPTmVAoZH/++afS9qysLBYUFMQWL17MLly4wI4dO8YGDRrEhg4dymQyWbMxLF++/KEx0IUudKELXehCF8O4pKenayVnkRMwpu3UrHl5eXkoKCh44D4BAQEwNzdXXP/Pf/6DdevWITMzUyn7fO+997B//36lWXYZGRnw9vZGTEwM+vbt2+Tj39/iJJPJUFhYCCcnJ8X4qaaUlpbC29sb6enpsLOze+hrJcro+LUcHbuWo2PXcnTsWo6OXcupc+wYYygrK4Onp6dirLM26LWrzsXFBS4uLirvzxhDVFQUpk6d2qjJrrKystGBMjExAcCToeaIRCKIRCKlbQ4ODirHZGdnRx+ER0DHr+Xo2LUcHbuWo2PXcnTsWk7VY2dvb6/1WAxqcPjhw4dx69YtzJw5s9FtY8aMQWxsLD766CNcv34dFy5cwPTp0+Hr64tu3brpIVpCCCGEGBuDSpw2btyIiIgIhISENLptyJAh2LJlC3bs2IFu3bph5MiREIlE2L9/PywtLfUQLSGEEEKMjUHNqtuyZcsDb588eTImT56sk1hEIhGWL1/eqJuPqIaOX8vRsWs5OnYtR8eu5ejYtVxrPHZ6HRxOCCGEEGJIDKqrjhBCCCFEnyhxIoQQQghRESVOhBBCCCEqosSJEEIIIURFbTJx2rNnD/r06QNLS0uIxWKMHz9e6fY7d+5gzJgxsLKygqurKxYvXoy6ujqlfY4ePYru3btDJBIhMDAQP/30U6Pn+frrr+Hn5wcLCwv06dMH586dU7q9uroa8+bNg5OTE2xsbDBx4kTk5OSoHYuu1dTUoGvXrhAIBLh06ZLSbVeuXMHAgQNhYWEBb29vrF69utH9t23bhpCQEFhYWKBz586N1hNkjOH999+Hh4cHLC0tERkZievXryvtU1hYiClTpsDOzg4ODg6YMWMGysvL1Y5FF9LS0jBjxgz4+/vD0tIS7du3x/Lly1FbW6t2vG3t2GnSwz6Phm7lypXo1asXbG1t4erqivHjxyM5OVlpH02dc3R1/tOXVatWQSAQYMGCBYptdOyal5mZiRdeeAFOTk6wtLRE586dERcXp7hdl+clTZwjH0qrC7q0Qn/++ScTi8Vsw4YNLDk5mSUkJLDff/9dcXtdXR0LCwtjkZGR7OLFi2zv3r3M2dmZLV26VLFPamoqs7KyYgsXLmTXrl1j69atYyYmJmz//v2KfbZu3crMzc3Zjz/+yBISEtisWbOYg4MDy8nJUewzZ84c5u3tzQ4dOsTi4uJY3759WUREhFqx6MPrr7/ORo0axQCwixcvKraXlJQwNzc3NmXKFHb16lX222+/MUtLS/btt98q9jl16hQzMTFhq1evZteuXWPvvvsuMzMzY/Hx8Yp9Vq1axezt7dmOHTvY5cuX2dixY5m/vz+rqqpS7DNy5EjWpUsXdubMGXbixAkWGBjInnvuObVi0ZV9+/axadOmsejoaHbz5k22c+dO5urqyhYtWqRWvG3x2GmKKp9HQzdixAgWFRXFrl69yi5dusRGjx7NfHx8WHl5uWIfTZxzdHX+05dz584xPz8/Fh4ezubPn6/YTseuaYWFhczX15dNmzaNnT17lqWmprLo6Gh248YNxT66Oi9p6hz5MG0qcZJIJMzLy4v98MMPze6zd+9eJhQKWXZ2tmLbhg0bmJ2dHaupqWGMMfbWW2+xTp06Kd3v2WefZSNGjFBc7927N5s3b57iulQqZZ6enmzlypWMMcaKi4uZmZkZ27Ztm2KfxMREBoDFxMSoHIuu7d27l4WEhLCEhIRGidP69euZWCxWiu3tt99mHTp0UFyfNGkSGzNmjNJj9unTh82ePZsxxphMJmPu7u5szZo1ituLi4uZSCRiv/32G2OMsWvXrjEALDY2VrHPvn37mEAgYJmZmSrHok+rV69m/v7+iut07LTrYZ9HY5Sbm8sAsGPHjjHGNHfO0dX5Tx/KyspYUFAQO3jwIBs0aJAicaJj17y3336bDRgwoNnbdXle0sQ5UhVtqqvuwoULyMzMhFAoRLdu3eDh4YFRo0bh6tWrin1iYmLQuXNnuLm5KbaNGDECpaWlSEhIUOwTGRmp9NgjRoxATEwMAKC2thbnz59X2kcoFCIyMlKxz/nz5yGRSJT2CQkJgY+Pj2IfVWLRpZycHMyaNQu//PILrKysGt0eExODxx57TGlR5hEjRiA5ORlFRUWKfR507G7duoXs7Gylfezt7dGnTx+l4+Lg4ICePXsq9omMjIRQKMTZs2dVjkWfSkpK4OjoqLhOx057VPk8GqOSkhIAULzPNHXO0dX5Tx/mzZuHMWPGNHp9dOyat2vXLvTs2RPPPPMMXF1d0a1bN3z//feK23V5XtLEOVIVbSpxSk1NBQB88MEHePfdd/HPP/9ALBbj8ccfR2FhIQAgOztb6Y0PQHE9Ozv7gfuUlpaiqqoK+fn5kEqlTe7T8DHMzc0bLSh8/z4Pi0VXGGOYNm0a5syZo/TmbuhRjl3D2xver7l9XF1dlW43NTWFo6Njqzx297tx4wbWrVuH2bNnK7bRsdMeVT6PxkYmk2HBggXo378/wsLCAGjunKOr85+ubd26FRcuXMDKlSsb3UbHrnmpqanYsGEDgoKCEB0djblz5+L111/Hpk2bFPHK42suXk2dlzRxjlSFUSROS5YsgUAgeOAlKSkJMpkMALBs2TJMnDgRPXr0QFRUFAQCAbZt26bnV6Efqh67devWoaysDEuXLtV3yK2GqseuoczMTIwcORLPPPMMZs2apafIibGbN28erl69iq1bt+o7FIOQnp6O+fPnY/PmzbCwsNB3OAZFJpOhe/fu+OSTT9CtWzf83//9H2bNmoVvvvlG36FpjUGtVdecRYsWYdq0aQ/cJyAgAFlZWQCAjh07KraLRCIEBATgzp07AAB3d/dGMxjksxXc3d0VP++fwZCTkwM7OztYWlrCxMQEJiYmTe7T8DFqa2tRXFys9J/D/fs8LJZHpeqxO3z4MGJiYhqtF9SzZ09MmTIFmzZtava4NIy3uX0a3i7f5uHhobRP165dFfvk5uYqPUZdXR0KCwsf+jwNn+NRqXrs5O7evYvBgwcjIiIC3333ndJ+be3Y6ZKzs/NDP4/G5NVXX8U///yD48ePo127dortmjrn6Or8p0vnz59Hbm4uunfvrtgmlUpx/PhxfPXVV4iOjqZj1wwPDw+l71QACA0NxV9//QVAt+clTZwjVaLyaCgjUFJSwkQikdLg8NraWubq6qoYmS8f4NdwBsO3337L7OzsWHV1NWOMD/ALCwtTeuznnnuu0QC/V199VXFdKpUyLy+vRgP8/vzzT8U+SUlJTQ42fFAsunL79m0WHx+vuERHRzMA7M8//2Tp6emMsfrBe7W1tYr7LV26tNHgvSeeeELpsfv169do8N7atWsVt8v/bvcPJIyLi1PsEx0d3eRAwgfFoksZGRksKCiITZ48mdXV1TW6nY6ddj3s82gMZDIZmzdvHvP09GQpKSmNbtfUOUdX5z9dKi0tVTq/xcfHs549e7IXXniBxcfH07F7gOeee67R4PAFCxawfv36McZ0e17SxDlSFW0qcWKMsfnz5zMvLy8WHR3NkpKS2IwZM5irqysrLCxkjNVPKR0+fDi7dOkS279/P3NxcWlySunixYtZYmIi+/rrr5ucUioSidhPP/3Erl27xv7v//6POTg4KM24mDNnDvPx8WGHDx9mcXFxrF+/foo3m6qx6MutW7cazaorLi5mbm5u7MUXX2RXr15lW7duZVZWVo2mi5qamrK1a9eyxMREtnz58ianizo4OLCdO3eyK1eusHHjxjU5dbVbt27s7Nmz7OTJkywoKEhp6qoqsehKRkYGCwwMZEOHDmUZGRksKytLcVEn3rZ47DRFlc+joZs7dy6zt7dnR48eVXqPVVZWKvbRxDlHV+c/fWs4q44xOnbNOXfuHDM1NWUrVqxg169fZ5s3b2ZWVlbs119/Veyjq/OSps6RD9PmEqfa2lq2aNEi5urqymxtbVlkZCS7evWq0j5paWls1KhRzNLSkjk7O7NFixYxiUSitM+RI0dY165dmbm5OQsICGBRUVGNnmvdunXMx8eHmZubs969e7MzZ84o3V5VVcVeeeUVJhaLmZWVFXvqqaeUvkxVjUUfmkqcGGPs8uXLbMCAAUwkEjEvLy+2atWqRvf9448/WHBwMDM3N2edOnVie/bsUbpdJpOx9957j7m5uTGRSMSGDh3KkpOTlfYpKChgzz33HLOxsWF2dnZs+vTprKysTO1YdCEqKooBaPKibrxt7dhp0sM+j4auufdYw3OTps45ujr/6dP9iRMdu+bt3r2bhYWFMZFIxEJCQth3332ndLsuz0uaOEc+jIAxxlTv2COEEEIIabuMYlYdIYQQQoguUOJECCGEEKIiSpwIIYQQQlREiRMhhBBCiIoocSKEEEIIURElToQQQgghKqLEiRBCCCFERZQ4EUIIIYSoiBInQojWTZs2DQKBoNHlxo0b+g6NEELUYqrvAAghbcPIkSMRFRWltM3FxaXRfrW1tTA3N9dVWIQQohZqcSKE6IRIJIK7u7vSxcTEBAMGDMD8+fPx+uuvw8nJCWPGjAEAFBYW4uWXX4azszPs7e0RGRmJ+Ph4pcdcsWIFXF1dYWdnh1mzZmHx4sXo2bOn4vYBAwbgzTffVLrPE088gZkzZyquV1dXY+HChfD09IS1tTX69u2L48ePK27/4Ycf4OzsjH379iEkJAQ2NjYYPXo0cnJylB73+++/R8eOHSESieDp6Yn58+cDAKZOnYrx48cr7VtTUwMnJyds2rTpEY4oIUQfKHEihOjdjz/+CGtra8TExOCrr74CAEycOBGFhYWIjo5GbGwswsLCMHToUBQXFwMAtmzZgo8//hirV69GbGwsnJ2d8e2336r93HPnzkVsbCz++OMPXLlyBU899RRGjBiB1NRUxT5lZWX44osvsHnzZhw7dgw3b97EW2+9pbh93bp1mD9/PubOnYurV69ix44daN++PQBg5syZ2LNnD3JzcxX779q1CxKJBM8880yLjhchRI/UWhKYEEJa4KWXXmImJibM2tpacXn66acZY4z179+f9ezZU2n/I0eOMLFYzGpqahTbZDIZ8/PzYxs3bmSMMdarVy/2+uuvK92vR48erEePHorr/fv3Z4sWLVLaZ8yYMWzGjBmMMcZSU1OZiYkJy87OVtpn0KBB7L333mOMMfb9998zACwtLU1x+5dffsm8vLwUcbm5ubHly5c3+/qDg4PZZ599prg+atQoNnPmzGb3J4S0XjTGiRCiE4MHD8aGDRsU162trRW/N+xeA4DLly+jpKQEjo6OSturqqpw8+ZNAEBiYiIWLFigdHu/fv0QExOjckxXrlyBVCpVtA7J1dTUwMvLS3Hdzs4Ovr6+iuseHh6KFqSsrCzk5ORg6NChzT7PzJkzERUVhYULFyIrKwsHDhzAiRMnVI6TENJ6UOJECNEJa2trBAYGNntbQ+Xl5WjXrh0OHTrUaF+xWKzycwqFQjDGlLZJJBKl5zEzM8PFixchEAiU9rOxsVH8bmZmpnSbQCCATCYDAFhaWj40jpdeegnLli1DbGwsDh8+jODgYPTr10/l10EIaT0ocSKEtDrdu3fH3bt3IRKJ4O3t3eQ+oaGhOHv2LJ5//nnFtjNnzijt4+LigqysLMX1uro6JCQkKB6ze/fukEgkyM/Pb3EiIxaLFUnewIEDm9zH1dUVTz75JKKionDkyBG8/PLLLXouQoj+0eBwQkirM2LECPTq1Qvjxo3DwYMHcevWLZw6dQpLly7FxYsXAQDz58/H999/j59//hkpKSlYtmwZkpOTlR5nyJAh2LVrF/bt24ekpCTMnj0bZWVlittDQ0Px7LPPYsqUKdixY8f/t3OHrKoEYRjHn6v4DdwVDTa/gMlktWkSw4IGFbMLFjEIgmGbYDUo+B2m2UWTUVmTbZtpi+ekI5xrGa4c9h74//IwPEx6eGd2db1etd/vNZ/PZYyxzjudThUEgZbLpc7ns47H4/OR+5der6fVaqXL5aJ2u/3G6QBIEhMnAP+dVColY4zG47E6nY6iKFI+n1e1WpXrupIkz/MUhqF831ccx2o2mxoMBtrtds99+v2+TqeTPM9TJpPRaDR6mQptNhvNZjMNh0Pdbjc5jqNKpaJGo2Gdt9vtKo5jLRYL+b6vbDarVqv1bU2tVpPruiqXy8rlcm+cDoAk/fn4+wEAAPxSk8lExhgdDoeko7y43+8qFArabreq1+tJxwHwj5g4AcAPejweiqJIQRDIcZznDz4B/E4UJwD4QWEYqlQqqVgsar1eK51OJx0JwBu4qgMAALDEV3UAAACWKE4AAACWKE4AAACWKE4AAACWKE4AAACWKE4AAACWKE4AAACWKE4AAACWKE4AAACWPgFXI3mnWOac1AAAAABJRU5ErkJggg==", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "psd(x1, NFFT=1024, Fs=Fs, color = \"blue\")\n", "psd(x2, NFFT=1024, Fs=Fs, color = \"green\")\n", "title(\"PSD of shifted baseband signal\");" ] }, { "cell_type": "code", "execution_count": 259, "metadata": {}, "outputs": [], "source": [ "## Filter Operations\n", "f_bw = 200_000\n", "Fs = Fs\n", "n_taps = 64\n", "\n", "lpf = DSP.remez(n_taps, [ (0., f_bw) => 1,\n", " (f_bw+(Fs/2-f_bw)/4, Fs/2) => 0],\n", " Hz=Fs)\n", "\n", "filter = DSP.PolynomialRatio(lpf,[1.]);" ] }, { "cell_type": "code", "execution_count": 260, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "w = range(0,stop=π,length=512)\n", "h = DSP.freqz(filter, w)\n", "plot(w, 20*log10.(abs.(h)))\n", "xscale(\"log\")\n", "title(\"Filter Frequency Response\")\n", "xlabel(\"Frequency\")\n", "ylabel(\"Amplitude\")\n", "margins(0,1)\n", "grid(which=\"both\",axis=\"both\");\n", "\n" ] }, { "cell_type": "code", "execution_count": 261, "metadata": {}, "outputs": [], "source": [ "x3 = DSP.filt(filter,x2);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A filter is just a convolution:" ] }, { "cell_type": "code", "execution_count": 262, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "\u001b[32m\u001b[1mTest Passed\u001b[22m\u001b[39m" ] }, "execution_count": 262, "metadata": {}, "output_type": "execute_result" } ], "source": [ "@test sum(x2[1:64] .* lpf) ≈ x3[64]\n", "@test sum(x2[2:65] .* lpf) ≈ x3[65]" ] }, { "cell_type": "code", "execution_count": 263, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "psd(x2, NFFT=1024, Fs=Fs, color=\"blue\") # original\n", "psd(x3, NFFT=1024, Fs=Fs, color=\"green\") # filtered\n", "title(\"PSD of output signal from LPF Vs Original Signal\");\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Decimate the Signal" ] }, { "cell_type": "code", "execution_count": 264, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "228000.0" ] }, "execution_count": 264, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dec_rate = Fs ÷ f_bw\n", "x4 = DSP.resample(x3, 1//dec_rate)\n", "Fs_x4 = Fs/dec_rate" ] }, { "cell_type": "code", "execution_count": 265, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "psd(x4, NFFT=1024, Fs=Fs_x4, color=\"blue\")\n", "title(\"PSD of decimated signal\");\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Frequency Discriminator" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# https://web.archive.org/web/20190819144410/https://www.gnuradio.org/doc/doxygen/classgr_1_1analog_1_1quadrature__demod__cf.html\n", "# https://dsp.stackexchange.com/a/49005\n", "function quadrature_demod(z)\n", " y = z[2:end] .* conj.(z[1:end-1])\n", " return angle.(y)\n", "end\n" ] }, { "cell_type": "code", "execution_count": 266, "metadata": {}, "outputs": [], "source": [ "x5 = quadrature_demod(x4);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "![FMspectrum](https://upload.wikimedia.org/wikipedia/commons/c/cd/RDS_vs_DirectBand_FM-spectrum2.svg)" ] }, { "cell_type": "code", "execution_count": 268, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "psd(x5, NFFT=1024, Fs=Fs_x4, color=\"blue\")\n", "title(\"PSD of Post Frequency Discrimination\");\n", "ylim()\n", "axvline(x=19e3, color=\"red\", alpha=0.5,label=\"19 kHz stero pilot\")\n", "#axvline(x=92e3, color=\"yellow\", alpha=0.5,label=\"Audos\")\n", "\n", "function annotaterange(Fmin,Fmax,text)\n", "annotate(text, xy=((Fmin+Fmax)/2,-37), ha=\"center\", va=\"center\" )\n", "annotate(\"\", xy=(Fmin, -40), xytext=(Fmax, -40), xycoords=\"data\", textcoords=\"data\",\n", " arrowprops=Dict(\"arrowstyle\" => \"|-|\"))\n", "end\n", "#annotate(\"stereo audio\", xy=(27e3,-37), ha=\"left\", va=\"center\" )\n", "#annotate(\"\", xy=(23e3, -38), xytext=(53e3, -38), xycoords=\"data\", textcoords=\"data\",\n", "# arrowprops=Dict(\"arrowstyle\" => \"|-|\"))\n", "\n", "annotaterange(30,15e3,\"mono audio\")\n", "annotaterange(23e3,53e3,\"stereo audio\")\n", "#annotaterange(58.65e3,76.65e3,\"direct band\")\n", "annotaterange(57e3 * 0.95,57e3 * 1.05,\"RBDS\")\n", "\n", "legend();" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "\n", "\"\"\"\n", "FM Deemphasis Filter\n", "https://de.wikipedia.org/wiki/Pre-Emphasis\n", "τ = 75 µs in North America\n", "τ = 50 µs in Europe\n", "\"\"\"\n", "function fm_deempth(z,Fs,τ)\n", " d = Fs * τ # Calculate the # of samples to hit the -3dB point\n", " r = exp.(-1/d) # Calculate the decay between each sample\n", " # Create the filter coefficients\n", " b = [1-r]\n", " a = [1,-r]\n", "\n", " return DSP.filt(b,a,z)\n", "end" ] }, { "cell_type": "code", "execution_count": 269, "metadata": {}, "outputs": [], "source": [ "## De Emphasis Filter\n", "# https://de.wikipedia.org/wiki/Pre-Emphasis\n", "# 75 µs in North America\n", "# 50 µs in Europe\n", "#τ = 75e-6\n", "τ = 50e-6\n", "\n", "x6 = fm_deempth(x5,Fs_x4,τ);\n", "#x6 = x5" ] }, { "cell_type": "code", "execution_count": 270, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "psd(x6, NFFT=1024, Fs=Fs_x4, color=\"blue\")\n", "title(\"PSD of signal Post DeEmphasis\");\n" ] }, { "cell_type": "code", "execution_count": 282, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkUAAAGgCAYAAACkHxNtAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAAPYQAAD2EBqD+naQAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDIuMi4zLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvIxREBQAAIABJREFUeJzsvUuvLcl5Jba+LyIycz/O6577qLpVxUeTVNOG1YLbNuxGW4ANCNJIDU4FDglr6qEHBmTBhmGAmgkQIOgvyIA1EDQRPDAHcstuSQCbkhqipFZVsW7VfZ3nfmRmPD4PVux9irAtFDlRAx0LIOqC55UZGRE78lvfWkvMzNDQ0NDQ0NDQ8O859B/6AhoaGhoaGhoa/l1AOxQ1NDQ0NDQ0NKAdihoaGhoaGhoaALRDUUNDQ0NDQ0MDgHYoamhoaGhoaGgA0A5FDQ0NDQ0NDQ0A2qGooaGhoaGhoQFAOxQ1NDQ0NDQ0NABoh6KGhoaGhoaGBgDtUNTQ0NDQ0NDQAKAdihoaGhoaGhoaAAD+H/oC/l1GKQUvXrzAyckJROQf+nIaGhoaGhoavgDMDPf393j+/DlUv3j9px2K/h68ePECH3zwwT/0ZTQ0NDQ0NDT8FPj444/x/vvvf+Hvb4eivwcnJycAgPf+p/8e/l1Fue5g6wQUQf9xh+nLEwBA7wLKaYR728FvBNOzhO6tQzwv8FtFcUBeZcgywXYe7/4fgk//64KTvwqYLg35y3vY6wHdlWJ8J2H5I4/98wycRuAuQLLA7QXxUcLjf+nx5r9I0K1DOU3ApOhfe6STghIMUgS4mFA2ARgK3I1HPku8oSyAAEgCf++QTjJWf+ex/Sq/LonVMOsLIIbzPwu4+89H6GcDyjsjcNXj4geCq/9y5n2/DXCTYH4a4YaM9f+9wN3XMvxeUYJheKnY/kz93jsPNwrS8wkWFXAG2XnIyYyyCRAT+IsRcdtB9oru2iEvDOk8wd96pNMEeIOEgvB3A9JZwdm/EezeEUzvzVj9dYftN2a468BbvZwhO4/+pcN8WWBisHWGbBz0ckIeOfXFF9joAACLjwI0AttvRIS3HpKBuDb+3dMZ8rqHRkFaFtg64dG/7HD3NaAEQ7hVTF+aOS+eJMgyw4WMNHlgVPitw/lfAutPZnz4yx7dG4e8NLidYHqaIFGx/khx/40EOAMMQBFADdIViBZYUSz/ssfugwysEywLFn/bYf9+gr9zMAeYM5TzCIwObqfIywJ/OgOfLKAJKF/eQ360gCkQbgR5ZVh8Jtj9sw3S1QImhsUnHvOFwZ6NnA9ZYaNDuHGIjyNk72CLDGSB7h0kAzCB2wPzc37dbxXx6cx7OHxfAiQLNALpgxH+wwHzexHiCsKHA+anCSgAugI4g/oCywrRAv/RwN+9c9BRUYYC6wrcxsHtBPH9GXIdIAbO96jQUWEC9G8VaWXIz2bYpNC9Q1knSCiwvQd8gewdNArCrWJ8L3J9LTP8rUfpjd/vDDYpwo1HPMnobhzSyuBGQfGGfJ4wfNRhfB75N84iVv+mx+4/mOBedUinGd0rD+sN8SLB3TvkVeHa7DNk4yFJeA+Lgv6lR3cP3H8zcjzuPPBoQtkGjhEACNB9GiBR4HdAPDHM70b464B0GaH3HuUk4YP/TfDxvwD8Ded18YDmOgcGYHo3QvoM3AfI6Qy762DLDNk5dG8d5q+OkKsOj74vuPkmkIcCMcHwmWL3QQIU0L3CzYI0GHAWYTvHdR4V679xuP8nE7pPOszPEtydQ/HcayQKJAtKXyCLDDPAXQfkE84xGOB2itIb550Acu8BNdhQ+Pw2Hn6nSM9mWBbonYfOgrws0CjIFxHuOkCSID+bsPrBgM3XIyQpdBS4rSKvC0wAKFD6AvQZLhTknYdbJuSdhw4JJTpol1Fmx/11VdB/5jFfZvitIi8MfiuIZwVYJYRPOsTTAgsGt+V99K8dxi/PEDXo24C8KtBRobMg1bkB43NyoyCvC1AA8wZZJejrDvkkY/1XAZuvJ/h7BylAeW+Eve0hCXA7wfxuhLvzyEteY14ZdALmxxnoCrrPAtLKcP6XgtufMRRvkCywyxn+0x7FG/7R/7rBD/+bAaff73D3szP8VYAkIF5kQA3+2kMMcFvB+NUZGBWSBH6vcFvB9DjDOgNCQVhEpKsB4VoRzwq6K4cyGOI5f5duHcoqwy0TylWHp/9K8PK/Sug/6tDdAeNTrp3ulUe8KLBQ4G/42TJ/dYTtHWSR+RlwHfHiv/tfjp/jXxRiZvYT/cS/R7i7u8PZ2Rm+8j/+z/DfzJhvei7avePhwgCEOnyzAr4AygVrfQFCgYwOulPki8iv7Rx0EuRHEaKG8KMe5at7yIcLpKVBLieUuwBZJ1hSyNbBVhl651HO4sPBZlJuDlmAdeIGERUyOpir19RnIClQAHcSYZ8NkGcTylUHcwY9ibBSf99N4EY2Obh1hHMF803Paw4F2mXk+4BwNiHuA1CEG8M2AGLwJxH5bQ/r6sY2OcheoZcznM8Y/s817v/pCN8llOxQssB2HhCDTApbZ0AMrivIWw+3Ssi3gV1vXYG4AlFDmRyggOt5PbqKUGdI9/WDYlb+TK50pzMgC9xGkS8jkBTD+Yg4e+Sdf7i3nQdiLbEa4LYO+VHkuK8ydJmA1z03S2/QRYK87LlxO+O4rxL0NsDOIywL/CIh7T2QBG5TDy1q3CDqI9K9wh5FYONhqwRR4wd1n/khvPNAV+CHhBwV/kWPtCrAeYSIoUQHiCF82nGTkvrcowIGyJARhgT7mxUgPBTphwvEiwyZBXIxw246+Mcj4uihocCyQK472HnkM44K3AdYKNBlQpkd/CIhv+X8sJ4fXjI6zt+dh+wdoAacJM6xUSGrBPdZj/J8hJkA1x3XSZ8hAuibAHs2wW46yPl8XIeiQHnTc5zPZuBNj7LgwV1mBU7qof/Ow1bcXEWN62fDD8fyZObXlxmYFe58Rt7wAI0kwCIDk4Mk4WFrlZDv+XW3VZTLyHU/ZK7vkwTsHdAXHvwASJd5wA7G+eoMeNMDlxPkVY98lhBeBcQnCYgCv3FIjyPvQ40/K8D6rwM2/3hGeBmQTgsPwKM7HoTclUc+zUAoQFIsPgqYTw327oiy9YAJ570axBeoN+SNhy4T7K7D8GyL/X0P96aDeeOcXnCexPsOfh2RZ/ewp+wdsE7QUJDr3ic7Bwt1HqtheBEwfmnmfnWSoL6gJAVGB3/rkB4lSFd4ADhL3JcAuNMZ+aaDLHPdi6wePt3DGg6Ff3PjII/4EtW/9JieJiAUuEVGnhUifMkpm8C5VzgOunWQJxPsZc8D56PIQ5V7mLvw/H69dyjnfNmEs+O6l2cj8n2A9PUwqsb5e8o9BcZ5JPWazRuveciwLMcXnO6zgPg+X6Zt5+FOI/LWc247g1wF2OXMl4Gtg3WF+9/GQy8nWBGUHQ+EEH7uSBbYkCGTg15M0A8XfPnce8iCnyPacXxFDWXk34PUMaovLggFmBSyrGvoqoNEgZuB+WlCOJlQiiLvPIYfdZi/vgcAlPuAcOsQzzJklfjsnHGeXqT62WiQPsOHjBQdr2PrMXwaMH11hG09ZJmhbwLyZYTvM9Jdx2s6jPeskKi8162H1RcVLj6Oh205piKG+VXGj/7b/wG3t7c4PT39wp/7rdG6oaGhoaGhoQHtUNTQ0NDQ0NDQAKAdir4QTIF507HkCAAm7PNIiss/ChBlqRpJ+W8xyMASpPmCMhRIV3D+rzroKMgX6VguX7wUlCyIF5n8ugDoa5/LgRoo5JXF8W9on0nbCciHdxnOV6ruYmKZ9rWDXgcMn5BWypNDWWeUNz257Z3CskK9QZ3xbyupJhWDFUH/WWCPB4B818FfezhnwORYchUABdC9Q9qS6nInkaXQPrNPICrmXcDdfxhJZwigWmCzHsu31hmQhOX5jWepVQwYCmQWoIAl9bsOiBzjPOvxeagjpYVZ4e7I/0PJjcMZUID+SlkiVkOpdIe/9rBZoWJcCQLIIkOWGbn2Y/idwK3Yv1OGAlklSE/aMK9Y2tY7XykFD/MGM0BGjokbMqAs2dt5PK44WSaOz0XkWKwTx9UEw2ekQCwLKdnMOSJvO/ZhdKTVyuyggVRZfHc+lprVF+jGsfQPICeHdFYQHyXkvUdaFeheIRczxBl0VKTZQe4CyuxgSVH6AivC/215fxCg/6sFtMtIt6S4wo0CRTjfD71Q3oDzGRDAfdZxPSzZn5FOyPfrq44V71k4528DyoIUApR9TGUbOM6zQurSKyPpTADQbaVwNh62c7DO4BZ1bZUHtWi+iLDRHakzoN5OVLhbD79xpC4WCfpoeijZ+wJZJJQnMywJqbJKV2goQE+KTLfugc6otITtPeyqY5+MM+Q1qc28MEiXgUVGfjYhLCKvyUg/AsDmGxHiDGnN67AdKSjMCsRKBWbhz+wc9u8lSAacK3DrdJwzsNoPZlyXogBOIlJ0x7Epyww9iRABclbSo5XqOdDxw2eONJxyH/OLxH6j+sxlyBjfj6R7lnw2dqBk+oL0JHJM7/2RboZxrPLI9U7ajGN17DmMpL3dNSlo8/Xn+oz5Uf3bdW9DEfaT1JYCXSTAgOFHAeUsokSFBSOdExW2yrAle6Fk5n3KpKTOxI70W9l7lCXp9e58gmXhnL4N0McTwpAqdazAUEgXe/bPSBJgQ1peugx3FTBfZs7PrJ+bZ4bwMhznrDjjvFDUvRDspTKBJSXdawJ3FYBQOOaZ+6R+uOBepNz3LCpk546fWwBpXswK7B3CamYLwTo+7JmVfi7LjHwRMV9k9J96lKKkRBUYnyaoGpxj/196PkH3CnWkybTLKEOlJEe2cNjWI2462Oj4c94wnxcMqxk6K2xS5EekXtNdBxn4e/zb+hnreU8ysVUBatwj64K2yeHyTx2sAGkTuB5/CrRDUUNDQ0NDQ0MD2qGooaGhoaGhoQFAOxR9IeSLSDWPskSHrsD2DhYK3v7zGdh6SFX7WBLYKsP3CbLz0FWCLTIsKm7+kxl5WeCqsshtHO6/UlBGDxlqOfrTnqVbAG6j/LcC6fkE5wu6144l3FXkdTggjR5p72HOSAtMivl5RBkK5vNCOiVQdmwrKjbySYb/rEO+D1QXKVD2pElyUuSXC6o7gCMdl9+ZkQ/lykqNSRaURWbJfpWgWnD2Fx42UdJsUdF/3AEGnP7rDmZA3Afoxh/L6+gKqZBEGgGzUv0yKawziDde40mEv3PH0rb0GWX0yLmWlDveV+gSFW1SZcyLjPFxVQb6AucK7GWPdJrhrzxyUpaT5XNlfM/S9vy4ltNvQ1XBGakTgCVnAOU8wYYM6zMVaL5ARwGSIE+OdF4W2KRU501Kq4VZ4PvM0v/oKMMFMD3JkK2De9PBLTIpk9FDCkg/dIU0goAU2jJx3LKQbkSV7ipgs0PeU8Wiy8RS+mlCWRSUySHvSWmc/OkA6wv860AVzSrBDVSuuG0tvyfB+A7HHABKoqw2XHmEIUEnXqcfEmmbIaO8N0KUY2om0ElR9p4qs6rEs6pasyEjbwPncS3Luy3pOVyyPO9uPHRBy4uy5DjYobQeCmAC32XIzvNrVd4vVa25+IRzvGSBv1OUZxPSOW02RLl+MLmj8uxYgjeB6x/Gvuw8qVEAZc11YpODu/WkA7tChZoz0jvy8Lts6yFq8B1/Ht6obLuYKUseqNizZaZKdFKkiwTdc50eqDt3xTmBUJAeJaTZoSQhXTMLJBQsf9ihbLjGy9uOSs3XA6nSoXxO2m8oe16XCEhLTApzhv1XqQQ0I0WVkwJR4YcIf13VblUeH1bxgb6MCg0ZEspx/6RqV47Ut7gCXSTSjXvPsRkdMJLiKgEojyPWf006pEQH1xXgLPKZFnlQfi0Tafd1RIkO/t5h+tp4vD+3Vc4rX5VedZ89jDMKv+/o0+uMc2dBFa9zBVBDfmeGrRNKVFpu9BkWqoJwVsis0CFDkiBcU55uSVGq6rREB/8mQIeq7IyC9F5VpHV2VOGRMgPp+K4gz0qqPRjCaw97dySdu+f6tGCI78yI55n03IIqrcPflJtK0ZlQoeoNOTn+zrqnmzPYhvMgnE5sE1lmpKUh33WcFyPVm2YCdQXSZ1giPVmuOiqMN7SJQAHscobUzwrZOcisiLc9KbaThBQdykndw6QqjEOpSljOfcv8LLTO4C4miDfOKQGw9dBXHdwy4eabbAc57I8/DdqhqKGhoaGhoaEB7VD0hSChNqbu6L3DqgJ4Gp1ZkTBn6K7c0fOhGE/dzhXowGZY7dgcmGuTbD7JkMJGP+0yNBQ2UdbGwfI4AkXgB76JpvuA+KWJTWWFFRVzxjeF6quRNgHW03sHHQ3DzOhtYV05VmJ0mRCfzayo7BzCycTm6CHD7jqe3AX014mK+R2+vabZI5xN9MCpjcUIxjfpLqMUxe1/POPd/90B3uBWEdPTDH/tsflSQSmK8CrALma+iUVhJWSVq/EWK1k2Oug6QpaJVbe7ANt5pMf0JRLHJjuZFeVNT/+Q2qCZoqOp21DY3BwVpRrB2ejgfUa5YPNnehxR5vpmsnOscN3Th8nfC9w6oSRWrLoXgW98iVUlK8JnFT/n9VG9jooHq2BRkHceiw87hGvPqtAqHeeW1bc2yYK8oleTnM5wlxOrQmIQE0iXaeAmBr3l2x+iILwMKKOD3nk+y1oBggN9mCblm7pnpcacwXX5KAzwiwSJgv0zvknnZWF1c3RQ5dtaXmV0bxXDSw/ds/lbotArpCtIq0LPpIGN+mnDxki3TMemTzNhxerRzIZ9X+B29PbSvbIJ37MKo2s2BUsoyMvafJsVZVnoNSVAPC9sxBz5RowiNOJ83XPtLWv1Z5mg1/RYEmfYfykCAxvl40WGVi8aS2yqTbUKBrGj/0z4uIeMilL9ZqxnpTJPDpZYkcHMKla5ZFM3EscHANzbQD+lUVEWBVIEw18PKCaI9x2FE12hWCJUf58o/PtDhtWG27KoVarIPSif1K9lNrrb1kNf9dwfOvrM7L85An1G+LiHLenng9MEWyV01wrZeZTJwYWCxUcB5W3/UC0ZyrEya5lVAX/rYRsaXh4adznhBX4dkSZPP5zqwVN2rP4AgL+vTb9RjlUYCJvBP29Gac6OXk/Wszqz/SBDikBDRh5pbGiBlRk3sOoBsMoNE/7s+3vY3sG/6lgBPylHjyVxBfqqh4YMvWel3oZS/a0emt7FsdIOZ4izR/8Rx4drt86Tej3iauVyyCgTqx95YfQf2zvozMq4dhnpjI3gchOqqILP1DwrNTm6o5cZJgepXlYI5djUb9Wzzqo44fMN9uILZOuhjycKWQqAxxNwF+p9sUnd3tL/Sw9CoXUCusI9EfVvqCEv+XfVF/gN2Yo8K3JyUG+QDf30bEHPMYSCi7+oe+J9gC0ymY/zmftf4WeYhIJ423N+3DnIvUf3MnAdRGXTPQDZOoQhUQxRK3aHNa8XE/JlRJ4cq1OgYOboc/UToh2KGhoaGhoaGhrQDkUNDQ0NDQ0NDQDaoegLQa5IJ/l7RbhTNql1pBmOMR8FiKcFMtKKvESWhM0Edt3Vsl8t+QU2SYaTGXldoDuFfLSo5Xhl03Uk1WNRYaAlvAxs4Ha+egp1hWXy+aH5Gb6WRbOy/J5YTtVlgixZtpQi0OqFwTgSlk99R0t6O/hnFJASiQq3pP+LvurqoIC/94TZVbJxpO6KwA0Jr/4zkNIDgFDYZFitjeI5qUI2aAJuTe8fCIC7QOrP0ydHnbEpbyZFoPeeZdWdg2w9/L0emxOlYz5QeTlwLOZKyajRR6TGXkxTgPoCN7AJVBzvsSz4vW5Hz4z5MtNTae8gsyDWrDur4+w+7dm8OWmNEqG9fYkO+njifQQ2+Y7fGI95Vzpk6EQaJu889CbAfIHbs1xcJsfK+WGMVqShdB0xfBKYedcV/rvj/CvLDJ3ohyKTg4lB9rUcXyrVtXe04Qfg18zTKoWUWjzPpGNW+Xh/VuhxI+uE6WnG9LWRHluVnrF6XdYXTNcDsI7VR4R0cEkKu+lQqn9XWM/QUJDOE+I+sCRf55HrMmyuWVkC5LvAebVKpCnuqpCgUjn+nnlspgD2jOfwfUIZCvLew/UZWv1ZysAYCxz8qDKb8mWgb1fZes6Videp6wiJCl1HqDfMTxJsneBf9MdmVIkCd+Wh9x76uoOsEsKG0Tf0mQLHJwnyZYR5zit09N4an7HJ2t05lNFB9g6lNnsjKXSVHrIIh0wKbqhN+TPvF11ho7WBe4sz+J1AV6SGy1DgQgFGh/lJOnob2cSm5/nL09HzxgDsvxRhi9rsHuktk59WOh+ki8q7I2MgnCGOHnnBdepuPOdSpP8NrynXbDz6BsWzfNxvUKkw3Abo647/7uparQ26n2/IxlkEVukYIXOIwjl4cR32JNt5yHWA7T0bo7PQT+wmYPVv634aClsEBu7PZZnpJ5QPPkAKfTIeKfIyszk43Qfkb+yOTdphSOgW8fgsyjbA3XnOsblSnGeZ9Ns6UbBjODbil5EeSED9fqPHkjuLFDMcvK/qdVjWI0Ufn1Sa9nN7NbKg+yxA9/z8sY60tjsh5Vz2HjhlBJFta3bcKaN8RAvc6XycfxBjnNNhv1Nw7mVFOs18DgLIRwuYAbbOpPUFjAvqMt7+U86Tg5dZesSGekaKGNTXGKPqj5fWGeYN8/OZQqbMxmtkxqfkzLaVNDuKMxbMElWp4pdJkc4y0sFHr88/8Wc90A5FDQ0NDQ0NDQ0A2qGooaGhoaGhoQFAOxR9IZTTBLfIiOcZ8YOpJgvXMqgYO/aF3jC2pH281RK+mTDNV43WCVUBlR8lKiXESFt8aU9VxqFcuvycJ8qbHm6jx0TgdPBQmWkVbxcROtObRG8YXYFD8nKm+ufgyXGg+0oRdF2G7jgF5n1AMZZfUQSyd5CqVkJgGVa7DHP0GZKaPO98BjYBfqOkr1AZEQdgKMg3pNvMAWWVkaonUx59pYd4v+qrJ8gF/S/gmHJe4uem6J1HWVMpBGewUJD76ndy70m3LDLcVMuugSoK6QpT1zNjK+abHi5kWMExlsXdO+gqQvuMvKISDbW8LosMnFH1Zgb6TPmCdJmQlwW2pporn1HxhJnj4lyhXf+alCe8sYQOMOV8HZk2/miGJEVeMUZB9o7RI/Hh/m3voGoYnydSfqFgfD+i9Aa99whXnr9bAKwj9DTC1hn+dCY94pgobzvPqI9toEpyckdaB6HADwm+y3DXHvlNj/A3i2Mp2pIeFXwyPczHg/LHMtUr1hUIAH3dMXG+Y3yN//M18o5KJL0hzeAWiTRiOnhy0ddHVgnyqj8qcKxjDIfNCpsd0sKogryY+ZwC/0a4/lyERVdYrldwDOq6dTe+eoUVKjJ9paLXiTRe9QiiZ4+h/zQ8eNeEAlkmWE9lXBn4X3WMLLDPBowfzPybiYnjrisYPvUP17XOpBQA0sKTg7+nf1OZ6WvlQyYlUtPk8zlT6nVF2tO8oVtG4MlU/XsUssiIp4X+R5X+S3cd40gWmfN4flhPLhQKqZIg33VUFXpDeOsfaLXDs77qUIrCBUYvoAgwMlpFNo6+V/ecU7JK0FEYC3EW0V3x5/zZTIVUrH40OyadH6n1nYNfR479gmvM3Tr4UCkogHRapVMwOpS9p0qyJrDrXklJ9Rlx8hCToxpvekzfspO/CvQRO430H6v0DbwdKf+Dunfxtz3n3MBrcp7/oxLXIUU+14PS0N4ZOXaBKkMZFWXknixdpfO2/khJHvZ7WVIFal1B3nm4W7YHyEgfsoOCj3OZ8xkm9DGrnjwSFSWgqs2EkS0vB+6vXYbsqDJEEXon7RlvU1L1W6rRQhIZKSXb6rlV1Y0AgHv/Y2sMX9k+UJqPHvbYsvf01fKG+Z0Id+OPVJ9sPcLJxP13Urh1xOLjQLWuN+4XNWLGLxMe/19U8pWth+8Swt8uHqjSZUJ6O/D3Vn81FIHtKoX2U6AdihoaGhoaGhoa0A5FDQ0NDQ0NDQ0A2qHoi0Fqart76HKHAHnvaHffpQeVRBbIvYeuIso1qSNX4yHyNjB+IZOewuueqe5bRXlLA0LxVTkW9aigsZOEfMrycE6OkRxJaXRYzcYsGOBYmoeACjJfUBakgPKGJmEoeFDxVAM0VDVb3nnMF5k0yuVII64Fjcfw0QJ59FTyVNPIdJYRdx30YkJ6XqMAkjJyJFRa6nyG1vgLqMG/oUJNqgGXv/IoqRrjif2YYdfyr7tjYnU+ySwLV8Xa0ZjLQPO/k8SE9yyIp5lmjgbeb2Y5F94g96RTcnRMYd97iDMMb0klHZLOpfBnhz9dMlYlFJa9TaBiVABVKkX2LNVqnxHeUBUz33eMS/lsAShVXgDgFlW5MWRSrHuqidxGeR+TA05IrcqQ+WwTTQNLocpFq+kdAs3tyjKzgu6ZMO47Uj8HVQnE4G9rorwazesmxfCph/iC/CiR6rn3MBM4T0rIOsN8malyKTSKlCEjXDlopCpTF+loZueu/XEN5KQolxEyKk3eJof9V2aaWxoTz/39w/ZzoGcxMg1e1JDPq/V/NVG0XBU6ox6TvJ0vpCsEmO96pFPOq0OaNyo9ilmpOLx3RxPMMnrGZQz5SEfY8YKockt3Hab3Z5gxakfUEPrEOI9lNcFcZFJ+SagMNDxcpxjyrBjfi8iPEsIrxlXMl5kRKwvGOcSnEcgCvfUoVWlj4RA9AZz+eVUCHSjArUJrnIYuSCnbjuszRSq+LOtxX1JnsIkqSgSORxo9TEndwEglayhIy/Kwn/UZdhphNa4kJ1fNS4Fw447UzYFm1z1VgXldSJEXwfQuFYuihfOsLxy/gSrUg4IOWZBuO4RlpIlqpHqrmBwTG8IqkvJcJbgtVbFx08FKnXOLQjWrAM4XmC+wns8pXlLRd/+NBHvKhHvrSL0BAJJQTRvrfqHA+OTBGNR91iMnh3gz0Lg2KcpNB1tl9Fd6VJRJVWXiJNJE9mCwC6qAD5FEUlW2cFy3dpIge+Xz1NqOMbAFQ2pkyqFlontV1WNVAQwAEgV+L8CacT4ibP3Idx3KNqC7FcYnZdJ0AMdIBMAmIN/XGJCDCvI0Ur1b2zx0XdXKlVKzyDmIqsYUZ7y3PVW4cb24AAAgAElEQVRx5ZT3Gl4GaCZt5k9nDK+V1xYdpJD6Hb82MfrqziFvKvVWTW7f/PPIvXZS5OgwvROPlKNNDvqIZsI4Ib0uO0e16XEx/2Roh6KGhoaGhoaGBrRDUUNDQ0NDQ0MDgHYo+mIY3TH36KCeAXBMIE5TpWaWif9NQnVT7bY//MzZ92ty8EkE1hH2KCKfUX1hi6qGySxdLj/yLM2eR8hdwPCC5fMSmWUl8mDKh1lZIs54SI0WoF/NcBvHUmgRmuAlPZpa7W4WLIcWYUm8CDCQjiiF5m1SE4dzpQ7QkTKCUs0k9570Ulepnl3NJVIDJody3fPnaiZPehyPyhZkYR7RTVXTmbCUW9UVu6/T7A/e4E5nqhecwb0N0NOIcO1RllTfub4aMW48sMjQt4EU5K0nJaMG3TjYouaA3XRUsCT+ze0/ijTQmxzcOkIn5h9N/+kGGB3LzrWGnyYPiCF80gGbmiW0JH2XB6on4Ix03iVpxXIfoHekRqAsl0MMeV3gr32ldIDuZU2gj9W8DCCV0ZejMWbeeOAuwL/ujqX49DiydDw6mqcp5168YSJ6ehyh68gxPp+BdcL4PFKNMinc6QzrDPk+IEZSQbqv20MtQ6fnLFOXr+yhUYDHExVpkyP19HSCe9FzV7nu4IcIvZypDNw5mrt5O5rSxccJefRwG0W5D5CXPZVSSWC3HdyQj/lS/myG7B2z+FYZbquYtx2VijV3TnY1c+wucCyWiUoqgJTa3iOf5WNy/SHHsNR5gMh1YNX48/P0kzhSdTY7zNsO+VE1Le3LkTLPJ/mYBC99Zjm/ZlrxlxjSuzQAlUU1Iu1pfHpU2V3OsFmp2gyFmWlquPsnM+n6mw5IijIYxk1Hk7tKzQ6fkrpxB1Xdveea2DvkWZlmPhRgVO5Ds0JqajopNKFZaVUTulWE+po915FyK3tPxZYB8UmEv3ewqm6DCezRjL7O4UObAcSQsyKNAYtPPMwAv1GopwLtQPHbOkEnrovupYfsPHRIx3WgoTCVvdQ15Pi7parhyt5zTYOUedqS7pWO4+hWEeXJzNaGRGNSXSaUqeaSHYxczyLcKlLtuGTavVx1SCeZyt9QSDs6o2EpgPF55HN0zAeTwCw7GOCG9KBAzgJ/PjPr8nUH1xXoPWlG9QVu5PwzB+53ArgXPdxEI1u3VbjrwDYHpUL3YPhrFxHT4wyLCjkn5at3VGjpmhmUNuvR6NGdRKSpzp9Vgr8l5WaLjHzb8ZqqatlGfg2ec1gSn8G8Y3ZfGQpUDXIYLzUq44IhPZ8RL1Nt2yjYP0/IiZ9L1tlRAQw1aBSsfxiQVxk2Kde3MuMOCpTJUZnWcS32n9b2i23gXgGQEj0Y3/4UaIeihoaGhoaGhga0Q1FDQ0NDQ0NDA4B2KPpC0KmW0n2BTO4h26ZmKdnoqPS5Zsm/rDLLjoGqNekyY72+mUmT1awWDVWFcUFVTpmqMiALdl9KwDoyn+w0YvzyhDh6+FcdxNGUUEOB2ygQDP4qsIw9ZFJ4+1p6BE0mD0oPAJCNpzIEgL9mTo36ahyoBrdOyHcBOmSUeyrmrJZZJZSaD0QFg86kHNJYc6CmSu/NLNHbQdmRhWViAxVLW3/MkTqYjcEZ1Q5V/eAGKnQOdNohcyifJzifEZ9Elo2NtGL/NwO/d1bk0wwpgnxBZaBsWabHIU+qL8wHK4LypodMVNRhUt7Puiq7Ck3xrJbsNWTIdVUQPU2kCU9o7CjOaGKXaCwXVjN8l0iV9QXdrdKETfgMZFKaAD6b4O4VJsD8Huk2VPPG8DoAk4NsmPeTJ4dwOsOWGekioXvrjoo8GTJkFnSfdIi7mlHXZ+B8hoRCI8jqgSeecyXtPdBVpVBXSBl8Ttl3UEuicPxt72FFEM+porORqhqZFHbVI53V531B00h1GTpTbWZ9VadUk07pMjPzzjL0JKIM1SB0yFQNGWkr8YYcFXI2k6IykHYV0n3udAa8QROw+kRpfGrMd4rv0BgTSeBW6ZilFIZ0VBra3lVKmOpJzHpUgkqf0Z9MsJ2nEWKl2SSUIwWDmcohdzrDD4kU7m04/q2DCevRANJASuA8Al1hnmJVXB6MF2XNeWt9OVLNEJCGmQXIdV3Pyuw1b0gLZkmlyUO6mmM3MesrLCKVRn0mFbuuOYhPR+Dew2YHfd0hZ2Y3unWEAKSA9445cMuE8z8LHN9CVWB+OpPiEwMiVZrTs0RV1WG97DlvLQv275Gmm96fSWHOlaIs9f4uZuTkMD/OkNO50iJUXpaoiDMVc7Z3cHtBeT0c5yQORpSjgxsy3I2H7T1bDVac1xqoEHNvA+JdT5PBe4+8zlTJZtJUVuTBrDQUlPNIOlmA7tMADNXks5o8IjDbTkNG3jBb0QCEt8zmkrpObHJwPqPMDmUwlCzcl5wxV+w84eyPB9hppCpyUpT3RuRFOSpYy5MZixdUxx2vwQBxhbR/YA5biQrJAG4D7wXgGvD8bLJqwIk6Lumc5qU4KKR9QbrpIFGgq0SzxXuqdW04KKXlYT4fPi8jr8kM0FDVq84gi4wcax5hVNjesY2kUri284iPEnbPC/Q0QmZFHmkwiVzbTgRHRTEKkFYGuepoKJkFYRWPeXhyyIX7CdEORQ0NDQ0NDQ0NaIeihoaGhoaGhgYA7VD0hbD8kcK/6EidJWH+UF+oTOioZimTQ1ll5LtKrYyhqrhYhs1RYWpwtbSX79ktb86Yc1SVYbrxtZTM8mZ8tYDrM3PURod0nmjmVVUn+aRAFwnl3ZFl0S0N+WxRMO8CyrKgZIHc0JjLbdnFv/6LDm6RUN4bAVQjLVdgBzWOsXQuRahgmxWINOwSX6CjIpxPVKpMjhlelzNL4Elh61QNHIG89+guR+DxRGpNATmdaUS4ryaUYg8UQy3nAoCMjllgHw7oPupIVW4ccnQP5dG9g9wEpH+8g84Cd0f6wG30IfPtLB5Vd3qg99aJtM46sRx8UK7smekEAOWqJ43iaPLmQoZVhZFuqUgLPZ8pxKCjUpHWFcRNhzT7B0pvMCw+8Q/l5o6KC5ruGewkHTOeDv/N748cl0rfYXRQV2h0KIb5ccbib7tq1mYwBdKaJpVlcsDe0RDypoO7CswJu+uoUEo0OgtLZrABtRxfTRKhlRbrCsJrmtXJIlEptkykYqqpqfUF8miiqglV/XM1IM0epTeEK0d6UkAlZGGulq1pFuo88+ksk14bXgRYFo6tVPo26dEIzxzpRVkkUqxZkE8y9k84pu7W0Yhv52FVBXMw3/Q3DqVUc8RK3VjUo0ISwSA3lX64qjSkL+he05RODsZw1RASCiBSuZX2vubyGanSTFWR9IXzauupnIlaDUyBeMrfqauIYgJ/XynJu3BU1qGqfaA1H3CV4YdIiuydqmg7bFiHnKxKVcIbSnYwE6g3rptK1wmq8WIWaALKjoaiJSpyUs6NqCiV/rn7Z3t06xm6cTQL7BOpqZ0/fpqQrlRSLjV7DIf5C1Iq4mkCi1Ma8Vni2Nvew308PBhwFsAHUlVyE6g+qnRLPM/QxxNbA7qM4UVg7mESiNScQV9VYEVg1UxXJqXaE7yucpqY5ViNc/M96Sa3qFST/5wLoOea03r9svFUYkVBejYf71cAqBjywpAmUn7lns8zJcd7HmqeoBow0vQS3jBd4Ji7B881Y1Wpl0+pUt5/Zea+tHHARNPXMjuUy8g11JNCzhcJcjHj9E96tn9UlZdbUqWIgZ9heXRw64S0CXw2VqnkrlQVpZDKXGYqEKuRsVuk48SzzwYqJ08zVWxZjjmWsuHnS9l5+HsHfcM9koo8ZUvCIYewwl1O1eyV466BSk9bZlLUwWDPJpga4jmNLdXxudqB2v4p0A5FDQ0NDQ0NDQ1oh6KGhoaGhoaGBgDtUPSFsH/HkNaFnfJ9OVJNAFiO3nrSavcPJmy47gAB1Q23lXoogjz6Y74MDCgnzMmCI/VyKGVL0qPRnnPVuG+dWNq/6qDVzMtCgfMsL7trT9VOVMhUM6b6amzWkxrLqwJbJmy+OSME0kllE440k+5qjtekx4yzsmSGEMID/VFOSJ2URTkqmmzvYI9m3tsBuWbiADQi8wV57yDKTDigKoyc1ZI5y61WQJPCVYKFAslA+voebquQpxPK3j+opALpChFD6XhNLhSky8gSahHYpPwb1QTRhszMuj5TGVIAt1Nm5nSF/3+XgZOIckeDRh8y4s1ARVAW2MUMd1LVE2I01rucYMsEcYbwJpAu8cwGKg7M/xKw5G41L6yqbvofBYiC16qAv62GkX1h5lylluLkaZS2d1j/rcf4NEPOZiqFQkFZJ9hQVWAKzs0nI43rOqp6jhTsqn7faeS1ZKHxYTkoxkqlq0g7YkPlzZGW7EjfHjK6jsZ/HdV9sKr086hzktcjbzrIIsMNpB7T5Flynx3UF8zf2AM1i8mKQDqqmTArhk+Z7eXXkWotA/9+IYWGyBK+3XZUZ0YhXTowayo9jeh/sDjOW1SzTfQZ7tqzzL9ONKV8PGHeUd2VhwdFy4MhqkB3VCimkYah1tfvWZP6Kzt/NKxEpc703gNve6pzetKzZqSt0inXrCaQ0jWQtqvUsijXTI7cb5jfV1C8HVWUVvPi4Azdi4C8dyhveqp51Eh9OqqfZJWge0V+f6Qi8dlM6q8IqauOCjhR/i0rgnBH+jHNVD/KrJUOKZUmNe5bewedhEaOVYVURn+kmEWMhrJRmUEmhvTeBH/jj/sDNxfmeCEqujcO4YoGo3lWKhazYPzKhPSEhrV2UOw5478jVYlW6hw1QKtpJtVUXAe+T5wzcnAspYmr6zP3umqmqZ8OVD3W+S1JmaW285CaK6eukEY1mnVKzfLKO/9gNipUZPp7UrPubcD+g0RFndXPiEglr24c/CqS3lYwL61mkenWIbwO8J92NfOwKrYqTXr39apwA2i2eE3jU1EDTJjJB5DazULqqtLNYRFJL3fVlLJm5VkR5L0nDZcE5YL5fbLnM/F9osIsCWydj3lypTPk0wxkKpc1ULF92BusM5SthyjVsAC4prfhgSM+o3mq8xn9lQOGDLdXpEQa0ZI8mKb+hGiHooaGhoaGhoYGtEPRF4MaGxprWrBsHE/ORWi9X+MvyqLA7RRulWCnkW9jAP1ZiiBc860V9zzxlkRPH914NiUGg9/SD4meDGzgPqRhy8cDAKAMBfk08w2iVoT0dYe8Zgr44a0IM3+/5WrjX98aUL1BYqzNqwJol9ksfpognsnrCIVeQ4sMrW85mNkQqItq1b5ID6nQ1WsCAG3kT+f6pgbMmw6WFOmWzY75PiDvPHRmzIZd0/LetnyrFscx9T2rY+nEkEePvKqN431mE/GhKpUEaa4xHgZ6ejg2gQNgA98dmwht5+GWiVEmWWBitPvvq0W/8r/qDWHg/YnjW/GxKTwLbO+RJ4d429Ozpmejpu8z3KsO8ZLW+TY64CQhn9ALBVFRhgJ/65BXBbqK0FAwPclQx6Zgq873pUbJ6Mg3NbdidESqja37d/gWXyZ6ZaFj864sMqRWH905vY9s51m1AJBnNisfKhQAUEJ9u1RDqdUO9Bl+K9AZ9S27oP+4Y5Uy6sMzqJ4prCbW619muMBKUl6VY8SHrBLKsjaS33R8Uy+CctUdRQsHj6Q4eoQFoxQOXjbjM0baqCtIrwfokI/xEFDjnBwVWEekkwzdOlYGQ4a7CtCQsfuZiWNq4Bt7be4PdwqrjaRQY3WmzsnSF8h1xzdpXxj3kPmGbEkpcljUxPlVQr+IrLbccMwlC3TIx0bssq5rqmPzu23oFyRDBra1+b5jMywAvv1Gerdgw3gDRvoYSnQoPSt7y4/8MYYHUVE6oDuZYSfp2ECroRx3f5sdq4KZe1oYEiuqWTBP4TgW+T7AX3mkqwH9Da9brjo2y674u3NyGF6wCTbXarfbC9yOFR6Iwa0irK6zsvf011qwOReBTfX5nQklCSQJ4k3PiqsaZMiY3p+RTmvcx96xqflVD3cVWEFb0ZNLl6z2HaJ1wnpmiv2Q0b1xkGcThRIfM9Ii3nd1f2X1JN92nBdjjUHqCu95oEeYoFadnEFHQXo7QHcKHQXdi4CSKa7RUIAtK9uSBYsPO+7Zjye4Nx1klZCfzZDRoXT0CPK3Dvk+HCv3FGWAYypGjynPKpFkgSkQLxPiU1aSDr5q/nRGGR00gWMxO4pFFhn26cBnFxXxUUKeFbj3FM6IwdyDMEh7VnVtVlbzwfXubvxDc7kAuo5sxj74It2xEd0P6ehFB1RPtaj0j0vKveEgdqjIyXFO3gdWdeODX5cPrETl5DC+UyNUBMh3HaNNvMHfPURy/SRoh6KGhoaGhoaGBrRDUUNDQ0NDQ0MDgHYo+kLIy+rHEGuD21CgQyaFU6kDAPTkOWGMB/bu6H3i7klzxQ8m6DKxJF7LiPo2oAwsVftbRa7+OAd6TvYO81St6r+0R1jO8Hcs92PrYeuEeNvTd6PP/K8aGxsN0Ncdwis2rEqsyeddgesK8h2pgENqtLsObFosgv6zAHfjHygGAcuXns1vh+Zv23tSZrUZFNXjQpcJeRuOJW3ZuaNPknjj94khPYlM5l4n5MnBnc9sat3RTj5nRXgZaFdfk51t55nufmg+NkAeTbDJQUbSWGV2R+pMEi3rbcjH5uw8sezu3wa4PWNcyiqjbEONaCBdlBPjVMrs6JFSvU4OVI1/E+BvHMKHPdwJm/9yVOSBY5HXhSXlWnLWTwYMn3kgFMZb1CT6EhWySoj3bL4VNcSnjIFwV6EmTLMBXWsqePdkh9IZ7JQNjgdPEO0q3TIzOqTMDvmO1+3XsTa6ekYV7D1TVEbHuBlntMpfR7iNwnUFJYD/qz5BpqSukAVYkFaWZY0zSUJPnmrzb3VdoIANrF2B1YgT9bTzt+qRJOdzbT4PtSmzxrokUpByw+ZcnQXddaXtTisF9ZhePQj1b695bwhM3gaANDv4DZPe1Rf20s56bJwvm4DpaT42YPsrj3LVkWqo/jh2QSFBKdwLNJAGwqzH5HSJpJhzpVzyotCTqdJgogasGONRamM51vE4n/VNgHU16f5A4QnQn05cY7XxFlWwITuH4cMOcjFD1xG7L0c2P2eBREV6FI80s+wZ25FHD3/tIa96Uo1Kek5DQTGBGzJkRwrn4DGk68gmbDHc/UczEAXlJB39h6DGMfzayMbkbYBMivlxRhkMbmLDfB59jaapjeGJQoV8FzinqkcX7gMpMpCKtUrVhiXnezlNlWoWlKcT/cOs0kmFQhQ4gyj3ozRWGubOY343cs3Ngvkycz5uHSn4jnuTTlyTOgms0tOHGCJ4rnMo53bpDfpoQjkjjTg/S4h1zR2EHbLx0FEwn1dvuaQoz6ZjBIeczXCjwN8p5GCjtKiePJ4N7DbWfXSZKDIQQCZhbIsvx+eLPaNODtTWITBefIEVNkLnFWlbGKB7rkG3Vfhbh/Rm4B4nhnkXKJDZBD7rG9Lczhfkk4w0MSbHDDXVnp9fOdbWEgNbHpaJ6+TRzHs+ifw8kEoF9plr6tFEOlUrTXg2sxF9wRgjREW86+i9dRg7ZzBvcBtFWRTYpMhP5r/3c/3/D+1Q1NDQ0NDQ0NCAdihqaGhoaGhoaADQDkVfDNVyXldUhMnM+AjbkQZBAb2KAMhB4aWg98Y6Ir8zI9xW36BDB34ti+fTDBky3Mse8XFi+XVWesYUlkXlZU91ShaWDKviQCIjBFAOfia1RuqtJp5n5IuEtKo298FQzhLc23D0AxJP9ZHtHugKGDA9qZ4apyyP56mmsVd1Spody9uH9O5KkenAlOVS05fL04l2794QFhHlcaxRHZ6eL7OiGBVoh4R6m6tHkxrcRwPi04jyhGncJQuT3FGt8ANLxmXvcfoXoaZ/ky5zJ4z2sFWiAuRQQl5UNdnokZcF5fFclRyG4YWneuIuAG97Jstb9RRxhnIWqe7qCxVrnhRg/GCmOisr/IueERIVIkD3MpB+OMsYn5PegFBJCAHCR/3R40oUKK8HemElJSV7PjPxW0FFzzqy7JzoAyU9KRpkoU9HnZu2zKTKUOeMFsa1eIPsqMQpSRHeeJTo6BkjD9ECIob8KCKdZ2By0D4jnlAVBjCORG8rHbWj14pV+giTQ7nuj6qb9CgdE8WPXj4C6GlEeBngPhnoCTPRL0smqmjkZU+fp7MIFCCfJ+SBtIkV3q8ogCJwNTpAHJWTunVIT6gCs73H9E6kAisr8lkiPbmOVFf6AlslyJIxDukJlTQA+OyHcvTUMkON9jCoN7jTGZIEzmfoBVVNcReo/gwGt45Ugt0HqvNGh/Cyo1K0qoVEjfvJ8xHwB/8nwfpf9/zZqvaRLMcYGnjGxUxPc42GwHFdl6F6iEVF3HR87lVBpXce5d3xuGXYkKFDRp6YaI+qPkIo0A0pPuepEAs37sF7DKRe/dtw9F7TUKhkEoPbkyazZcb+KzPnRaz+QYkRMv2LAPWkq5CpMHK+QB9NvL5Q53ZHPxwAVK3uHHRgensYEqyrSq8T0mv5bU/65sWAMrrj73BjVeXuHJ+vN+hMKtAi1cPqqTa0pIiPSK+VkW0M4ROq0iwrn1el7ZxjcnxeF3SvPONgarwLjHtkCYa8zghd3acqDW+zMhrncUR6PmHxWaWbfFX2ZWFUkTO2PkwO8b6DdJl7TRGgjiec0RNv65H37kinSxaOwzF6BbA+QxcJ3TV9ltLTSEq+euJZFuhtQM6VpvIGq8rWNHKPka3n3lSVrbKjAhqve+SzBL+t+/reMXYlFLZ3AEgnGeHjjt5oakfq1EYH5wr8MiH0Ceksc21XqhUA7GUPK4Llh57+WcHY6nLvSGG3mI+GhoaGhoaGhp8eP/Gh6Hvf+x5++Zd/Gc+fP4eI4Pd+7/d+7Otmhl/7tV/Du+++i8VigV/4hV/AD3/4wx/7nqurK3z729/G6ekpzs/P8Z3vfAebzebHvuf73/8+fv7nfx7DMOCDDz7Ad7/73f/Xtfzu7/4uvvnNb2IYBvzsz/4s/uAP/uAnvpaGhoaGhoaGBuCnOBRtt1v83M/9HH7rt37r//Pr3/3ud/Gbv/mb+O3f/m388R//MVarFX7pl34J4zgev+fb3/42/vzP/xx/+Id/iN///d/H9773Pfzqr/7q8et3d3f4xV/8RXz5y1/Gn/zJn+A3fuM38Ou//uv4nd/5neP3/NEf/RF+5Vd+Bd/5znfwZ3/2Z/jWt76Fb33rW/jBD37wE13LF4F0LN+WbUB4HeAeTcg7T5O0qPD3VGi5rcK8IU3uqGARAVyXMT+fYRONEmVkfINZjQ0Qg6lh+XfhaM0vSTB8zJJ0Pk9U9Sgt4ktfYIuMMhSqpWpJU2894yl8ofJgospADtEbQ43meDrXtG6qamCAzDQAE4AKq6GgBJoaIgu6TwJL6TtHU7KpqjRW6WheaV1hgvqG5XRdU/UiOw/0BXEfOAZdYak6MwUbJpArmsTJVQfpCpb/linp8R0a99mskKkmWe88VIwqMTVavs+Ku5+hiRd6KlUOKkCAajP/OjA1PSl8oM28dVWtVE0s04oGht3liLJOVJPtPPRiJkW6Z8leu0PCNVj+TgK87WEGJjZ35UGRJIb0pZH0Rk1Y1y3pOARDuQuY341wn/akhybGdVhNfwfw/7D3LqG27Fm55zf+j4iYa8713Gvvs88+eTJTy1REuVAoJCkiiAmmdhRuR2xoQ7RjgiIoKFkiKNhRMdWGjUJESMFeYisx0YYgSfooTl2v+Ljm42Sex36u53xF/B+jGt+IWOdYXu7JLKmCujFgc87ea645Y0b8I2bM8Y3v+8F5RfvU8/gnR8notUPUk0QpN8tE1A7PuF9ix3WjFhgYzvbIPUMf3SoxmDM5SgT3MuLTCBko0bo3OtROKdlYIOHB6ybfmZQ3IhTqokKfdFzbQeE2nsGBkXgYHTzqYWbQ6Og8VAY3FkN5pHsZ6X4CCuhWaivxCevAUELPQD0S4x3y/YSSKDO7WKDP2gkTo4VIl/a5ZwhlFrRv89wAxmDLSnRIvZPg/EGewuy0Ek0hscLb8R7DGgESwMOlOfcqJomwJD89V1hk4n5GXIX9V3cBqEB+aJiI3qF5qwHWAWFB16a74vqXtuD2mykD168uUe8P0IMMt6NEPEkOynVTE92d2tP5IxZcOL1+UG7XvZ64kApo1Gk9y5qyHt2qDrL30NMEHwtyH6bQR+3dFKaJKqhR6QprKpzotNbzaYY7YCAsirnl2jpd9+AV/f1yh9XwlIKyybMaKaHAsDbwirSJDP80udq93U1hg04oy0nP67LsPfTlPeWcyPVUWgse7ao5YZU0+cDXHqU/dOYubgsdUb1D3USklfIa3jvIOkA2nvJucXRmARjuFejazl8VuLbAHSZox/1XC6/NdaDU5FcJ8oJoKBcrNq9SdhMjw0tXbFRC0LQJ/oLnuBqOp30r8rxrKOvrosAvMyVGk341UI53jtc8t2e4JwRIh3WSyNT2g+w89KLF6ssO/o0Obu15HBV3nxvrQHfYtcfiDfs3G83A/R4SK9JLCciOstbev+s4+41H/uCeIwme4xDVjlXJHnkTKQnbNRzC4Ee39fA9QyF337qH23j4I8qLtTF53q79X2t9zZGPP/ADP4Af+IEf+Hd/pqr47d/+bXziE5/AD/3QDwEA/uiP/ggvvfQSPv3pT+NHfuRH8I//+I/4zGc+g7/5m7/Bd37ndwIAfvd3fxc/+IM/iN/4jd/Ao0eP8KlPfQrDMOAP/uAP0DQNvu3bvg2vvfYafuu3fmu6efrkJz+Jj33sY/j5n/95AMCv/uqv4rOf/Sx+7/d+D7//+7//nrZlrrnmmmuuueaaa6z/0JmiL33pS3j8+DE++tGPTv92fHyMD3/4w/jc5z4HAPjc5z6Hk5OT6YYIAD760Y/COYfPf/7z02O+53u+B03TTI/5/u//fvzzP/8zLi8vp8e883XGx6C95tYAACAASURBVIyv81625d9W3/e4ubl515+55pprrrnmmut/jvoPvSl6/PgxAOCll15617+/9NJL088eP36MBw8evOvnIQScnZ296zH/3nO88zX+e49558//R9vyb+vXf/3XcXx8PP159dVXAViwXUuXWDFJAUWwe4VcJakAjtLUNgzPGtLsFwy0yhZEiEDXCVYJsvZkfSmZVJIF228c4IzXUl/ZY//+AbKho0hbthX9Vbg7ap7hZyM9vC5I0tbsoEYN96NrZG8uqtE14kCmTFB4cylJFtRKl89I7x4J0zWC2wIgryOkKwjmxqPzwVrjSSCnA/JJZgteABSQfQOwFRwYQIckcIcMb8T9Hu3jQEln77H9X+hUkUBHx+pfGmhQlIEOkqrmxriJbIUfMvzu8P/oGKiXSKqGs+DJ3iPfy2whj+F7RtGG8evEK/JJRrgOKJluuykQ0ZMXBDAMUYsgvtmgHifIJrDV7Iy11lbI3kOygzui/CcOKDuTH4pAsu0LCyyTrac7K9I5xBcCXUhbh5Icw+kC14z4it039fBtgbPjD1COzYdcq6q2f1sGjarSgRWveBzrotAJJIpwmJCOCrRRlMEhnydjuQnKTYN46VEWiva/LqDZkWtXeawkC+qqQINi8c903qlR5GHnChxb2n6kXgvgdw5uT/lOuoKDL1A+CNekXo+uIBxSlhFPR6XbOwQjktdIZlftbJ+tI2RLEnw+oJwIp0jfsJ+kG8DC3grdaSKAv2Cg4ChZjgw/3Zvr8nl7x5oKlNPzeaIjdMOwyWrMJwbsAfmmmdZMWdN1Fq/p0ANw54h0wPAwQbvCQMDK/aN7P9Hq5SainJFrJU5R7iVzwlEG1K7AXUVj2YHXBQC1oRMKovDtnWuoJk+p0XOd1W1giKanrFe3DIbV0elTef0oO490lsmuGinsTlFXBc7TnVfHwMAx7LMKuYPmzNXeIbQmdWcHf5RQdoFux95Bmor4OKLsA0MbQTciVpnXPxVKZ41Ce4d8WJBTgFslvvbguC8XHCuo20DZVsEgzqPE82WkqBehM8+kJNnaa6jALyn9AED7jFw67QrUjqEeZuiyoC4qyuAh9xgiKpnBpv7GI15w9GKUfvy1R7pqzdELbhtASXBwKLuA5tLdrcPx57avhz6iLOj6Es81XhYKyZRxNVNWrtk+N6rAHyeufaeTTIb7PeJJT8mrCvCMDliNap87AE4H3HxLRjop0LMEPG35u5nuP3+vh3u7g3pF2HLNjueij+Vu/wIo94yLZqGiqqDUVXk862DX3A33f9kE+KuAnLjfxVfUkwSsI+qqIB+Qr6fJUTp1FeEqQJuKcBkmt/HXWrP77B31i7/4i7i+vp7+fPWrX/3/epPmmmuuueaaa67/l+o/9Kbo4cOHAIAnT56869+fPHky/ezhw4d4+vTpu36ec8bFxcW7HvPvPcc7X+O/95h3/vx/tC3/ttq2xdHR0bv+zDXXXHPNNddc/3PUf+hN0Td8wzfg4cOH+PM///Pp325ubvD5z38eH/nIRwAAH/nIR3B1dYW/+7u/mx7zF3/xF6i14sMf/vD0mL/8y79ESml6zGc/+1l8y7d8C05PT6fHvPN1xseMr/NetuW9liyysbBMJrhq4FcZGhT1KGM4LwhtRlnVSb6AV+guMKytyuREkL05txYVIgwbkyxwCfALtmFlUcjtscDFgy9FuCVDD8v5AI2UEgC6M5on8c5hsgk4+G8N9LqB37LVnnYWsmjBZyO7BwC3ybGNX04y3Ss3ET4W+FWmxKZAedSzXe0VsneIr7cQUbRf6PjcgSFkcGAIYKQDRsLotrBwuqDcF6FOEg9AZk7/oMBlMGDNHFPaM4hwf075RTPdUGVtnLauTFKBbwtuv4nstrG9DVHgJpjcQ+fRGOg2ul+kyN1jVZAPC+pVQ+dWdpBQkZ8u6EBqKf3VfcBwnuFCRffE01VylBGuGJamXunoyw75mrNxZBEBMElFerZ+GeYJble5YzbBK4PRbF8ODzJ8U+9k0D2ZZnlLJ5isyT1qn3u6aKztX3cB2nvUpx3QVKSTgrqOiEcD2+ajI0Tu9gFdKTq1oPNxRf+gYPuhAe0bjckL3GbJgoMv0m24/UbKQO4w0c1l+9u3Bf4g01F1E8mOOs6QJAjPIkKTsXu5QFcZ+STDXZoMlgTtFzpKwRbip2cDRPi6ujSenbk29SBDIx2DZUXp0dv55C8oYYlJQi5U+J3AuYpyL6GYFCiDOXM6Sq1lHVCXBVjHyX2GKnfyS2sMqqbyfD7IZLeB/yaBgXLoPSVQAG7vyPqyfYjk4Lo7XltdFvi1hyy5j9SYZ+4qoFlQOsOzltKQ2nn8oJ+2TdtKt9VBQfpAz30gDJ2UrYdveZ6ELVlVfu3hjxOw5DFCFUiscIeUyMtFCx0cmicR8XCAFge/dmheGN9KgP6mZXDjuJ8UDKfceQYxdpR1UQVpG+GeNwjXdIm62wB/1sP1AlgQrmsKGVrrCJz3fO5FRVgmaEOmoDvIcDtHbmIoKLtAl50D9Gwwd+tdoODoMJNlhgxC+TFWhOswcde0K6iJ7jAor5tlFzCckKlF2bvCHyX4LiMekLGlReA9Q2PVrhPlLKG8uqfk35PVVU4ZGnr0f7YQk9BqdmQnJkH31Qa7b+p5TWjpVtVtoGM41smFpYd0hUIU+aigHBa4m0DJ+6hALhv4WzIbR47ZyCJzI3vTV0qpgcG+YcH96ZLxBfce7dNADuKTBu7hnvsk3AWwlgcDyqri5j8NPN/BNZ17SlhiAcfRRijqJvIxvWcQ5GUzrW3dcs3DPlNqQze3DpQ2fVPp6G0LpfWryNGFVUZaNygHdFiWVnmt/jrqa74pWq/XeO211/Daa68B4EDza6+9hq985SsQEfzsz/4sfu3Xfg1/+qd/ir//+7/Hj/3Yj+HRo0f44R/+YQDAt37rt+JjH/sYfvInfxJ//dd/jb/6q7/Cxz/+cfzIj/wIHj16BAD40R/9UTRNg5/4iZ/AP/zDP+BP/uRP8MlPfhI/93M/N23Hz/zMz+Azn/kMfvM3fxP/9E//hF/5lV/B3/7t3+LjH/84ALynbZlrrrnmmmuuueYa62u25P/t3/4tvvd7v3f6+3ij8uM//uP4wz/8Q/zCL/wCNpsNfuqnfgpXV1f47u/+bnzmM59B13XT73zqU5/Cxz/+cXzf930fnHP4z//5P+N3fud3pp8fHx/jz/7sz/DTP/3T+I7v+A6cn5/jl3/5l9+VZfRd3/Vd+OM//mN84hOfwC/90i/hQx/6ED796U/j27/926fHvJdtmWuuueaaa6655gIAUf16CSH//6+bmxscHx/jA//7/wbsbL7oODG4KlDq8AcZ9apBuLdju/A2AqsM3NI14jJQO7b1m7ca5Pfv6QIa5SQAuI7QZYHvClk1HYPU3POI9sIhHSrKq3vI2x3K+QDsPPzJwFaxhfaFRaZDae/RPA0o37hHuYnwxwPKbUTzNGC4b+1rcwbp4Oi+edCjXjR3PKq2UKowB8DY8oZTuKcNyr1EmcApyjpCBgd/1iNfNVi8HbB7f2LL1ClcqKjPOmhX0Jz00C8skY8qWV5F0H6xw/4Vhm4hOUoX5z1KT95S3fH1dWfOjw0dKXIVgXs9as+gvLK1Vq1x5dyzBuWMzCsAUytfluQbTXKjtcdhrjAUgSzuguzkdIBeN8BR4rFdZrgXkdwsgCyi5w3aS8H2Qz3D//benIEMYZSdB04G7lMA5bqhO+U48XUcW9vae4SrALxvh5Id5KKB3wvyqkKOBzrYes92cVsm1hSKAFHv3pOAbfQza+tb63ncN7rzgAPCMiFvKGWJJ3/JLwrKJsAtMnl7xpArJgdBjfN3nO54VAPD8saQSAmUhX0oyMmjbgLCYULem0tvwYBRzQ7YMwCzPdtB/3mF4X5hq/3aI7/SQ9cB7ihRjvImLy7ydP7UbWA44OMO5V5CXCRy2RK3SYoA93pKqslxrewD3WsqXDdjeQWSOdRuAt1yh4nHa1Gm4FEkh/giIJ1nSFsQmox00aF7GrB/v0k22UEsDLJuAoMQTwY6bCpfS7aBLj2Tn5AFsqKrDp4yh/RjoioQbhzSccHiwRb7N1fc31HhLygVxpM98tMFdGkBrk9a5ONMqb9SMqlFoFcN9KDAtQXxix369yW4q0D3k6gxxhz8ks7QdNVNwYfaUh7UbYBbJtTk6Sz9ygL5XoZbZPg3OuRHJuXdRmCVOF6QPNRk0Pq0gzzYw72+4HG246mZDls3CNIDc4l5yiW6Jw/Pnfeoz1vAgktrcgwlXNDxqhtjcjUcUajJc412hSGng4M/Tgxl3VjAZ1vvzt1xH/Sex1B0knwkCYNETdoSoRO09p5S2DLzfIv1jt/YFNQqCF/uMNzj9Vd6B10VtG9E9K/wOuW6zNepQDztkfaBnLObOK07RJNj33F9htLVpj3DG0dJ3l8E1EjHXbgKqI/20IsGuqjT+ERo6XgMTaEjF+A1fzCO2juuk9LQvajZuGKju9TcxNLQrSrrwLWpxikLCncT4F7aozxvuYYGx8+bYLKeSXxu46HnA1zgc7m3OuT7PM7hcYN0nniN2vlJNkMRxNWAtGkQnkXkB4kuzH6Hr/zkr+L6+vprmg+e3WdzzTXXXHPNNddcmG+K3lPVKsBRJo34JhIPoOAAst2ppw2HaXVR4JvCQcDzHrVVxp9X4WCufQPknbDldqwK481fNIzoL8LMkJd7bL9xQF5V6IuWxPqeQ8w1MaJdsrMBXmHuiVf4nlHw8doz66MrSKeVcfWxQns/PVY90QjuXj/liABAvm6gz1rmSaxtAE4U5bDAX0SiJrKbMjTydQM4YPd+Dmaqgl2l3qN9YQO/hcRp7Tgc62PF/gMDKdxNQfeY30RL7+FfRD6/U9tnxDGgYfx97bj/pPeo2bF7IeA3/ORQlpUDvdYVczekVoe3WsQnEVBB96UW7jrAr5IhMZjF4oINsh+xmzDF3h8PzNa4l/gt1IY3y1HG9kM9wrPG4u/ZnQo31pFyzNLJm4iyC3yfnXVKBkNKqGX5GDoDCtRVRn11z9cHc0rEKbtPQa3rB7jBwV/7u8FnT0L6SJ6WYIO8zxpo4jdm12XUcRgWYCfOMBSyd6jJQ7sCf5hQB8+sIxv+hyMWQnrr9AD81mffoHVwwOMWbqS2V0G+aeBiAbLAXwSEhggVqQJZZKQ+YHglwW/4Lb6O5O+uToOy/kWENBwS949b1F2A2zEDphxnZrxkQy80hsJZ8vE6eDMBOKJbkkO1jg9CtRwv2JCuTlfGOngjuouhHdjNSw+5buWFBcxGxf4BsSpIfC7NJJ/DAThKiE2G7LgvXazQg8xv/tZpkyW7j35tL+6Z06QHBXI0IJ1nxGuP3eUCOBmYMdbR4MHzy0MbhbeOievBb+QK1HXkQLM9p+yYtTWccR3Xo8wOVuH6dzYU697RfRxLB0fsSM88KAVQ37fnml1HpPM0GTTc1kGLQ7rqoJe2/lxFbSqcq8hLYntCW6DbwG75qlh+knVwnVqWmwM8h5LhAf9Wy06rgOt5bQO8gflHug3woQJJ0DzzdyaCoFPmD857HnMFQpsRT/bsFnuFXzu+V7ATg6YyS8mQLlBBeNxwPS4KpOe5IdY9ReYgd7XsoHRciUHZeOgBM8JKC2Z3ZWE31HKLquVCje/HL/LUTQc/etD+wwJuy+6ZjxV+lYh22ni4WKhQHCXii44K9EWL8GCHsEwITxv4F5FmjHWED7zulp1nxk+FDWWzG+Qso07LO/AyybLedp5oka1nvtHpwM51w04rqqAeZVRDknRvRmbMOaX5xf7rDjIzjhQo+4C6DcgPhskglE7MrKGG3rL97LqCdNvCtQX5pLCr1JTp2va11nxTNNdcc80111xzzYX5pmiuueaaa6655poLwHxT9J5qGr6L9d3UaYDk7NaI6JbLE5vMrIoKYJWx/dAAGFU7r4ml8LEAewdnA9u4Zgy89g7ueQNJjgOvXYE7YzbRiCyQtlB6aypwmOBDAd5u2TK/Cdi/VLDfNEineXqNcOsQ2kKkBsD3UwQu3Q18q1e2QYsj4T7y96RyABTCwc/akILdfKlj3H5X4FaUzUZsBfYeZVkRHzfYP8rMjrhsTeIhZbkk4hrcQJzG/lGC23kO6j3sKYMEynv+1k9Dl+iMWD44aFvgnjB63ncZekiiuXSM83fWfq8dh0jTcUF+pQd6h/37EvSUA5e1cjAWCu6jdUToEvzjFm5HCcL7ivCsQWgty+UoQ5KDW2aIV5Su2t85DJhObRh6zBOxYe/hlEPd7sqG2Ac3DUOXZUUZHPzjllKeHa+6jpCryNbx3k2oCbfIUK+ItyYhmqQBk/dCJIFcOmI4kInmqJZhJCZ/jRKCPmmhjcJFDuvWixb+cWMyHInz2rHVrovCAWLL7okj9iVQZq3VBkG7Ar/mMCecoiwrtBLvMeV/mXRQTjOcq6jnie91zZwVPcyUVbKDLDJ8bzLfokyvKYtMPEXDtYHsIDuu33BBU4IOnufcTQTWAekes6ZwSKI49p5ysVeEy2BD6YrwPEIXBW5LBIqLlFJqVykxjbkvI9F9mSG3lrfT8finPgDLjJodpZ7x/TcV2lU4wwA115R24kFCOclEUBQHaQtqYO6LH3O4lJIyQuW+DhXeW0bOQqEd/y4HGWkfUIswy+WQGXB6QDmbGwq4owRV4TYmh5w93Cpx3xwn5n15RbBsJm9ZPt5wI/Bqg/eGdjgfEJ9GZko1lETzQPzO+F8EIjLgFWU0KRTwumgYlHBNPJJ6nbAO5VEP3DKXR4tD+5RYFLfIXJOGtpGuIK/07liM6BGnqHtK9xBmpdVKNI9mh3rOQe868DHjeaVRoW2B3Aak0wy3d6hZUJck2Xu7/qz+JTIDqPf2ejx2dclzHkGRj5kXhbbeSZSeqB0M40Az5SSxDCy1kYHh27e8/q4K8ibyuAuv43UfoEtKyvF54GD3AYepVQX5NPMcGxw0VOTkiZhSQT7L/FxoKKP6G8/3Fin518Hf4aIsy0orzR4aOYiNIohPIpwo3NpP5znaiv0jQ7zYc2h2cON+PkwT3ilcGcbEK401oXJQ/6sddOcRDhMHwEcvTeYguvaO+Uv912yuH0+Dueaaa6655pprrrnmm6K55pprrrnmmmsuzDdF76n8mo4if5BRW8oWpNWbK2mg28QdMANnGAKcWAtTgbjgBH6+l9Ec90B2KIltYucq3K2nW20QTuCfD5CzHrJj69U55miMbgzdBmDvKBeZdKGeNPh6konD6D3J0u2dy0NEmeEio+UIcANb5e7LC7aGi8BbXoZ6RfWABras6y1xIa4XxDda9C9lNG9HyN7TIRZHp4oy7r2pSKcFbkmnQbyi1BK6zG27jszaWHJ7/SqhrjL8lq1Ub/kyEDDC3mSqMGb0CJg7c5ogFWiaDHcdodcNtHdEGWTHzCSBOZAULijcju1rCSTal3UAriNcTzcLDhOcU9IszkiEr9UhH2fkwU+5GgD3u+6YaeROe7qhdnRruIMM7emIggAyCGpHtEs9NNxHMpmg8PjKJiCfZCJjEp9HDjLqslAiA1BvImUCp9Cg2L+UEW8E8dKksULUg1aTjGJFbSvdimvPrKs3O7gkCE8axudvAuopM6P0qgFuKRnlk0KJcR2JBalA8waJ9i4B3WM/HXeYQw4A8mB0621AbRTDZQe3p0yZrxtIrBO+QpoK9I7nCjChL9TWlPgKdAWypfzV36dMGy/CdBzv9qE51yqJ39oVlIc93XIKlJsIPaCkJ1uPOtCJNbr8Fm8xJyifJ7i9g1sH5JOC+CKgHhqte08HUbj2qMUBSbB4K0BftPC3RrcfXZNGXCcpnY48v6ZLCFmmvCk1iXX3KPN6kfxEVte9n7A/uvMQx2ypck3HlTM5y7UFqQ+QtqAcGypHhWs+VtS94UcuGojnfgvPjeB+YO63UOnoekb3qAgl8tBS+ouPG2TDU/hAdI04Ok5ha5nuRw/fVOSHA2QQQ+3weuVvie8Ja0fZZtxfPbOk8uouS0d8RT4pk2TYvtHAbd3k5IVyxKF/mTK9mIQUu4x8Gyl3m+RfBw/0lkFmFPa6ibwOiKLsAh9TDDdia6psIuKbxFGgqfAH9lpdQT0owHXk/2dHpJFXbF+paN6MJms74JByFbN9FP4yTNsvI+JnZ661bSDG5K2OEunADDoXKvy1OWyTQ10WnjNFpmslHJ/Tm9MtnXGsAKJwry9QbqMhlJgRhjHP6TBTfrV1MLq3ypKyuYDoFQyOsnxb4K4Dqjn9YDloqODrnhbk5x3qosJdWW5fWyg3bgJHG8xhXBcF8Qk/D6btaemW9F3hz1cD8KxF6dRkMyUGBaBb7iYQ5VMFtQqap7N8Ntdcc80111xzzfV113xTNNdcc80111xzzYX5pug9VTk1p8boPKuCcpbg1uGOPB+VUtdtQLmNkH89YCDbbSClfkFXStpxih7P2olKXhckxgMkzLugdKd5OlBqdQj2+2K/I4WokMUXW6SeSAdVsO07ymxnA5w5MHCUkZOnI2ZwKDcN2seRDqneI71sSIgdHQhozSl0PiBcUS50hwm1qyjHBemkwi0ThvMCrIgY6Q4Z7S+e0e1i7W/3ZgfxiuHUaOKi8HsBPOiyUCInYqQslu8nLL7YwHn+vg4O4dpTzjKiuz/IDPIb7sISRRR6OkAbOhdqdnQiPGvgj9hihuP+03sDA872JHhLdsBxQm0qj5GCzpvC1rbESkmsCHQX6KbpPTRUBgImhjC6EREA3BG6rUXcPAl04IyldO/oQYFfUmJtVgPD+gZSunVHcruPFW6RgVWGmstNi2Mr3Frmw72CfKCQm0jHR1Tki46hepeNka0LFk8oAaSzjHp/QD4uPA5B4V/EO3flytZcEjpAMoMEERTpiK6QulAGdoaKdNu+y5kpzkJKQ4UekkpeHxCFIovCFvzopsx3x6YqkRzSVIQbQxcMdB+e/lcH5yqxG16RXx4os424lEWZ5DtRmbAILtJhtnjdQgXbAqkMW40HCe6a7X/XO+weZcp51ZAO93q+v5cSpC0MbKwC3xXk+wnpsgU894N2BbUxh2SFBbGaC21Jur2Lle48QxTIohCt4yvckoGObmMuQnMlulWCZoGeDpBlRrppeY4tTULVEX9CKr14hev4MxGlDOYU8bCnvHWcKQFuA8qywl8GOot6j7wPcE1BPU+UYFyd5DztKYvINkyfHuH1DsOGDsV47YGuIN54xNVAXE9ToOfDhFTR5KBB4ZYJ+dDcXCa9yAHdbNqRdD+uJ1lkBnN2BXlFB1fZecqrauuzKUBgWCJCRdpESHbI+whtC2rykK2nM83GAmqjdDX1fqK686IvU4ChWxDnkk4q2qe2H2OBmnzulhbsex2JUkkeetWgrgqGhxxnkGVGaDIdpSt+ntSW66SarB8vPbyNUMQrrun6aM+fHfeUvAo/e7rXGYSJyPMF42hEEcjRALfIdMQt6iS/ITukhwMkC9e7OU5FMCE+XKj8vajAbaTk2/G6nW8YVCqDcIQgOdRVgTPpEL2DO8iUJFfmuB3Xbsttr4NHXWVIy1GNESkDr3CJ11TfVNRNRO14LMvOQxYFJTOctK742ZgT5cSy9wwpPcxorgV+65DWDdIrw3v5eP+/1XxTNNdcc80111xzzYX5pmiuueaaa6655poLwHxT9N4qk5dUzU2DtgDZguNs0h8Vk7PC7TzSqwPdLJ4BdCQGjw4TgbZ0LpTsEW49dBcm8rpWe0xgi1ArGG4GwD2ncwCHGTV57F7Jk6Q0Erjdku1ZFxTeVxKauwTnFXLG8DW/ccjftJukOHF6JwWOLqKmktL8qEdtyAuSIpQFjwdyhYy1NZZuA0n275BR8imZb7LMQAHSNiIdFxLCd568s+TQ74xdVIHa8L0jCWTrKfFctJCmkrYu5IlhTadNXRb0fbx7zQcD27NBUTtF2TLADF4pq+39RB+ftt2cS/4pW9N18EjnCeLujq0/TnSfbNjKHwM1dUnpIo/UcDXieXFklZ0MyB/cQxZ5kqFkTw6U7wqKUbhLMdlslOCiTkF7dUvHhtsaO+kmTKGFEGXo3CElr3pA+QaHiUw7sWBBAbaP6sRaCw3D7PyLSP7SfZOjgjlJeu4nFyrkeJjWhru/J8tMAYSK8CLCdRnhuTl5ekcpzEL5vAUUilPUHV2ZefBonkQG7x31dKXtjXnXO7hYkc8T5acikOxw+ZGBrrZAB58fGWxFUPd+el9oC8MWuwIX7JhvPXbfMJCnBiAfMnDQ+Yp6UOEOMkMDAchFJHfL9rvs/fR+RUEHkBq3Crhzob6jdFHhbs3t6Uha19GdZNw6eHLkdPCoRlVHEZLbFZBsLhtl8J84RdMl+BWD67COZNyJGuPNAiEvG9RdgG8K99fWk/ellJbIoXNwOwdJgnKa6f5bDnSQmSSjSmnFLzPkecOwT2/hhb6iZI/0PjplQ1OQDxlkmx9SunANnYukwNuxykL35TbAbW1t7hxgTMYy7sdMDhvEZH3QiVbO0kReh9DpJOY0HenqcKDU3dCBKSajMnjRuFltoZxdhLKor0AFz99KeU0Wdo4rgFXCcGoUeoDn92ABqXatH8MW430yxmByuiaHkj2dxsXB39CtKt5caFWQHg5IJ2TKpeMK3NKd5haZa2Pr4SMZhPtHmby124D6vOXrm+M1NIXX5rVJXy3DJP1hohOuAnVZ0LzZoHu9mfhsEI5vaHETcw8j81AoM9bsgKOMug/wyzztGwkV/nhA7T3q/QHBuH6o5OfJ8QA4xeKLDaT3CI8bBpLeBsQXAbLz6F8dzJ2p/F2hY9Ctw8TVw5Ihq/Gw59oAGPbbVo6BHCnKEV2q43H6Wmu+KZprrrnmmmuuuebCfFM011xzzTXXXHPNBWC+KXpPJT15MUgO3lrYKMIAwlCBIzK7AMD1FrC2Z7iZxsrA3aU4ZgAAIABJREFUOwtZk9Gxtkp0jZTRvVYhFh6nKki3DSfzLy0k73mEDA7l7E6iwN4hXnrIziO+0UJ2Hos3onHVTFKzlvzoLtAqDCs8S3Cuwq8y3UVr274sqMmRNTbWTYSuMmWVZYbrKVXUyna8OHJnhp6BljI4BlcWttoPvhxRBrKv/N5BzE0AT2YVCsPl1MLSpPdsU2c+vx4wAFIyZUAkQUkOsvHQQDkBANv0Y4CftU59V+D2Qn5UW4Ek8G+3DFobvIWnCdxeIBvyhfIxHRRjG975YgwsBv/JbUA+NJeTgm6kIkDv6A7JDu1bEbqhxDKy6uqeriBdZrbyDzNK8ii9R/M4QIqg3EbUnm6Ksg9wkb9bMt1hxbhccAo9zHQlCigLriPb1WcDHW8qbO2vEtSC62Qd6PxTUIo0PlBtFWgrZYqmIhwPbD8rGDp3xbA/FIEcZG7nPsCdDnydjaAm7iNxiuaCco0qKDXb8dAb7ivuO0E6pJRTsh2L60j31DKjXjbwXZnYav6W+xe3XKt6UFCLwCVMQX+o5m5sC8KzCHF0Xuk6UPocg1bt2LlnDWpxdPcoQ0LDyQDc78mRy5QVurcZpCrOHEsAanIo6whE5TXCXHUaFC5UOt0Ayid7up50VJXNETQG/kEUZR1Rbhoeu1ihxSHc21MKu42QywY1efTrFsXCQ9VcojXZtSgL4kWAnDAoUYQsKkmC0BSkbYQEY3+BIYt1VRhwGnTiuFVzzWkRlD0l/LqwAFm7/gFAed5C9x7hlo4frk3KSOmy5Tlmxz7EAt9TZgxbgdt4lKMMt3PonjlyFZMDdp6S2M6jbujoQqiThCKeblA/UIasbSXLTo2vlRmmCUfpCYlhigxO5PnIa47J/12h/LijTFsGDwTF6X/x0OEda3fgMVz9S0QaKOG7LvOaOpDHVm/i5NSrxVxfJjnX5KBnlNTqeZqciWVJd+S4Pe1bDBfVZaELy64RujB2We8QrzzKNcNVdcU1MIYn5mROuszzTJzCPaNzDEeJLMtFwfBywv5RnjiIUEBuA2TjUReUdV3k2kVjn0+2VlEBiMIvE6V6BZ+n2udNEfhFoet5Y9c0AXbvI6uxNHRW6kFBftQDhxm+ywgvIjMjbRtFgHqYeV2KFe55hLYV6YZrqyYP7YzB2BWUBwPfh42JfD013xTNNddcc80111xzYb4pmmuuueaaa6655gIw3xS9p3KnPXQbIAeZIWnP2YqsJwlxkdh6tSCsfD9NYXeup4OrLgogivDM2umezqmaHLCOyOcWDmmSlzhFWCXEp5GBgnu2MyGg1LBgUKQUQX55gNzrMdzP0LZg94oFuzUVZRtQbho6b6qgZjE5jlygtI10lxxm6OjycArdBdQA4CbQCWKuBWlNMqoC2XkGBxqnRmI1F55ALYwOoGNm95COlFKsDd1UaBa6orIAHYMRR2ebOoXfWpvWntt5hTpF3kdKasnB7xzQFrKngsIvyp0caeyssg1QD0qRQmnPZVDaEyU7be9RA+iQABiIZvt7cuS1lcFvxjxCZy4XcxWu/qXh9q8Zgjd8cM/HKVvk7nlkW7wI5CYCl80UUiY7j3Kg0IMMRHPVOEzuGvTGFhIlt8wZgwgWWGmhkmjIsVIFg+VCZbs9O7jesV3dVqTjchfyedXAbT0O3jBHV1fohgHI94OF7XUVZR3h2oLW+GT+2tOB1WXs35fgu4xirr+8ovwDC1Ac5eTmhUlDJkm4gfJruWzp1DsolKB2lAnLOlD+FEU+yxBjjo2yTM2OjKRIJ9kouUAF5WHP/b3xDAUEAAtrVNAdVg8q0k2DPJhUs+A5Lg6Ilx7aUpbYv8Tfd16hUVFOE18zOYYGHiUgmhzeVtTeY/fBgZLami5BbSi9+8C16EYJfc+gQ7fIcHuu/5FNlZMFFDZ0TqEIsHd0Ed1GCzHl+SUNHXnpAR2Tbm/n3OieAijn2DEVkyb9MnN9hYp+08Ctg8nFZB6+K0CyISvQrzJ0E+DuDfBrj3xY4ZcJcpDhlwnhWUPpsfLcoazseUxWGaXlfnQ7j3Kcsf0An1v35DWqV5r29nad9DaSEPlc7kmLdFLsGmHnrrlJZecQjwbuGyFnrW7snNrf7Q+6dSmt1Y25DW1UwR1kXH278fR2HqGlIy1sBOtvSqhZoJcNRx02EeHW8bm9Ih4OlP5ftEBXEZ80DJccnYbFoflKA7lopoDI0vvps2E41ulYxQsL8cyOkvqOTrXS8BokbTG3nHCEIzIYWI19CIBMw5d6SvkXDfyewb/hGYNaZRsQ2ztJX81dWwdKXgDgYp1GF9TktrILlCuL3ElnDV3aet2gmFsWRSZpU0x+1/OBUv8iQ3fmOtwHqAM/V4KNqYjS2XjlIXtP6W90C4ZKZ7dwTAWbQAdhoGSI/ew+m2uuueaaa6655vq6a74pmmuuueaaa6655sJ8U/Seasz3G9veZcHgL99Qnqi3ka3iWI0HkyldHGW4hqFZ2lXkM07eewugQnbQgwzxDL8DGPQoAtQiyC/30Cro3migHVk7tfdsYW/ojGmXA9vLVSBB4Q8TEBkIKIOD21qgWaXM4zKAk4S0D4jPIpo2w7XkDUmslMOKQI8S4AH0DMEawwR1E1AOC3k+g0BCRcmO+wZgUN8qURJYJMhlpNtqUeDf6JCPTFpzgHYFbnCIi0RGW2eBjgqUlwboLsAd0N2Rd4GOgg1dWn5RiDxLlMHEU4ILzxqgtZZtUPgbDz1JkKCIl2FihPlLMt5qclh8sWHbuAp0cHCxwN/yvzIG3pmsp4kuE+wddBPgDxPqccL6GzLiJY+DiybVmENQFgV1wba4ZgYfAiCzJws0VtSOAYfNmxF6muD2wnUEa/kHSiR+J/Aba81XoQPQgtdk7ZFPCuIXFgx97OkaQhbUAwvOawvZSw3D4bSjc2T7/jIFH5azhFoEtVHIQaaMF6qFTQL72xb+1qOs6BCccFG9h/hKOeygwjWUW11jDrKmor9fEJ9FymDWDq9ZgMNEt1hbpvMMXUHzPKCcGDNq6y3sTyllJpmknZHjpSuGUeqzFv7tFnX8N0cpTgQMa3zRIlyaE1S4rhEqaqJrrmwC0r0MObIwy6jojnvknk4cDOaEy3RO8kAZmzBTUvDXgZKXA5AE8ZDct3zLEEcRQK/JUavFnIknCYu3whQGqdnh8F8DXFsYGBormksPteA8Shw878WRT4fMfaqjjDA41NOEdNPcsenEuHuBTiG3SpRobiL0JAEO6N4yuV/5p+4DXaMKyt2rTK7aYgzpq3TnguGrOrqVCllbZRPgQ0XsMkM5o40dZJN+r/3kGJKTAeFWyHTc++l8hgByE1FWFqTpjQv2ouU52tORWmw8oWwDynGBZAd3HVA7cs7G/TS+N+kYZil7h3Jc4Jzi8F893Z1tpQy3yshLpWP3RcP3Vymhp7OM2jEAtFa6LmtT4W4CA2BNQq42MtA/SlM4JyKPUx3s9YLy+p4EGiwQ0nF8IC4pE6qHuV0d8obBq3K/h7uKqKsyfZ64py3CjYU6xgK/FeRlhb+IyPfyNOYA0EEsnsy5fEyXc9l74ywKnYnvvGMw3qNkjgSoMgA3PonT50W5pizprwJCxxEPVLHgX1tb5vCVUFGWvA75psK92ZG7p0A5rLz+OgWWGVhHeF/pvCvG/VtQVnfX5I/O7rO55pprrrnmmmuu/wc13xS9h8qbiHjcI98009Afuy9A2Rtq4WyACCCJHRffFsjGsANqg2G9gztMvHsGh5B9w28uZUnqvDZKSnF2JINXoHSK8DwCQdE8icRlnCTgfs9I9J7fsHTnecctQNMmNM896kkmuf028luU8BuBu47IDwcUI2OP5Q8y3Am/Hbutgz9McF1B9yaHV2Hx+PGoZ1x+tmyexI4Z1PKCYr0b8izMS0nnaYqNd40NyiXm+ohXhIvITKfxDv8d32KgRqs/HuD2zHsp5wNkcEjbhl2HWFEeDOzUGHG9HBV+mwkVYc2uzOJNDvRh4DfL3Tf1fAk7tuIV+UFCzQ7dY+YxyQGHYJ1F5iMqZJUn+jhixXCfeA4tDs2XO4S2TEgJ9Qpd8FuSFrnDO7QVwQaaRUifd02BeqB5m4PZ9ThDDLcxvJxQgwL3ejQr6xIuiBkQy54ZTu6wK+I57B0PB+gqc5sMTeLu9fA3AX4n05CrZsc1OQ49e0U95JrCUbbMlbsukviKvGMMP4pwWNlVDikXgXjrUmZhl2bvkI+qdQ8zM6FsSL90PO7Z3qsIeJzs22g9TijHGbIN3N5VxuKrzC1xt2EazvTXHvUooxxars7Y1VGBZkHORMvk4ww569nhqcKOaRYO1Qrgl5nZWkcDB3zVcCeGtdEdt6MMXL+y98yQuWBHpZwlfqs3HIy3gV7Ze/gFs578WQ+3tGuCEluy++AAfxGhQeG7jO1Dte4AB8rz+/fE8CQOoFfLxKk3ES4JDr4c0bzeQhvDMnh2IqSt7CCaCSH3NA6IYwcThZ3CuGCWzv7VYerQVMPkOEOfNIcDdBt47K1Tlq5bVMtGcntibtwqEVOx5L5VvetuyiBwewdRG3ZuFW5jeW9eUdu77vx4XsrWE2XTVnYgArN7alsNtWE5Sdkxy60C0hTI8YB6ZCaJd3Y8gmU8GYplyvyqgt1LimJd+LQPzG46SRN93h8nwwUpujciB4jHzmfvabR5sOe1OdPYMGak+cuIepp4HRd2oGXjmb82CJpLdufzKYfFoQDaCj9mlDWWsWTDyBAgxDxhS/JJ5rp9ZQep7OCkfUA6z/BbhxotEy8zu6kUxzWXrSsdFPXRfjKa6DYgp8BrsoAd9MprsrYVGm2gvAryEU0P8HY8qmFkAEhQSCBWxC0T19XWWwfXHh8rmjYhnyeU7BGvHc0WQVEfDNAds4lKdszsW2boTYN4QJRIXZlKsntH1t7XUPNN0VxzzTXXXHPNNRfmm6K55pprrrnmmmsuAPNN0XurwpZvuPEWN86sEXGkA8MkKW95JeJJp9egjMe/aIixGInLo5TiKJW5jYfGitAQZzFKB/7ao3k7onSK8rC3ViQH5lygdLXfMDMprga4VeKQXxUMfUT/MLGVOnhIL6SY7yzef0HKerpqTWIBtHfwvrL9q0A5S1P8/XBaJ9kHA4dE3c6je72Bu4rwj1s4y0qBtfn1ukE95JCsFg6Jyk3kIPk2MCMnA3jOXI18OFLXFXJFOaFuGJvv2jJJVeWIck7sMnEGifvQGVkZYJS/i5adsvOog8fu/QnN04D+XkU9KEYIp/SBzMHYcXg1dBlyG5g1U0mepiRneVJZiBeRccgWHL5fe7hnDYbTgmLPo8qsFGmJUxCvcDtiTxAq8v0B2hXUymyRmhzqYUb6QE+kRc+sKCixJfWUa6wUB9xyeFhCRV3yPU1SQKhT5kdVMbwGc0Sw91M2SrqXEVcD8z8Cc6d0cCTAKyh7JMdsJMtl0oZ4lVEOwpihY2RrZuUo83Isowc3zB7SUKfnQVSoCsLbLXDKiH7/InIdAaTWOxvaHQnw1mJ3oWJ/n1kt9dio4Z4IBXF8HTnIRI+MA7yxwjn+jh9zoIpMGVVu6ydJQisMP8Jzpl+38KFS1m6Z6eR6ngt1Y0TznScGpAp8S8o4iqB9OyIn7m9dUkrwRwPK4JmLtMoo19HwCBl4tEf7zKPsA+r9AXUXUFYF5Tby8b2DniRKw565T+HGIz8YUDpF/4AmgfBmC4QKFxShzZT1nQJtQfsVIjq08vi2TwJwmJn75ZVyohk04EwC1rvtRwXQEwmk3nLU3uhQVVAOLVvM5PVRFivbgLLmNdTdG3jeVMoztasIG5lktnx/QEmOg83bANwEw64omrciIEDuA+oLQyKBOW6UeHhNk0UhhiTeDeS620CTSHKIzwN8W6A9c6+0o4ml7gLSg8T8JlOjdUSrGILCB0rpsvPYP8rQdeC5/bSFXztKWWq5ZAD82qEsSIEvJ3lCcKDn9QQOwBWv5/0D5nXBcZ/HpxxNSMkjXAWOUKjwmmeSWi007kz4JKVULwqEtvAzapmZFdVVSO9o+AFQbohokWC4EcE0VhEvuB5GBAsxVdkG7QHJDjLiOoDps82/IALHrW204aLldvZE5tQ10VW6ysywGhyPo+M1AZk4qeG8oJxkHHyJ2B40Fc3bPP6Swew9KxdpCMFxQu3uxgi+lppviuaaa6655pprrrkw3xTNNddcc80111xzAZhvit5Tud5B3uyQjxn17jtmUZR1gPOK7qtxwhZoYbZIyXTQVMMVAGDr/6oh/TdUZqOoTCTuOrq1qiC+2aAcVAyPmFkDALLxyB/ck3QNOgpGcnPuAyUpcPvKls9NXIBHXRXU3iOdVLpLVOhWUpMAvcLferb4BfA3AWGRkfcB7tbT3ZGcZXl4DBcd1FubvhBvomfDncsiFEjPfB84ui+0ijli2LrWWJEeDpR9rOXrBqFEdEy5aIzer0Z9L3viLsoNZQStzPmoKyI+tPfQy2ZyeWkVZltYNPxwrzCzJzvU42yyoZ8cNABI0wYRFHCKug9s554TG+H2DmgrcvZwgdksY6S8y2DWBpgv4nxFaAvqfdLkxTKjwlZQiyO1fsTG3Eb4tRHFF+YKcgp3mNj+N3p5XCTUFy3kK4u7EC1QGsJ5j+6pv/u7YU+0CMKFSW1rD3SUwsqqTFcBNRmhjggQW8+yKMxv2XF9Hf898QA5073kdkJprQqkrcjJw93y3KiZWVAQQFcF2lE6i48j179l6qSTAt15xK+2zGaxY5eXduwWma11BTOP7DlHuU52d9KGMxSEtHTRSVPQfrHjPjE3ng4ktNddQLgMEMdzs7lwcI7IGHlKGr18taNrZnSzVMqYWoRuTgVk7+HOeiJAjsrkUHOBDrj+YULeB8iCMl9ZR5Srhttp2UFucNCbhriDCvQvZaBndoy/ouQkXYGu6XoLbYY3dIi7DcgnBXIbMJzRISpHA/IrPaVuZxIqDG9heBRpDJuxyOhfTnTnreMkb4Ybj/gsEGXSFdRk7kQFuifMDCtLyzdLfE4tQkTLJtBVddkgbAT+ZODx6grcVaCMmYRZTp65VOmVgW6lm0gH1/MGZe+5NhcV/uUtMDik9/c8x7cBejDmjtnxNdlHCzPaALqr4gXXpHqFe2kP9Yp0blLgKEMpuEZHF168k3pl66fzLXQJpTiExw23X4h1ggK+BzO8uoIyOGhX4BcFNQJ6ZAiWRYYOHu7NDoiVoxkm/9cDZonpeB7uPPIjyrvylQVJ8JXXoZp5LvjrwDEJc5YiCxFHVZBe7VHr3YVCd4GvH5QORgWdYMtyl7lVBGGR4VcZwyvmorTXhADNwQBcNnC+8PNtHTjW0TH3TrNDOedogwZKhjhMluuEyemokTKtKphptfeQdcD+tp2uQaiAv4jYfcsedRPgu4K8ZK5TeXUPnHD8IO+jXcfqdE59PTXfFM0111xzzTXXXHNhvimaa6655pprrrnmAjDfFL2n0rMB+YzOJXeUgLc7uNc7IChCzNg/4sR/GTyD4vaeSIHRveOVcekbRtCrteFlmaHJoRwWuLVH3kTUdQQ2gW1kAMjCSPwq8Ds6pQ6+0CBfN2wXD5ShdO8h2WLXgSm+3l8E+GsS7l1XKFntSLevq3znMlBG89fkLDitMi9s1UOK4OArlK3CZaC7oKkMHry3QzmkHAiAssm1ITROSH2HV7b/k0O4Jt5B20IHzyZMbgO38SgLymu+tRj/daDryOLxuU+cRe+zLSuerW9VobzQVbqorIW6+mKA69gmj9d+cjDJ2kNOBu6bplD22BIpkLcBtVM0bzVAEu5v4C68bjmg3DSoyQLXVhnoPfKBBe21leTn7Kff0y3DEes6YvhAj7yJU+tdtnYM7pOwXSvlI1EhHmXwlHBEUTIRAFP0/Z6v4Z82qNmhP7MwQwDNpUkliQgQVaC54v50TaE7y3Mb/HWgO+utDtIVlE1EaDOlT0/0QOk9rv9TopQ3eOiOwaOaHQMOq6D51wVqy4A2NZwHRqq1BXKmM7627B2aBUM94YD8gT1D9pKDOEVYm9NqpLXHChVF+7a1yleUdLBKKJsIXEWeezu6qmTtoYNH+uYtAyudMoxzcEQ/LDLySUFZU4YZToitKRu6vsQp9P07nl/HA49DIsYBvWMIpVfIPSJ59CDDLbjWanaoex4HOMBfBkp9ozuwI+Ki9qS118NMiSFZCOYBJUMfC+pBndx94WTg8SkOzhfUXUBdMQwTJwmut6DKq4ayNIC0i5RTFAjPIvxBRnqQAADtkq4/CGVAWNimc0R4pHM6nZAd3GVEzYK8j9i/kqAHvBaEg8yAxJMEHwtWX+R1B0WgpwOG9w0IoUAanhd1ye0vh4XbPbmeFG6V0Fx4IFTog54S/PjeQ4W/CdO4gmTijer9Ad2bkTI7+F5cUyi3RwXe7pAsQFAXhRKxgLLw4Ci5Kf8u0UI/LRTRrRJwGxlyOh6rQkdrupcp56rwmqmC4Zxr++i/NFzHhvdQc0yK8NyBVzoVB5MyMwNT3UFG3QZ4c9TB5EpUQTmo0EwZ3m8pvcYXAeU02+iGIDyjYzdc2n5SYnDcTeB7Vdy9/qpADzNq7+EOiG1hcGmCAqiXDeXZtYfcBp6bAK9PTUUeGNSLCqgS36EXDeV0APFFgN854naqmHPXmVQpQOWIifd3aB9tKqXqoJCe4yHlhO/PbT3l1HvD5FJ0gXKhVu4P6XntwNepoM03RXPNNddcc80111yYb4rmmmuuueaaa665AMw3Re+pvK/wBxl5ZRTsl/fIj4ZJMpKObhPdsuU7/htMzpG2wrUFi7c84gWD7nTwcE/aiVqtQSE7cm/8xkF3HqJy54raeaNTB+wemvQUK7DMcFuSu/WArc0yeIbfGX29nOQpwEzagtBlShGZYVkHXzC3lvFwahboiq3n/qZFOSzYfnMPWRQGLHqyk0Yi9Nh21cLnqMeZPDOnlK0qWVnxqCePKt4Fw420b1Ww7d8w3FCLIDyP5BxZQKK/9YgHw+TQciODKrMlLKIITWYQYyhQI2VvXiU9GcKgQmSZGD7OkTsUorGZgt61bY8HDI8GoK0Itx51G1C2gQT7QuI89g66CZNUp6sMxIqD1xk0pgpr1SvdRVtPt0mbsXidMmY5yXzvC3N/VDq2dEvnYn65hxa+5zp41L1HXVA6ay496eLmsvMXkfy8wwxcNRheSogXHrIzMjjIRguXAXjaIrQZLhby4wQQX00OEzRPzHU3MJxNl4WBbBbap1truRdBeLuhA+smoP9gTycOQN5WIOOvOxgYfGhynfYeuqCLjwfYZIUsaJ55SKhIpxU4plzndh7x7QZwQP8KAw3JYrPLWBbAA2KvDVuP0hby/8zNUgaG3LmdoGkzpCuIlwHxSUQ9ysjPO7p7jHNWs4OMlHABJcuLZmI76TscfuhNIt1E6ODYyi8k3kMZWpo3EbIJlH0L3ThajL0G0OGkmPZ92kU6KCsdQAoyp+o2UL6oDOZUk45qRzlWilAWHF08SnnB9zzfmuVAVldxDKJtC+WoIvDLTHenkenV3oOeJa7Fa7IYZWdyc0+5EoNDSR6bb9tDoyLceIbZNpSSdR1Q9oHrwkr3DBNVpbzivPK8A3lYkkzujBVDH1FO6MpzG57T7XKAC4r+3Fys9tRlHSf3XrHrVhk8XFvo9Dw0+a8Ir9sKhK2t261HHgKDNAG0zzzq+/ZAomuq3EZjyFFqRFPhN57HfBB0/9Lh9n/t4W889CgBtwHenrs8b+36K2TCBZ6v0guv2+Ygq0WAJFh8uQF2DH+FMmRWBCgLhY8F6dSCKRv+N58nfh6MQcGJzt3mys4LZxy5xjiOycF1Bf8Xe28aa1tWVg2PZzZr7e4099y2bhVNqbxCFGLUhEDQPxLQGANGSVAS/UHERIkaEwwaIAgSAiqhSzTGmGACsfkhMWqIfPgDFQIRjQ3BDgUKqm572n323mvN5vl+jGevU+Wb16+K1B+/d42kUvfes87ea80159xrP+MZY4gDiu2lWgX1XjvQlnU/cR0K0NwJSEsaZm6pYDUTVlUB9hJ8KEB2SFczalCEE2uDEEXc62hi2znON/t3JGbrSXLM3/QKf/64c157SCUNzYkuwFlE6Wnw6SeZ+2ZQqkYfp7h7KhgfikaMGDFixIgRIzA+FI0YMWLEiBEjRgAYH4qeFFygwqUuCpwvzDXb+At10Tb/SgA/pfGWM0UYYNSQU6yelVGfvWaHfFugNzYI90xFExQ6rXC9IB8kSBFmRFWhMikoytQomnlhWdgymtyGXfwioHrtLNBEEUA8Zqf/VpkGsRIpwO78Kuj3lTk7sZIeFAw0idg1yFkYTA2hVH1oEcR/nQ3UDjZU1wFATZ5ZV1bapzmlhzYVLjKjKtwPQOeHEnU+yIhzKu3qKsAlyzLaqsqudcP5udOAnC7KqBIrc9IANPc98iaynJwc5KCHPw5DdptMC9VtO5lRa/fjYFopE5agpbO8tnOW0PNOsTIvz1MBoHdwOyxV194jHjHjBw5YfVM/0CoQ8+ScFYT9HugccheQFiz1+6OI9pC5Qj5YKTxW+HNHKjVTeaW9I2VrihKZZ1QPqLNy91ShliUlXiEHzMtL1xL8pQ46LUinLcejA+q0IgQaHKJ3pD6zg3oq0fJCkTvSuC6SAq4zoz93EuBIb7hOkK4m6LSwjL7xNMaE0S6xspwtprRrmMsFM9Us55FzpHeId3kP8w7zA/2S14rkIBnID3ZUVc5opEr3RNAkMAnN6BxohFeYUQVQfYW2IK+piBIByryiW0eeb1Aa5BWqatzGoZw2iP8xha493KUO9TYNIB/6uHANOp4XiinIVODWDu2XWqCCas+WtIzGSnXjtAxZVdpf0IZbGm1Yl5My/EzOmdHml9xzanJ8P6cDXRR2mIenvYOaMrRObS6vPKk6Ux3luULvt1SDnQVUFThPtacGhfRCtdOjE2BS+fuRdC3Og1HBMig6X13lAAAgAElEQVR8gtEZWkj3a3GYzHvUpqJco5qybDzyKgztAFsFLv8i8Hv9YGbrA69dmjr8OZ446DqgHjc0kvQ6UIpcuzRPrMnBn/tBfStmAhqO+NqaqewTu2aJleu45b1JVxJCS8pel2Ggqzc3SM1sM8VguW5+L1FpespsOj/L0Eaxec6G6rc9ZjBuTT21OJrCGl23pZK3ZqRaBLX3kM4zd21SsXnOZsjv00VGbUkP1dbUX/ZaNTnuX5mUYZ1VNLNkmY2KzYM0T5RJscw8XgMCVZmqRstuwkBDhbVtXtlhsujgJwVpryLci/CH0XIjuZbLaaRJpABaHfehyPOogaad/Dw0ujkaNZ6ZLQrhNeic7RguFtSG1Jys/JBP2JxQ4Q1RYJ6BtWeGqKuQo4b5j5mK1K8H40PRiBEjRowYMWIExoeiESNGjBgxYsQIAOND0ZPCQA8VQUkeaUPDQYEpi0AFlF85mgnazxDM1K7zyJ2Hmye0bYK/01gulEN5oIObJ2BSIE1BvZLgllQ4NfcCqTPPUrLOTTlVqBARB4SmMHsoO+CUpVSd0LxPOo/+simkpgW5M7rpJEKyUBkSTW1kuWbb7LJ6rcPkkYZGjaJ8zWVAPPIIswy3Ye7Q5oGLEqVb01yP9E8B9hJpjUwVUNlQqVLPaSRXZjT9cqKoGw9ZO5TiIPcbuFlGfzOhdqSlAMAHGk+WKcvF5TRSNdMUy+jKSOcNs3pOIkrnB4VZnSjC3WYw16ymdCirgLzDrB7JpA2kF6q7TI1WTpqB6vGTMuQhSWJWFUy1la4nyGFDSqwtqIkGb3UZSR1UoWKuIbVabvSQoCh7GWnX1Gn2/nrcoEwr1FgGyTS31N7D7/WIJ1Ty5N2Ceq2jCkZB2mo3A+fMecLWLA2wrDCqKWsEwplHKVaKdqREsDbV0gHva7z1uDlg5oQAS+AyzRBXmetmFKY2loPlSSkOeWFJ0HXRVC6kXdyExn/wingvQjqH6nmOkgRpHUlVmsGnZIGuA6QKYiw05SsyvIbOCnSfdIZMC9eVqQJlSYXelqqFWM7VxlOF5ID4tYZKTq+oE15Hfs6Kc9tV+BtraOfx1ZdTCePOeawzBY9mQd3L2NzIkCo0pLOsLVTh7wTSNdhLVFTNTFE0zzTD7B2ae+Fi8xFQIeUUdZsJl6nEkvOAchZ5v7Ib6Hp3ThWsM2UnBJD7NB/FWYBe66BtReoDcKmHACjJQ9eBRrBRUc8iFVtZOC9MbaWmPC07BVgGaFTkRWFOmFH9WoQ0ynbeGFUqG290oBmVLqNtHIoQSN/5nURavKdhZ60CnRWUqXLP26NibmseCw+UoxbeV5Q58+ikMt9rm1PWfK1BvtbDnXnAkV7HIiF3Ae52C8nCjMqOBqlpHUmPbve1CVsixNSG2zxBOWNG3fSRQKrSaHRYhmAxqlYr6TYEhXYOZV6ZrTctpmAjTV6nlTmISWhuC1Na2VqD0pCyOXTcX6cFmkj9xZ2e+7ftg+KUlHkVxNuR+6CtXcDyOztPCroIjWRNYSltgW8q/Noxk3DD42ol5ahBaXi6n1GzgzvzcGsqoOsqMJvP9kecRKqgFwU44xpXFbh7VJG6WBFPLR/RKeQsILSFRsig0hNOmfPWeZRLGZvrNAENO4k0bFOZ2Vgd6k5G3aHKeqCnnyLGh6IRI0aMGDFixAiMD0UjRowYMWLEiBEAxoeiJwU10zMEZtv4WOHOTU0h7Nj304yyR8MzKLOztiaHkgTNow20OKTkkS8nlgs3ZvZlx2nnoZ2D7ieURUV59ga41DMzx2gpwMzpdhKcq8jJD6aL2lY0tyJL9pcSwhkzwtAwC0yLQJODLjJLoLs0nQynDv7EY/qIKTQqr7FMlGqnqJCmou4lpKtWgjXVj1jG19boTTY036tWygUA7CaWf035AAVLvZMKyYLcBfgpzQHraQSudAgNFWroHfIDVHKlk5al6KCQCkxuMctH1zTCa784gZ9mXmtLisvPMvKaJog1UlkjmRlG1UzNIOB17iS4UKGNQmaZtIjRL35pyjlHhZ5s6ZcZc7pcIG3qNzRXc560JcSyeFYeMs3olw3prFgRJskMP2nQ2N51VGGoAAvmxuklKqLcQYc6rXBLqpxqsFyzbYZQUDPaM2PEpjKTLXHO5NOGr3PGMnPeqchXe/TnDeIxDSX1OnPusJtoPLmfkB/sqEg5bKGZlCN6KuJ0HaDVKOMJKQMYfbydH37pjP4C6r0W1Uz6JAvzmMw0M9/ogb1EWqYCZTfDnQaEhalniqDMK9wiDdRCnCbIysMdRWBDUz61zCpxCr/N0zJFjD9kNla8G6m+mbHMXs4i6qKgv5ZRd/IFrZdsLSwy0qrh2JpRnwbeG9mqiMBz9G0hfTLPgxEeWtJo7uqGlIoCocnMkwsVcZqgFaRRZhlhKdwbkilHA41Yt3MaAsRJBvZ7+J3E+bwKzJJrKnQ/cY5sPCaPBegsUzUo4JpzRlPdnZCi2iqeCnPmtuaBMimQzqEsCjDPCMemdKoCN09QT3NGTCpK8vCWiyixol9HrvGe1Gw776FeMflKA+zaPfUX4VSpC3C9Q4gZ9X5DlVcRpFUDP8so+5m0dqJKczvH1FOB1J81pFMFyHuk7ba5kHnOfUQq1az9zZ57hjNj20ZJiW/vt+X0Ycr/O2ctEUblbM0h/Zpra/OcjuOmQHiM62yb8RdO7FyTkGIUDFlmes58M6mC2irQFpTdPJjbis15rTLssTV5pAVNTsPdZsiNrNXyxDYOctSgnkfUIijFIe9yjnpPY1wo92cUM4tMRn33bPNAFZTeoUwrpGc7Rdzr0J22qMUPeYmyokJOKuBXZj5qijq93XIPg82lpkKSwF3uUbMAD2y4zlcB/ZUCnES4aYbuZBSjkWt2F0q/BzaIdyL8JJMetww5meUhJ610nqrsrTLbXcyvp4LxoWjEiBEjRowYMQLjQ9GTQt5Y02rPb8UKoO4nzP6jQe089KhB6TzcpKCcMwoC3r5ZNBX+cod4KsBpQDpp2Ty9tObRzqOsmOrtrJriGvuGtuS3SjfL9Dqyxk6dF/hYBy8NxAqZFPilR3/VIjwANq329rR99jjfHqdsxptl1POItFegHlh/A6sS8U5ESfyGIXYd4ul54Y8D8mnDgkQWiK9svus8v8lm+sQgOza3OkA7j3bGqAbfFFYv7Jy3zZDFPIAANo+njoneUvge6FjNcI2lSLeKxSPm72HffjbP4Dfk7TcI1xTGcjSs+GjDZlzZ6+GmGXIUIWvHBnEA8astqwtzNhnXzqz12wp1vN60ikypdtbQHisrA5VeOelSRpnRv8rt8Hz81Q2rT07piyIKdI5p92blz5iCCicKnfCbrptmepVUYbO3RQCoArVR9Fcy4ID41QbhbkRz1+ISqjBeBRg8Stw8QdqCum//vpMQ2oKdf2qQF/zq27SZCdWOTdXbGBtVQPZ6yMrDe3r4SKisNqzp5QIFUATTLzdswLU5WOaVafBCvxEIxymsLmIt3JT3Sqvwm3XLaoQGhbgKt2LzsSR62LhlQLdmjIpc7iAVbPJcW5SAZ6MwtmnkAKMHOgCnEelyRrjd8Nu6NaHH+4FzIii9cTqmm0PZZA2l15HrHOeT3Qu39cdqzFNs61m2bcIHx8VN6XMT70ZIFfPboj9M7gK9czoH5ypWz7YGcWHDvvYO/l7D6oyJFbaoWdjE2rKBXQ4b6LZqcS+iTOgj48yzxc+yCRC4ZlzLCBy3XZcOrMRZtWjbtK6VVQsJSj8oz//nPWvqfWTCfWYbueN1iDyCskkXADYPsmFZZhl+nuCX/GZfzyPqtDAKpDFRwzxDzv2wN9RphT+M8GuLg5hmoKUPELJDcytwzwlWJT63KKKdjN0vRNRWea/UYjlChTSFjddFGKu0cZYkL8M62EaYyK2W75sd/HGg0CZ5CjIaru10ObN6GZSiDPOok1nh/Jllq3JwP6lF2NxuFXfEbVUK0EmBW7nBg4j5Loqwkos4D/MzqoesZMqsoM4L/b0EqGeR1WyvqPWiGT80jLfRIvArCjyg1jxeeH/p92WNzjYfy4oVNukddFYwfSTAJUG6VNi4Dfu8alnh1O26CKyuOkfxkW4b8atwTSWr2HuFrjzibsfIorbCTyiESZfMvypW1NOIdML7AYuXwYYRWuGUflUSL6JkngrGh6IRI0aMGDFixAiMD0UjRowYMWLEiBEAxoeiJwVZXdjxqwraf5wh3m6wuWoNX5d6Nq+dB3ozWAVdE0vtIorzhzPkUg+38vQdqWx21Laguc0yYT2PbCQtlh4PAMfN4HVTdzPL00mQl5FW6pPKJrnExjgENg3GrzWokwq3ZhM15pnRG5a07R9roasAt6HNu98IqbUiTGU3zxIR+rdocfQCuZzgNg75coJGNrPCbNvj/YDJHTc0I2pUhEkGkqDvgvk8scEaPWm85sjG6DQy8mRCLyJ3tyFltpNZalUMZdRqvhn3XsTGUhTB9F9aSO9QjxrSFseRFIVRKW6WaQkPlmhr51EXBTqpqFNeZ3n2BvnOlKXrShpRq6CZ9xz73QQkh7jokbuAuOgHH6ras2wfdkhTiJWC0Tk4T6qJ9XZhCb3Si0gtNqCcstlXVeDPWH6v5rMk0wy/myBrj9pYbMS0XDSj7leUBzr0VwvisYcIz18TG/JR6Wm1bfgUUeg6oCSHzRX6/6AjNSa9Q+09mq82pA/W3qgEALsJpTjUWQGOI2klK13LfTZ9rh/u6S1ShPEUO6RXUARhr+cYJIf0UMc1UkxoAHqyZItFcDuJrwOwCfqgoO5mlOThemEjpjXyNycO7jSQ6qwAevq+hMCGVoAeV9Vscfwi8X2OI+ScDfD1Weth3ZbkGa+yoHCiqlyIHK5teG/XHnp+kUDvW1K0ecPoGjfLpGktuRx3W/jbLfJOHeZh3O3ZDH9EcYTLYMNsVEhLGrNmev/UGRttm/sesnGklxNpCDfNQBHM/n5q1LSN24MblGdu4E89mjYD1pwKi+jBpZ5rpHeYfn7Kpv4KSFDEe4FUS2Y0BrJApwXNrIfOC5Put55pviJdyShz0r445dqb7W4gbYEERe4DZJ7R3CX9Eluu69oyJgYK0nSPTdjIu20PaCtkFYZ7WiN9vbQyhgdVoJXxPPnZG3p0bSl1AHUVIE3F2bdxvsGarV0sSGcNKe8d20NjhSwy5Dxw/1EZqKTa0yOn9h7qlD33N3qEO7ZvbaN12gJdFJRlQM2CfDmTItt4uOOL+aIKfm4AnLfF3ssxJkeV4o+6KOb95CCHXJP9NftsKML5Xm0/yI4RJTaPa8em6HCnAdYei89Mec9EB7FIfKxBOTARTO/g147U78bDn3n657UF/WYb6cHzd/tstcgLRdovvGeFIiPxinDGfURs/sYmD95jEszPqXccL6f0bnpkQuFRMup9URi3szZBUqYgAOcBzeUNG9NX9jlVhJ9lRZCuJbjjyNaDrwPjQ9GIESNGjBgxYgTGh6IRI0aMGDFixAgA40PRk4J6RV4HSFuhq4D1czdI1xLqpGL2hQlqdvBtIR1m3fYwvwfn2PXvd3tGe0wr4ryHNuzEl86TSgj0E6rZofm3KcIhk8N1kam+ClR+QNQURVTFydKTwlFAKimw5lZEfyMBO7T5r9d6plsn+sNg7VEf3LAcuZeorLmemCgdKvQ8UBkWK8p5QH+dsRndOtKjJlx4p4ipZ6BAupIRzzGowWRaWMltqBbwc/rfhONA7xYF4tKUNF5Rb244jk1BNdWDJgcs46C68ncaSGeeKo2pESYFq2fSx0SDUs0xMaqgAm6HvlBUoQFlHRDvWDJ7oEJQhPcD25K2AGWicJF0nkTz+BDeTzmi2oPJ4JVKQivZSxbULCjLCLQV/XlD1YYAWAYqVuaZ0R3mM7MtM+fOoxxklLNIOnNGqsD5QmVYloFORMd5JT3L1n63R7qWzJPKQYKab49Fohh9VzLpBd14pOuJdJhT9L2n74sC/dXCZPuNBxL9YQbq0lCygzv3kHkGrnYcT1H4tUPc75jW3tOrKR6GgbqRWR7owS0FJDClmimWRGw+g75cfuXgpwW436Jc74DskFcBdR3QHZACDQ2VgtI7lL1CxVMV4CQib0jNqTdlnUVyQMX8lmRQi9V1QFlU+h6tGKUR79NTpvYeWoFwRg8orYJ47Bn340BKYJah2SE2+WJu72TkRYGaklSr0NurMN4BgXE7ZR0QJhnxkYaeN8sIl7guRRRpp/J9KpVjVL+Rml5+Y0a5zPUsscJHKsvq1Z7q1VDtPKnCcl5RDxtIEay/ZY04yVw3oSLtm0eXA6Tz8CcByIKSqVzzRwH1EinhrecNHBB2EuRSj3IakTNpD1Xw/8tAjyCLvqhnbBsouwXhlPtYOUiD0recR9KUFq9RduhlFg4jr3ljyfLHDSk+YQxK2OvhJpnqrKicA44+Xm4nDSntCIpwn5yqbjw9sQBgJ9GbCeB1nUW4k0A/shPuXTVwref9gnwtAZPKWBcV+ElG3O0Hz6yaPPxuf/F5Urhfb9exZjfEoWhy9PY6aqgw3iqokkUPmQoXQSFNQTjzmD0SqOQURTpqEQ8Dyn7mNXce+VKGzApOvq2nos2iTAAg3+xN1UZPsfJAR++pIqRDsxsUoy7SU0kzVdhwSkVYsL3PVM0AOH96a5XYTVTjJiqrNTuLr1IbC67HdIXqz8GPq6moTeWxptDT9Lixy4w4CWce2hbUvQx/zn0insng0fZU8bQ/FJVS8OY3vxkPP/wwptMpvvEbvxFvf/vboVtyH4Cq4i1veQseeOABTKdTvPSlL8W//du/PeF1Dg8P8ZrXvAa7u7vY39/Ha1/7WiyXyycc8w//8A/4ru/6LkwmEzzjGc/Au9/97v/tfP7wD/8Qz33uczGZTPD85z8ff/Znf/Z0X/KIESNGjBgx4v8HeNofit71rnfhN37jN/DBD34QX/jCF/Cud70L7373u/GBD3xgOObd73433v/+9+M3f/M38ZnPfAbz+Rwvf/nLsdlshmNe85rX4POf/zw+/vGP40/+5E/wyU9+Eq973euGn5+enuJlL3sZnvWsZ+Fzn/scfvVXfxVvfetb8Vu/9VvDMZ/61KfwIz/yI3jta1+Lv/u7v8MrX/lKvPKVr8Q//dM/Pd2XPWLEiBEjRoz4H46n/aHoU5/6FF7xilfg+7//+/HsZz8bP/zDP4yXvexl+OxnPwuAVaL3vve9eNOb3oRXvOIVeMELXoDf/d3fxaOPPoqPfvSjAIAvfOEL+NjHPobf/u3fxgtf+EK85CUvwQc+8AH83u/9Hh599FEAwIc//GH0fY/f+Z3fwbd8y7fg1a9+NX7mZ34G73nPe4Zzed/73ofv/d7vxRve8AY873nPw9vf/nZ8+7d/Oz74wQ8+pWtqjj2pjOPIknlxNIsSYHWzQM6NGnh0wlTpRaIhoIBW62uPGAvykun0AIBYkU5aYJ7NiBFMOq+Czc2MenMDt5toIucUYcZy6DYRHMmiR/ZJSblzT1t1UfSXy2CM1xzx97Ut/L29BJnRjE2DqRCM4lCvVGlZYjhMCdA8FqE7mZRMEZYxsxuuW3sH2TC64uw5LLtLomFXMeoLprLS4pAvZUYbFIfzZxbonQlgFJcPBXkToJNK87be8dwdqap8kIBFolKrkHrR3gzOpjRS3FrNA8Dsi1TOuZOIetgyVqIIrf9DHRLb8yYgdwF6HlDWHu5exOSOo/ruqw38o+0QC1JWAXU3I28i6SAzPASAkhzCOSm/rRpq7+8alv9PIhVVonAnEbjcmRGakHbd7xFuN6QmTVUiokz53vD1gpmyybTA7SY4UdRZRXisgQDw0wu1Hpxi+sUW4dRDpqTDEC2WIlNt4toCt/KQpvJ6gtnjm6ownonNCTMv3Xj4ecbkrkfNVKKJ0GzOrR3N3651cL4CbYU/4ZimfZrX+TlT79XMHrfUHACqRwQoJ5FmdNt4DQVjEADgCscMZtiHJKT/YkXJDnGWqMRJgu5wSnXShPfc7yQalq4uVHFqkR51GYF5tkRwppI7S+d2s4x0QNND7aiqSXsFctBDe0sSX3s0dwLn32lEuNUgZ0+zQKekayc8Z3GKtAlDEjicQk5JZ4jRk+Ub18i7FfHIUtWXnsZ2zdY8saImj2q0PopQaXpEmkezI0Wy4dimPpCuLI4xJm1B6R2NOHvuSTmZak4Af845IR1/rjc2kN4xLkYFZY9rGEEhq8D5uOb6dWbu1x9NEA4jVYgWb4FCmjL3HuGE8QwQIO+UYf8TUdszzPxPafCJwPgLfXADyTx33xZSz2sPPaYCsmSH2HLOhokZ33olnV8ccNRAvMLFgnpzA53SSLbYvXF3WlKgHWlBDRV1PyHudaimnK17NGHcxsEAoLFrEZR1IEXdO0jnEWc9BFTjqv27qsDdboFlRPtVxprIeYA0TJ/XGenfYV60FeHYDxFJYZKhySHvFWyuM7oFSjo+XbKoGlgklFO2HhwG0qqhwN2LpvyskGVAuBuR9wt0GZD32XYhStqx/fcJjUodlZOhZXQSHm/QCcAZNVc6JtdLYsySFkcz4D1+7jjbF11Lk1TdTWjvkaZX5TxJK7YPQAA56GnaOU+8H5PCnxs1WR/cQNYe4ivKoqCsPborjEn5evC0PxS9+MUvxic+8Qn867/+KwDg7//+7/FXf/VX+L7v+z4AwH/+53/i1q1beOlLXzr8zt7eHl74whfi05/+NADg05/+NPb39/Gd3/mdwzEvfelL4ZzDZz7zmeGY7/7u70bTNMMxL3/5y/Ev//IvODo6Go55/Ptsj9m+z39F13U4PT19wn8jRowYMWLEiP878PUJ+f8bvPGNb8Tp6Sme+9znwnuPUgre8Y534DWveQ0A4NatWwCA69evP+H3rl+/Pvzs1q1buHbt2hNPNAQcHBw84ZiHH374f3uN7c8uXbqEW7du/bfv81/xzne+E7/8y7/89Vz2iBEjRowYMeJ/OJ72StEf/MEf4MMf/jA+8pGP4G//9m/xoQ99CL/2a7+GD33oQ0/3Wz3t+MVf/EWcnJwM/z3yyCMAQPVVW1AnFRoV7SMN3CIxO2bKrviSHVVeW+PGS8z62uYxlexo3DjPNGgTAA5wdxuWIhVUSRhCLFRYNExJrkXgjO5xx4HUmlE/AKAHPbRz8EahbRObNw8lngOoIhkUUk6p1DmMTOs+Z4aanjQIpx55xuPUK/rrecg/U1HmaingMpjf1TvotMI3FWq0i84tsbxQEecXyRRMMry/bmw89szYsPMsnfeORoWJhm1uUiAbmpe5x+UySajMZLsbmKPTFqo/zjzcSUA+j1h9EymO2lbotKCuA/yZp+FXUJqdAXzPo8i8skz10vqBAveVCforBfmSGZxZWbr5WoS7F+GXnknsyqRyHDcoW6pHqQo7+ZYMOWMKOBxIb0QqNkrnUXe3OUSOmXKiTMreIcXYP0gli7vTIO9V6MajmSTSOEct/E5CusKSthYBjiP8pMCFivXDPfK+KRhFEecJoSk0CZ0xr6hOCzQLQkszTDGDRRcL+gd7Gj+K0XzzDOcqNteYowdT4ZTTyPUxL6jZobdssnqto4JpWhAmGSGSEq32u1IFsgxM57bMLL9ycJc70rKhDplpxUwy64oUkd9JVO8Z5bRV+7i2QJRJ7vAKN880nRNFaApk7XnflwHSk0KAU2baFaMeVbgGLVUepjwc1EwT0kQowp9VQX89DXROnlXUo5aUh1DlIysPP0/MxWoq/J3GXpPUqfQCFSpdAQCLhPRQD5kU1Cs9ypr0XDgnDb3NoAqTBJlntPcdcKPjdZuRHZpKtddRQ6WVKNdVU+GOIw3yEk3xaueZ+wYMKrb2yKHuZBqaNpbbVwR+WpBWDfOldhIVZo4ZZyWRjpciiCeC9o5nflZLRRKMQs0HGS4WmssKx1xWnoaWE2tLmJIarb1HvEXVmSoQjx30IKGsAtr7VMRikSFLUvole67jRHPVQQl4EqGW+VU3VB1KT7pRLderXOmH80Gw+ZMcSnFDQrw/CqRcN1Rgbvc5VIE/ClSPnQao57nkTeA8TlSJ+kdblCs93MqheyDBnQaahWbL7EoOdRWoODUaqD60obFn71B6T8ooC+pOpoFlw/3NrS7Wkk455pMvtVR32kdM2TfzTMvBqzc3bCcwWh1O4fZ7SKzYPKsHkiBtAhVu68g1Z/u7m2TUZYRzirK2schi5qfgNd9u+Zlxu4UP9rlZabgoTtHvVsjcjF5tjqFy/H0onKeWQYgikEh1qKx5rX5teWpRIRsqYvXgQvH3VPC0V4re8IY34I1vfCNe/epXAwCe//zn48tf/jLe+c534sd//Mdx48YNAMDt27fxwAMPDL93+/ZtfNu3fRsA4MaNG7hz584TXjfnjMPDw+H3b9y4gdu3bz/hmO3f/7+O2f78v6JtW7Rt+3Vd94gRI0aMGDHifzae9krRarWCc098We89amVD1sMPP4wbN27gE5/4xPDz09NTfOYzn8GLXvQiAMCLXvQiHB8f43Of+9xwzF/8xV+g1ooXvvCFwzGf/OQnkVIajvn4xz+Ob/7mb8alS5eGYx7/Pttjtu8zYsSIESNGjBixxdP+UPQDP/ADeMc73oE//dM/xZe+9CX80R/9Ed7znvfgB3/wBwEAIoKf+7mfw6/8yq/gj//4j/GP//iP+LEf+zHcvHkTr3zlKwEAz3ve8/C93/u9+Imf+Al89rOfxV//9V/j9a9/PV796lfj5s2bAIAf/dEfRdM0eO1rX4vPf/7z+P3f/328733vw8///M8P5/KzP/uz+NjHPoZf//Vfxz//8z/jrW99K/7mb/4Gr3/965/SNbllYCmvLZBeUFplCX+bJdWx9KpLduSL0KzMTzJzXKbsltfIsqBaXpQ78yh77PSHgGV0U75oFcTDALdkBpEetihnRknsUyGiqwDdUmOmrgAARGa0pT3+3TMPp4IAACAASURBVJ15wAHaFoQvTeDuNqiZ1IRLAr9yUKfMFguKfLWnYRqYu7U1P6vnEW43seSqgrJbmGW2zRkydZafZGDj4B+limML7Ugb+nlC7v0wVlsqDW1h2dsrfMe8oLxnv7+b8Yz/h9ejVp51XmlEtlvhjuKFGikCdUZKxDWmuvNbVZVCPSAzmp05z9wjiOW/KQb6UYMiXU2Ih7zeLWXnpwX9Qz3NBSvVJnIced+mzOhya8s7Wnq4BVU6dZGZRbRINMm8MzHFCU1BZemRdyr0uIGbZPhY+BqRhnp6vWOWWRHkTLXGVmVHtRiYDWTmdDXb8nZmIGf0R62OlNg5s61gyhVxCjmOVPNNSFM6yzjTQiM2OQvMjJoXuFmGawoVVsIxDffjkJ2kGz+o+7QK8iagX9Owb/avLeIsUc0ZFbIMg4kkAHhfEe+RzkBD6nPIbssOCBXV5oA2dVBbprOWisT4OFWMI01XOo9SqHbJW+XXlrF2pnjaIeWG04CcqNarmSoaccq5vc1kq6Sn3CQPa6+sA+pegi4KsEjIlzN8S3pS9nvmB649nDdKNlHFqd2FKSaSI1WGx1GCRhegd+gvF96nKph+1Q/3bvMAFWU+Fvj9Hs1ODwkKFyp0VpAvJzijZiA0M5RpQd4rA13hvVEgidl5m4d6uDPmeC3+LQ7K12KK1TAhzebvNdCdjNwH+Fipktvr0V0m/eJOIufm9CLbbktF16s9qdQkzANbcg6GM86jbe5cOiA9jnst+qsZanvo+uGe1MthJCWcHcr9dtiXJQvv5TLQGHCbm5a4RgdKZsJMN29zSYpgsttR4bcmbauF112vMicOQaFX+gvFbVNQdgvmX/HwPUhjie0pbYFMCpwo8pUEEaA55rhrUPhphmxoaLqlVd3CzFVPSE+5kwAVxfRfWriDHu6gQ7gfUTdU+ElDI9Pa+UEhjKDYPEDaT5widYFq5kqTT8lC49MqNBXeCKQtqNmMK9uCeBg49meRrRmnjsq2qIMquWQHtwxUvjm+V11GLL4YUW50cJ1DuWRGjhOq0uqCirU6vcjQFEeTV5hxYy0efifRJNhzD5/Mepqfmqluvsr8URfL8Hno/MUe8FTwtNNnH/jAB/DmN78ZP/VTP4U7d+7g5s2b+Mmf/Em85S1vGY75hV/4BZyfn+N1r3sdjo+P8ZKXvAQf+9jHMJlMhmM+/OEP4/Wvfz2+53u+B845/NAP/RDe//73Dz/f29vDn//5n+Onf/qn8R3f8R24cuUK3vKWtzzBy+jFL34xPvKRj+BNb3oTfumXfgnPec5z8NGPfhTf+q3f+nRf9ogRI0aMGDHifzie9oeinZ0dvPe978V73/ve/+MxIoK3ve1teNvb3vZ/PObg4AAf+chH/tv3esELXoC//Mu//G+PedWrXoVXvepV//1JjxgxYsSIESP+r8eYffYkUC2nSwTQRpF3C9zSA0cNy8GdYHKLZUqZFlMPPa50t6H6AhVobkdSb7Gi7hRIW1iutdwyWLaVOEV5aAPdT3DzTBVCW0lVACjLwPIlMGRwiVO4r0wGykKUpXcAVH1kh/5aRlkYFVEFeT+jLCowqezur8xI0kaZ37MONGzM7glUmD/1pGxOIqaP8lzUStBQgT/3yLssZfrdnlSOAyktR+M5tzTVUKJBHtaepmehosyqGYA50nVO8eUfZp6Tb2i2Npj+9QI1xZdMClwGEC4oFWfj6yKvT6PRdSroNxGaHdzaI9/sSF3NMo0Xo0KCIt1IpBETlRLV8uPKtDJjZ+2BKx3HaFLgmoKyw1K5OlDRU0AKs3cs6wqo1pqa2WTDf3MbGcr7JflBfQgFM5uMsimrADfL8Et/kWuWHeeQo1Gk3Gt4zrHC348IMaMkqvrKhllEUEG8H1guL47mdJZpV3uP2vLPulVH7iUbSxt/xWAIKaEiX06I96zM3ZpZnhmTiuXqwSlWz6RKUi/RUFH2e2YhdR5lr9DccKbDPYZYjlvgOkJ20N4jr/i9ri635of8d39KpRqyDMe4WOEenfA6TuOQOafrAH8UIV4Roq1FFciXpqRWVZhrtYz8d6/Axtt9EdRE4zg/y6aGEbSLjjQIgLKh+Ws9jUibwCwnpXJHOgfZ60mrtzRmDbtU/WhPZZCuAsqG2XnxMMAZ3Y2Nw/qhQkpQhTR2dnZOVEupnac/CpCNJ9VrKk1tuX7RVITDAFTm2cU5+zT9MSkZvZTgY8XyfyWqNFXgjjlezimz46ICnZmomorWOWW+nAB1kQeTyi3FhypDNh9MdShOEU6Y25cukeKGA8Iko7nH8ZCrHdfKLEMq0CwsV3JWEaaZe12lIaokAXYSlZnBKKm1o/FkY6pRUw9CFHHGbDYXTEkL7lnaVMjGIdyN8DNm5sFUkc4rmkVPxa1lXi6f2yM91MHv9SjLAGw8lYleaVx5LwInEf0l3nPZ76kKPLPxV4EIBmrabwTiKuqcpq3rBwvXOEBqaukHw9bB2FRBQ9htppvl/sU2Q5ztN0FRdziHtvdBHfPZtLA1wjlFuky6zXVUi6bLzG6UYPvTPLOdI3DNBjOHhFecP4vjUqcFzvbtug6cL4F7GQC4e7aGnUJmhWrneaZBcCWdi8L9tpSLR5ftHiHO2lqU/1ZsT3iqGB+KRowYMWLEiBEjMD4UPSlIT18EtW/pEKDuFHq2hAoVoP+mNdwsI365RbnfItylHT4WbER09q2jv2LVFqdMjbfGRukcPXLMJ8b7Ctxr6f9hqebbBk8UQTxi06AUYWNiR5+RdCVDszUSZgEyLendiqne24Zu8QrtHCMCAH6j7j38fj80zqUVIwrc2hrDrVEP2aFYY5zOCjZXzP/CvG60WnVtUoHTiJr5jTUuelan+sCYggUbUKECud1CbDxdqIws6c1rRcAGPwBaHcraQ3sPOYwoaw+/5jcVf8rKU73Cpju937IBUcFKhkVVqLfm3Q1TvLcRHbzZYESAml8HwG9IVvkrluyOqNCo6A8qG1adssHYmkKlZXO06wVpHeGudPTDsWbCYPMCVoVzTYHrBeVyghx0UAVq5xHuxOEcxSl0bf4g2dHi/jKbuH1TrCkeXNXTwibGlUM7S4AoYyfO6FUzeaRBmVVIL6iRzbj5tAFa+hu5u+ah01bEaNVM5TdLhGqNquYNUoW+ICcN3GlAfrADeodgDcbNfTbPO8/XQxagrSjJMyoB/LYdDiPP3+Z8tVgM9I7Vmc7ec8poDmkLZOUvKj7ZQecF0tEzbJvEjeygwvHbNjdrYHK9XNuwsrbPylWxRlo56JCuJVYUzwL6ZQONFeWcwge3doNH2DZuwIkOkSK8KAz3GDbnsIysNveelQ/zAGJMhmcFtJon2caidKqwuXnFuBRc7YDdzAiSlWOV7MSaoIsgLRto5fEQRkuUy4nf8i2ZvKwtpXzCakyd0IOpfm06xH2URbV7Qc8mmZhoYScxkfxWi97S1uVqR5+zVUC18Skm5mgejZCgQGf+YKvAClNyaL844f7WObgElPOIvLA9qS3m68XxzDNWdHwo8POEps0ouwU5eUZLhEo/t3MPXDLPOAdo7+HOAiuSsUIsPgcOFEFYYzD94Dzk1oTrOCo296fDfNVZQZlXWhht/daaMmwdQ8VfeJ7acU6gCtPlC/2gcBIxvWvilYnCnXnOAacoNztWhLdrPbKRP+8V7pu9rbmdBL/fI580Q/OzrgMby01YIl5ZbbQ9DFmga4+SPeNzANR7LVBNoCEKN80UopyxoukWiecTGdFSFsWa4ulfpSv68OnaU2jSVKDzKDc7ru1QgUXiWhSgrgIFH4pB5BDuB+4Hl9h8racNRTkAJDC2RbODNBX+3CEeO+SeQg5kYfXZqo4yLaxaAqzkfR0YH4pGjBgxYsSIESMwPhSNGDFixIgRI0YAGB+KnhwEmPz7hPSHebhIWyCBpei6n4fSaDqowE5GPkhsyBUwLTwW6KQCDvALJtuXdWDDmTePCwHpgFCZar3PhjutLL/rik3PKIJ0OSPs9tCmQmd5oK/YcCeQcw+dkD7xk0IvDYCl6MykbwRSaJPHgpVWzYviPMAvjX5zijpl6b/eb0jrASyhepZUsW+vraSKxNPiXzwjGrap12pNqtqZrb9YY2gFypyUTE2OadcWE6A7TON2+z3pj/PIBtAkqFPzDLpOO/l6ORlVRP8NnRVSek2xhGyBX5sHyNYHxCgeXOnYSLubSF/cZ/yJ9g7N7WiNlaS/JCgbU70ytX1S4L4yJb3Q8/zVGs/r1R7+PhsitTASQBXIywi0lQ3mHanJcpAYr+EVUEGz0yNfteZWt/U7AZtzi/lBAQOtKk4xucNoAN8yGqXOKlRp689jSSdsnkmfJQ0K1wnL/OB7yJ0W5YAUomsK+t6jnDaD1w+KAGdsrhevkLWjb8ikoO7RY8uvGLHgzgLS/gVtCIuXQecGalOTY8pNsBgNz/nCiAzOg2D+IxCjBoLRnxOj3zqBO2WzsOwx2kVb3l+3SHxPFZbzAz2sUKyB2invyzpYU781tReBm2Y2ABsVJ61RLQ3HyzUWd5IuRA1x0aNfNbyvK5b4/ZxrVJ2SZkgO5eENo2pEh7WixVmzKBuF/YrrAwJMv0yaxHk2vtdZ5ZzxSg+pWBH3Ooo3jOaHpweUP4zQ6535FAkOPht57gI2jV/q4WJBOaD/D9czhkiF7rzhWrhHigaibPZWiit8KHBtgT938NOCOiMNG5qCtFtJOTtFOPPAPA8+W5ubGWFCD53+BillnfLeyjlT3aePBeRNQN1ls7UIxRr9xvatkwbOmsLr0vzgFGj2O/hzB1mTMtfCZt2yW9CvI9xJQE0O7pjjio40XFgD/mvc7/0uKX/X2H4/z2RNbS7pxqMeN+iPW9TCf5ONt2Z2IJ01HONFoojjJEAXBctnkeaRXhizYgIbLfTzks5x3p171PMIKebJNdl6tVlUTsuGcNcLWyS29H2sA+VfC+nl5m6gaEcB9A5x3tP/a1qAM+5nkyk9l3SRoRavohVDY7lbe16LrQNJQirZ2jD8PA9zR7ODLBmloouM9jE2jZe1h19ybSM5UohGQ/r7kZ9nTbXPCaMfQwWOI8rlhP5KQe0ZL+U2zj5rFLL2bPHIMni7fT0YH4pGjBgxYsSIESMwPhSNGDFixIgRI0YAGB+KnhR0WtA/d82u/lgx/WJLT4Ys0EzPFvGmbJqUQWWkvYd2nlRWtfRj84povtwOf/dtYZrySYS73KFuPPJJgzjJcCcBcu4x/VIDN0/QSUHc6+hnEgs9aJo6JHXX3YvyJUAvHB/Ml2g3DVQdLAkZQdFfqpjcZkm8mO193qGXiaz9oFDRnQufoq26AdV8W7aVSmW8hDaK8LUWiBU+0B+oVhnKtCIsd8qkwJ8GyDSj/WqkuslheE0XC6r5rkCAeC9AHRBP6F3kQxniQlysVLyIqbF6B6lm8Q+W1stehrR1iDtwpk6q5hEFYFBmQKiyyHNlaRwWSbL9c1OoePAVaZeRHNJRAbX1uvFNQdkrKOaZU+cWZVKEY2KqumIeQ9JTtTP48hSBzArKxqMeNixtO2Wp3M4RTpnCXRz6PYvyyI6eQk1Fd3jht4NQMf1KRJiwPO46h7yopDZnGbqif5GEyrFfBeT7Rg1mUlSSHbCbqOBaBfgrHQCguRU5r9Ye5SAjPMZwZbVk8WJp9mHKWAx5nF9NWQd6zjwaB6Vg3i2IdxndULJDOPEIJ4H3LVT4M4/mdgB2E2mkKx1k4+kb1LG8HiLnfpjmIYYGmapLWXvUQoVX3UukHpKDm2Q0X2pJGZvfi3qFP7Q4E1OvaXKQxybDvaaajvEC4VbDdXa5IwUmRhEYjSc2FprpMVTP7bpXXBMQUhV5p3A+BUWZKNzuRdYjtn5kTaFqSEGlo9Fr4pXjFytVU86o7Co4/M6MmvxAZ+o6DBS8NFS+oqUfmAsXMSP9lTwo+srlNKwFVUCPGkgWlCVpGieKqqSsZUMqsUxIfdbsGIEEoPQebpqHTyNpzZ+oUM26uV6oPATQX8uopmDVQypWdZYZrXNG/7fmyOKEfEW+kuA6eqT5wwg/5flrdmxPAOilpFQWS6jormfkB7rBnyrs9fSos3imwTur53ql1xh94vwsQ3cy1bG9g5/nC5+3IqiLAn8UuJduIzla0qba+UFtqVFRdpgmL1kguz33zFip1iv8fAmTDERF3its43ickq6cRhtLMHYGFnmz9TIC4FYeobF9vfPoOlJ1UNATrNCHyx+Zl9C1DT/TGs4nOHBNOIW/RQpx8LNLpIDRO4T7Ef0V26s3HmXOz6z5f0TUmXmedQ71as+9Odt+bF57WgSulwtlZ+egc973re/c9KsexWhzbH3Vvg6MD0UjRowYMWLEiBEYH4pGjBgxYsSIESMAjA9FTw5WhdOTBlBg/Q2WitxRIaEnzVAelbVH3VxEcLgpS7P51CgZUyd1D7H0LEuPchZZ4m3qoAKClSddL2jve3T/a82Ii0lBrVS7dOtI5c5WJTI14zihGsWtLSbCSttqSjeNNOCLjzWQSOv4zU0ryxcaMmJS4XcSFTwnVjo9sZiCLHAtS55ubUq2vcQU6K0qyG1t+oGcPE0iFYMyxIfK1PCmQApL/f0BE8mlF6pIWqY9l1WAv9OYeoxJzulKhnQO/ZkpOpJDbDLSKqKeR5TkaM3fC/SwIZ3QO0jnoR2jQ9zGsdybBO6IpobaeUy/2LC8aynMdScPie/aUYmUr/UDlVY3VAXGQ09DzcCIEu35+nAs7zICBcNccfZ31znM/2lCCmRWaOYYK+TfZ5x3CsRZotFfyxK0y0A+bhDafJEeH1iOh+Aidd2MK7U4lLMIZIfN1QJxNAeEAvBGbVqMRnloM9AiUCE9AABeWa6eFJrCWdyKDzTQS8/oqL5ZZGDjkPYLDRi359E5oPPImwCcBdIeleMp556qoKuZsSxO4XqHdJAZGXDaoCwq1VttsQgSRX8js9zeefhQWa4HIB1L9zkx7qP0ntEOPU1MXVPg1zLcH2SjvidMWe+e0Q+GnPlKIk0TdYiP2K7lcpCAUBF2e76/AjkF5Kly/q5I91Yz24QCuiIlLaKkK32BZIE/d8DC7qcKalMRTj0pSQXSMxlDU5LNj43FupxcxCP4Q1urNjd9J9yX7LryaUN6Q0hNw5vqcJpRlxFi51WnFX6S4YKinEWunzstwk6i0q4IfFMRZ9zHchcgBz2K0U4iYMzInQnX0k5mREXGEGNEZRE4F8w00604Rv6UKsqqQkoo6EABl0QDU91NXEsCxkfs0Jgz7V5Q3HDKeI9FQlmQ7gYAP83wjRnFbhhhJE7h7jTcJw4bxvVsI1Qq4E4CotFI0pmSdF5IYQXSWlouVIjuckezz4ZUrWTur/VyGiKDXEeTUhdJgUqskGlGPCa16SaM09DikI9pyikrPygjq6mRt5SXmMpOVvb71q6BLOgf7EnNg8eV5Gm6qYJ4bDFOj01Q9+yzwOj8rXkmKtC0Ce1jNJRVWzN+TbVXvsaIFDXFGc1LhS0IV9LFXhR0GKPzb+rR3AmDYlm3hrCiFrNUgTNGhtRr/ROMUOOEBr8yzUCsWH0DI4jgGVG0jdl5qhgfikaMGDFixIgRIzA+FI0YMWLEiBEjRgAYH4qeHCrL7NLJhUKiqVAxk6u20qxvUgYFh1pOUN14yOUOkoQl6M7BbahYQ3LAbmaOTEsjwpwsp2ftkTqa222eyRTotGpQOqacwwFiaiTcNZXP2rP8DLBcvktFR+4sxXprwuVYik5X8qBoGNQXG8dSbizMxRFADxITm3czcNwMFI+/H1kSPw7MrrrbMDPLGEC3SEyl37CUW3s/qOQgCh+pKipThR41mD7q0XxpAm1oagav8EeReWm7Beg8Ng8llqNjpWHdxkMvmYrETL78bs88HAX0UkJ7aOX2KVV+MilwpwF1WizzB8C1jq/XOayfnSDTzLKslaXdVlFhRmhxkqnYckoaw4H/NZXXZ5SFnjRwbUE8pLGYn9Po0y/dYMaIqx2Wz87Qo2YoKwNAf7kwIy2z1K4bjzjJWHwxMgMLNFvUdQCaCu9ZencnAWGeWGqPFTLPF4qTCuikomQ/GL1tVXdaBNIWtJMEOQuDIgpZSPk4KiUBzuNwN0KLIPWBZocA3NLom97Bnzu4jtcp2+yvChoVTqj+K0aTaqMcY6+kK3pHRdikUAlZOFZlt8BHo2gDqbTSkXJJJy38SeA9ubEhzXYaoVkQv2b0tYJUrwpqq6idJ22lZsR4EiHnvAbtTZ3lmPmmkUo/b+ZybjexvJ+dpY4r3HFkKvp+z2PWngaaie/hj/nazIKrkMTxUa+8zq1yaBWASUVtaPa4VfhgJ9E8EVQUuUWikkm5tsuepacLaeruSiFV2xSqQBtTL4VK41gltaKFJpFu++8tFVX1qCG1dkbzR6oUC8JuT2rI7rv23ig70hlbpeGWbnWxQtYOZUYavW48wtJaDDYO2ju4kwgVmnmWvUwqd2MZXJPMPcd+R5Pl4QmolHT251lGXWRg7bE+nsAdRdT9xHvpuVe6tgzKTT1sqegz48QypxGiHtCQ9iKBnSq1dEBKUYNCPddGPm249s9tzRRBXPRwrlLBBVJGtVG4NXMgmVXmofuJcyra/bH1k3dMgSUgLXgS4XYS3HHkvJ9liADNv0+5ZoJdv7e2izX3pNjkITvTt1TIahW2EPQ0wkyriP6BBBcqyo4pqU0B68/t+guVYKkP6K5nZtlZLmW6VIYWjq3JIzLV1tLLoF6OJw7tTse9dXJB3aZ9nrNGxaXPhSGL0k1pBKuzAmwcmgnVtG6ageyQO64R7T3Ek2p0bUG4x8+MISv0KWJ8KBoxYsSIESNGjMD4UDRixIgRI0aMGAFgfCh6cjAjKPUsT6JzwDIM5mNu+ThlUbCSaXbMNeo8u/QXGeio0hLLSdsarrHEWFFWASEW1LZCvQJnkWoOACXR1Au9vW7vUBcsF9ZJHUqZetAParkwyVQObHO7JhVhntDsdRfUwDaDrIIKnisskdc1KQA/yyzzWl6OLjLNx5K7yESbF9TDBvlyQr3aU7GwVQHFiuZ2oMoj0XRs8tWItGwGFQn2e2hUbK5VpJ1KAzmjdOqswp960i4zKs7gqQLzZ37INJKVR1pHUgvbEm5krtXmGT3QO6o7isAFRV0UmhAKx98Hy/LZ680Uj+M5mGCeW+aTA+qUZod6biqLSnO1Gu3g02BUlZCuE5CqOSKFI6EiH2Rm45mJmlTOAfF6kWnmaRrplh7FaAlVYPkNlnU3LUjrSEohOSp1zgO0UZ52kYtSveU3Da+7DjRN2ydNsb1O8Yq+DyxZ27HwirD0wKRSwVYE8eoaZU7j0Lqk+kmzg17qqXxTu+YF6UI1Az23y2w6JCtve6OHhrX2OMO1IoNaSKeV1+kVeUW6ENEM5DpPqtbGUgc6kOadch7QX8lI68jfkQtKQ84D8r7RVqZ00q2Kc2vmeBxIn5uBXln7C0M5m2MleeQ+oLZG6RYq7cI5DTPDNMNPClwviAcbQAX9eQOdUOEEBWRWqOo5DzSlVMAlUlvNY5GKmuzgdhIpc8t8glFVchw5h7MgbQIVdU4hhTRQOWzhGhpi0jzUVGBZIGcBfr/n+HsdjB7VX5j9OacoHbMZmfOlyGfRTGArcucR7kQ00zTsmy4WGmoWgS4KFl8M0I2nCWgF/H6PcjkhLBLk2oZj7xV+YWqlJFTAeoU7CSiXE40fjerczjsAkLMAFxRiqj1/bG0DtoaQHfRyT3PcZUQ9aqlwS0bfNTYPrnUIDWlZ8YoQM5yr3PsD12R7xwOX+gujzaZAI9WYsvZUPRZ/obJTQDLzGrdtDDSV1IGaz12AO+igGw9/qaMJ6zpQ4deaqrTha+kyQBXov2k9rCVxCj01JXQF/Kknpe6592qlEqyuA1sIzgLymvlxEhT1iGa7ahSeTAvyZVLYZVbhT/yQG6mFr4XOsVVjHZhVt/LMXZtkzsfHreW0UBrXAvC3W/vsDNBpQWwy4BTH31qp4DNVIU4ix3BaaDxpe+7QjrI1A/ZUetbeI+/nr5s6A8aHohEjRowYMWLECADjQ9GIESNGjBgxYgSA8aHoScHPEw0Qp8xRkmRUVVvhjwPqpCI0piYTAKHSyNDKpu5+pNGUYyZRmVzkKLVfaVF32Y3f3A40R1ShemCegSVL3f6xFggVbp5YJp1QHaJb8zmApc/esSx+v0HeBEhboVXgjwP8JCNEo1xmmWXtrcmf5RzVwtcMxwGaHcrGwy89DdJWvD691mGyv7lQlUxMkeSpPonX1lTlgKqd/qEe5bihYmm3R/rmFaTzLIP7/5e9d421JaurR8dvPqpqvfbj7H0efei2bfrfgHi5ES+5hKB+IkL4IokxQTF+IZAY8EXiNSRESTQYUYygUYKJRhO9McTEIFEM0Q8kighEgyLyfjRNn+d+rVdVzcfvfhhz1e727702pO+9IamRnPTps9deq2rWnLNq/cZvjJFhfYbf70gDCqCzSGWHAphF5Ili/uWiJmsy3F2O3U6hgmBgjll2lmlE6qmkkU2hvAojIwaQIEitHZQRO6pKBLDnjtexUDbmNtV3SMIcow1VO3ZpkU7rSzVIk6ikm5N2MccdjOO1MPNA6mKSoZOEfFbRqKyM2S53TQJVQGKUmVEbB3iOZz4IMCZDO1I0dmnL2Ga4JgyZQALSEVpnKrJ6wzlRct30pIZkgW0SpDOQMv5qlKqZTFo336thGiqb7JLqvNQUVUtrhvGxG0PjwSRIgWrEXWZdnmRUJyVzakePxUKHLUu+kqHia0eR5bWjku/Cw07SJb1TTOzilcgx2lr426RttKgDafgGpDmVhZqFNLcC9alB841iQNhZ6ElNCqDOZAOmVLNAMZgH7qjT5rajemxDg8Hc24F6gjxFnXSrIS3UG8yeMEDLOdhfSZDOwjrSMeEqlZwyZFAp0nlFBVbkew7ZU0mo6CiQNAAAIABJREFUSAMQFjQFlZVDXjuqPieR7Pc0Im8czQF7A11E+EmAmQVmBN7oSKkc9NAsCIcRyAK311PFNY+Q4keZo8BOmFuXExWpuexBKZiBOsnBwviE+rDlPAOzB+NBohFooebzllSsdhb2vsfqBaT38zQjLDjXbU0zUWMKdV4MB6df8XCLQIWeyXBbgb1Xwd2toMnAXd1STRkM/Kenw7wXUcTrPdL8ksLP8wTUiTlf0XD+P4Uqd6eOhrKFqgMAd4d0d840p9RCc+raobv2lP19p/gNVJZpU4wclWMiaweZReSDCLfXQ5LAnVvkKsPcrZA7i5xNySuruC4NlYI7ukqC8Bp3AjNnnps82fBYs7AlorVUSCuGc+9aP6yh3FponZjZmNh+gd7ATCPV01ZhXIZME2yhindqSG1oMLqjv8y5o0J4Z1ZrlYrLvUAVXjTAcQddRKo1izFsbB106xD3+W95P9BIMlrYWYQ57IFCyaaiAjUl3zNGQ/VlEshBzz22mByLoeLanjruhSt32S7wTWJ8KBoxYsSIESNGjMD4UDRixIgRI0aMGAFgfCh6RsjJDAoMzcwi04ZKBdzoID3zcaCgAqYldaHKLvl0EJGV5UMR0PDRK3IwCI9umfWkLLXvqIk8ZY6S7PU04nMs8+Zgn6Yk0ixwi4DJ4w6TL1Vw0wiztMj7EbKiwky2FmrBzvzATJiciqlWprpFVg6ujshrD3e7Qrre0WjLZRpL3qmQ9yLsfebQaMmxyb0dVFm7XDAtGTzGFrWKAGio0smF5kFkiVMvKigA51me1SOapomy7G2qBNnrsXoBS/2wCpNwmYVUSt05Cvx+R+VLa5m5s99T2bSjJYQmZ+aCyo08yTwWw2uc9hL6FUvm2psht6t50vN6Xe1hmoR03MMfb1n2npA+jL1jqd9n5GigpxWVHsHyWhWzQi10hCZBPaWyQ6xi9nVDCiUJ1UggxaIKUq8qkCpD7lfI1zrYNcvt3ieEqzTvy3capLUvaj2B6XldIeB19hnYC0idhVYZ6jL81+tLFVihm7SmIsfaTDXhihSaZkFeRNhFQH9eI+4nxNYPWWB6oyXVYpil1V1LVIUEw+y7LDB3KmAWYYpJaO4sZONg1wYSDMyVnsZ4GUOGm8SyvqzCzCK0ycjf0XItZaFyLxkqUaoMyZxPaBKwH9A+1KMtGWlaZeCgJ+3lM83zQGWQBkMqstA/0hu0D/YwG2b8qYKZUgBMnagA2jqYjUU6DKjukErfXlU0d0h/yizCrgxCT0NWN43oV8zx07JPwCj0SqDxZVGR9jcD7KyoaJJAJxm6LQaEZa+p6ojYWVhP09F0GIEmMeMNgJ7UCFfDoH6yjjSWTBLsPJAeKVRd3o+ct61Fah3Sc1pIUQhJUS3ZWzVsRTrWNZFjXuYWkhRTWaXKrzfILalGcVTHpj3OYziaAuokIWxodmmMMj+tqDnz1qF94ZaelJNEZVYvyJVSEWUzrFVUtz3smWMeJajszH1pCTA6UFkwCtk4mpY2iUrPQvGZKiFeKWasNlOZlg1SQ/VX2HqYuxVcE7mWBbB7PXJnoVte89xaYBFKjh7HQ6OhwhSAsczxElHIQU8q2ACzrxn4Ox45GtKInaFRqVKBhWiQoqV6OQq0VohV5CZTiZeZeWmM4uo/WmitnJsT3lNSNMgt9wCz5D69o4bFFfVwKONlSaObouLMGwdsqaATp0jzDLlds81iknk/KFlwiALtLVwVoXVC/bUatqjejMmo7jADzjU0Pq3uOrhJpAnu1iJ2li0CGcN9yc5ojJx6g7R28J+aQ7cOsiQ9roWSq79EqtfcqWkO2jBP07qRPhsxYsSIESNGjPiWMT4UPQOY0tgH5be79gY9R2CUT7fziNg6erCU6AQ7j6i+3CAvmHysmQ2ipkp8khcA22KFX6o/qDPcfU+Pogw2WHs2LqaD4tETBfJkAz2v2NDdWYjJ2D4Y0T6vpcfQtDS/GbCKE5kub6uEdK/GLqkdWvw7SlzJrkKRn9PCeEZB6NZBJxnxkJ5ItmdFqFtXbCzP4Pv5DHfqgHlE7EozaBbUj1f0yciAeoWeVchJ4FdMdrZrw/TuaFgh6A0rZ8cdGw+Xnt9YjMKdsGmwvxoHDx5Z89uztmxWjNHS40iUsQOb4hnkM+KakRI47vgNt/gA5Umi901JT5ctv1liP0CyoHukhZmXBvfS/BtaNvJJz2+EuuH5amvpFTRN/MYYhd+YwHEyE35TYoo4j1O3FstHI2wnQxyEVMVfJAvCec00eZdhAr1x0oKW/dtlA/EZdsvGRlg2ZZpzfoPFgrEf8PRY0VgqKk5h5xH9zR5mFhmj4JSVC6ew9ypkFRhLe36dJl5rYPD5gc/0ZALgan7TRp1Y2eztZWTJWUVfryYhHZSma1HgXo3qtqeAIQgbvks1cFd5lY7Nla4qlU+A0R59iUsAik8TU9NlbZHmZb1tHKR4yMCXCoLwm/aueROGc47frAUmsmFTjEIXjDPIJZrCOEZA7PzJ7G7uHQTYc4f+qET71BntNX6zp+9YSe0u38C5bqQ0qpZqbTCo77FapqX6mXoDe1GqR6UhWB0r1jIpjb69QSzNzLvG9Ng75GzY+GrAptdgoLn44jj6TeUS+aNrx/XZWVY7NxZiGKkCo9CaHjzxWs9Kr88Qwz2mPWt4bFkgF56eVrcrCg6WbDDWzkJ6VrQRS2Xqan/pA2aVa2ftGPmSKWzIoYgQfIZuHbpHOo5hMDA+o1tX6I8jzIMbVqSmkXNCnjLGVaKXjlW4pYGeVGyAbiKjVJJwL3AZ+U7Dqomw8swxZ8N12uP8to6VYe9LVd9nep8VYY0/KR4/WzPMf50x9kQT/aOMVR4XgNXDGeE6m4ch3HNML4iBVTazNayiF7GIPzFIG0evqjJGANCtK9x9WSxryF761pXxQ4nRkK3F5D8auEkE1rvKpPJJIHE+qmLwI7NbM6yX5kmLtJcGX6idgELK9Tdryzmmgv6IkVXIgpwN+uMEs2ZFCAqEvYx0r+Y6N4r68dLU3luIU7i9UvnrOXftucPm0RKd0xkew4WH1AntIx1w5iGpREslQXVmEC7qb+o+v8P4UDRixIgRI0aMGIHxoWjEiBEjRowYMQLA+FD0jJCbYtUeGZlB3x426cXSSGtL2RQA3LmFsQndd3TwdzxwQYt+zFhu1FSSf4vFvKxpQW/qhHiFjZFmL7BhVcAEaPsUL6IbLX+/lDvDpmJzmWVj7i4io7llAUd/nLBPLxCdJtIjNcuZeRGH0qwmYXRGFqTzCnHtgQy4+w6m+PnEGUul7jY/EwJGaiRBeqCjT5LQIj63Ft2NAE2GvjNG+fkC9NcDcpMHv4oULL16AMYtlMZDqDCOo7OIC3ramFWJ/QikPWRLr4209NA7DbBXrOlPS/m0+EdJlWDPHKT44yAJ7OMN7DwyGd0rrMuMFahJoWAWmcoe2TiqwQBLD/94zeTwRcT0CxXMLMB8vSEFMy9RFgf9EOVifEJ1v9CkOxblrAHOPWyJ0Ail+VKVFvY5FHoQGJLg06zQQEaHKBVVztHcWdgmIl4LkBstzIbeN3rU01ekNUNUiywdU7CrYo9fkrRpma9IhwG5t0hLj/7BvtCKFvbUIbaO1IECOmPMgXPF36VnEz+C0PPlbkUa6qAfqA3tStK5U2QPoDds4iw0EkCKrrrroPOIqgnI2cAed8grD1dF+nyVcYz7CVKiOXRSqNLOMXolGlLfvYE9dTArRgGY4oujpSnflpiesMfz0DKWcuLpDVZocYmksDQa5OvdEDFggnA9KKDzRIqtRHjkvdLs7xRxxeOW3TpZOkgUzL7okSakzWUSSRncI22zo6dQJ8CVGJFg0PeOc3cX81EV2m9rkTrLhtlCV8ksIq49ZGu55/Rs1N9d850fl6pAJyX1vPgpIRrSakahwaD5QoN4d8LBf4pnk+4xmiMeRaaXHwZoNHCnpOBTb4c9Q1NpnK8TpOL1glP6gDURmEX4WxVw7kkBdaXRfR4Bz0gkzcVHSdmUC2CgvOqDlpTs1sFueK7hOHAuXnhSTDuRRolLsde38HdIychphfq+hXQG8Thwj1p6pGg49p0bvKS05kS0PiHOM8wiQA57ClLKGMvGwla57NHlPrII9Khzmc3DJdZGkgCn9HXLBwFh6yEuw+4HhCsZpuHeKp0BCi2Hcj+CcD0hCfIR6eRdczgWAWoU2+d1FLecG7gzRjCZJkKmEdU08H4jHOs0Y+xGToL2eS3PZWvp22bzJR1eJ+S90vh/buFWhtFOPiPfo8eeHvaM5FmyaR57EfG84v3gKCP2Zb879/T1WrMdw0xJ7cuG9LfteJ7qdYjgAoB4QP8l2Vi0D0TuCd8CxoeiESNGjBgxYsQIjA9FI0aMGDFixIgRAMaHomeEXaQGSsyB3mhZci1lbE2GCpO1o4/NIpP2WTuEo2JdnkGfnp4J2lInVE96mHMPbTLMqpS1ix+RMQq0hrEfJtPLZWPgb1cQA6A3VCgtqYJydaLiKxiWHF3G9qEwlFSlqMJMk+AaRmHkUnrX1hbFCZUz9lYNKYokux+Qbnb027GKvIiYfN0hXgswLsNP6c0j0zjEaWhPvx1p6QmClUO68PCLfngNwMgNOJb7xQBuSeUCigeFLao1zAMphZ0HyM53p6HaRyuWpP2ZRZ4laG/5p0k8Lp/hb3lSHld7aKbKAT4jPqeDsfSzkaIO2qVW04PJMkka9PUw51T6hL0MNBmuTtg8TI+XyxOjX4rdqY3AiJH+RoDcrzD5SkXapsrQSknPDueFogaS4kuSYTYG9qR4c0wj3Dcq+BnHX4oCETN6huTAtG9jMvSov7yu+5EJ23Xi3FEwUkCUHjT3C62ztUMp3la0+4cKvZWazPiAQiUhmIGajMEin1WwFw5yq4HpSySEBcQWT5gqD69Pc6oj4xHpYnWM3UAp5WsyCA92Ja0dTDQHYGYBsXNIh5ybYpUqruJvYyb0bNJgoGcVsCW94JbFq6vJcPc8wrJGSgb1kyVSoKju1CvSllSlJqHfl+W/a6Y6bRctYR0jZ6zLiBNSkfCZ0QmR1LfeZ6QIKSodPKH8aYnMWURIFKwfDehvMA1dTiqgykiHYfCn2qnGpMqwS9KBqcxLLAJMQ2pZtVyblvuJnUVoNKQ2RRmhsyX1kztblEm8ltIkpJUjBdpZuBMH6zPs2iDFEmHUGWwf6aGThOpzE8y/5EhTZMYxmKLKFZvh6ojmKxWVq01RhhWPNXNOdVOOhvO9HLesHNKKkSxqOT4QkG7Z/X6d4O4xgV2swtoM66j+kkIZioCKTKtIe6l4toHzoaKaUCzpF93FAQEIx4HRG01GWLD1QFxRmM0432AU+YJ+XP6eg1903DcM57C1heJWDBEoOo9IW7Zd5JNqoLzMykE7C+xF7uuiyF45z3a+dr0plJYOykTsvJcmieesQjrNlXPrScHrokTBbMp9pyj0cjRIE0WaMVppd7yxt/RrahKPEWV8ktADrjfcpw979BsPKb5W6OldZXyml9SCfm2mStBpGvYhSYI8TUhHYYiCgVXoNHLPnnCux+InZTxbTHKTeb9oLeK00JFLy8igDX/P73fQzmDv86UVIz/lZvNNYHwoGjFixIgRI0aMwPhQNGLEiBEjRowYAWB8KHpGsOekqOw0QoJADJVB9d0S59EZlv5VYF2CPeyQSir1AGH0wKAUOPcID3fQK4zxqM6oJMDSwWwME6knLB9qNlCjiMcB4YhGYP70UgGnSSAmo3nSw96jCVZqaeluSioyFMDKQ7MgLj0pl2hg18UsryhQdJ4QDyK0Jz2gGbCOlEBVU12yfTjA+ARX0aBLW5ZmUczboEKVQSdwVYLZmpI8zrKnZoG5cAPlwfgUIBzRoFJbKn/6VQWZR76HYwyFmUSoAWkKFap3GhqKhf1yrkHg5z3N23ZGeFMqo7REQmgxoYOACc23KkYUrCpYl5G3jsdYZ5of7srXnrQm9iIgihQNjR0vPHJNamRnqKYqtNgHBoO0vIiF1lQYT+WWOegBn2HngVb8dYa/6y/VMU1G2qdZo1hFuBppIner4Xtnvn+akvrMnaU6qFCn5snyumIaCQFyzTI1AKhV5KqUsvepFhSrEJORzipSAJYKQzMLVEBN4jB3oEBYVcA8IM0S8vUOapSW/BnQvpTYQynxe9JkyDSAMxt7qe4S0jo0tSS1EIPlMd7jucn9CraJ0F2cR1HrIdK8ECCtgT2miaM3SA90Q2xLahgPoycV4ox0oBgdqA4AjBY5o0Fp6izyPqnoOCOFLluDuPaIVyJVWTOqDNHxXNIsAysHE2j66O77YR8ws4hwWOiAYJDnCXYWaFpqFHkvwt31ME2CJIH2u/gc/t0EYPKEKzQzx8Ba0qjmbkU1aJOoeo07RSeG3V6mEYsvU8GoJYoDVYa575lIH7k20iwPxrE7VSCswjVMPu+uJ6y+Mw5UOVCiITqaK6oKuud2l8ooYIgV0YMAs7ZovlwPESuDoiiT/or7VMaKzVCnsPtUMObOcq21ZjASjMEyMiiRBu1bzj07jVTaVZy/cIxeEVdiU6rM9RuE6ie3o5QU+ajQkokGoigMN4IhPewy0kOMmzFWETrHcSvrhwNPpZkI6Xe9qKCTREWYCiQWo8e1Q1o7qkEXxeR0FqEbC7O2vB8olWnV5ybcJ+sMW5V9wWWo1YFq1VkaYkJ29L/xvJ9IFOjWwm2EcT+nFdsotoxdemo8hiSB3adqrG89r93DWxpKGoUapZLVKkRIZcphz/FaeqbX+wxdROgF701SJ1LNu31xR8eXe4TpZKD2Icr7omMLAKpiSuwzt9SOF8XOApxPqG95XPxvHWwx//1WMD4UjRgxYsSIESNGYHwoGjFixIgRI0aMADA+FD0jpHmiEikz6TmtSad1R8UUy5FCkGnJ/UqCvPYsmfaGapYkSJEGcujsMPLaMrssO6VCxivyhFk/6CwFSSUJWRzLuggG4Sgibx3UK9wTNXJiJpsUZQxaQ0MuKZlGdYTs9cC5H44XLiMuEhU7ruR6uYz9T3vYU5b789LTYMtnhLAziaO6oqoi5KQi9dExs8lOmPUmSZCPe9I6RwGoM8K6YonXKHCto3osCtyJJy0SmH8mPZVn7p5nuVwFaWthWwMxgE4T/F3Psvg8wVZUVMHTjM/sBapldsndWZD3A+zGDJlpu9wl3TiaFz6nHdLRd6niuRgC5mmm8scydVvmEVg62PueJn82Q33mtTgp11cFqbVDxtFOyYFoYGaRqfFFeUHlDH9sOl6DOKOKxi4CqhMqQ+zS0hzTFVpjkYqRI2DcpcFn/QSVMfUXGiqHplR9DeZni8A5UOYkhOdlphGujvBTmjWmwLGEAmZtYbaGhpIXNNTLTaEIrMKeFZpwUrK8FoVqjgJ7bmHOWGLHfiDFa2jeKAD0qB/mI8ee5p2odvRmWSwGkLUjvdA65JVHWFVUbBnSk2npYeqEcEDKVb0yn0r4XwDQSuEnATpPyBMq/+S0gl0bHnNrSWkCQJ1oQldMCnMxHzUdFaRuFpBLZqDGou7ZqSKNQiLz4+LVnucdDPLKA7OIvIg0HcxADszlQjFzVUczTiRQIbcfqFJSQXioR/tYCzuNpKsApNuTS7Xf1kLWjjTGuYebROS1Y7L8iYeI4uKFAbJiLqJpuS7SJNNgdF4UfFaBezRAlZKWDsdrLgDViiqwJ54J9sGULEUgrT3wtQlpomiQt8xXk9ZAL5iHmPcD2ke6QX1rp5H0LKh8hFO2JvSWmXMA9j7jSCVe6SFKGr6/qJE3TGEXl0nXJINUKVJrgb3ynrMAv+ipjg2k2SZfrrhHzlKha7mPSMP91to80LJ545DvNKSOr2+ZVh8sQudo6njG89qtZXfPQ7pyPZWGsXZJ1TAA5Hs1c/oqUuj2wkJL5qWpEmnTLGV9CPBkDbsyzPo66AGriBcV7DxwnzOk8czGorrluf+3zEzUst/oTrVraFQKR0Nf2ethNgay1yN0VOMZl4fsRtMa0o2OOXUxWFQT0o7muOOa2zJPTwzgqmJUXOhz2e23Ncc59QY487BlrqHb7cnCNVll5LUv7SbgNbBUF+ayV6VpRjqM0FlEDszP7I9oPJrLvexbwfhQNGLEiBEjRowYgfGhaMSIESNGjBgxAsD4UPTMUDrs85oUgD2n+dmuNL9TEhiXB3UElKV8ncchJwr3a+TjAFsyaHRVSt+BFEg8DqjvWJqhWYU7t0ixlNuLUsTUpGPMhKVRv98h3uyRIw234n4acrGMVaQlf9cYGhy6tRneQ3yGv7AwRlkuPmHH//J/3yJf62j2uGDpGb1BzizRYukgrUUIloqeWaTapSjPxNJgzlWJGVSWY2TPXFGaUaVnZhFmayAJpEwcj2NnlJeaQmPtDAL3Es+zSgO95O565EzjRhSDOSkmmc2TjiqQLLB1QjqMpEGE5nJaaA8JpuStCfw0ICxrKrXqDLsstFE0NB/rDcvvDU3KEARh62nEOEnIN1v4MwuNVBaJUWjmWCDKoMTTDanIwWBMFGnrYGLJxqv5+ZoF4aGO1M21nrlB5x79uqLiw2W48/JeKvAXglSxTN0+FJiDdtBTceJzMUPUwTjNP17zPGeRY54MRIC0dbBPNKSAkyDXGfmAc0FLVpzpqPITq3BblsnRWV7/OkEOe1J3AlKQKxpQ5mkeFEmpt8BpxWtvyvzZ0aFljkLBeVEoQj/vgd6gOd5S0dYLjFMaTRoaZUoQ5NMKWtRoeetIe80DpCtmhK2BTiNMZ5AnCWk/kqYyCueZ1yYbZuVJMYGUOkGv9MgN1UsKILZuUJcZl1HNeyqKrCLd6BFbB9k4pH3SQ3avB9aO19JyHdgnaxrXOS0mmQnVN/ie/XGiArQoACFKulQU8ErjzDlVVqZldhkyUDcBOkvMolMBLjzslgaPdhqpUDJAnpd1YRXdg6RqtLMwLd9PVo50XjCXikUVrtOSk2dCmcsGgFOYSUR6oEPqLGQa4WYBMov8HZ9pVNgxD8yecx/ZrXNYRQoGdhJp0JcEeGiLeF5h+VgxA7QKc9jBXGvh7ztmze2o8Szwj1fI8wR339O4Urk+wob5b1KREm2f3yL1hntUW8xjhVSoZirS/F5HJSJAFWJZr8ZSAWe/UZNG3xYj31Qo8MNIE0bDeZGjgUngntbSBFYSKXvNAG62pEOtMi9yFmk0CUCjIO0lxCuxKJ4L3WQUxhRTVAXSyiFPE/rDRCNPA/iv1ahuOarMdma+VRreu7rloacV8pSKQV27Yv4o0L1AE0hDWs5OI/S8goZiKLkXkaPwntcapCUVj+GihtYJuFfz+uwo6ELxi6HpbupIyUkSNActEIRtGDXnMNsqhCa84NgN82/K10hRusbewa3KNbxXD4ac3yzGh6IRI0aMGDFixAiMD0UjRowYMWLEiBEAxoeiZ4Zc6IxoIFuLXGcqDSKVF3lLc78cDeCV+WUAy9udhdmQHstNhpz4IVvJrktp+F6N/kaAbSK6BwPppWmkMqEjNaKdhbQlwwgYzMFyyWTTrYPuTB3Lz+IFFTWIBqEj5ReOaDYnE5p+hT2W141jV7+W3LVqEqBbS3WTVdi9gLTycE2EThN0GpGihZkFaGfgblcsmXekD91WaDBoFFh65EDDNbNykJMKsS1ZZIuEcC0w+8vokOcTgwUOeproRZbSd2ok4xTmqIfWGfFKRPPvE8QHOv48C485CdrvoNmbn/cwJkMsqZidWqt60lNdtC1qJ6tDdpRxNEZL+xF5StPNtPTMYSvqPa30cgWVPLa89jwfw/whgPRKisXcbpaQ1x5mL5BCXFv0FzWNxhRIEyUNmGlImHtb1HKkAXOTIRHMKksCLD3SLA9zavs/OuQHWx5SR/outxaYU2EIAdWEoFoyHGRmPSWB3K+Qe4v+tKGR5UFCakuG3CySEjOk7IbSf5mb/cMdj6covHJvqQiaJeSScyRXOyqHjJJ+m4eBKmS2l9Jc7n4FmUZSVsXsU2MxjsxFjeYy+kLvakUKYbdudplPmEdgkpjn5nTIYKvOigJqXtbCte7p9AuA0DuW7vdITWtFCli3jqZ0RRWTexq4xquBlKmSgnQbqufEZjRfqaDTCH/i4GuuEa1IR6ZgkdcO8aDQz45UpjSJSpomAwmIK0+a3lBtmkp+lamo9kMgpRv3E2ydoJUiRsN1dbdm5t1eQP9AgJSsN+NLTllRW7lTmg/a02IMedQjHnG9SxT4W8ySy8U0EhlUDAKkuo0iVxlmaSFPNhyrsn8CpDnqO45qVyn7VxKkaYbpSFfJTv259LCWpqUA4KtIZZ+l+tYUGi8VJa5ahZkHVHcdNBj0NwOkTojHAalQUjkW48gmw9yuByNJFHoxzwtFk0GqP0uh0QypxiwDtRh7qozRZF47UcQFFWNaqHYYRdrnseVgmUHXMdexeaIYIfpMxepuG4mG1FYwVGglIW1b6DI7Yx4e4qUxYdhUkL6oNX1phdiZofaCcJAR5wpz1CEtPdV5kVl3tsqk2iak4c2diveGCV8zGJoeBMjuM2cRpk7oO6pQdeOAaODPLPxez+tYzD7zQUC80UPv17zk5Tg1GUgv8Lc8sxj3e6QkVK8WWs8e9EOrh24d1XhWYbbMy5OthbmgUk4ixyns8VrkpuRmfgsYH4pGjBgxYsSIESMwPhQ9M/jM5ujiRaOLyGbTKGwSNqwcuCpC1hbmXoloKBUjdfwGiGLhDjDBOS2Y4p7349DACFE+/WawYXIXrSAKf24QzyqYDRt/dRGRT2p61xT79nCD3kD8dpyRrvDbdY6GXiwXFmnLxjczi0CTkZce+aRm1adno6AWS3l319OW3TEqJCfhtygVGEvvHgiQHqCtuqkSxCm6R1ukVGI2FqE01mXoYQ89CPCPs1kPCkjLZjo7i8MYiLDZ0GxLFELPBl4NBjkKjOW3V6kTNo91cHWEqRLcHj2OWtG9AAAgAElEQVR2pL9sCg2by4gFKc1+qbPojxJk5SD58htjbPltObcOcelhVg5uwXRoCWyk3FW0zLw0XSZhavfu25ljozHA2AMxirRmk7n0BvVtVu1StMizdNlAa5gMvvsWPcApK2atBeryzfwo8JtzafCGADKL8E0Zw57fSs19z2vlM6MYFLB7gY2ZSw8taey6doxPCaVStmtwbktz5ZZN0hAdqpfxMCJnw7FWgRSPqtk/T+CerFjZ9Gmw29dcvG+aBHulQw70UJFJhFlZChMyE9E10PcKVYZv2Nitid/YNctlI7ZhRSy0DnpSsdG0eKzYUkWRg57XY+mhtxv0xwlI4DlHfiPXUqHcNfrmFRPANdHTpnnSw7oMf1KqbkfdUH2Coz+OnSSkpUdae4RjzhlNBt1RhqwcwpWI0DuOvShk41B9ccJv/iXdHSqsEGj5tu3YkCq9odAjCcJxhDl3EFHGnOxiNIQNq6l4WYUtYzvyfmmkLtUmEc6PvHVsHN9QDBGvRODcIx/TswaKoXqFeUScX1Z0VAUyZaO2PXOwnQyN1pIEeM6WlVJl9S2eVxADdNcim2TLmnNVhD1g4zqEAglEA50kiglchl3Tg8bVkfO8SeybLhUe2XIu+IqVT3e/VF6UAgvpDWNfyh4ABfK1DnZtWM1SofChpMMjGsZsFMhXJ7B1ogjG6NB0v/9PDcyFg11a7reWUUTIoIebzxCn8KeW60qB9mYEOov2QfoSwSqrw+AerTshSqkISRKYcz9U0tPGXXqXtUVYsnRDpI9tIqswQSCiyAuOWZ5xP2qOtjBWYRuuI82ATBPM0iLfbJEWJQqk4xyFYYSPthb5eoe0ZsVGc6mcRxkapUVLJJTu9kGl15EotElwq9LwH4QihyYjPNBzT1MgXNRFbMG9Ip1X9EU7pGeYNIkRL7MSWzWLyPMEMw8wncDe95ByD5EmcX5+CxgfikaMGDFixIgRIzA+FI0YMWLEiBEjRgAYH4qeEWxJcUehD4zPA70QD9JQLtRs6F10s6UHzB3Pcixw6Y9TJUiVkQqNhpVnmbI3SKsSrTFJTFffUQQl/bi/yXiGfBDpNRPNYN/up2yEM7uoiyN62xifWZ5sLb1YDknV5UJdGZ8Gm38Ij1Os0ntnERCvxCGpXCYJcqdmifXMkbIo0RhaaCIxCk0C5xPCqkLauks/oJqJ080Xa4QrmQ2XkU2DOslslt24oTyP1iBf7cvYCn1wiocI06cB+w2WXHeNlwCQSuOdve/hT+hVFE4a+srsYgosaRudR2SnsCeevkKrEmXi6RNkWkFOAnfm6K/iMv2limX+jmJI85I670qz91O8hsRlSMumRbWK/pjN1vnCD75KsKUROLHsLImNra6JjBcpNBN6Q+rMZljHplaUCAFkxnbkwGbGNMlD1EqOAulkGB+A9KxpIuwkwS1L+b9lyrtmlsXd0kBT8VcCYO5VpAs6NoSmjQMSUH2t4jpZOWyekxGO2aCb1x6ytrDnDnpC/ydEQzo2yeVautoxvmTtEY8D6QjDOZ5SKeWvXKGqGU1hDH24JGOIVoHlWKJcGmTGDqSl57k4BeYB5qjnfO9LM2nDKBB7TlGE3+/oU3TmIZ1Fey0iBos01UFUYU8LJWMAbS3HQpTJ7I7UgykxL3LQ06PrrII0CaZOUKtoH+748+KvI9tLryetMo8tk4K3iwBXKBdRAAKuwZ5eQFJlmEP6DImnd4057GGb0mw+p4gjbflZOz8c+EyaokmQAzbK5hm9gNAbYGsLdcoYGu0NaRKA/l+LhLDPc0ImNSWgEEFsLg3AXN+wl3tEda+ISHbeS+ee6e3nTH0Xo/RIskDYehij8PsdBQiR1KS4DHPcARnot6SDTQCPpdDQahXheoC5U9OjqKHIxG0u/W/8GdsN3DfY5G/OGeVkzh3CcYR1Cd2N0ubgFL6JuPgfmf5NdaYfXRJgL8AU/zlzt6Jg4Rr3bXEZqBPchYWfBaRphmwtmrtsD3BVhJmSXktb7qc6Kb5te4Fik2igCoSDDLsfyt6ZoMUHSBOp5TzhWkI00BkptN39RTP/YGuRA0Uceszrvhtfbbg+UegwqYroJ5Pq1Q1jW4a4JJ/R3wjo1hXHreVek4OFuUdPtdQozCzArC0bqpOgnvUl5sby/rBl9ExeetgVBTPWZky/5lBPAqpbHnYegElC/ZWa539aYXLbUJj0FJHKt4rxoWjEiBEjRowYMQLjQ9GIESNGjBgxYgSA8aHoGUFEWfYuCqG8YnpvnifYlRnsy+Paw2wME6IzEA4SKZuaZXDZuKHcaAvtoaIwZ0xTdmcOImCK+qYosvZ6+h/louRSXKb/ZsBOI6ZfrFgCLfEYMklwLtGHwiXYScTkCQd4ZWnTZ5hTD/v1BnpS097dM91Zik8L1oUas8ryelEu4Qb9aLQiRZGLH4VZBEZZlNRsAJA1z1fW9NqxPgOdQftoR8rHKOx+gJYkdlUhPQDSEZgUX5yGERnuPkvaftYjhpIkXpO661v6fqS7DT87CHKjyJ4lfFdSpXeKMSovBLbKcFtB2o9U260NNNIXRxXIz2lhv94g7tE/Q9cOkniOGg2VXB19Nsy6WO8DwNIz7qDQrLqIMFtLdcSCikCz8wCRQhNsHKbfKFSRcv7sjtXOmWBvWlPmQaHKmgx76gdFT27doILbeTohUc3ltkK6RBQyjbBNhBgqClVYAodhDIMmgZlEZK8D/ZnXDnqtQ3VK1Ytu3UC79odlTnotKqTiJ9MyGiYdlPR4BUxDBZIUxQ8A6GnFv1dUyQ0ROttdzA1Q37NcQ0sH2wpSMPQscbyuOou8xlUqkQee0Rcmw8wC4qIo9cBzll00jVegM9CTGulKhL2wyJl+M3mWeNwNKYO8F0lRBCqUNBkgCNPhg1AlFgU497Az+jC5a1tUDekVLTErxirHpoyR9AK7YOq4XRtex0K9+8OyXkSRn9NSPdUocKd++kalnEsIVJpJRUowbRy0yXB1pOKz+I6hqEWBEpXQG2jxsIIBRAB3ZjlPRWEOe+SKVH5OpNEAULXnCyXvFNoz/kSahOqrNWRjYRrS6djtM0YRDjNix/gJu7SozkpUxTwXCh2kt5sMdBYxOEYNBdJ3mkidpM5eKkOjIDzUw9oMVyXGSNRMvN+1NWiiUq67lmBchmkiwhX+PRxQjYerHVVpxz0jlURJbWXGUOTMuWE6gVzp6S1W2gdykxF6h+rcQIQ+UHbXRpEFcT8OES3qFNvvCEXZW9LkSxq8RsaFyF5PSr54qeXiqZW2lqpFBddNV/YGR7oSxX8KHb3apE7oNp77+v16oPRcXRRbpxXMztfMgFEonR28qBBk8DaiAhfDOUlvitqO1zctEuSMHkT5as/jndMHT260VIOW6KkhNiuWe8ksQsr6ki0jeTaPso2iP468vwJob7JlRBcRq+dG3ouz8B7WG9Lt3wLGh6IRI0aMGDFixAiMD0UjRowYMWLEiBEA/l96KHriiSfw4z/+4zg6OsJkMsGLXvQifOITnxh+rqr4xV/8RTzwwAOYTCZ4xStegc9//vNPe4+TkxO87nWvw97eHg4ODvD6178eq9Xqaa/51Kc+he///u9H0zR46KGH8M53vvN/Opb3v//9eMELXoCmafCiF70If/VXf/VNn0/OwjKdKKQ1/HtkuTAtWAY0heLJezTtQpVJldTFlK0qNMraAWcVf68oVPI8AXuRdvc+w1cROOwhoagJFNDOwFYJZhqhazfEb6gCm8c6hLOGr187OF8M2gpSZxEWJRn+pCId0wnCYQL2w2D+pgoqXpQlYkRDasgyukRbC+cj1QcVy9coZo67hHVjE9JhQNh4mOOO5fkFy8U5CZOyO6rm4kWFuulZTq8yYmcRr/aDgRdUBqoSVpGmTNLWbIBzT+PLBVPOtWd0gwlA8/UK6TCgvlOiNQKjCRANrKVKQzY0bUudRXVeBmseEac8b/EsHYsBwmGC6QxLvj6jvm+RFgmuGCXaNRVfeZIZY1EoRShVTznY4f93MD2pLzOJjBw5NTCLgPaYcRdqlecF0qU5GMTewW4ZhaBRYG7XsPvhUskY5NLIT4UUYmRpGwCyB8x9P8TQCIB8VozZqkuz0Z0iLncWaT/CT8JAJ+TeYvtIT7WLUE1itzSLy1kw+6JnubyoG3OTB7pQ6kwjyB01Umekjqotc9QP9IPs94DjWtiZztmNgdsAmAdgL1DRo8IIFq80bFw6oKPhYt460h2LUNRr4PsaUOEYqVyyy2LI1xumboNUX9raIfJjRzHtrp9sigpOqGI000ihU0MVkquZjq5Z0HxmwveMBvbU08C1txCTkQvlkWeJ5odFLZX2I2ny8jnWZVQnFmFdqA9DdVB1ZkiFN6T0tIwlDJWFYklzQRkPMSg0VbjHGIV1CdWTfjBC1CrzcxON/fKDLa9BpmFq3osligOobzkYmziWW0OjPgXpMiE1Exak3bTEVyAJ0poGmzpNkNMKWimyB9qHO8g8QkukTTUNPJaaRq25UGUQIPUWrqhHZcX3MhVfV321Js0GwN31sFWm+agW81Al5buLrdBinim3SUeaLem5tHEwli0J/YYJ8+YeI170bs39fy8hB8Px3VjYrzeM/Fh79AeZ89BReWecws96SJ2RguXabw1pKqvIZ1VZv2wJgArktIKv2U6hreVa7ixp4CwwTYJMEnyzo6eL8rDEPxlP6ljK37WYoOYpr4UYRWw95F4FHHXIWeDnPdw9P8RnIAuaL1I5qlkG5TJqxonINELLPAWAtHKwxVBRehmiqVBT9adZkM4q2HlEv6poMBwM12/Ne5coYFaFRi9jHXp3aSZajDZ1ngbq0hSDTG0SzCxi8g37393a/0s86w9Fp6enePnLXw7vPf76r/8a//7v/453vetdODw8HF7zzne+E+95z3vw3ve+Fx/72Mcwm83wyle+Em3bDq953eteh09/+tP48Ic/jA9+8IP4yEc+gje+8Y3Dzy8uLvCDP/iDePjhh/HJT34Sv/7rv463v/3teN/73je85h/+4R/woz/6o3j961+Pf/7nf8ZrXvMavOY1r8G//du/PdunPWLEiBEjRoz4Nse31on0/4Bf+7Vfw0MPPYQ//MM/HP7tkUceGf6uqvit3/otvO1tb8MP/dAPAQD++I//GNevX8df/MVf4LWvfS0+85nP4EMf+hA+/vGP4yUveQkA4Ld/+7fx6le/Gr/xG7+Bmzdv4k/+5E/Q9z3+4A/+AFVV4bu/+7vxL//yL/jN3/zN4eHp3e9+N171qlfh53/+5wEAv/zLv4wPf/jD+J3f+R28973vfbZPfcSIESNGjBjxbYxnvVL0gQ98AC95yUvwIz/yI7h27Rpe/OIX4/d///eHn3/5y1/GrVu38IpXvGL4t/39fbz0pS/FRz/6UQDARz/6URwcHAwPRADwile8AsYYfOxjHxte8wM/8AOoqmp4zStf+Up89rOfxenp6fCap37O7jW7z/nP6LoOFxcXT/sDUIUx5AAJKSZ3n6Vs6Wh+poUakqLgQSCl45qSTr4rzwvgrm0Bn+FmgblPk0gVwFELvVuzQ18UklnapcEa6SfzRENVShLMPl9BzysYT3MrCUUtBqDvHHDhEdYVzJlnrtGFh84TFSkTLWqFTJO+/Z5ZVLPIPJxEU0UpSg2NLDnHwPK81AnoDdw0Qq93TJHfOORk4aYs9xrDcupQmk9U9LhTGn/ZtaHKp6fiSyyzcjQLpM5ovlYBdaYxpcvAQWA+WWeBPZaEZ1+oAAUmX62gQhPF9juYrtw92kItICsLPatgZoGmlElgDnqmhPcGq+fS3MxWpCTgmOlmNgZ6hwnjuc7wPmH6xQr9o1vSoyWjLc2KSqPknUmdYNdUisgkwnhmrOVFUa8kQS6Gdrs8se5aouHlDaayQ4A8j8i9HcztACAc8d+kI4UnogM1C8f0eSSBv+9gN0ywVs/5mK53pNrWDrq1iCWtvdrvIFc6mCVT7XdldTdhGnaKdlCGMKNNqbSzyoy4eYIekQbdvKAbMu1MyeSzjgaj2hkYUar2rEJ22WdbC2MTFWDbYp5ZZVQ1DQkBIO4lrL6LRoe6dVx/OzqrIwWR56Q35dRfmgRuqG7KvYWvI+ngyEwsTQLc6FjSn0fSO52hKq4wqqbheJtzri8/CVh8zsLf2RnhSUkFF5gLJquHTcUMu7s1+v9lgxhofponGaamyWE4bVDfK/O4TogTRVp56JUedpJg54EU6objEQ7yYBIpaypB2+/si8lfuS6O13l3nXKwyJ0dUuAB8BiVVDk6rr/+aqEoZzQZxKxQaz7B2gy/6IpZqYGfBVJhAnTPCcjZMKvRKc0CAZppipKqd9y3dvuHVBluTurT1okszzxAFxHVNAwUjKlKNldDOkV9BvZKBmGdhnmCYEj51amYCCrCc7f80UVFU1Vw79ZoSPe4skfPI/P2Wst5Ps0wewH5ICCX8cplLWDpkFYOaZqhlvQ252kCtszty9PEloRyv0gT7q2aBbFzpMDbolxu2QKRZ2y/kLVjllc0qG6TonOzgDwvWXFNZhZeFJomKvcZ5xPMneqSLvQlH25jISXjUawidI6qradQ5HCknTUK8mFAjgbhokYKFnEvwS4Cc/6MYnszQraW16xkGaI3UFG4Kg6ZjM6zLSRtHeJeKve8cq+58PCLHnpWAVWmMrohDYgqk5ot45onCXkR4c8t5EZLI8yyL9iyJxiraBYdDWjnAeF64BgBsC5h83D4L+7y/z2e9YeiL33pS/i93/s9PPbYY/ibv/kb/ORP/iR++qd/Gn/0R38EALh16xYA4Pr160/7vevXrw8/u3XrFq5du/a0nzvncOXKlae95r96j6d+xv/da3Y//8/41V/9Vezv7w9/HnrooW/6/EeMGDFixIgR35541h+Kcs743u/9XrzjHe/Ai1/8YrzxjW/EG97whm8Luuqtb30rzs/Phz+PP/74/9+HNGLEiBEjRoz4/wjPek/RAw88gBe+8IVP+7fv+q7vwp//+Z8DAG7cuAEAuH37Nh544IHhNbdv38b3fM/3DK+5c+fO094jxoiTk5Ph92/cuIHbt28/7TW7///vXrP7+X9GXdeo6/p/+nfrI2KTUR10CPcmLIk+uIXJAjlKVFwFS8VCoYiawxbteY14UcHt9YgbGg9Wiw7GZOSiAEmhgq8ivE9IySAeBPSnDcw8wDxnC2czchYYo+hOJtAbPTOmXEb7v1L1UtUR7Qu3MEaHC5o7C3+8Rdh6uJsbOJewXdVwPiO2DvZqR+oFJVtNmOcTA8ut7niL0Dm4KiJnAzdN6NYV1Uw2wzcRZhqgCqTg0cx7dFtSGnUTkK4ndKsa9bxD15NaMhVNJVMTYZIgVRn51hSTB9YIvaMZYcdcoPzEBOn5a3iARm0qVOzcmSI3RZ21FxBevILenSB+9wqNVZo6AjRvcwlb0BTMTSJ8FRGsIgpL7/UkIFUc96ZhqXX76BZGQXXOgxH93SnQWtJ4PmL5cBy+SaRUjAV9xuSghbUZaWEuj6FOqOuAGC3yUQdjMzOcXAYWzCYyTaKSsE6oKqrZVIHsSde1qwqTBa+VMYpVmmC232KtE9gmwdiMvStr9MGh+8YM7toWKRrg4X6Yk0YU4YLZZAkAqoxm1qO7M4U/3qKpA5Z3JtBZwnR/ixAsJk3A8mKC6bxD23pg7YAplWixI11izxzkZgtjM/OYzmuau80C0spj+g2D7fdEiCGNgCQwNqOa92iqgHTUoqojOsdz1YMece3RTHt0nUcMFvWsRycek3mHrvMwoqgWHdpFBawdpDfwNzb8/FjBTwNioexSZ+H2etLOBghPzGCvtzAmI7YerglIgblhpqyBvAiom4C+d0hdBbEKO4nwe1TmqALLxxJ0Fofru7loYJ+7Qu4dJAvkfgVc7aj4sRmKYrx4WNZZFaF1RDow8Eap2ry2RdZi0CeKqopIhxzXFGkO6n1Cv6xQ39igPa+pthKgqgO6TDpBJjQWtI40R1ZmR6kCoXOwNzfQ3qGuIuJ+QFp71Fe4d7TrCn7aI3YO1X6HqooIgaaJzaJDt/WYzDqkZIrCKMK5BNxcI0YacRqbkOcWdR0RDwLz5HyCOhoZpkgzPjMP8FVEvpHQryrSu7VF3fQwRxmmmFxGx/d1i4B0v0Z1bUvqz9PYFU4HFaiIQorZbV332PYG9ZUW/RMzYD/ANQEoRoVJlJmCNg+UTX28RV1FrL60D3MzIFeFnrIZIdTwZw762Bo5G67N+xP4gxbqM4zN6DdUukFImeWNI3VuFLBc783RFikJNBvEjqaWk1mPNOFYtesK4aEO1lGFbF1CToY0bvLAJJHCKnuU9wmb6x3MLudNBdpa+GtbhPOaOX33a+g8wk75fv2ygp/3NE503Kd9E6nSdBm5s6TOhEaomgT2ICLeb+CrYmQ54/mis4ith20SUjCYTjvkr85gv3OFblmTwlt7zB9aYrupUTcB+YrAiMLajH5VcR8zHLewrIFZoAGxT8iTssYOHbD0mF1bY7OqSZVmDPewdF6hPtoiTwU5k6Y0081/eZ//7/CsV4pe/vKX47Of/ezT/u1zn/scHn74YQBsur5x4wb+9m//dvj5xcUFPvaxj+FlL3sZAOBlL3sZzs7O8MlPfnJ4zd/93d8h54yXvvSlw2s+8pGPIIRL3vDDH/4wnv/85w9Kt5e97GVP+5zda3afM2LEiBEjRowYscOz/lD0cz/3c/jHf/xHvOMd78AXvvAF/Omf/ine97734U1vehMAQETwsz/7s/iVX/kVfOADH8C//uu/4id+4idw8+ZNvOY1rwHAytKrXvUqvOENb8A//dM/4e///u/x5je/Ga997Wtx8+ZNAMCP/diPoaoqvP71r8enP/1p/Nmf/Rne/e534y1vectwLD/zMz+DD33oQ3jXu96F//iP/8Db3/52fOITn8Cb3/zmZ/u0R4wYMWLEiBHf5hDVnYbj2cMHP/hBvPWtb8XnP/95PPLII3jLW96CN7zhDcPPVRW/9Eu/hPe97304OzvD933f9+F3f/d38bznPW94zcnJCd785jfjL//yL2GMwQ//8A/jPe95D+bz+fCaT33qU3jTm96Ej3/84zg+PsZP/dRP4Rd+4Reedizvf//78ba3vQ1f+cpX8Nhjj+Gd73wnXv3qVz+j87i4uMD+/j5e8H/+H+ixB1fUGH1Hg8QYWUYNvSNtdl6hub5Gu6kwW7RYnU1Qz1j2VhX0vYVzLA3nTLM7azOczUjZIO6yX0omjDylJJqzoF9VqOY9NJOG6NYVFRuFYstZhuNxPg3vlZKBcwk5y0BBWMfzEMNS78H+GhfLKcvFRTUWo4W1eTB9UwVC7+CriBjtQPf0vYMxihCoOPNlnHaKiLb1MCYP567K906JaiRfsXSbomXJtNAUVZXQbkuuWxJMZv0wZiKK7aYmTVDGynuqsVbnExinqJseMVqoAtbqME5955F6g+ley1JrsPBVRFMFLFcT0igqmEx6rE6nA6UwmZM66E4mmBxvkBIpge6iHugzI4qUDc/NZFibEYJDzkLqMJnBWJPnGNF1niVyy7HrewvNhrRIW2FvsUEXmIu3e5/dtVEVGHP5GQDgXOJ1NhlVMR7cHY8IsN1UqJtA6gMgHWATlqsJJtMOqgJvEy6WE0xnpO6W92do9jqkJMiJ13k3h+omIGehSg3AZNKTfhrGQNFtPXwdUdekpiZ1QFKBFcWmrYZ5UVVU26yXDaomwBU1kirQbipMZj2zCFXQdw5VHeFtQlLBZlPTnBNADBaTaV/mEudTXUX0wZXxEJrElTL+dl3D1xGxt2imPUKwSNGimXAO+TIvt2cNzQ/nPaodFZMMKh+xbT3qOpZ1oIjRwBXqN2fO0b4lPWhtRowcx916FVG02wqTKc9xd+ztqkY961H5iLBbN0Z5LbLBZNIPn2ltRt/zHGNR3IkATRVwfjrD/GCDzabGbNphu62QlfPpci5dHvewvxXzUSkGr8YoQu9gbB7WYtdWqOow7GGTJuDi9hzzQnfUE/7MGB32t5QEdR3R967skRj2jb7nZ1aF3k7RoC4Ud9d6WJcHmtqYPFDFVR0Rizputx92rSeFq0JaysdhvQxzacMWAO8jlqdT7B1usFo1cP7/Yu9NeiTJ9iu/353MzIeIyMyqrOGN5FOLZLeAhiBBLWinlVbccq2V9Bm0fhIgfRHxO2hNQNCiIUFAD2SDj+z3WK+qsnKIjAh3t+FOWpzrFvWgJrtIoDeSnU1WZUa4m93Jzf/nf84RDZmTxXcZayu7fmGcO1LUedWFRC52fZ/rnE8POheuZ3PfP9N8l0uvvT8F9gfRX6Uazo/DShXvdloH0xSex7+tCdPO8BB0FksJyzp3Opdbhl8x7PaLaPnyTO/nx4796zPT2Gke2zl/Pe+v92eM2hL6QUaocfGNYnbEp579q8t6DX2nfRDa548xUkLvdgvTHFZK9roG5jHQ7zSvOdl1/nLSmXzdG/OoOd/vZ87nAR8S3mvtxajPopRk0puil4Hm08Lf/Pe/5OHhgdvb2x/0mQ//AXqKAP74j/+YP/7jP/5b/90Ywy9/+Ut++ctf/q0/8+rVK/70T//073yff/pP/yl/9md/9nf+zJ/8yZ/wJ3/yJ3/3BW/YsGHDhg0b/n+PLftsw4YNGzZs2LCB7aHoB+H07RFjRRV0PlG+3kl5VCy1GtL7gfqhI3w6Ery69WN07G8nfFOkARz3M+PDIHqkGuLiOQwL49gRo2P5dk/XJaZLJ6prCvB/3TJ/uydOHgws7wfio/69PywsH3tSssxPPXEMDN1z4/l06um8FGUxqpSaH0RHpeiwXw0yEDsFpiWQJs9xN+vnsmW5HxgfBqwtnN/uidHpOhBlcPq4o/NJ1/xuR54dx/3MNHY8fTgwXjqmKYhCOnfMY2CZPdOo/LUrfTOee+YPO9Lo2fULOatsf37X6D8AACAASURBVL7fkSdHSZaSLOf3e7zP7LqIt4WcLPNjT99H7P99w+ndAW8LdVIGVM6WWmEYIvO5Y56CaJ7ZwWIZvxUVm6NKwtMSKFlGazUbzr+9gWjwvrA7zkwt/2j36YU+JJZLUCm7K4wfdpy/vmFePPO/uV3n4XIemD4O5N8ctGayZXq/Y3ropXT5uGc/SJ0YJ880BdK7Hfxmt9KRc/SMZ83zsnie3h61zqphmgKnX9+pvO8zy7nj8rCTEdu54/I4cP64Y9cvup6/ucFY0VnOVM5PA+O5wzuZqZ3u9/qdS0/XJy6/vuXpfk84LHifiQ899U3fqL5ETZbxfkdOjq6PuL/ecfqgeQohEy8dy+Tpd1IqPr05soyBy9Rxfhp4eNiTFkdcPOlvDvQ+c34cVjr0/N2B87s909ixP85cTj2nN0dRuWNg10UeH3cqnSfLcgksDz0lWvb9wni/Y/76wDIFnC3MXx+Ynnri/cDy0HPYzSuduR8WjjcT41kK1ONxYugiy1PHeO6YvjnQ3Sz0d5PmauxW6uHx25uVMui6xHTuRAc3amp51GuGPjG+23N6d2Bu6yd/s2OZA+NJ9N++F2U3T9ozw3FmPnecz4OonseeZfak73aUxXF6e2C5dKKFGw2dW/6bMTC93zHOAd+Lqq1vBsYpkN4OonCeesbfHpnHwHjuRG1myzIGlsXr+ts+np96gs9S0BXDdOkYnwbKfad7+XZPmgLTHKDqHKyNcsnRMT8MDF3UfE+B08cd5d8ecFbU+uWiezMG0hutxRAScQwrvViKZZm81GwfO+KlkxliNUy/umXXR6ZTR61wfrcXxTIGSrFMTz0GGp0vZVecPMNxYZm1t2woq8loTk5qOVfZDwvzt3vm6JnHQNdHpsee1M739CAaeJl1rfuXI/MYmpIOhpA4fdwxz0Gqv6AcufHSc7n0nN4eME6/X+57Lqde9H9R7mHX2gzSqLMi/dWRGDUO46knuLy2CMQoBaBttNo0BZY5MJ06jds5sH99Zp6kfja2Yv782Oh+3fN+PzN0cd33nRe1VYHpr28Yhsju5ci+j+TfHLTuZ1Fn49d6LWdFyz1+OJCTYxo75g87qewW5cJ5n5nf7IkX7dHDbia/HdbPwVJkoBm6hLOFm+PIro/6zFw8JYv+T9ExnzvyIkr5H4rtoWjDhg0bNmzYsIH/QI3W/1/BtdH6D/7X/4ES9mpCjK41FDpKtgytqTS+2xE+HdfKhBp89cR+bYTsu8TcmkyX5H4nyd6Yyty+IX2/AfbaTBlCXv9+WfxzBWQO8nG46BvQtTHU+8zpzZHdp/IocqZyHju6Tg3i32+Iu7w5cPOjp1bVqWvDoxpBqxKeW8M2sDaKX5vkro2sV2+RayOd7ov2O4Xp0jHsF5Y5sNvPBJdZkuf8NLA7zPL5yZbL/Y7hbl5jNK7Xcf0WdG0k3g8LT+cBgzw7vt8QnpJjPyzE7NYG8d1uIbfm7+83vQMEl5mWgPdq7u56NbDP7Vvftdk2RSWcXxsFr43otdJ+L63NpympWTQni2njV5LFd2md57k1H15xHcurN9W1wff7je0xuvW9r1W1a5P1db5ytsztW1sphqGPnE7D2jidkqInrt+Cuz7iXeH0NKzNudd1uSxK7O76+DtNw1dvLe8zy/K7jeDXhu5ht7R7Mr8zLte1HKNbXycuHufzWnWaxo5988VJ12bftsaWS0e3X9aG4K75aZWiCmytht1+XisdcfF0rQKiZna/Nn1+//2vPizfbzq+rr2cLePbPZ/+9COXOaxzsl6fqTinvZEWJ/+ZtmfyNeqm+W1ZW3+nIfYqxtD4KVV9Gjt5ThlVrZ4ed/S7uIoNrmvkOv61mrUx+rCbidmt99X1UV5nU2g+SJnx0nE4Tus5dR3D6x6uVc3zV+HFtQlWjcQ6Iy7ngdo8kqwrlGzxIZOzIZ7kh/O750BdBSTX638WCBRVqg4L8xRWf6fruF73Unzo2b8+r2fkFde11/nM6TzI46cYnFNTetfldW/0IXG69HRdXoUzwef1fLiO8VUgc/3d6765vm/XR1J0HPbzeqZr7NQkfl1f1zG8VnauwgPvC5evjoTPx3bmqkk8p+t6Kuteu5z7dT9dxRbfX6vrOTt28lZa/NpgfZ37eOq4eX1aKyzAKiCaZ78yG9fXv/qsff9sMcDS7sP7jAHGKax7sWSHD2kVzqgpP6+fPcsYuLkbSY1tuYoeSn5uplfDtHu+31YxH7pIzI559rjmS3fds9cm/Zwty+yx6cRf/bf/89+70XqrFG3YsGHDhg0bNrA9FG3YsGHDhg0bNgDbQ9EPwnjqKK1p17Wyfy2GdJHXRc6WOmSW9wPp3W71S5gvQc2IY8f8bsfj/Z5aDeMc1qbI87s95+8OK0WxXALz1DGe+mbx7+C3O5XfUWnWuUJ6s2dZvJpUo1/Ls6e3B5bJczkr3X18v1tLvdcSa1y8PEpK8w1Z1MAbzx3T+x3LGFYaB1j9eFKyagg9dyslMn7YMY+B+dQzPrbmuKzG2ZLt2vQ3jR3OP9NR0xS4TL28WC6eZQ7Mc+DyOECyTB+HtayeFrc2aY9PPdPYEcfAkpQgn0a/vs/l1MtHZfak5v2k+bCrl8jVA2WeAuOlY1kcuZpWFjdK9a7m2X9p1vjOlwBf7YgfB+bWODtPYaUojK1Mj7qn0/v9SvmkVk4vUTRafOhXaq4ky/g46DqjY3p6jpn5/vgt90OjxDw5OeLsmS4dy6ljvFyb2INiGVq5u+bmb3UJPD3sKKPnclJTZ61mbd43pjJ+c6RUg70maic1s14pv3zWHNVKa5IvjGO3jtMyBeLiWc5Ks56mQHnSnM5jIKfnucjJNp+aJlRoNEA6BeYneU99n4KNi3xH4kV+LcsUqHMbm395q8T2OTC1a7iu3fNXN1hbGR+1lsZTr8baKOo7Jcf8YacxzZYcLfOo90jJcvnmSJz9Wr5PyWIOicfzsI7FPDV6ddb+nc8d+TeHdd9M73bM545aLPGxI108tdjV8+fqBTSNapSeT1rfKcl3x4WMedNzvvS4b/t1jMePQzuTDAbWvbLMnjgGYtZaHu93lHZ2XM796l929bUZLz0525UqytlyfhzaGtC6TW09jK3x9Xy/Y5460VDDgrFQgeWj5m4eg+gyV0lTID71K/0YF6/G/NYQfl3n5l/ecHkc1rnLyVIWR5o98eOgdf2ro7yhGmU0XUQvXqkuEJ02zkHiiVljff17zavOolQs9i/UsH8VntQ27wDT14f13Lp6sD3d79fzKM+OfG7rJlvObRznSWd+vEjkkLOV8OTtkelfvVh9pIyrzGedPdyKcr+eF6KdElTNW61Gey2b9Xquezwlt/pSXR4Hzk+DGo7f7URdNarvSq/yPd+s+NCvHkDzFMjX92/rarloT11ff2r7HWD6MDA+6Sw5X3rS7NfPmpKM9tfo1f7xoSO3MzDOOnNSvtKElvRmr1iULHHR5alXM3h+pjJLlb/c40lCjpIdy+RJjx3L4rk8Div1W6vOvjh2/+8P8x+A7aFow4YNGzZs2LCB7aFow4YNGzZs2LAB2NRnfyeu6rOf/S//E7wK0BWldn/YQVdgttDLh8G96UifLSp5zhazy7guky4e05X2ggEK2E9n8jmArYR9FLVz9lAh3M2k9zuqrbizlCelq9Rdxg6Z+r7HvJoxb3rybcZEUXdmcnCM1GQxJw8vF+rF07/xLL8/QQXfJ+JTjxkt/vVEfOwgVGwrqZdWOg6DStTxoWf/68D4hxO+y8RLYPhNx/xZxr2cyR96al9w+yTvn2gwvXyCTDZwjPive3JfKUPB3UX4tie/ipAspstwCtSuYHeJMjtMKEq1HxK5pTsbA8YXJdKbiruJ5Mnj+gxfD9QvJspZ/4YBd4wrVVVaSZjJgq96n3NQvd9WXW+0SnafPO6Dp3wasV0mn4Pu7SkQ7mbiOUAx+r2uYD50+JMh/2Ikj16p5e1rRi1Qs6WODn8nBU6aPP1xZr4foCtYX6jZYj4G7GxINxksur/RgavY0O4btOYATFVa/Vsl2xtXqGePOSYlWndFCdLf9dgfXzB/eSDeVHixUBentPpjpOsTS6PQyingbhf5WPmK++gpn88amw9B/z07/CGSF0e31z6wx0g5BexoMZ9P5NHjdol80vo2QeOLBdrl217RCVd6gLOHm6j5WhzGaw35YxSV+aHHvp4o9z0c28+1+bYH+eXU69qpQEv59r8aiL8/UR9URq/7jO0yZfS4R0f9bKa2En1Nuh63T+Sz1xpqNKv7tqP+bKS87+Em6X6SwewTxlXRFGPAdVI7lbOHUDEnR+0L/iaSngJkA33RGh0S+eIJx0WKpclTR4+JRu9RwfdKHq+TkuLd2VL6Su0L+ALRYoZMnR0UCB888S7jXizk0ev9isHdLpQPPdxEhsOyqg7rB50ltRqljl885pCoo97PZAPJ0P/oLL8dV7EuEz8O4Atu1yJkZod/G0h3ue1Nh3GV4Vc9yx+N5FFzY+47qoF6GzEnTx0Kdkianxupjoyrok8/DtrPrmrPGXAfPfkuYXeKgqknj72JWFfJi6MWgxvaHvCFeN9rT0QL2axjZULB+NJiM9q5Fy3hZiE+9Jhdwn3Tk380U0eHSe2MHR0mGsxnM92f7xh/vmB8pc4WEy0cE6YpCsspYI9RFNFTwAxtn2ZLTQa3y+TZYUYHh4T5EKh9pbqK2SVsKOTHju7lJEq6gN8n0jngD5Hy7UC5TdhB6xlf8N9pnecvZ53BXdE59thhj7GdS4aaLO5Do86/nEhPAbtP1EfFVM1Xb7nJ47pCHh12UGp9fLMjfD4SZ6nParbU2a57WWNs9bnSSdWW3+yggv18Io2e/mYW/dd+p0QLtj7vq13G+kJZHK5rqsxoqVnrlGrwh0h6lOeev4nrvnM3kfzQYfaJOo/85r/7Hzf12YYNGzZs2LBhwz8E20PRhg0bNmzYsGED20PRD0K5SWCAybFMotHMld5aLDVa0m2GaCEa3N3C8KueNDuIFvumF71xt8BdVBnQtrIwUD502F0i3HtKdtRQ8HcL+S6rxO8r/n0QJVSh3nfkTyLukKim4u499uWsi22vbb1K7MvvTdRoqcmqJF2gHjOlGs1+oydKK5XaoLJpfOzBwviHE/UilZu5OKafLtRWxsXo98v71uVfDTx5hm89dS9aI34eqX3FP0qtUT5RSdkOCRcK1VSNBUC09H85YENWObwrMDvqpNK4/+hUnn0zYB89eXQqN3/X404WO7r1OnyXKU9B1OAi+sZMVvSWLxAKZsjPJfqvVa7Pr9JK7ZhZagZ8of7NHuMr7pBw94E6OcpNIr6QmRnZYKxUPfnkqQ8ddZEsJ40qNVOkJKGNMyB6bCikF0l0RYG8WNGhuVExXcEko3upPJu7FYNxBf9ND0OhXmSbH5rJY/kkEsdAOlRMRvNVgT5TFlEo3ZAoi8NOUpnRFaiQb7LK2e2/eQyYxYrSbLEouKpkbVupnzTKJqqUbtqfGGTg16hN39YFT4GaDXWxVFupZ0WrmJPTPc6W/HYQ1TW2aJabSM2WMut6XaNW/Vc9phdd7fqMyYZaYHmpEnz12qvufRC93GfK62Yq+DFg34ffPQnb/NtONF/6LIp22GVRfclgG91Xi2G5HzQPf7GnzM1k0hfqTnOcLl7r75DY/2UHyajEP7mm7HJrQnkNlbo8K3MwgK/0bzz9e0vdZ4270XUaW0VDV0O6KZhsKMkSvmv70xeN903EhaIIiWKp9x1YJa3XbEQ9nZzWLGBHiztZaihMHwb9nZOxpkkGkiU/BfJJlHO6017Ki+j7Ojqmz7Ooo8lhPnSUfcaNRvTt1GjLptw1ttLtNKZpCtBnzW8o2L3Wc371HGFUs8E/Oq1dJ7rVfvTkU6BMMmOkl/GhmRwYsN+JhqLRtCUb0bCL6Jv41GGi6KX0QnQRVXuSqHVqPp8oyTD/wYjbZYwv+HuvMUF7s0Sr18nN1PZicV3GfNeLpo2WkjV33ETqxVFeJKprZ02y5HPARLMq0myftR6A9BQox4x98lrPczMIPRT6eylIKYYaLXn0mCHLyHL0cN9BNFQHpa9SJoZKaW0M4+MgJdzsIVnR8JOjXD8Dmtqah4BxVffjqs5SX+AhYM8ONyTK5IinjnIXMbkpJJOMGvMpkB8Czmfqpa3/pliskyOPOg9ysmolOPl2LjjsWWpVTBVNbUWFEhr9/j0j238ItoeiDRs2bNiwYcMGtoeiDRs2bNiwYcMGYHso+kGwIUMx+AdHPXlcU2e5uWX23MvcyrSSb4mW8WeNJhot+ZOIOagEXEdPzRY3ZMgy0Kv7DAbi66iSoK/kZAnHRaVvW6VMKuq+92fbSvdgoiW/aOqvpvjxT1bKhsnBw7MKCF9EbdhKvogGILfy58WRFyul0+xEafmmHPKiSepRNKJxFbNY6j5hdgnuVPbe/VYlzunLyHBccI1mrEOmDBV7FhVmXMH5ohLyIUn58lGl6/RHF6l+mjLMHuJ6HflHMzVZyj5TdhlzcZR9Id9mSlcp+yyln6lSilllMkmxINoQU1UuR9RVvXiIhtJVfC91Utg1pYarSr7uM+XLSYqQsyffJkyf6Y4L/smSJo8ZHXl05EXlXW6iriVU0QlVlENanJQVJxk35sVisqF766mHjJ0tJCs1jmlrqyIq8V2vkvUkA8/aSXUWX0jZAmCscracL/g+wewoh0z9dNHaOUYYRYXGJyWJs1ipA33RvGcpq2yX17Gqh0T1BW6j1lIFomgtE0UzGldFnRb0swCPomsYNac5WuosVZZ99BqrIet9P3bUfaaO2k81FBkAvsjYh6C5Mlqr5ZjIo6NES/wkYX0hXTx5dtiXUlSZlwthH0UphUp5rf10pQXLOVAOmXwo616oFUwSJWybyo/SMrtGRzUVk1v22DnotYAyedIfXkQfNlrRzKIjj3/R6bXPnvEnWdTtLmNfSg1YspFK0lbRpN+jGJ0vmLMj7SuX34v4twH/Vko3s0/UJGocoO4y9ZiosyO+FNVqnzSWtFy6EpvSFajHJAPPUWqxMhSMFyVR9pl8LDAUXFM1lmIJfzWI5gkFs0uYXvvP7BL24jAnj3k1079te2BpCsMKdpdIr6SYyjcZM1vsu4DxVXshOVG4Rntm2C2iVR86+m88ttfYlSjqOB+L1vHsNRadVKFunxgfB81XbbRnQffjRBkaX/C/7fEPDrPYVSFch0I4LNiLroOivWcvOgfL4qTgRKqycgrkQ5Gq7uIoTcVYh0KdHWVyuMXI9PeLWRRRV6ijo45O59NQ1n1mfJWqsM/6XEBKxVWB6qrO8MVSbjQvdaesQW4i558nnM9SMUaD+yAzyauJpflkxgyZcpfgNmq8sxRfmEr/N52orLPo8ZKs1I5Va7wMhTyLks6jX1tArqo7Xixaa8k+P13MjvwiUR4D7tGzTB53SJiWfUffMiiz0Tx4UfJmdGoJOHncyWIuaqkot4k4Buzle3mDjY6sUZ9L1otW+4dgeyjasGHDhg0bNmxgeyjasGHDhg0bNmwAtoeiH4TSjBbT64jZZ5UssyX+ZMbMlnSXVPID0VJPATskOHlMQVk9V6VDkBrkqhBisWvplEZr2Y+e3Z8PMli7mtx50T4mQ7WsJcrDV41uWSz2xYIJhfhZbMZtUG8SLFbUSDNyq6kpz4ZMeDFJXfFygbmZLiK1w1U95D56qTx8wd0/l0xtUInYBSlYxl9IKWd65fiUZDC+cPzzjv6tpdwl7CFSZkd6P0j5MjvcLlP7IuUNQEXXe/aNVqCZrVWVaa+ZQKGqTGyr7jPpv/PYDDNtXbN6+k9GGbsVs1KZ1Gam52WIZ0xdDfvy7GTOt8vUj52yeZJZlUm15R7FF6LxeLGIrjxLaVTbGLl9ovZF5n2A+VaKKgBz8tiHAMkQfzqviqFwXGTkNzryvqy0abmTEZ2/XShVFBcG7GSpo8ceInl2xA9Dy4Syq2kbFersSKdAeDnDbYRkWC6daFXbxv5qpHZdr52UejYUzGzpdlJiDf9qJwWWq9RQKLNy6KYvpRAjiD6qXSE/BdhlzJMX3dToVxsN5VYGiMM3AfNq1louYF4u2GboF+4dZWil8GyooWIfpXSro4OuyAAuWvzbbr1fYyulGqqr1E4leRsaVfcYVsWM2SWNsdfrVC+KLC2OOAYpux46UZhGtF6pRnTx1cwzFKlrfMGcPaUpngDGL0Rdd+8d1VaYnUwks8HuRB8bV3BPUp6Wx6aIuomki8dNlnzIK41e+kqa2ns/eZkRDhkTCmFIUqMFXWu5nleLXU3ySEYKnWbuaNo42lfLqvIB0cdko1zFpmqbf6I5cUOWcmwSfVoXzVEdpPibfyJKnbtI9ZXyQms13CyrCevwxpGPWls2FJ13i8WFgp2scsGMqNj5M92Hzh3tueqqzoxR6jZuZbp6pYTN3SIVrpEay92I+k2N9nSTId1l7CdNuetFtceHnrIrxPvhWWF7lOqPs8fuEua7/rnFYJd1/lgZYK4q0V6GjyXUlmXXFMrZEG4X6GWySpHp4VV5NXwr9dxwO0uBdXlWt/khYZLW9GqYeBEVZ5wmrmQLN2lVYTFb0knnzNWkk5YPxugwoxX9Hi3LJ1m/f4yYi8Nc/Np2Qb6+npPB66597hWznnd1dtROCkoWK6rdVNGCQ6F0Upnl2VEHZbNdz3a82i2utH3/rmXj3SbNs6+YyeL6jA2Zsiu4Xq9BMdSLk4lln8kP4W/7OP/3Ynso2rBhw4YNGzZsYHso2rBhw4YNGzZsALaHoh8Es2vl6Fn5K+Wk8rYLBVN5NsX72KmU2ZeVVsifxEaRWCk2nEynUnStdJ9hyHrNpmSx0XD5WVIuVlMrmF5qk3wsotAmhzl7zj+VQsH0yn2qc8upedfoGqN/y8li9wkDmFHlexsKJcuMrY6ulUmhey8VyNWYr+wL9kFUVulFrXAT13J8yUYql2ZM2B8WqQGczO1O/ygy/kLqp5KsVAW9KAiaiaHby7zQfLXDPnrS5KmtlGqs1DzlKYgmasZvBJWu7ZMXvbPPKttmGaeZ0VHuu+cMorPUIsaJihQdJnqQ0BRr0RDHIHPOlpFUXZVq8NzK2Nd8o/c97jZKJVWN1CKHRH0RYXIMv+oxLauNTvRTvmmKkieHnQ1uaiVpA+lHM7RSvtk1tWJfMKEwfKPsLH+IpNFr7EFrpxPdUJoKzhxkyFg+dvo5A8O/GUQpZkM8daJ4b6LK5gZMV1bq0PTlmcYKjVpLVuo4q7G7/DxRJpXh3YPHPnrRh53GzN0rx8ss31Mvdk0FWYxopNpMDrNh+tkixYqr2MWKajBIeXXbKJCWOUdXKHcJc0jYY8R+DCvVm24z5X1PXRzWig6zRyn5arKU6GSceRuVKTY6qd2aKtH1otTqK+UYmpPD9Nqj3Vsv1VgzE9x9p/sxi8X3idv/Y6d8wmNaTf/ce6mTqIZ4V0T39sqEqotd17b1lfwiyYDUPs+/CYU8aG3jqiiaa67abEUlNvrzagBpmnmfO0RRwy07jUX0FKHKkDZa0eS+4j82ldptxD60XKy9qL1y/d25qX0ulnzx+CGBL9iXs1SSbY0zt7Xj6qqMoxlE5mRxtwvhbmb6PGOSIY9OSrOmPkqTJ99k4lkZVmZuGW9nj70PhD5pjF1d/818NmGbWqosor6vmVnXMzQ/iZ42vajI+ReT9lijp40ryjTcae0xZMxicaNdqTVuIqFL5JeNuh5EiUmhjOboejYVQz0m8lF7Ps5e4zJZqSNbvhfJNpWvaNbpi7QqQ2vLzjz8Wkqsko1o3KC9bCatl+Fbj7WV8CDFneuyjCF9xd1GjdE+wfte9xZbRqar4NqaaSrXfKUtbcW+kIoY0Fwfot67UewslnA7w1NQG0Ex1EGtF6L360oxUxv13OhTMzrqm6EpI3VuUxF9XA3TF21/P3ryiySD2r6ITrwuq9mRH7q1LcKGopaJoZCvuZd/T2wPRRs2bNiwYcOGDWwPRRs2bNiwYcOGDcD2UPSDsLtpqpigznk7qwRcgdLUS4e/CjIxLGA6KSXMbKEYZb+MVsqIZHBdK/FVo677pshxjw7jq3KEQCXxD6J0ajFYX6HPMmbzVWVUgCCjvrK4Zu4F6dOo63GtjDvL8C8nSx0K7qtBpcpsMJ/PmL5gZsfNrxzLJyp/1mhVVm0qIBa70jlUqaewLb/IgH30hOPSTOKcKJfRqTRvq8rqk4y/SFKz+D5TkrKqalektkLKIbPLyvbxjeLZy5SsDC1vqCt6f19XRZd99CqPt5Jt9RVjYXq/U7l5tspEu40ytqyGvGtmhK0c7T74tdRfzh52mo/u3lEPTc33YpGB2WLZfaUstNXUsxPdMv7eIqorG1E2rmIWUQQ1VPJNJjzIPJMiyq9EKZ6sr3BMEERBTj9dIBtlziUjo8PJSQnSF+qLiOkz/snKxDMZOCgbDFcZf5pED+xFedR90phdvfUmJ+PCPlNni+ky/sUiEzRXpeipiPYNRYaGQ5JK8iZTX0Xl0DUqOb94Vsn0v22U5TXfyIvuS582pVox9Md5pZzsbChZxnfcisJxJyfK8UpPLBYfMuXicbPoXzuJtuE2iraD1QjS3C7KVYtmNcLzDw6GRvnkpsisWgP+tz1+iFIVFe3Z5VVec/jK4rj/J+2ejgnrCg9/qEw5qmivGip5X1YK6ErxUbWfja/kp0DNoqOorPSpCwWaYrH2BfddR9hF/O0iOrdv62mXViq1nJVPZW3VeeKK6LFiCMdFRpnVSFUUipSXjRJKN1Ls9H85UI5ZSrhGZ+ZR9LJZ7GrEt//rQD9EsJBHr/NmtqvhpnvwjRoyhLtZ1Em0K8VFFQVPAf/hewafgP0omtrtpEzkLlJn0cD1VVTuX7Ra44gWrUU0XJ2d1pAv2LcddfTajw3r+klSUoZ7J7rGAI9SpYU+QS/avt5GqgNzSgOoBgAAIABJREFUkkpWZ2ajCNtLmZ1yFqHR0C0D0DSlobuJONfGeijYTxbRZ41a8rcLpmsGjFe6MRTi8qw6O/2TRfs2t39vBqR1n/BPlnislGJIx6KMuqgxsbOMSEPfFLefzJgkaqu6ir+JmLkpnK9tIqaKDttn8iIjYLqmXm4q5uE3HfW+U2tANdQ+U4dmEJyMzpFmHvys9gW6grtdnk0fk0xKc6ONnS/Y2yhj0F0zraxI6WYqdp+wJ7VFEArmsWWRNvNa53XNtsuYt/2/49P834/toWjDhg0bNmzYsIHtoegH4foN2oTCp38W5BGBbN6Vdg/nf7RQZ0t5Ie8WYxUrYfvcGjvVOE1L/a0F+rtJFaP2zbt8GlUV6TN2at80Ppvp3np9kzVV36YMhP2ib5/tm3586LEPHr9L+Obz4LuMC1nNoheH+av9c/L5TybqY6dGvtbEWn3h6T+d9E3CqZGPp6Bvd0NrEq3Q76IqOC/VPI2p8GKhvlpIi2e5HyAa/LcdFHmBXNPLAaVzn1xrMm1Ny9HhDlGVmNezGuZG+f6USd8g66LGUjNk/Wmfmx93vwn4bzvZ17fGUAB80bcQwCyGehthUPp53Wc1o1qUfH52+LMh32WGX3dw3xFuFyWx3y4sP1pw7zpVC40aGN37oAT65uVRz16pzSfNmX1UknUZFedSu0q9OFmMhMr4ZbPzb42+xla+/N+8KjNG68y9D0oLn9q3U6uIBrPL8jdpDcvGVuJnqthg1Thas4HZ4j86rb8W8+K6gt2l9VvttXGzNuv+evb6Itw8tsKbDpIlPnV03zynyl9+ntRU2uJiajKUyWOfPGVXMMUwf5lWj5marBqDP511zc0fJSWnZlVXyTtFCZhQqMloTQDFQ3mvyAx/t5BmNT7HFxk7Wsour5W12melrRcorTGzelUf6VpszJczRIOpqkLiC/lRDe384qzqRTXw6NeGdWheLNc1OSq2Ji4eN0pEEL5TtILZqxnceUWymALcJMJ3QfE+k8NeHPZthz2pSVUJ56rgVlcx7zvoCum11qF1bR+2qoL1V1Ohti5GT3ro8ENiOXWUxyBPKFfwZ63xGuQLE76T8KCevKpGoVL+k5OqHZ8uqsQUs3o4XZvEjS+MXzx7zOgwNM9p6dmQbzM8edw1SsS0xmtUBa7VrFW8dJuZx4BdFBdT9gV3soqYeOio2eIfPPYmUiukS/NoulbMilkbusM7j5nddaowu0Q6B3mAtfu++n7VavAng7uLakC/SZhRFRZz8dTFyffpswnuWvTP6FXJHSVcKWevqsQhaQ09efwHVbFrMWuCfbo2+FcwpuozoMV1wLUp3Wj8OvnvlItXlSxJAGC6Qr047EliivDgMI+B+KLFHE2KVon3A5wC5smTXiXqTSJni/9tj3WqnlPk0aa0eX2WdV93Eh5ki7ldtD7vA25Iz/NsKvbkmH4S4TZhTCVOHrd/9ixyj15ne7Rq4m5N2WZS9FQImf63AW4SZaeqNY0RiJewVuB81843JGoBxe2UvZrIqchfL2of6LPNwOQwb3rK6+Xv/mD/W7A9FG3YsGHDhg0bNrA9FG3YsGHDhg0bNgDbQ9EPwvg46D8eAu/+WVb5ETXYuWPC+GZjng3mMax+L/bBUy7yj8DIL+TFv1ApuYwtOb2CefKEDyolO59b3IbeskTL8kWU/0lrBjX7JOogNrv3WUnrpVdSeG2l5dzoktqpzLx8EfG7hOlaKnEFisrR9ezlyREK3Qf5Zpho1iZrU1ojaqdmbePqGlVSi1k9Sdy3vfyGhkz60byW3MviqKE1plazet+U7KjR0h9nvM8wOSVPXzx2ttiXs6IImr+ISc3v5KB7MBc1hI6/txBfpdVXx90tz34xoPfbiWKiXSdJJXp60S7lmMk/neRh8lmm7jLdtUER1JT9Qt4Z8aGnvunJrxKXn0dwlZv/fafGXQP21SIaYlfUgI3oLFwVDXanmA0bzRpdYgZRE9/8N6nRkoji2IkiKENZ6Uo3ZNFQQPetfJVqkl+KfxAVaV2VB5Or1J+Nq7dIzVbxAkaiADOradW/a3Swr5jm8UI1stJ/lVZ6ZPlS6eemUT3uvjUzN4rZ7pIiHw4J+2KRUGBUA7xxpSWhs3pBuZtIPgWMlV8Vdy2uIVnCdwFzlm9N7cvaeG2sYmD6XSTczZRDXmlQ03xY1v0yOvLkCI+tEXex2EevZtzRKUagsvps8XLB2kqevHyUduWZPrB1LenXG1GY5aTxN0WRFPGV6NDQizLPyT7Pn6nkH0+id23FfDaTX0bKITO8nCjZiALMojTLXdK5cta+rhUJJVp8Sz550VBVHlb2IE+m/L4XPbnP8GIhJ0f+j0Y1cjeBRvxcURNmL5qfbETrNU+hcLOs3jHMLWplVpxE9ZX4bqdYjiFjmpdPPYvmMq0FIJ/b2pgs9l1QjEo2WsaLgRdRZ+PonmlBVyk/nuAhUHvFw+TPNCe0+BQzN+8gJ9FGnS3+fZAIJSOxx+sF4yruwenvr7EXJ8+rfy4abPxZxAd5DrlOFH88q2naPTpytlhT8V3WnmnnbTnm5ziUFv1jm29a+kR0kzl56o0a4cspYG3B7dLq66Yz11CKkfAlWeosqiyPXvd1EQ1/baYPHx3mi0lxJHdZYpp9wrya5U/XZXmnDZl6zG3PVayp5J9NYlknUVXGF+J9rwicrrD8aNEeykafTV2h7Av53Jqdj5F46qivFsXTPPmVDi3RYs4O9+DXz0f7YlEESjHaay8XuInkbJhftxaGUOi+UsO2sc276L7DhUJaRM+W29REQ2q3MLusNX+N+bGVfPaYi5MQBLA/Gn/AJ/u/G9tD0YYNGzZs2LBhA9tD0YYNGzZs2LBhA7A9FP0wtDKmez1J8XNI5MnLswHgycOTp3/n8J+NvPjnHXlyKn37inUF+10PBR7+i4nd3cT+k4tK4VG+QbkXxXa1Ry+vF8ricB9Uyi2Lg3e9VE6PQd4cryLh5YypBv8oCo3FkrMVrfdtT2reRWYxopbQe6aPHXYymCq/FLqC/WQmzY75M5XS7/61g/sOYyr+kwn7csacVXZWvEejXb7r5IkSMumTptJYHL5LKs0uzWvIF3mluEo+qHybHxWBUmtLbG/qCzNbyq3G2TaPCgzUnez0zT5R0f8bX/A70Ts12lWJYgyyk+9EqYXjsv5b6TQmPASsL4T9ArYytD85RiV6l1YWB9FgFYxF0RGfzSs1ZkPh8b8apfiq0A+L6LK2Rkxo1J2plJdx9dww0WDf9FLWJSs/k0WRHXWxxM8Xqa9OHne7YFpMijFV9vYVltdppTxKdKSXiTx6eXbsGmVnC76ld9MUMWX0UioC4V5KFXtxhJsZsxh8n8gnL1qzlcR9yFLbvFzIo1e0yuczJhR5jARFa/iPjvCmI3QJc0wq8dv6rNq6ellVUUJmyPJXmR3Wy2PHuEr8NMGtlCZX2koKM91DTlJWkQ22zQVWURTGS9XJC/mipL08VOwxYj6fKfc9pVN8D8k++wstlhQ9TKJ6TGhUbTRSFhnRNsZWqcVCwdpCeGyePJ3mMC1SplnfPIWSxhTANEptt5/x+4S/9+SWRI+t8OTpjstKrda+qQsvndYSUlaFDx57lHoqJyvfprMiWWqjqlxbv9YWXrw4r1447n2jiRpVaZpy1YxKR+/6hL9d1jR4s9N6BaRWu4m4XaZkgz+32AhfpfYzWutmEdVed5n8MulaRk+emrJqsYrqSJZ8m0TFt7Vk2ryFk8EPkfTY4W4V+2AKovay5pOuyN+tE91JlVdRWRwmG3lSZbOq+h7/61HeVi2yBQM52pWGrUNWPMfbXuNaDaXFANljxB2j/M72Sedyowmv/mjlLA83ihSX+EItVvRhFZVqssF8NlOzIX05Y3ZJPjy2EPYR+oK/Xdi9HOl+3VNHJ2oW+ViZfZKPXYUyuzXmpWRD2Eepxtr5jRHFVaKlHBO20e3h5Yy5W0S79zpbhxcT9eSlBqV5lxUjL62mTC2Lo+5F89ViGP5yUKTJy0g5tp9fROfX1m5RomV3nEmzX2lIqmH5QvdcW/xP7arUkUPCvOlFpV/PvOSaci43ny5Fttizo94k0WlD1pnwD8T2ULRhw4YNGzZs2MD2ULRhw4YNGzZs2ABsD0U/DL6ppmhJyrZKRZMNzmfsYqihMv9IHfQP/6XSl7vDotRtUCk2VPjYATKhCq086Q4J8/mMC0qOv9IcYb+Q7xQrwWIxn09SYt2prGy7LFOwFwt5qHCTFD+RVbrM+3atfabuVMZPkxc9cmxJz1cL9tlKHfEhYIaMv4k8/LMZXi4YIwowj36lq+rF4//FAbtP5H2hFJVId3cTtc8rlWF2SWaLSRSF+WIiHBcprQwyDewzy1NHbXEYJiiZ3g9JpdPHTukIyeI+evrbGecLeVKsCE+BNCtSwPiCGZ3K5h9bTMLs1pXeHUUHlFvFUNhXi8wpi2W4U8QCLVKl62V6lkfFI5TFNSVcU85FK5VIVyizw4dMnR3pzZ6clcjOYmU8ZisuZLo3AdcV+r8eKLMjHwruZ2clTbc1drXaN5PD9Vkp1cdE1yWpSkIlTR5zSHR7mU/WZljp+ow7Sr2VksNdlIQeT1p315T5+qD/j2PAvJopP50wi6XsswzSDhnvC+6YWCYZwbl9IkUnisVC2Ct+oTwFbv7PQTRDtBAt5ScT8XWjUpMiOQApHLuWEO9FtRmrcnmOMovLzWwQaOukRUyYuiaaA3BIxIeenESRlLEZ/GWpE+vsMEclZ7tDlHqtFxV2VfPYm4h/coqGMS0e4tFLNeUr3ddal+mxw1+0p8rkMV2GR0+eXDPqs4w/zvR9YriZsYdEiW5V/thdgpuE9wXe9lRfsMeIt4V+iNSfTLh/fcS/7RSnEzQm9ezxh7hG/VCg+3VPOikeJH6qKJfdb4LW7cVRHTovZlGVPjQ6IzqGIPVk/djhfnrBnBylGJkCNoq0doXazq3QpZakXul2kbrPlMewxofUyjMlepO0jrPBWCg7RaAoekPqxloNwycjtsu4b3q9zl3EP1n8TRRd76SKsk6K3nhbdFYajYn5bF4NCO3UTDWLER2eZYhYqyF/7DBWsUnpUyXFYxQtYUylezWBgeWin+MxEG4W7KNf6drSN3PZ970o/EERTc7JmBQD5SZhZsWMDF8FKXwnh7lbZCQ5OilETZUK830n+hEUcdRMLH2fKMkSP/aUq0K3vdfy+9NKG5VocT7r8+JFelYbP3SibD90xEkUVzlIfVay6LVaNEZpdoTDgnUF95tB89XuJyeLOyvOhk4Gj64r67jYds4YV2VyOzuWf3xRtElTYVOByanFZNE5WWeLMax7ePjWi3reJbV5PAW1gHRFhp+g2CeDWg0sMiO9UtKh/WmQMjJZsNDtFatizPWg+PtheyjasGHDhg0bNmxgeyjasGHDhg0bNmwAtoeiH4ZmRiga6UqjtfyzbJUT5KRyWk6dFCYGjvtJ6qDHXtk4fcZ/fmGZAzE6xqcBt5PixphK+W5QpNHbgZqsuvQtECr2EMmjJ9zOGCvTPdsMIQ+HiXJQidwgdZm5iF7xna67u52pbwbM2dF1SoAOB2XD1GwwyZBmTz5mzMeAsQXfS9XkfFPfhLJSDCYbxt9fpMi4i1KoeVEv3c2CC5lSLP3+mhnksMcodVHL5FLmGjKe2yfcNcfLVnavL+TFyTzxIMMzCqL8aHRREQ3JUdk5GJTZFZQqXl8ukCy3/yJgRhl7eZ8ZbmflS+0LtahcDFLnjE+DKLuqzLv4bsfuxQRfDzL4A9Ip4IeI60p7LdF2OTnlp302kv/6uCrmuI30u0jJjuXLSF6sUu8bhVMrOFdl/FlUgrat1H+lQmtSGR0j0zv7UZlqxlTCW6/cnz7TDwtdp0yz/NhJZdeMKnOydH+t+7Ov5jafKtGDrtMdI3H2mGiJi8dapbUP7+xK59SqrKS0uDX5/fSfjzLbSxb32HLtXGUepdhJ0Yk22mfy5Fpul9aY95nQJ1zIuC9HwpAoWfd8VdYM3znsO+WeAQy7Raq1pqQzLxZRbBXlL90u+DZGfR+1N4a8ZuLVaPGfjKK4knL1SIb8FDBfTFIVFUP88SKKx1UpAY+Z/mtlm4XPR2yf8V/3Uvx8OsqIzxXR6m2f5WQJnQwip1OP+Xzm+Nn5Od2+UbL5j86kl1LquduF+akXNQr0n44aQwvLz2etq4poOWD8ecS+7eB1o5ZcxdwuuJ3etzTzxyl6bm9kbJeTox6yjAOv4esPPfiK2+v3vG802i6KXgFRlU+iMcpFppzxk4Trtf/Dq2ZCedS4719dtG9eR5nRzjK1TZ/rnAhDIn2xYGyRys5Vuvc6E+0xwm3EWqk1r4RI7QtmyHT3FvPNIDPEIJWVf7DKc/NVa/0go9Q6aV36Tyedre3sG46NNr9Joqs+H2XI6yruLuJCVtZgLyrIftdJBXVMlItUgm5RtlfaV3Kjy3f7hepFfad9y2r0ag0gisZyb3rt53ed9v7J072a1ErgpWosxUh9tXs2bC3ZkqOVwrVR0gwZc98pV/AxYLtMOC54r/PMd6LizaQctjQF5g87+P0LJak9wN0txIee8pnGxA+JMknJKsNKgxsN/bDIiHSouNtlpeVqarTfKJNi6zRPw+2M2WXmKRAGqS2nn8lcc7dfwID/ZNTYmCrFbzGElxNlcoQ+kWeHf7Fo7Vlkcjl5wmHR52somMUSZ99UrdcEvL8ftoeiDRs2bNiwYcMGtoeiDRs2bNiwYcMGYHso+kEwnVRFpRpSM6uqDx3mu55hiLggczYeAmEfydHBZEnZUWbH/tWFw82E9YXjfiZ0qZkdFqk7qvLHzOuZdPHwQhllNVvMyeH2okNs1xRbyCyw66X2KFWKC+OLVFjFMHytnKcUW1aQqSqtHzJLy2bL0WHfBdyHoJL7U5BRnHvu2g9DUhnbVnzLOrOmwiGJVugKvkv4ILXTNAXlRiW3UmR19Mqr+dhhjOiC/quOHC1hJ6UU6BqdL5hvBpwr1ItjmT2cvejKpIy0+Ul0hekK8euD1C+mZVINBX+MpBcaPwo8/WcT5nbBh4x3hf0w4/aJ4+cnhv1CN0SohnkOa5kZYJkD/WcXUrIc/uOPUnr1GYLyzfpB5o77FyN1caLcXkbRkAfRAOaQRAcVQz4pS+ua8WMG5ejFS8cyS9lX3nfrPNchSyVWDKEpd4iGOhTqq4i5OMqvjqSfzIR9lLowOVGKXrlkdVfwFxmCupCpf3TCuvqs9HOiYOvbXhRWo0Sqq6TZkWZP7QrLP76QJpmuxXMHfaZmi7uJhBcztRq6zy9SDn4xU78d6A+L8p16qRXdl6NMNpOBUMhPgfjQE2ePc4VhiPiQCV2iHyK7F5PG6uxZ/vGF/CrSNZVeKUY5XK9kOGosojMWB7NlGCLhF08YJ0q0ZtF8/nahH6Jyx9peSjdFypl9wj3KrDJ0z0aFPrR8uCgzuuXnM8waZx8y+cfTqhKa57Dunfqxa1RaWd+rPyxYW7CmYi6eOXrmUerJeAmiM3OjBJthYm75idf9h5WazT5o3HJb//lOpnzmrhkuGui6xHLpRAc+BU6XQfv5legPE4py8dpZ5J4c/XHGAPPUMf75C9HbXgow4yr1kJtBYRBFErTnrC0sU2A3yGyTqyLSNdPMtq92+0Xq2iFRiyW+24GpxKcekwzlfU/+xSTVXLZ0/3ZgGjuZHiaLdRl3kDJ1+lGkfjFJJTZkSJb4RcTsM/4YZYYJmF5mh/kpiNLxhfLrgzLLbKXOlv6wkB6lRKuja3S1jEJtaPl3tlKGSp4dYad1dNjNVCvVXt5V6lDgRspVOp3z9VVc6Xf7SWtb2EkVV/cyiizJUo9Sk5XZUSZPzpZ56gi3i9RbTnmBOcn40PfKd7w5juxvJ+orGdTWfV6pz1r1emkWDWZfT8pi67LMRoHdcWZ3O0nl12esr+yOsz43siFnq8+YaOD3LqL6bCV+qfVsGp1LNrhDgj5johENnqVSdL7o87MaSl85vBzpDwvOlkYzy9iXKM7Y+YL3RVSmEZVnXcHeyPz2en/xqcf5wu5mwr/SmZGzXVWRf19sD0UbNmzYsGHDhg1sD0UbNmzYsGHDhg3A9lD0g2B9wR8i1lT6m5m6WLrXF/hyIrjM8TDRHRbsqwXnCzVb7G0kJkfYR0qxzI0iiFklPeekfApBXfN2yHR9JLwLqzmdDRn/elK5/aycqBQd+dKURUVl7dM3R2p4zvvBVsafipYrZ48xkKKnRMv+biQnh/OiZsqnkXwQDcaNDM7Mi4U0e9LiiE8dy+KlGPlqLzO1ybO/neiGRHdYyEk0XX7oZMZnKv0QMRZSsrjbhW6/0H12odsvlOxI/2ikthw1Y6XYKKWVaX88SjH3yaTr3CesqfhbKauIlnr2hD7hv7zgbiLD3SzVnSsMu4Vws7C/G5WHVgz1viNnyxI9cxRNWIphPIu+6frIMESMq/R9pCwyY+xCIr3d4V2j+M6ebq9SeM6WnBylWPwhsj/MoghMJbzWPewOM8tFlKLZSWVVo8W+mqmT4/bLJxku+ix1zTGTzwHrsqiNQTl0eaicP+4giHoLuyi6KCjv7UqTXpUmYb/ILNQXyh+c2R9nXYOpynhqyrU6O/xHj7sYGWhWUQ3712fRhaC1b4tMCXeJ20/O3L68QIWuj9TazPA63VuZHPaLiRQdpinzzCL+KEdLuFWmV7ibMfvE8WZqe0TUk3eFGN3/w96bxdqy3We9v6pRo/qazWr22t3xOT6xE8cJxNeOAOu+BIg4Qn5AIkg8RCgKjQSyEYklkCKhEOCBCIkEEEZBdEECXZpHCAKiRMlLgrBsfG9kSAKJk9Pss/dezeyqH03dh3+t6RySC04U01zmJy3tteesWWtW1Rhj1vx//+/7SGNDFEu5P0nMTOM4VOJot5nkQhUjwZw7NfUy38iclOSDiWlUQiu0okRTkRNF3QTurZxy3RJd9GJo2CumRz3DPsG7ENXNtEkwMYViIBmnFjUrmvpazPKUdky9Yhw0kwswRlFkI+nDhvE2Jc3GY5aT9zJ/2jaB0hBFDj9nSuEDwtTNGXuB0Es2RJcj4xjhfYjOR7JiIC8GposRa5QYks6Ut20jUciGQpE6FxKlRrL0tMfcZLR9TFH0ZJkYlyapAS/zwV8NxLElywfixJB/aEtSDpgxosoGolQMasNOiTFr7O6FcLL2dQrnQ6bZrE8tRqxVkHgxcA0nsliyyvw7mWSRxULjJssefdnBUlRqQ6eJEot5XeZSGEl+XzibnLpdLPRtYsmeRajYE697UcFpf1Qf+YMmq/o511DW1uk2gaeiwhtHRXHZYow6ZhWqxaya9QFuVPguIggnVGmgMnJO+ohsJdSpf9wLrX52r9oyDK0WijeYxJjRiepSRY54JarVe5NNvRwo/++UMPKYfSwmiDagKEXBnGYj+UWLn3MrvQ1FVegUhBNuCvB+zn0M7jPQQkwrKtEoExNT74TOjK8V08uUOLFChQXymXS/xk2zstccYog8blDED1qm3BHPNLuKPEkx4ox8npB4wtySZmIufE8hBlqUuVXZEUSePB+Y9ETfa5TyWBeKynhee8LMkuYjWTLK34kdw7NC5uG9weReWjFC7UiWPXaQz0c1tyeYIfqyGe6v9/P+N/SqE0444YQTTjjhhP+f4XRT9BVARY5IO7JsJE/lm2kcWwKg6SQuwbkQ10o1KKukkW3oNDq+TwAOKfOeodf0tVQt1Bx9kOYjcWLJkpH4a/fk1UCkHctlK3fjqcFXVioj82uyi5ahl+bbcGEkLRikIjA3uTEFEIpV//3de6IteTEQx45JSxNguDCU5y0XFwfx35mrXVHsyM7k25TZJVQf3BIvBnRqKdMBaxTeScNdnBjUQr7Zd4eENJbqgtmkkvo8f8ObpkB8KJQjrOYm63cy4qsWFUmDsT1oovk1cexYrFvMNiHNRqLQoyrD2dOtfAuOHK6NyJKRKLNks+dIlo3EkaN80JAUI+njBoCxj+Qb8Z1cgyi2XJ4fCIKJcVScn9VyzROpEvWDZiocxkljbXLVHv2YvAvxNqDMBsqiFz8RHzCNijSR8+h9SFYNUsGZv90V8zmN1z3GKmna1PINLCkHgiE8Ntbm606SxwtHoLx8C74YjuMsff0gFaVBEQQQR47uWUmWGsJa/Ejkm6A0Mg6blCiWRGzbaHAB6Qd3+Nc6ksWA7TUcIhIt/kFJMUr/pFEMTUwQSqO9nwLicsTakDwfxG9GiTePLqRhOs8HpsyRpAZ1McyiArn+xaLnbNGyXLZEyonwIJSG/tF8uao6NjFpauRbPNB3MVHkWJw3ZPkgDZVqIssH8SbSjmLZc9hnmDEi6EO0kkpouW7x7r5UEBA+bXEu5HxVS3NzLJWIoJ0rn1cDkwfzTiHxOdHENIn3SpLNlavYSYOoCwiVpKqbIWJ/yEi0RGQESLOrc+KhEihp0J5mwYNeDpSzl0+k3dxwLl5NcTmi1IQfFWEo3jJhOKGVIy8HmKSaUSx7dDWSrfrjGkEA4y6RaBFAL0aSB62MYy1VqzSTRtl01RMEE2k+0v3siq6VOWxdKM2uwUQUehGRAOkrB5ln5YB3Af4ukSpj5mjfKaVqkniiyDGOEeVZi87Er+vQJlKBeyzVZl0NpNnIumoJgoly0aEzw9m6kfUvMzgrzfNFLhXPNBulKdtIlaN7n3ilpYkhTgxZPsB8PIvH8l7HMULfRmSJITgf8D6gXLUilgk9vJMR5SIs8TbATwG+1tIMnM/VEeWJYsei6EUoEzm27y5IUkOUOIqqJ1t3hOHE+XlNdiHrWpqNRNqiKqky5+lIctZB5AnXA1XRU3+TnI/svCNKDdFypEhGlouWPDYk2lIsesLKsDprSMtR1tHc0vcaM0YUq07mdyHnTBcj0xSQ5QNJOuI2CeYmI/xQjbrqGHotx+oDhl7jXEDi/00wAAAgAElEQVT5dC9jZ4xQhaxB4ezDptIvi2JMq9FzhMy4T0gXA76L0MqhUkdayjrFXOlyPiQrB/pBQ2VYlB3GKIyRsT1NASqeI2lcyP6QMwyRRP6sR/nMjLxU7TJLv0/IilEEAMF95X72JRtDiTT6DeB0U3TCCSeccMIJJ5zA6abohBNOOOGEE044ATjdFH1FCAIwRlGmA/2omRaGgNlXR000nTQYXz3Z0Hcx7XUhnjlA38bkiZE04rnsW61blPIkiaVtE4p0JE/F66XKehJtiLUljw1jH1GmA8WZNEgnyb3HykRZ9uIrU2uWy5a8kpJwoMTKnsRJ01psWOYdOjdYH9LPlB9qoih6ggC0ckxTgNklhMqTLXrSTBrdqqInSB1VOpAkBh1bolAa5tJMmp+n2SvDTwGLdXtsekNNaO2IQimlXy7ruck8JAjFyyV6tSYIoMhGXBuRrHuMUzM9CGU6EJ/1hMGE9aFEWUQOP0ozbpAIvZVmI96HdG0CCLUZhZ48Fav7oux5dLkjS0aqV/aYISJJLFUi536aaaYqHciLnmGMSBLDxYM9UegZhwit5Vi1lpJ4nBnKZJCIkCZh2saEmaVpUkwfYYz6cgOj8phOE0eWbI6pcC6EIaRIR6FbtcR7jNe5JK7HQjGqwkAgVK63AelcTgeIYosuxfukGzTRRU+ZDviF5cH5njD0OBeSpIZ4NYhfyCANmkEq12ZZtWjtyKqe7PFMISpPmQ1H76KkGHFNxKHOCOfG6qroOSta1Nzou1o12CEijQ1lOhybdMuiJ1KectXincwlFXryZKTpElTopVkcqPIegDiyRKkco+01jKH4YZmIKh3oe01/l6LXPUUi41BoOC8N7VNA9riWxHEbYK14jalgIlt3ZKk0OqtgIl/0QhX6ANWGVIvu6OO0eH0r8QaVEU8aH9AdEvJlJ5EqjUTqeB/iK0ecGlbLButDcAFdFzO8m4v/UmaYTEieSpr8MGicVSyyXmJGZnpPa2m+j2f6PS0HvJfnrFUMJpoXJ6G2E20oi54sGVkWHdVa6LggcRJvUwj1NLzISWPDoU1lbIae5pBS5f38tybiD+5ZVC2bm+pISwThPPcyI6KJyLFcyTzPywF92TFNAatVwxR7yrOWYik0UjyvF/f006LoiRPLg7M9WTKSpUZ8v5QjT0e0cqSJNDoPndBCaS6P68hJC0Ayoh90BEpiVJiZEutCrA2JI8vZsiFLRvJkJAggzweiDxxwU0CcSEuBCiaSyNH1Gh73xInEmaTFSJIYglzaGpJU/m86jR0iEX7Mr1s/3olIxISoYKJI5e8F8+/DbYYxEXk6khc9SSJjOo4t6wcHEY8gUTNpbNCRY1V1Rxo0CCZGqzBOxkk8e8elsUEp+UxJEkucSMtCqDxV2ZEVI+dLEVnU+4yhjwlMwJRJa0CcWBGyNEKXny0bmAIW6XBc+8WLLcSPiiQ1VGVHe0hk7MyfcVk5UF3WxNqSrHpGq44RMVk2SitG6BnGiHj2Flqf1eSxIU0My7InntsLnAlZrRuCABZVy+RDWRO9+EmlsaG6rFHPE1YXsk7Vd7nEuYQTOrZynLGXxvrfAE43RSeccMIJJ5xwwgmcbopOOOGEE0444YQTgNNN0VeEWDv8XUJvIqxRnF0c6HrNatFSZlLWDrVntOJJkV205IuerBhJMlFwXC5r7rYl0xTQNinTJGX1PB/YfvGcMIDttgDAOEU/iALr4eWONLJclA3ThKhCFgPGKOLIYW1IdiGqjTiy9G1MGEyYTpOWI5dnByLl0aHH9BHjGGEPmjD0lOctE1AUPc6HNH1McdlSZANDr1Gz4iQIJqpVKyXjUKIkACLlaOsEa0OGXmOcYlnJdmlsGIeI8rIh0ZZDkwoF5YROynKhnKqne7JEFBndoMWTpdNclA06thIdYsTu3vmQtk0IwwnrFEHk6Yb4WCZt6+TLSgbAjhHdIAo9M+8jnD08jFUsl+2RavM+QEUO60JGG5HHRpR183FuNwVZPjIMmr4RWm4cI5LY0tuIQ5OCD4jOe/JiwHWKat0Sz8fgXMhy1ZJVA6ONSLUl/kIp4+WyZTCSdN93Mfmjmui8YxwjtruCvBD12n0JuVx2LDOho5wLyVKhNF0bSakZGG2EyixpZCnzgSIdWeaiektjQ77oUZklX/Q0bYJWnr6LRXU2U72LdGCwojAsLlvC0HPxeCe0ceiprwu8DxmdIk8Hmi4h05Zi2ZHGht5EnC8anA0ZTETTJlirjuOtNxHbJuN80VDXKWUhnlx321K8ipzMJ60ccT5y9mTLsuyOKkT3LCc965m87N8aJdcxmMjTETsoqmzAWEVYWPRMERinKLMB50Py2LDrUsZRjjPLRuyF4UFVHymSKh04W9U8vdwQRw4VeR4/2sg4jg3VVU1eDrhGo3LLsEkJA1Gc5VcN1iimeOJ80Qilsu7QyrN4eGCar+mhT4jPhMKSaActSeguFBVdMIny6d7/BvHXYZLokt2+wPqQu5uKbZ2J0iqxpMV4jE7xk4zPcvZ/CcOJwz6TuTMIpd5sM4qZnr54sOfJcseqlPWlG0X5tpppcj2vP/fRMtMEWWzI5/XoPh4oS0ZGq9CRpKTXnSjbdCjXeH9T0NYJvRVKsB81ceS4ebE4eraNg6wv7RDL80r8uCYrHjeqFPrIGEWSWLTy5NrQdAnNEJNogwpE/Xp4Xh3XdjcF9CYiijzTJOrNZSk0ZN/GhGrCOEWiLcOghRKMHe2oGWe6e7Qy75T2dIOm6WNibXFefJLiM2lzUHP8xCLrSWPx91pmPdaGMs/XHToSj637z5pEOeJI2gOWmcyb+1YL60Psl0qMUUSzemuaAuLYkmhLu08pY6HpP/y+d7lYH1i+vuHhow1VKuvvouh59QMv0ZGjiEfSbKQzEZGSdoc0NqSxIVsKTa4jOU5rFX4TM/SaPDFEoWd/VxBFHjNGnK0amjqlTAcWZ43QeqGcyzLvqZKRMBDPqiIeJX5p2RFnhs1tSX9IME6Jqjn0XF1tWS1aoQyDCf01B1Z5JwrCM6H+pwna6wK314SRP653v16cbopOOOGEE0444YQT+O9wU/T93//9BEHAd33Xdx0f6/ueT37yk5yfn1OWJd/2bd/Gixcv3vO6N998k0984hPkec6DBw/403/6T2Otfc82P/ETP8FHP/pRkiThAx/4AD/8wz/8q/7+Zz7zGV577TXSNOW3//bfzr/7d//uq3KcJ5xwwgknnHDC/9r4qt4Uffazn+Vv/a2/xW/9rb/1PY9/93d/N//8n/9z/tk/+2f85E/+JM+ePeP3//7ff3zeOccnPvEJxnHkp37qp/gH/+Af8MM//MN87/d+73GbL33pS3ziE5/gd/7O38kXvvAFvuu7vos/+kf/KP/6X//r4zb/5J/8Ez796U/z5/7cn+Pzn/883/RN38Qbb7zBy5cvf13HYZ3EMmzuSspCSn9patDKkWnD0wtRp1gfEiopY96ra5ZFRxh6ksiyXDS0TUKSjgy9pipENVN+aIPzActlS90ntL+w5GzRoEKPCiY6M8cfaDHcy5KRIhvpZ0VDmQ3s9jmR8hRVz7LqwAZUuRiyrTIpd6flKHb9VzVaOYYhwpiIZSbd/49We8ZRkc0Gj/eRJPeKLOND6plu2nUpWnnO1g1FNrKoOpK5XB0EE4lynK9rgmBit8sJkNJ0byKerHeiVFm0DKOUzO8VGM6GqNmi/8GiFmO8+XnnQuLYEkeWwSoWVSfmfZMoixaLjgAxq2ybVJK4tcN6oSCmKeDmUKCVl7gFp3AHza5PWRQ9QyMUQqSkhJ1lo1BLoefi4jCrzxxxZti9vRTVHaKauVzVRzVZGEwSLzIFjPPxRZHDzeXtRBu6UZP8nzd4H5AlYmyWLgYxIQw9RTZi381F4RbNqiotCq1FOvBiJxRAGHriyOGcxEEUyYgdFZtdgdvFOB+yeWeJdaKMKfP+WMp+fL6ja2LiRNQscWKIlGf/rMK6kAmo9xlB6LE2JNFy7rN8wPqQ1dWB7V1BAKhwYuz1UcXYDjHey99UkWccNEliKLNB6ACrGIymvS7QoacoRXG2SAcuz/aEM9WRZXJMi6LHOlFL9V3Mvk8oXt+Rxob1sqEftahwtKi1VnnHYt3iZ9NEpTyptuzq7Div+17TDDHFPJ/STEr6cTXKfMlGhpnWMfM4dD5kaGJGK+NJzbRF38Vk646i6CkfNAxW0R4SOWepIT3vUDOdNA4R17cVZTpwuT4wAQESyxAEE96LkvPVB3cEwcTZsmFVdCyKHmcVYTRRZQOrquP8vCbP5Zz1XczqrGExK0qdCykzMVnt64S2TUQBF315LpULMftrdinNIeXhow1FLHS0Cj12Cjl0KVU+sN/kGKO42+fsn1c4H2BnhWieDmLMCeSJwZiIw/OKLDZs7kpRoUUOPxvI9qPmMMSU6cDqsqZadGwOOZub6rhOqFQUbg/O9lysZ7PImc6JQlHFZlXPRdlQlZ2c69iJsaALUaFnVcoaUSUj/ShKtvyyIY0N8WwsGQYTQ6+JtDu2QNzdVBSVKHCbtyuho+cx5LqIrk24erAjTwxZbIgjKzTxbSZKNB8e42+0dmSxwU8c50Qa2SMdlqaGy7ODUF5DLPPDREyAnwJGq8hiw7bN2NYZKpzQkaO+KYjeX+OMYrfNKbOBRdajZrXaq09uME7MEVNljudPh55MC31nXUgayXPGKVJt2dxWhMFE+5+Xx2NQytOMmttNyfmqpip60odiiLtvUjk/iWOZd2SZ0KXZ3NaQJyMXecsi72nrhCoZ2ffJcYz1NqJMBtLYsCo7kmIkmiNxFnkvSsPQH+df08XoyAkF38r8TWPDq+cbzp5sWTw6yDo9RzP9evFVuymq65pv//Zv52//7b/Ner0+Pr7b7fi7f/fv8gM/8AP8rt/1u/jYxz7G3//7f5+f+qmf4t/+238LwL/5N/+G//Af/gP/8B/+Qz7ykY/we3/v7+Uv/sW/yGc+8xnGUU70D/3QD/H+97+fv/JX/gpf//Vfz6c+9Sn+wB/4A/zgD/7g8W/9wA/8AH/sj/0xvvM7v5MPf/jD/NAP/RB5nvP3/t7f+2od9gknnHDCCSec8L8ovmo3RZ/85Cf5xCc+wbd+67e+5/HPfe5zGGPe8/iHPvQh3ve+9/HTP/3TAPz0T/80v+W3/Baurq6O27zxxhvs93u++MUvHrf5L/f9xhtvHPcxjiOf+9zn3rNNGIZ867d+63Gb/xLDMLDf79/zc8IJJ5xwwgkn/O+B6Kux03/8j/8xn//85/nsZz/7q557/vw5cRyzWq3e8/jV1RXPnz8/bvMrb4jun79/7r+2zX6/p+s6NpsNzrlfc5uf/dmf/TXf91/6S3+JP//n//yvejxSnsVS6KxEOV7uS9Zly6bOuagacj3y9HKD8SFXVc2z7YKXNwsiLcqVKhkZZsXRk8stYTCxaaWMf3234Op8R4AoIbZ1jltZdOg5DAnWhex2Oa9cbcjT8Zh0nmjLoUtZpAPNKInBd7tC8oHiDvV0QzOIOmCnHQ8WNXo2++u7mCkV0yzrQsp4pmz0yINVzbbNOCtaXmwr4khM6bRyvPni7FgSTbUYsp1lLT//7IpXHtzRjLEo14xGq5ZpjGnqlLN1czyXeWyox1jKuMqxKjuWSc/oFcVqpLNa1HNOoZXjax+/4J3dEqW8KDV8yFnWMriI1mhWeXfc9s0XZ3zstTe57QuKi5FfvD3na85u+dLmjMuzvShglCcKPdlsdKYWI1UyMNiIhw+3uLm8vZ2vz3rRskh6dt2KDzy8ZtOLcWGfDaTaiqFa0vPFNx/x2tMb3t0sOCtaRhNxXrQM6UAaWd65XXK5qnl3n/FwtefGRlwWXz4vYeipMqGQ3Hy+06+7Jo3EhND7kHYMSYqR20PBqhSVn7WK8/WWuks4X9Us4gF15bnMGq67gmbU/B/f8CV+ebdGK8f7VweeNwv2hxzyjicPtvgpYAKqbOAib2iuYh4t9ry5WVNUPY8Xe+66XK57ZNk6yRV7sD7Q5jGZltL+4wdbornM7aeAMJh4+8UaFfljTpmeS9pFLGXz7KnhzXfP+ODTl1w3BROwrXOGd3MefOAWM5sZWheyf1HSVIar8x3dqEm1ZXvISFNDlowYp7gsGgYXYZziYXVgPyYkiSGJHJtdQZwY2jqha2MeXsh+7vY5VdHTNOkxf+26LlDBRFyMHNqUIJjYB1LyjxJL08dHFeQ6FzXg6BThvTKvT/jg05fshhQbW6p0YHSK/hcrXv3IM5pRKLhdnfL4fEc9iBK1SmUs2vm488RQJUJXOh9yvq6pkgE/BdRDwlV54OeeXbHOO1653NCMMbsmwzkxGM21Ya885xcHIuVohljO06I+Kq8uzxuGZcRdnZNrw7ZLjyZ721mZV2U9rz655TDEsp4tG+72Be9/es2+T8m0oTgz+CngsqhxPsCvA4p4ZFx2HHYZY2rxg0KvhaY6NCkPVkKxx8rLOPQBVToc15hMG8p4oLOaXjmi+QfgqqxpTUwaGV6aktcvbmlMzK5LebLYcxgTHhQ1Dwuh3pIzy+gVuy7l8WJPPSZU6cBdk/PgfM+hS1nmHc6HFMtesr+U5+L1O5wPyLSlHTVnD/YMRpMoRxhItl0zxGJsueg4bHOihaxVeWyI847ORCzSgSI2GB+ySju2fUY9xDLOlDuu67e7QuipyrLrUlEIx73QtIGMjcFEpKseY9QxuzFRDuND9ExPx6Gjs5osNdz2BbsmO173wUpGmfMhvdVc5A2bPiPXhg++8oLBRSQfviGe93letKhAqPo0siyTns5q6iGmTEY2bUZVyrnLYkPdyxzTylEko7RgJAP+/ICd2zASbbneVCyrlsHIZ847d0uKbCAMxLx122Q8XB4YbISfoNCipjVO4Waz0HYUpbadQh5VB949VKzzDtX/T0KfvfXWW/ypP/Wn+Ef/6B+Rpulv9u6/qvie7/kedrvd8eett976H/2WTjjhhBNOOOGE/074Tb8p+tznPsfLly/56Ec/ShRFRFHET/7kT/LX//pfJ4oirq6uGMeR7Xb7nte9ePGChw8fAvDw4cNfpUa7//9/a5vFYkGWZVxcXKCU+jW3ud/Hf4kkSVgsFu/5OeGEE0444YQT/vfAb/pN0e/+3b+bn/mZn+ELX/jC8eebv/mb+fZv//bj71prfuzHfuz4mp/7uZ/jzTff5OMf/zgAH//4x/mZn/mZ96jEfvRHf5TFYsGHP/zh4za/ch/329zvI45jPvaxj71nG+89P/ZjP3bc5itFkQw4H7BrMhZJz6OV9BrdZ0/dtAWLpOd2X1CPMdYqPvjkJa8+uAOgjKV0XvcJi6SnjAceLfY8Wuz52scvWKcdq7Qj14ZV2RJllhfbiuvnSwYT4buITZtJCd0F7PaFmOE9L9DKsatT8tn4Lo4smzajHTXeB9hR8WS9o4wHFunAWdXwtY9e8up6w6NqLzlC0UgcOvJo5CJrWOYdSWRJE8nX8lMgRpIXO1GnxYbLoiaJLKUeeHq5YRn35NqgwomrhZSrd4eM83XN+1e3rDKhyaLQ80olN8RX+YEo9FxmNZdZzZN8y5Niy6N8x8Niz1V24LXyjo8+fJtvePCcRdLz2vKOUg+8r9ywTjs+sLgB4JVSzPReyTacpw1P8y1xZHmc73hQ1Twq9lTJyNNK6MtXVxsOTUqeS6n+0CdSfrZSXn+62uJ9wKNqj58CHlQ1q7jjMm9Ypx1FbDj0CdMUEDJxtm44TxusiXhS7FgWHQ+LPU/KHZdZzeRDLrOGatERh6IWO0tarFNYpzjLO16ptkxTwGvLO2LleFLueL26ZZV0bG9LggCGbco3PHyXR8VeDOx8wEXa4FzAo2LP+8oN7RDzNN/ypNxRtynruEOFE1HgqfTARdbwdY9fcL0vKeOB91UbYuV4bXnHZVrzeLXn9fKWi7LBuZAPLq6JlGOwig8sriWnKjWcZS1JYlCBGN+dZVJiz5ORi7IhT0bW61pUOXMe2qvVhlwbztIGPwm98rWvvKCKhTrsRs2y6Dh/fcNoFU8We/Z9Qh4bVGX4wMNr1mnH48UerSTv6FF14LXVHam2aOW4yGrKeOA8bfjg6ppH1YHtPqcsel5Zb0kyw3LRskx6HlYHlJp4dbnhbNGKKZ0PeH19x/6QcbU6ME2iwIyU0Adl0eN9QN9rLouas7SlMxHrtKPuE+o+YZ13XGb1vO6ElHpkMBFX3/iSTZtR9wmHNuHh+kASWbZ3JWdFi/Uhg1VU6cCbN2uaPuYwJNw1OWlkObQpl1lNPSRs9jmpMrx+dUMQTJynDYcuoa9jikwoi12XHk0jw2BiGDR3bcYq7bjKD9RtymAjFonQM34K6EfNYCJWacdZ3vHq5QaAh8Vexmra8P7FHabTLOOOs6yltxGPyx2jEyPBD52/5P2Xt5TxwNdfviCKHV/38CUXV3t6E7EqOi5XNft5Dj2pdpwXLVXZoUJPGExcFjVZZFjFQsuEoWdb55ID5kNWsWy7G1IeLGrOkoZCj2SxkfFf7LhMah7nO14rb/maxQ1fu3zJ6+tbrtKDZKkpJ0a28YgKPedZy+NyhzGK1y9vWRcdT6stu33B43JH28dc5C3NNqM1mkOfEIWeB6W0J2Sx4dUnN0JNzRRoGQ9Yp+iMJtcjz5+vyKORMh7IY8NZ0ZJFQjmVseSVvbLY8Uq15YPn1yxmOvGqPDAMkcxl5cnTgfWiJQo9T8odq6SjGzX7JsX6kM5q3BQwmoi7JidPBzZthp8COqNp+5gHVc1hiNn0GY+KPe9f3BIEEw+LPe9bbPia5Q3nWcv7ig0fqG748PoFr1e3XGY1D/IDT5c7LrKaV9cbrqoDdZ8QK8fV4kCajazyTsZX3HOeNjR9zGuLO9LU8KTc8TVXN3zN+hY/BSTKSuZZOrBIe8ksBO6anFXazWOv5elSsuYus4ZuiHl9fUeiLc93FWdJw9edXXOR1SyS/tf1OX+P3/Seoqqq+MZv/Mb3PFYUBefn58fH/8gf+SN8+tOf5uzsjMViwZ/8k3+Sj3/84/yO3/E7APg9v+f38OEPf5g/9If+EH/5L/9lnj9/zp/9s3+WT37ykySJ8Pp//I//cf7G3/gb/Jk/82f4w3/4D/PjP/7j/NN/+k/5kR/5kePf/fSnP813fMd38M3f/M38tt/22/irf/Wv0jQN3/md3/mbfdgnnHDCCSeccML/4viqNFr/t/CDP/iDhGHIt33btzEMA2+88QZ/82/+zePzSin+xb/4F/yJP/En+PjHP05RFHzHd3wHf+Ev/IXjNu9///v5kR/5Eb77u7+bv/bX/hpPnz7l7/ydv8Mbb7xx3OYP/sE/yPX1Nd/7vd/L8+fP+chHPsK/+lf/6lc1X59wwgknnHDCCSf8d7kp+omf+In3/D9NUz7zmc/wmc985v/zNa+++ir/8l/+y//qfr/lW76Ff//v//1/dZtPfepTfOpTn/qK3+uvBe/DOauFubwdoUMvJnizEVfIRJYYFvHAtasYvZi9hcE0K0cCIiUZNir0GK+OOVw6dPi5dO18iHcB56uWa6MYBk121lGlAzf7ArNNSc464sixerKXv5saUUxkIyqcSLSYAW77jCix8/sVpURnNIOLJLsolO78+zKlnwI8X/793oAsDCZCJkYbkSQWP4lC6v49D07huX//omxIIksQTmLwdr/tvO/9mOJ8wOgjye+ZQvnXK0YfETLRW02sLMYrOqfp7Zz3NCt87o9h8FKuH30kZntez+9J8nsGF9EZTRaZ498Ig4nRK5ZlT2/EvC2O3JzV5ImAwUUMvT7SAfUYc57KsRivGJ06qmTCwB+Ppyj6L1/HKcB6RRQ6QuXxiNkfiJmjncQgMdWSn9Y7jfMho4vkXx9hphBPQBBOhLHD7zStjYWCm6+PnUJME2MnhZ3EZHGYz20c2/f8XeNlm85qnJPrcT9WR6eIAi9j3Evelo4cg5dlYpoCOicGjcE8rgFi5VBKXheFnmE+p1Ho8T6EgyZ70omZpVfY+2OzCh9Z3CTXJdGW0SrcPF+CYGKw0TxqYHIBxiuheQiOjzdGVC5+kvk5BtHxvHgf0FlNWfS4SV5vjaKdYnwp/4+1ZfRyzl0XES97OY+pqKnCULL8BhORxWJQqrXDjNFxHqfazufeyO/zuAYxUfQILRWGflaMTngNzSjns1y1x3MZJBOjUyxnKimNvuzkr+d9Hw09g4naxORaxneiLWMihqVKeYpkpB31fG4lT+tiWcu1CyEMJ5JIKHJr1JHaRcscCINJVGGzOW0WG5mjwcRkA/z05eO8Hw8hMr8GFwGW0SmY9xMEE2r+3TolOVaRY/RCid0bxoKsMXYe/1o5VBgRa1FjBoAnIArmXMd5bst6Kuvrr1yDQ+Y1g4DeaVJlj+O1bROYs+4GG+GVtB2Y+fV2UuRFfzy+zmquHm6P5oC/cm27nydh6En0xAS4SYwkxahQ8/jR5nh8bhIT2SiS1of7cz16BVaOcZjNDY1TKDXhJ+i6mLNlw+aQ8/hsh53ntfUhVT7gJzlu40PJTbwfP7NiDsBaJcfrQxZxi53kmKPQH9fKKJB8OjOF4DSd08cxMfpIrq2Sa++mEGvlmNQUYEaZU8G8vZ8Cqmw4XhfrFZ3VFHqg6zUsJYMyDCacD0mUw/uQZdYcx/b9MSZalIRaW3on8z1SHusVvYuwk2Lyht8ITtlnJ5xwwgknnHDCCZxuik444YQTTjjhhBMAUN/3fd/3ff+j38T/rBiGge///u+n+n3fwhRFhOE9bRPOuTQh8Zx/1tiYieC4zd2+ELVZLsZjWnny2PCyLumsZtdlNGPM7b4giKaZkol4+XLJ5EKuzvYU2UgYeZo65WIppnRh4snTkSAA6xQ6khyrfZ0RaUcSOTJtqfuENLaESsrTE6I4uHmxpPWaKQh4uatQyqOVlLsnAm7agh7t48oAACAASURBVOu7BTp2jDZiMBGJdiTaUg8Jh11GFDu2bU4WW+yk5v0INXf7zoognd9HYjh0KTdNSTPETIHQSUnk2HUZdlK8eLYizDwHk7AbM162Ja2Nuety7KR41iz4+TcfUU+aIID/9M4VeTHy5naNnRS/vD2DAJ4fFhzerXAF3HYFtU049Am1TRmd4qYpGGxE5zQqnHj7boUKpUyrlJS2mzEm0xYdOToT07YJ2zZnVXRYr6hNwvP9gsFGtEPMNAXEkRzr892CTZfTtQlo2LUZBsVtW9B7PVMIYs6ZJYbOyDUYbUQ3xATBxNu3a8ps4M3bNXHseFmXtC4WWiCa6O4yysc1o4vYDSmD0XRNQpBMOBVgJsV2yGmGmG7SvKgr4sjjCNm0OUpN9F5z2xZs24yHqwMvDhXbPieJHG9u1rhAcVOXvNssuNsXqMjzTr3EOoWfQt7Zr2Qs9RoUHJqUVdFxW5eMXnLzbvYl+xcVi1XL7bYkSB15JuX/1opp4WFI6IaYwUYYH+ImRTvErGeju83LBeWi5613LrhY14xO0XWixGptzO2uJI6FQpoIeLGvaNuUIh/YDykqnNj2Of/xF54QJo5IeQ51Rmc0Sol5Z2s0N/uSNDG83C84HDJWZw3DGNFYyaDqjCYMJ5xXMp/C6UjxqMjTWU0zxkxTONNUMtc2tVx3QHLIphAdeUYnBqJ+CihjAwH0VmjTXZsJlWQjMROtDowuQivJQGzGmDCYaExCGgktGkeOl4eKVFsOY4qfQjGW3YlacZn3XG+ree6FBCFclg1v3q15uS9ZFAMTsOsy2n3GetXMuVEeN4V0RvPsrXNWq5bbrsC6kJu64NndmvOLA72LuDkUFMnIfkzRoScI4OeeP+Du2Yp0MfILLy6ZfMiLmyVh7KnSgdt9ST9GXC4aPAHXh2rOTRRKb3SKm0MJAXiEmhmt0JfOhySRo3ca4xVpZGlMjJkUzZjQGU3tEg5jSm0T9iZlM+S83Sx5c7fm5lDiw5DWxKJ6ii3NEAMBt3WBmWnom03FpCbu2oI4srysFyjl6cYYFQplKvQQPLtdMQyaUE28fLFCp1au20yZMVPYg43ItMVPIfWY4KeQ20OB1kJZvftijSXkdl9y0xRiWmkidOS4rkvS2KBCKPOBfZtRZIPsoy3QkSdW/qhOSyOhCNsh5n3rLZs2p0pH0shS6JHeRzR9wjLviZTnnd2Su17W3Zu24LqueHu7YlPndGhuh4L/+AtPMUmAnRRvb1e8uFtyMAl3dUHvIop0xE8h+y7FWUUcW6L5Pd12haxNTjNNsl7t+5RNl3NRtbgpZAqQFo95DoThxCIdaI2c895qvvSlK1brRtboPsEH8tl2VdX0VvOzzx4xKXDG8+b/9Vm+53u+5yjQ+kpwqhSdcMIJJ5xwwgkncLop+oqglWPzy2u6UdOPmiIe6UZNpqWR67op+KVfesCLt9a8+eIMaxXLquVs0XKYbfUzbbhtJCqhG2LC0GOt4sGqpu4TAqAdNevzmmzRs+9Tcj2yynpee3R7bI4be2le29UZeTJyGCQJ3ZuQVFu6UfNyX9L8pxWR8pwXLaWWCATrQjDi95FEliS2hAHsx4R6SNgPKe2oeXq5Yd+m5MkozWtOmstXeYdOLeMYHf2L3r5bSap0kzNYxeUrG8zsI9KZiGXRSUPqnEa9O2QchoRUWwYTcflIIg7qPuHtmxWbXcGuS1mkPZ3RvLxdkJYD0xRwvSvlm9ihxE8Bhy7B2pCbmwoVetZPdvzSu+f4KeCX/tMVTZ2yaTKcD+jahGHQGCv28EkszavTJI2Zo43YH3Jpwp4bxZPMECdGqjJWGranCbpBo+fmxU2TsR8kRVwpT5aPNHMa92FOgn75/1xhrTRnO6toRmkMfrkvGef09c27C5JEmnlXVYdxIc2zipvPXmHmpm3UNFen7DG9ulq14jlySNg1GRNw2Ge82FX08xh9a7MiT8SH5a3bFZk2OCdNrUUy0g0aM3/jvW1ymtucPBl5sD7gfUDzi0umeR5cVI34uuQD2zojSSz7IWVVtmy3hTTIx5aHr8qYfXK5ZXIBTSfn4n4cG6cYmpjuzYpV1nO9L4++X96HrC5rmj7m7FI8wZwPWC5bLlc1fRfz6Hx3TBTXytG3MXFieLkvmWbhwM2+4OHTO9wUcPPOUqqv6YhzEr/QDRJLE0eOKHLk5SCNr23Ma+sN49yEelk2pNqyTCWB3BjFuuiIIokwsD7kbluw3Uh1+HZbMk1Q9wkq9HSDZt+mFPGI9yHvvCtryX3j7vaQMYzSRHy7Ldm+uSIKpQo12oibWuaE8wF3LxZc//wF9ZAQBBO/8PKCLDbs+4R9n7A7SAxNMP/UQ0KRD3RtIr488zku0pFl2VN3CdebikOd8fDRhm2XoeYm+gB4UNRk647RKQ5tgvMheWKwg1Ro3n2xIo4cmzpn12R0JuKmzbFjRFga2lEqc2k2cvVQ/MlGp0gSw+WqxvhQ1r9gIoo87dslhy6h6WOGTrM9ZGy7bK4eSDWxH2W8vrtd8GJXcdfl1J14Ob24WzBaRT3I8V4fSm6bnMFFbOuc/bOKcRDft32Tsm0y7DwXJNHeEinHNAWkuYwVYxRhIPFIcWQJgolDm3B9KBmMVDSCcMJ3EfubAoBhjHBeYlQO3ZerFNuDxAfVYyKN69qQxJbORAxG8+jhhmXRkaRGPMjKhiob6E3EMu8kHiOStbN/t8A6xebzl7R9zL5PRWRi5TOiNXoWBE2s4o6LUmKFdChzJgo9Z2VLpg3Gqdn7y7Opc7TyOBeyLDvOlg3NEBMAyVLmwNt3EtO1qDqqbEBrxzBoVOi52xVksSFOrFQ05ybrQ5/QD1piQrJefO7SgQeVeN7t+wStvAg65utcpgP1GJPMYoMo9Jw92jFaNUcgiThBK8dgI97ZLUnSkevbCud/Y7c3p5uiE0444YQTTjjhBE43RSeccMIJJ5xwwgnA6aboK4L1IfGDlnXeYX3Ii30ljWI+ZNelbHcF+VnL+tEeJpi80AWDidjclWjlaI1Gzd4tei75x9ry7PlaKBunMHOS8rLo2NUpxisOQ0IYTLRG09QpRfVe6/IwgLpLWJ9JpMAwimcDj6U0PjpFbaRBc7spiNc9ZhR/CefFP+PFf77A+YB33jqX0ujNCh25Y+K3JHLHZJGhzHvydBTfFhvNNKB4UZjZn+asbBltRNMJpVOVHaHyjFZxuT7QDLFQWoMWf585FbwqelTk6HqNmWNRIu0oM0lYz9ORLB9QoSfRlr6LydORvBoYbcR2W1BWPYOJqB4fmLYxkfLS5J4PFNnAWdEej/ven6mMB9pBvGzM/NxgI/rrjDwxs29GwGgV7V2OmhtlD3OqehBM7JsUYxTjqOiGGNtoAmAwEYuvv0Up8TJKs5HeRMSRlJv7Lqbbplw+3TL0MftDRtPHdENMetVQfuSWuhfaglA8bw59wjIT35T9Jsf5gCQ39JuUXZsRp4Z12ZJoKz43sTS89zZCa8ehT6jynhd3i6PXkZ8CstgQBBOrBwfsfQp10ZG8r2YYNKNVbFop/wfBRBxbFnMZvBli8lLS241R6NDT24h6iIliR5EN1Lc5g4kYrUIrx/r8wNkH7hispJEfuoRNm5FoO0fWOA5Nys2+4O7dJU2XsO9SqrIj1yPtPmXfplxvKspFR18nKOUxczNwFMmYC4CwsBLlElnydGR0inKmzqxT1NsM7wOGQcM8H7VyTLMnF8BhSJhgFhVEWKvoR804RmT5yDRTLVk2sq5aEi3RDdaq2dMoxLkQlTiWWc9dnfPixYo0NWSJeCJ5H8DCcKgz3nx+hnUyHq0P2dyWpMuB9JUDvYnY7grCL5bsDhkqFFoyTiyHISadYz7utoVQn7E9jrnBRdRdQj3TOirylIXMm12dsutSgmDiZl8wOIlnudsVZIlBhZ4gmLi62kmTcm4IQ49S4oOlwokwgHLRUVQ9hzqjzHvxnDKyNk1TQKotsXK0Q8w4RjR7Sag/f30jSeyxoax6zCHh5rriZlMRheKZU6QjIF5fzgUc2oSh0+z3GTq2DKPQ38Yp4siSaEszxqzKliB3FGVP12vydGQxv7e+kzkHsK1zylxiT4ZBs65aIuXIi566lZDzVdkRhp6mTWa6DXQ1kCwGrh5uRQgzz612L69xPjwmvBsfHj256l9cst0WNLtUnnPSCnEfIRSFnjAQmv9yJR5T/agpX9nT9Zr4G3Ysy47b25JDn9AO4tX27vO1+A3ZkNu+oDOazkTUo7RK6Egop9bI9m4K6UbNIhevtTwd5LNn1LSt0H3rquXltmRo5Lrd07Qq9KSJjPd4bk0Yx9n/zCq+dH1OP5/Le8HAYCOMU4RM3NQFo414eS30Z79JUcrz7JcuaGZBhpu9srTy7A65rNXKs+8TtruCt37xUtobnpXoxNLN3na/Xpxuik444YQTTjjhhBM43RSdcMIJJ5xwwgknAKeboq8IWrmjWqlIxmNZsBliukHUFX0r/it5ORAqz1An7A8ZaTHip4C7Q0GejMSRJLGbOa5ifX4gT0b2TcpZJXbmYTBRFT2Djbi5qajHmBdffMBqKeqBpk0oMkledl5K7nfXC6GN5tT3UDm6fXqkSIwPySuhkJZVx6FNaWY6I33UME0B66s92+uSYt6HxC3IENntcvFSslICV8HEdp8zTQFFNhKFniwZRTkyxAxWkcSWoRPFXjYrqwI4lsGrfODupmL4+QVBIJTM+DzHv1Vw1+RksWFRCF1ojZzze2+QdI4RAUi0lPXLRSdU3yEVevJBO6vJFPGsFuutxIN0g/gQ3VNHYTjRj1Ju9VNAO2hWT/aMVtGN4m3UtgnlhahBmn3Kxaqm3ohibTEnp9teM/5ySbrqGcaIYdB4H5IlI22f0L5ViQqvyQiALB+JX4hqoyo7irJn6GO6TTaXpScOu0xUFsVIv02ZpoA3v/gIYyJ0JlRLX8eovUTIDHUyR4yE1EPCdlsQhp66TxjHiN0u59CmuE3CZldwsawZTISfoG1S2j7GT7BrMoxTjIPGGkV3SI/J396HaOW4OxQYp2gOqSimjMb74Eg/7Q85cWJR4URx1jFaxTjTKKm2xJHE0GSxIY4c/aiPUQeR8thRxnS67jF9RNskbG9KjFfkix7nQtJsFLrBy7i0TnHYZSTacHe9ACAIJ8Yx4nonXi/dqOlGTTPErLKOat0SBBOTD9Cp5dl+QT9q2l3Gs7sFt7clL18uud6WeC+RHdaGDCbCWYX3AcWqY+xkDA1G0sz3m5wkMYTKs2sz+n1CVfSMTjF0mrQcsFaUT4B4jWWGNBvJipGmi+fzHbBYt/SHhDQ2RKFn+f+y9167kmRplt63lZm5+1ERkRGRWVVdVd1s1PSwMWTzhgDBG74T34pvwRuCAAECxKgetCiRKuQRrkxsxYu1j0cOSYDZdUMQsAUUKhFxwo/ZVmb+r3+tdXPG/Z1orE2I1GroQ2QTEkNQ4vjV1cTpn2+Zz7quWgxP48DQRabHoVHThqGLHM89t1cTMTmW5NhtZv706YVUeIuUirlYPt9fXdREQxc5N/XYM0V2mnTN53NPKYbz1NP5xLQEtv3CrtN5N8aAMZW+j+xupGqakyP4zHnqebEd2bwY6XcL11djU74t5NLWhy14X+i7hPOFkhRpEULmvIQLDeiaWmxJDuMLvc/0bU06I9+pYbMw7XtiFF14OG4u539uXj8gyu60H3C2EFzm5e1J55qBkh0vrhXXYm1pc1DZXM+XNVHbuKXmdfVw3nD71w+8fHHCuMrU1o0xVVRgVquDs4XPpy0GqRq9z4pICVlxHdGzvRYdbG0lZcvdyyP7qafvEn+6f8HTacP9hxvuj1um5Hk8bLh/2PH+8y2HqedpGki5xRNly/EsNbIzFe8znx6uRQfPHuMKIeTLfcWkaxzHTpE/WVThaem4//aOF9dnXGuheDpu+HjcKTIpOe7HLdYWzseezdWMd4XtK+1HeyWF9/d/fMW7H17weN5wGHvy2fNw3NK1cTAGtm9OeFfo3p5ZxsDh/PO9iX6K9aVoxYoVK1asWLGC9aVoxYoVK1asWLECWF+KfhZSo5Gm5KVaasqBeQ7c7CYZbVXD6cPuosrpdoriKMXw8f4GYyoPxy0xW5bfX1/M90Dl0PlhwADv7284TD0xOx7PG168PPJw2GK+mbAGDu+vLqqmp6Oojq5LkAzj2PF0HFgWT/3jDhZLzYb9eeA0d5yepIIwprLpF0yfefz+hhQdp1H033A7czwOHI8D4xKYF8/xMFAOgfvzhuPDlsNxIwPEQaVNaxWh8LTfct4PPD1tZft/v6PuO4Yuymyri9wf9e87nzjPAddn+t/tOe+lvOi/OeN/c+RqmEnZXmiOvIhynKJniU3J89RxnmSOuUTP8WnDPHv6TRRds5mZFpXoxyXw8MMtD8etzNoWj7GF8dNW5oxTYHrqRQseNkyjIgD2D1semjnk3c2Z80mGfLd3Zx6PGyhwf9ryuN9yvZuoxWC+mdj0Cyk5rC3sDxtqNeRs6H+hGIl5DFRg00Xs7448Hrd4VxjPoiKuXx8pxfK430I1pOSoxWA3SQq/v75n+rwhHnr8328JQ6K+lTJvuJ4v5f7P91dsr2Z6rzI7/7DD2EqKDnOzkKMs+fcHzQumEmd/MUC8f9phXVZkxIsTpYi2sLbw+LSTQuTTHc4XmSS+u5E6awk8nUX71crleuZZNE7MoiX349BS2kVbDl2UIqkpErshcppUkscgg8zbiU/HHSlZajGcT4Mom0Wl/5gcxkkFZTupKGsx5ORaad/JzHMKDCFxXDoOD1vOD+3+Dx2H91fM50C3W0hTwDx02FDIUVRXzpb0ccMyB7bbmekoqggD+086B2ISxTd0UdTBouuaFkU3GItUnFPgPEnNs9s06jpbzsee9Hkjqvdpw+ncc/PyRPlJunqt0HWJD09XnPYDT/sdn/Y7Pv1Jpqr7H67pf32k34oyTLPnNHb0IWH6TKmwGSL780AcA4/7LSm6y/x3XdKc94neJ8ZJURbHsefw7Y323uQ5fLiiFMth38wJ96KHa9Jei1kKvHEJbe4c79/dcRp7pimQs5VS9mnDee7ISeaD1lZKMTx+uuJ0Gi4Gusep5+HjNdOx134+dnTbiGtz8/DDLc4Wzs3Usu8S+8OWcvZM0VOK4ek4kIrl8HknM8ON7nVJjpws0+cNeZJSb/9px+k4kJLD91K2HU6i0Z72W53lLd396bQhRtcUtt2FzpqWwDgHDk8bpih17vnUt1gXR02W82kgJsfD045l8aSmhn1eE0/jwPn7K3qftQai1kDKlmUWBW5M5XjSWX9qZ3kISevwXmM6RU986qmPHfkpXNoEjIGPH244jb1U1IfhQmdfX43Ms2d3o5aG08NGFPPUMX7c8vS0pRatmyV5pkUUlr2OnOaO3bDw+LgjRc3zh483pGKZm4FtiY7x2HM8DjJUtYXtTvRyuFkwoUghOnVs/0nnyfE0SK367dDGXCrlevLMp+7Pet6vL0UrVqxYsWLFihWsL0UrVqxYsWLFihUA+P+vL+D/DyjFkqbANAV227mVlC0l20uZuY4Ou4uUYjnvB3yfCF1i+rTh7hd7zlNHzlIhdX95AOB47tltFpbFg4UxepzPHN5d424WDDLASounnj2PAK6SZkd+6OE60m+UY+UfPTEUht/3lL85k94sUAzlEJiyJWwivs887bdYW2XuZiu1L8RzgGJIo6X6ikkGHMSQZZp4NVN3yk+zIbPbTRc6JCXL4jw+KLE8ekeOUkMYX/AvI/vDltDGLC6eWrjkSoWQpdS4mpmngPPKhMvF8vjxCtMV7McO+80kumMj47bPTzvMTqnly+KZHwdMV4hjYPPizON+iw+ZuHieoiMfA3ff7LHNCG2zVVm2e3Pi835HWhwmFBkb7ibGueN4HLh5cWYcu6aYU8bcs5mitZXti5E5es31EiAbnM8cTwNlcRAMm+3CHD1p8aRiMA+B+lJmf3NTLi3HTlTT9xvCb4/kbCnFEJ967C4Sx4ANhVoMx+PA7mpi89WZ6dQxfW3obMV3uV2X1B+lWHynrLqcLdOhJ/z1iXLucNsFZwzpfuB48phdwgQjymOxHE8Dm83CdOypzmBdbXSN4Tx13OwmjK0El8ldYrofcL6AhRid1E4GqDAeBmwo9MNCPnv6F5Hzqad+7glfn/mcdlxvJ6zJlz03jR3d9ZkQMuPYsd3OUMG6QnymH4uhzg7TFc7HLSaLOhs/bDG7JJVSMVLWzQ4OHvebPSlrjOLkyTvDpw8vIBns0RGj5fqbA8enjXL+zoGwiSTvoYDvdI3pTzvM25l89KTBYlxhHgO+S3RXmc5n7vdDy7NTVt70fgdXkWUSfVOraMRyDCyDpZ4dvJJC7Jle91+NOFfor2aMgSV6UnTkRbSJDUqlx1Qw0P+7DfOrwvCbE+PYcffLPeMcmA893ECdHFcvj5wbrXyeenKypPsBf7T4vzqyaZmHnx+u6PrEdOwYrham5C+/a3waCF9NnD5u2X51xl1PpORwXirUeQzkaKmLJewWTseBMjvO72949a8+M546trcj89ThQ2LcSynmu8w0ai88PO0o2VBmhx0y+SlwrlJs5mzpr2dKMVpfm0ScPSd1JDC8GtkftuTRETee7W4iHQJ2l5jnphg8Bt4fdY6dxp583xO+Pl3S7t3tTPo0wDV01y0HbfaYzx0HV7G28njasLuaODel0+N+S3zqMdvEU9lSFkfYRN3T+x5ez4QhMf7jLeXtjPncsXyT2QwFZovbLTz+eAN9xveZH969YHsz0YfI9G7H7pcHhm9OPDzt6PrIMOizr7cziytSb7asxFQsfOrZ/PrANIka45cjpRhy9vjrSMkGa8G7gjWV07mHxbJUzU982DF1mZKltLRN8Vvvexj0fIjvN4SjJWVD3WRGq5y05zVcos44Z3WWh1+fmMfA1c2It4VcrKj7Arv/MFD+uyeAS3tJSpb0acC+mhkfB8zkOP8m8aKLypgce+xfHfFeNOPDuxvsTcRMX86TfwnWStGKFStWrFixYgXrS9GKFStWrFixYgWwvhT9LKRkSKOYxnGUmmD5dkeaPOc5EKPDTA5jVfZ/xu1uxF5F5c9Eh285S8sc6HwizerQT9FhehndOVdw15G+j4R/v5VRlqngKsYWTFekNOoz3RCJ0bHtI/ntDMkS/+YM1cjYcLHY60gtBu8ztRq6PrWMJ085eWyX2f5jB7ZSN4XwYqZ2lXCvPLMQpN4JLmNAlBAwToFl1pjE6JTdVCxdl3Ehczz31LNn2CxYl6VwayVm4yqnpw3WFqaPGw4PW0oxlO+2zOdA+SSjtXC1UM+e+nYmhCx1D1K7UQ11ckxHKfewFdPKp9Mslc34OGBtoUSLWaT+uBijuYz3mRilSApDwoXC4dsbzpOylHzILNGz2Sw8jQPH80AYEodHmY0Fny+mk+OhZzz2EA3LuRM1uImY7wbRJIvHukqdHOU6YZwUUcdvb8jfbrG9PivvspQv544UHe46Uk4B22gb6yrlqCwiayvGVvzdwvC/XOFbXl2tRvl2syeeOpaHgenY01/NxHMHVfld8RwwNwv4Sr+VkjCNHhMK8diRi8X3CQwYWzh/dyUV1bnj8SB10aFlvtmt8sqM1ZpxoeC/68FAzYYSdU/uITBPHTUbeLFQi5GBYFGp/Tj2LIsjL5bH+yuO91tKskxTwPpKXEQ9hT5RFwe2Er7roCvUbWL8tCXsHTYU9octddJ6dUOm3Cgvb1oC6fPA5nrmcNxgXMGeHbyZMZtM7zM2aC0ZLTncyxljRW2VYshXhX4TsdvE+XGDC5nNbmEzyEj04bBlOQcOD1uWWeaU/tWIue9wIUtNV6UoMkPGeO295azstYp+V1ocp/sNIWTmMTD/KMPUmoyusUK578iTVJfjv57ILxM5G6iiDp0ruEE09e7Niat+4fD+inKQ8WxOlrrJuFlq2f1xw3HsubkeqVXGl3HxTRXmtG5Cyw50+rtn1Vc6ixZ3vsBjh3uSGWLNBr9JmLdSRj63HVhbsLbih8R46rGuUB46yuj1WY3WHjYL7m4hdFpntdFk8amXwvD7QXT5/aCzoUItYHzFh8Th4xXuWipA5ypUoCu4TcZtZcxb+yLlXTGUbAhdwh+t8u+yJU9e430XyUntEzHKpDRPnhIt8RSgfb61BY6etDisy/B6piwOayv1m4k6OtzXI/HQs0SPuxFN3r8cIVpKNmxvZAp7GnvMnRStz5jOovVDJ6o4F8v5SaavNRupkF8sBJcvY/L8HEjRUbKhZosPUrSOc8C2DDvjC+f7Ld2r6aJGfG5TOB0Gum9OhJuF5Ryo20z8OrL53mH7TJ7183mSUjNsIsbAw2GL+9WZZZLJsTNV+XBAfOjBVU7/1cT5k5Swp7FnntV2sPnFEarRXBkwUZmZz88fAGel8KTtWarhz8H6UrRixYoVK1asWMH6UrRixYoVK1asWAGsL0U/CyU5/CZRs7mogsptwvWZ8dgzPw7U6wSmUh47OHmVcrPFWDjeb0UXoNwyfuxVYg6F6djBxx4fspQWxV7M4Za/FRVWTgHTZ7ou63P3nUrj+x6qkcpm9JAN1hbyLJM4dxNVxp6tMqve9TinUnS8HzBDpmbL+S9FnWCrlBOzZXkjZVdOVhlGVeaQts8cjwNpVoZYLZacnEy4Wu6Tc5UcHfhyMetLo6d8tyUnq1Lu2TG+uwIL9ikwf96QbxM1WeqmqJzsKrs3J8ohXGiuUkQNYSqEgh8i+IrtM+Xs6bYqQRun0nipMtSrfWH+sFXm0hJkGvcPt3ifOT9uiLNnu52pu8z0eaN/U2S4GJMjRsdy7DC20u8WSrHMTdVlDGxvJurs8PtmRJYMJVvKLybGU4+xVeX0UCBZzDPddxOxvzrTD/GL2mKRMqY+duTFQijkfUfJhnz2mI0ohJQs+c056wAAIABJREFUm92C85n93/3n+UoaZzAnqSLr2RFnjw0yqcvZ4DaiDTAq9ecH0V3Gia4NjfYs0YrGfbngfWa4minZ4XyG7zdYUymTZzwMmMegLK3NQvqFlIImFLrtouu6zpR7GSHWqOMnF5XCn44bKbOyE0U8OfrrmXr2ovPOnjI5jK2UbEVTFMPyNkE1WF+gK8TbTEmWWnRvQ9fW92JJ+475w1b3aSr5c49xlbLJmHcyrHs6iNp9plJycqRjIC+WnCzx3GF3Un3WbAkfAsMQOX3Y4V3mfO7Z9JF+t+A+i8o9L0Fj9vUodVARdeGcxqacpCoLg2h1qvZ0LYbuepFJ32KpfcH5jJkd5eQpZ487S/0GUsEaW7Uvz1qf3olumufA6+ujVGR9wd1qXIyFsF2wsyiWsoiWztXQdQnrK/no2R82lCQazPpCPgTcRmvk2UiSxcrU0BfwlfJmVp6jrxrD2XF6ktJs/OGK3MYgzY46OxnuXUeIBttnbJ9FbTd1lLWV82lgPvbMxx4zZPLZw1+MysH74ImTVHLGVWq0Mv+7nv8zarmcPWYUTVsWnS3YStcnUWtG6qn4MpGiJx8Dxhfq2eP6fNmncQyk7HB9pi4Oc3aYTnRV+qRzxLpKKZZ+E2GRCrScPWbIOse8KEwA4wop6iznc8/5O9Hi8TsZpc6nTiq9oOfR8TQQF8/+uJHqeUhat/uO+dBTZ81N6BK7ptyNzYDU/jDonpqidP68ISfXWjUq/a1MGmsxlKRnS383MWwXQsh6VhyknKbA9LcjZXFsrmfy2euZ2Ux+Y3Qsh46hj5TRc3rccBo7prFjPPfYmwiz0x62kBeL94X4MGB9YZ46nG/7us+YFwunj1tqe94sY2AcO2qxhOsv+Z9/DtaXohUrVqxYsWLFCtaXop+NNHlq86mJi4fW9MsxYLcJ44u8GfpM9apoHE4DJRnCbqHfKgqkZkP4zUnJ8dsZ6wvVV9nUD4kYHWnyl4a6OrY390/yOUrRMbyc8LuICQUfEqexx98s9C9HfctMhpos+UmeFQR5u5ReFajz0wazS/qmfvqJVZWtari+idjRMZ06NTa6QgXS7NWoPXqMq6pamEp5P3B62lCzYRo72c1HXffyMOgaFku+S/jve0oy0BXsi5lwN1EGVVBMn9nejXQ3M/NJjcYA9ipSkqpd07kjTr41oKNvEl2mjGqCNKaqyXKvKkt9P+g+TYVdYj72jGPHcujIX8+Xhtdhu3A+9xhf2Hx1pusTOakKdIllOWqshk5VnZTkx7Msap4Pnz35ulyS1kurDlqn6AxMhWwItzP1wyCPHVtJs6oE48MGugL7gFmsGgajhWTAF+rkMKPV/VQ1dE+TUuldr6bx1P7H554yeeo2K/LiJspbpDVNx4cW+fJuwIyW5Z9uYJe+rIXWKFqPHnOQT1aJlhg9y+JVtUqO8nbW2PQZTp5yk6jFypclWlX+zlrPtlWgtt85DKogGatk9eOHHctjr7TzbDS/qfn1bJIa0RdVh/LsiKeAOcmjyPQZ22WcL3S7BTupEldOAaKiFvLscEcdd9VVKGp+r0OReKAY8osE0RD3Hd4XarIQDWVymoOoWJHhjx01W5aT/HTi68iyeMLtjG3jm5/9y97O+D4xz0G+Qq6JBKrBdYpeKcVgd18q0S4USjKYIWO9qofLu63OnFa5qq0RnGpI15kaFfNgR0edHDlqrFJUBI61lfKpp3eJ/WnAdZm8tGiIR33DHv9yUVTNYuHoOe43TJMqvf5azbK+T+TFkZ86NS77jLGV8dSRpuZN9eOg5m1XqWevb/C1nS+z5qZWoyrp9wPnY0szb0IJjgF3t2hPf+xZDmrMTp8Ux1M+9armzJoPWpWFCvNvn2NS5LllN0nr9nEgnhQXZL4d8NdfqoemVaCfG3TNh54yq1pmNmocDp88HAKmNbDnZOEoX7Jzi+Yx0/N1yB+r/+ioThWysqj52GSJYFQlgpIlCijFkmdHOQXyIUAo1JcL218ddU1vZ+IY8H0iJcfyfgtRlcv0k0rXdjtr/+/UkIytpHaOSfDjyfsOHzLpZRubdv7Qq2Lnt0kCDl8of9hRWxXPtob7nC3Hd1eYbaIOBRsyxlc9K7rMPGlc+JMiX5bFsxw6di9HrKm4g9Pz0rSqeDHag10hnwKmk9AhZ4vZqUqYR0fOFvuxw2+/nFMGNBetsmddYbdR9TzHL03p/xKsL0UrVqxYsWLFihWsL0UrVqxYsWLFihXA+lL0s5Cjwz56Weofm2+QVfMhGZxX6b4iXwwsxFkpxNaryU7+EKJSnCuiOpKjzI66y+D1Z/kU5F9yDOR9p3KtL/BmVoNopTWjGmqj8tIiyk3J72o+rZNTw9o+XBrnqlc5PWwXfJ8ws8M/tSVgK+5zoPvoYVTZl2paI3FV+X9WudbtojxyQhFdZ9QgaFxVs/hRtAWLxd0sWFNFC2VDuirU0WN8vXgeYXTNxoqSMqZSR5VVT+93aq6bHfVJtMX2ZhJt2WX87YKxun6zyWrUrIa6TbiuUHYZ+6TGReOLGjjb7/Nd4nQcLqXX9NRRj17RJbOnHAPlDzvydWY5dJhXM8u+5zR2HN9fqUEzFOLjoEbyTlTHcyNmmTQ2oUvUs5cNfWnN+tvM9p+DmlyHRDx2mFDUXPpqJuwN9mxFzQ5qrLWbhJ2tKCPbUrmPgbSI2irFKrpicZS+aJ76LK+XRg2UsyeeO9xNlLfK6wVuI/nrmTopxuV5PcxR1Il5scCQ8R9FjYaQtUYfejWynhTPQjKiIrKh/jBozG3FRKM5rKg0niDt5VWUftxiDITbGdMXrCuilLPh6vetebcafJcxN4qmCYPotBoqrsvU599j1YhbX0RRX33G7BLzuy3dbiHfZFE4QO3ks+N2an41bb3aXcJssj5nEq1GNZirBK5SZ8f0dYKjv/xOiprqc7Icx54cHdPYiRYYPelejcXOFeZjD61huALTw0DJOgeYHWVyVHTPNhTqx16U+QdRhTjRw2bIUAz2KsKg5tTnCAaC9qL7rCiU+RxEZ3WVKQVSEpVzdTfKP+3VSI4Wu5dvmpnbeDyKfu/6iLEF6+SlZYMa2rsuET+JNq/7jm67gEGCiWqwo+iP/NiJ5losJht5jyU1qZdfTJdkdbLBPgXci/myR+uLiNtk5tljXy46AzYZc3bgdUaFxzZmBmoF1xVyEoUYuoR730NXCJ80HumriA8Zd5RHVEmW5cMWc3SMpw47G+zBUydHjZbNP3XkbaW6irlbyCf5J9VBtFEaJW6ou0zdaj3aLrO8KJhFXk7YKkrvdrl4LFG5NLbXSrunousaHb5r/klVTc42ZOLkKdliXy7691nUUZw93RDxTmIc3yfCdpEv2En79vj+ihIt9ipKFNPofJ6CznOvvZeTaMkYHflrxetQDMZATS3e6M0JH/IX2urZ1+vHgfrUhAjfzKR9R1qc9pARVZ5vdR46Vy4+YqLgCuFqwTg1vE8PgzyyRj3DajHkXdFZe/aiNpPOPSpq6J49x9OgMzH/ea8360vRihUrVqxYsWIF60vRihUrVqxYsWIFsL4U/SzUxV6oqOqk4CJaRQM0lUmaHdZU+YVUKMnirqMojlNgGjtydKSzZ1mcIi6OPfYoVZJxlTx6cBW3SZjZsvnqjJ0NTA7/h4Huk5Ql5p0S2O1RZcQSHWaUb0mtRl44LQLARIM5eJWjG8WnmxLFFL+OKqFmQ75LLG+SqK4ipdz8OLCcpdYy0bL5X3dYU+UrMqnsW18u8LGXF1MFfBHts2l+HYvs38PtTA2i0urc7POjw8wWfyX/ivr7HfNnqbBKtLqW2V2s82tt6cvRSKUB5Ekp2sbUiweQ+9RhbWH7h0D1Uj3VbL6oTlrJviwONyhCwV5H2GRqsdT7DrNNKh9bfa5zBXwhTbLir5MjP8jXyfhCvk2ERykUl8+DFIIGltnj96IDaTEdJlqmN4U8OULImD5Tk7xryn1PCU0l1Sas2rZGXsaLv4+xFTNL4WUadWKfAjVpDdSmqDGuUR9N3GOfvDw8mi+S/dDDPmCv5GtVDgFz9kxToHsleuO5PF72Urv5PuFOVqqwFkFStyrb12KwUQrDy5o4BHkvRcvxv55EERw9pdPn5uQwTrTH85wcf5tJi4eDKGLTTqu0OFzI0GdSo53yY8dy6lgm3/xk9Oe+T/hDG68+XxQ59uTIoyefdN8AZvMltqVWwFX9XTJSCh69KBtbsVOjt05SPcZDRzl7lfzfDZTvN6SPG8L1grlZlFIP2E5qptyUiSZa+T0BZpMIH+TlA83rqiuU2bHcFdh7COUS76JBB4o+19g2wVFqo3yX8CFrn07yq/rh8y0lKUKitqiceBQtzeuZ8d2VqMVdxrxcyIcgv55DL7Vnix0yvrAsHnZJfkobJambIRN2UREdd817y4sOB+A6Yq+iYmuiuUSnMDvMyWPfTORoRZk0irik5vlD2xOuUjf5sjfii4T3GfO+F6XYoi98n0SjDUXq16HihgQW5nOg/sUoldXzujBQnzriNwvlJmGiwT55xr9aKBvtl58qx9yQqaOju5L/j2u0rmn7tlwl/Fn0lv9O41dz26sPnShegMVSzp46ZK25xbTxdJwPUss+K6xcpzO8JAOhUhfLZjez+Y8D877nNMpTLk6iyfO1zuBnFXJtPl/WydvN+ErdZUKX4EERNDx0oikbdUwFd7Ty1IMWtWNFmx2bcnNyLGMQHX8dFcPTorFKVGRTjE4p913BjpZlDjojklX0jRd9XotiSMz4hfZndvKQeoat+C5pLX43tHuU6rq8G6iTWhv+HKwvRStWrFixYsWKFawvRStWrFixYsWKFcD6UvSzYLpCGYrK61WKEruLKrFWiMdOJdBqqI/dpcxpW1qx6TLpsaPe99hBlEBODg6echtlCjc63KNiGEqjR1J0FA/4yvJNZH6dsNtE6Qvm5BRNsDRDuj7j9h6eAv5jgNlCL2NIN6nU6TYtGf5xUHm6qDQaHpyUId0zv6JYDKKVMV4oMvS6W9j/3SyllKsqORdDN2gsdB9SlrmmYsqHoDJqK3Wb52gJX6VyyxZuEqETZUhFirtk9DuSafRiwbQ/q9VQbcVuE/XHAbv32B+GS6J2zYZ8I7v76U1RBEtWaTWNXjEpfZZybrHkk2+GiC2u43neHzrRDkeHWYwMA81PrPi7QrWVOsji338KLG+SqIJNVuJ3MzBMryLLJGUhoVBNhdczxtWL0SGLlXlmKKRXidpX6r4TReOrKFCAbFhOHeUQqKGpRpzUfGWTwaKIk2caJsp4reykWCq36WJ+CZCvM3WXLipKOyk1PQQpJsOfZGaX384QKstRZn8lSBFiXLsuV5VAbiB+JQM4c/TUq4y9WzDNANG6St4WUal9wdpnNR2kQ7iYbdad6Im6zbjvBln/d1nGpPELdW0G3bNtJXhjEBX6TK+8zDI8TIoAMLOlXGVIBn/vL9EyYROpBcopcP64a9SNxWwybu/gxaK1ODn4ZiZPMrwjVNyT1oPbJcrrhTJUzIuF+CTDTCqUKgUPi6VkRz6LdoqzKD8bCvFNbGtcRon2OsJsKV9FzMtF6qB7xcYQCu77Afek+JMc25kQFQeDq6SPUgE+GxOWYhUXslhO96L34Iti0syG7m7GLI0uNog2qaLOKKLduBgSIpWZraT7QUq02hRX1VDv+8v8uFFxH3zsKSd/SW4nWSlsbcXYojMhyRDU2Ir1lbpL5MVizxYzOdxDMzidLYTKvO9FFUWr88lIjVeKIRy0nspOVBIFeAqXa7WuUEOh9gVzu+C6op8xYBedkaYv+EdPHr1MSJPBuky4m1me+suZXbOlZqktbZ+Jr6MUVC8bvegKLhT8yWD3TcHYkt9tn0UFb6oUpqZeKLb6pHsq0VIeesKfevyQ8A8yRh1/lXFPnuX9luH/2Cou45k9WkSXXv/7DjvK+DXtu59Qly12piukxevsL1qvtsvYs6Naxab0u4U8O6lzi6F2VbRuowZrbGrlY6/zOppGi1vmYy/K7nNHuU5Sb0+O8G2n5yFQspTGfLu50Kc1S8mYosNOFves+ls89amTgjFalqU9P+8Sps8X89x/KdaXohUrVqxYsWLFCtaXohUrVqxYsWLFCmB9KfpZqBXomynXi1mluWSxXZYawsLwY5BB3bPSqUB86tWFH1XiNVXlz2fDqtoX0WzbhFks5VWUQmd21KDE+tqynaSaQWXKq6yyZTEyGntsXf5vpO5KbxewqHu/GtLLSClG+THJSVFjZL7IYokvMna2MugKBT8kzKKcnhqlEkvnlpE2t/yt2al8XdT1P3zXyVxwauX5IkrwmcIoUYnSVIP/JONFexNx76RymI49WEjXhbrNoqZGdxmbOjnKbcQ2aoGbJLooVMqmUH814j+HZlpZL5SFyS31PUg1FLYRt/eYs7+oI0xsc/kTs6+6yxdlFMjA0HjRRbUa7B8HJWJHAxaVsW9kwuluIjQFBaCcn8mJSu2z6JdO6kBslXquy7rGysXo0p0sXEXcqAyuWmUqR8vD8kd3UU6l0VPPTvlbzwaMxVAOMmmbDz1ul6SIfI7NmpRB9GxomGbPfA7Kolss8xhEO/k2lrZqLT4bN3ZSv4CyAYmG6dBLDdeLeqsXKqJqTW0T9X2v9dYV/CaJ0smilsxi2+8w2KDSPUD+iwla/h3FwKcec1aq9jP1+ZxG7nxWptsmE8cgc7+KqJXHQaaPB62t3fcG/64TxYRUoyYb7C5KyXj0YCtlqKIkosW+nvR5s9aN7TLl7awsqsVKqddMO000SrLfJn22qZi+tCwwLoZ59SxDQKwM8kiWrqn6TBWFXIuhG5Iy3Bp1GF8m8q4QdpFyEqVUt5oj22VRx0EmfeUURClkJdD7z0F5hu+C0uLPHvN2Vqabq1L5XUWYNFY1iR4p0VJ9oaamwHu1aP5m0aNp9LhmMFo3WSaEtpJfJOUx3iTsTmn0FwVWNZi7hfg4iCrvCjx22uNZClqiztca1M7AdcQ8m0KGZ8Wrftc8Bin+KqSdxpwiOt9tMm6yygacW1ZgKNiW6l6S9nTdJfpH5c3ZUChd1ZjW1lJRrCj4isYl6ZyynRRoZfJffn6TSCeZR+Zkia8SpnBRGtqja+dUodyKei7F4DbK/jKLzm+MzFCXrzKlKPcujoG6yeTbhF0Mp7+Z1cKx0XmEL9TZcfhdEg3WzHRLFJ1nNpn5foO9llrQbKXQK9GSJ0/1lbv/ZC4KQttpfTnfnn9IeYep+F2kTB5zctSuEB41v+XssY8eFzJlq+eZaYq65VcL5ahcurRIaZyvipTeo6hlUyCdgs5kU/HvOp0911EU/mzJh0CZHeFDoGaL/dj/nMf7/w3rS9GKFStWrFixYgXrS9GKFStWrFixYgWwvhT9PBSD9QW791IsnD3MTlROqFBg/qtJP2tUEq9PHbQsLyxSRdmqUu9sKceA26VLWbxuG+URlG9En3EnKV/q2eMevErDH3soYLZJ5oIvI+VFlJKjKSCIFpMM1VTMzSJjq0O4lLaNERVykVmFQrlOhG+VR5UXh42G2md2/6g/G/4kczqzGLiNTRUGdkg4V5n/alLpeRA3k46BcO8xO5WzjSt0Pyg/LX0V6YZEve9Ib6Jy0kxVLlAv6oZqMEmqudqLTqIa8smzjOFSwq1DkRmcLeS3s+ihakTDOJXsn6kEshRkpS/K0YotN8k1lZErzJOy08xJ1CNAuc6X0vjd/95hP3T40WBfLJhndeFVprt3uPug8rRBc/xBFADXsY25gSsZZtb8hbKs1WAmSz150TSmkl4v+C6TbzJmK6PC8CSVmusz+e1MmURRmqPURiGIBsyzw+8ifi+KiSrqIM9O66PlMdVkZcAGcGz0o1MJ3XzqKLMjvsh018qd8j/0yggbG733bGrZDE5Ba6umRnM1haL3GbfonmtXKbdSBKbHjmVpGYCNbuuGeDE0LNcJdx/wIWNCoYwyOy0b0az2OWMtGko2uN8PWFvJL0QRMzvi2wVjwN0soiZ9IV9Jqfb0XybSVmZytSh7y8Q2N6ZSrxL12MwJF9foTuXimatEmTxl9KKIvPY3puKOUvSYu0X7K1rq2ZNOMiUss1M22VG5dc+mkCya3/D5J8Z3903VaZRdWPpK/+82mNFhT47+pUwITTJSS80t0+soE04Kl/wtisE/eIyrpJuMbYrJZzPIWlBm1k2UOenspL5zyt4ynTKqMGBb/pv1BWZLt//yOClNNUooxLZfbcj4Q1MZnTwMaknw914GoM1Ys55EWdZdwpwcHALcJOzRXZSk3EatpSHjDqKefKONzeh0Zg5SYNa7iPFFZwNQsiHdKsvO7SJ86C9q2jor7+z5vDn9NqktwBbKNlPvmwlnKORjgJMXPRkbvfTc6tDMP0vUfqMazFlq23ryuOtIfTMry69P+LORf2TLZ6xFtCvVEMdAuW4GqYtaK8wuYX8Y8EfXMvrAPQSplWcnU99GA5qzk5pvK7W0bXOJaQrBZKQMrWo1qLPTs+Ohk3FpV3j4W+XdTU3ZhwHnqvbUXZQyNlrlfwapnk2fWd42tXGnNZOjw10lfe4m63mHTIZrM3llatl7vtJdLdTZUQaZD5tdIo8eNxoZ9rp6aYOgGMzsZNL54Klvpn/hg15YX4pWrFixYsWKFStYX4pWrFixYsWKFSuA9aXoZ8F2KsN2T1bl+iAlQ5pUmjZeOSw+ZMyQqdEqG+nk4PsNxkltUncZ/+ipQ1MetfKl/dBJpdAoKRPKJefKOOWYudFQT57ddyrFWq/MGKaW8XTvcY+e8OgYvgui6rqivKhn48Sm+ACVYa1XLpE9eszoiL+RgqYWozJsNZx+t1CzZfr1IgVKV5XfE0XPlWSVy1NR2ftZsWUgXRV4DKIGjoH020kqvLHlgDV66rm0WouRWoJWAt9kjWmfRVO59tmHQH4SzfWcieaccolq5Uv+3OQgNuVItDKVfAi4r6TOuP5nR3wdpTSaHWXy8GNPSYa6a/Tls7FcU4mc/vsTfDMzfS1VCYgucmdLvC3kl5GcrNQyBfLbGXNUOf/5fuvilAd1dvgfZYzHYzN27IuuI8jcMi2iwWpSPtT86xkAH1Kjvppx2lXCnh3TuWUWRZnS5W/080RlH5mTu+RnYZuaJlTMycNVlCqoob5URpU7WkqW0iO+XS7zZYrK3ilKFdPfTqKOR407SSou0xXmU0d8IyNCf2z5T9lgdlKfKbNKSs6cRKWZprbM15mcLfXs6G5m7NBUfk0JR5Uis5wCaVdV4m9Kmc23oopKMloDJ5mkEirlef0YKBvRPOUmKe7p7KQgG5QF6PpMPTte/m+hTbpoHnN22CEpo62t5VoM6S6RpoDvsj7jKUjVU9F/nx316wlzlZTTtItaI177vVo4H3uYLctXysQqoycdA2VTOP8mSYnlZWa6HDqqr+SrDL0oSH+zUJ/pnGgu1H66ycppLIZ8k+k+eFwootxOUhzmWTSHsVIY5mRFKR6C1FDRYt+0XDwjE875K6lGTSiii5yoNXPwuLav023GDlIVmrb+Sv/FpNDtEqYY0YG+6rzZZFyXqa8WKQKz0ectUi+VTsalOdtLDtuzgaWZndSw7fPNJmE/dI3areTZUa5lCFqTxUyihCnKCPQ3i7LiqpFqsC84V6Qy3Cads7OFoTRjSEPZy7DWBikT7bFdQ99oq75cMuVqy3lbvo56niBVlvMFd3CUz532vi9Su7Y1X2dH3hVKEEVJEm1rX0+inpIoOJoa8VlZVm4Sy6GjROUCsveaL8C878ntmebuFj3bmhK0XjeKdXbaS5O7qGupiCLdJMqnXurL5zOiK6J+myL4mdrv7mbt//as80erM/Y6aq03mjRnmQqb+TmHTWfo/JdqHSj7cKHg3O1CtZWyzdjFXLIS/6VYX4pWrFixYsWKFStYX4pWrFixYsWKFSuA9aXoZ6FMUvbMr2RWZZwMGrvdonyxaEmLJ05STRAteSfzqTLImE1qBsi7n5j2zY48OfJNVqkzKcvHmCpFwWKlPtlGlrcJO1sO/0X6kmmTjEqHXSHvCiYb0rawvFCXvttkSjKiEgapbTBcDNxqRaZuu0ztlRlmtglmZV/hlKv1RUlhRTdklVOxYIxMKmsxmI0UXpSmpOoK5uUCybD7o8wLWWRIGGcPd4uorihTuBqt1DKuUrepqVw03rVr42ikUDLbRLhaRMXNouNqNdT7Dusr5m6h/77DzKIDmRycvObPFsrs2P+bRWO8tDJwgXybpWbIokBqKNjHgH90X9ZDNlRfZCx32wzfhgq3UZTOU8C8nMHr2s2LBX+zwMFffs9zXlh8KbqqbpvRXjJtDNHuPASsa4rE0jKqQtH4VbS+oszryjZrrc4W26g35wslOtxZa8sfLG6byE0R82xWaBbRKyVa3N6x+Y+DzB0tVAflw4DvsuYP0WbxVaI2tQoGqa1slcLIas3UarSWZ8dwPWMnKxqtqeWMreRDEE1232NCIc0y+qwF0Ry+XH7GmHpRv9QK+etFa7sXdVO2GXPyWiOuMv5WKqp68i1nL0rN1/YfUVSp2aY2L0UZZ0FKrZxFp+RZlOf9fxtxQ6L75GVA2WgB5bw5UbUtq67Ooh1TbIohWy+qzfAk6sc2Y9FaDJtvPbbPhN1C+ipSR5mDPl+b2yXMkL+Yr+6S1k0xF8XqM0VWitExM2i9uNOX9QvKVbQvZsyQibf6ef99j9kmyjFczgfrG7149l8yqGat4zRKOVeqkXFqr4OpJktt+64WQ93oz8vZKw/LaN1SpbB6VodRZXj5TJuH7zpdbDYXoWz/g64tL6Jsie0salQ+TvR87ZsZo5dy1XaZPCrjsHwVec6nNAbMZOl+lMlp3Ujl1b/3MhkE7EMg76UmtrtIWkT9mUZBY2D3nzpoCmV7HbFXUefCUZQsobVBfDdcqF2etKbr2IwbW0adWQzpGMjbAjfKRWMjtpmJAAAgAElEQVR2Muw9hIuhr30xX9SdZpPId0nCt1BhkzEGKRN9lRL2+0F7t9FNcQxS8SVR4/kmi16nZaxt9bxwh5+YpPov53AaPfkYsJ80T8/naD557JO/qH7dyVIXh0lQZ+Xa1YooQSOKNb7I2HNTgG4TrmVk5smrneOqqR5P/jKfFIO/Wy5q62cVpz050i9nymP3//Jk/3/G+lK0YsWKFStWrFjB+lL085ANrlOTXQnIXryaix8NBdwPvaIjWhxGuJvhRt/kwl1rdnX1izdDp+Y44+qXGA+QvfxTp+ZkX3n9Pwc1Mpuqt+XWaJZnh7tWEysFuI6k9g3ouRm6RDW0mor8kUzzpnmuxhQDfcaM7RtvNfrm2q7VnFp1p8Lu73vC+w7TZcLVgn0MmKG9vQPbf+jVnJpbJEGoii4ZPXaTOP06qxHbVcJ3PdYXfN+aTPdOXhrF4A+KtKDFYsRTh3OFcDuz+V4eNfakJnHny+Xb6XKW50ttPknOF5a/nC529biqyl1rgGXW0rfXUU3IvuCvoxpnr6IiTNq3u3KTSK/17TyOgXr2mMkRtgtMrcl8mzGtcbO6imtN9+XklRpOi7wwzw2H8uGgGDZ/P+jbXksWx1bcJisyxVdytoT3WhN58vjPQZEOXWH3B6232vxSNn9s3z5fLM37R9W50rxN4tvmaVUMbpsIfcIkNRrXk1eqvYPxX0/YXVT8w1XW55XWeO4q6XXEP3idIFaV0/rHnRowb7Lmc1F8B67ibxZyNpS7iA2qTN7+2yAvllAJ17N8aXy5NGGXkxqSsWC38ruKi79UOo1VgnYYlKBubKV/36qyVinpplXWzFVSBWF2uENrMq36OeYWMzI7NeouPzkWP/a468jwhw6zKPkcIN4W6l1Uo3PbI3ZRpRLAPXrMJpOjpR694jfyFz+Y+CtFY+TJ4YdE2QfG30RV9VyrOo2OOihVna4wbBbF3bSm/ZoNxiuB3LQKNc+V7N/v9PetOfbZT63edxJc7Fss0dHDTaRMjnjXfH62SZXHY6BEi90kzXsy5Lukb/Ojgdj8svYdeVN0LvzE/8bYSvfHXn5tUVEh3GhNmV1S1aD5M9WlVSWfq+jJsbxpVfFoyI8d5nPH/MtFifbn9nmAH5LW2qlFbgz5Ur2jVdHy5C/767lKUXKrYhZDvKqYz53O5MUw/1JeYvmhp361YIasMTt7wp966smTZ6f15irnXxTMyWPeTpcz1HzqMEPGbeW1RVJ11U5GgpOkRmOGrKpsrwpZuYvYs9PZ1Px/TGMF7ItZ9wTyB3s1657HVr3Zd018onO4ZJ3tJhlVaBd7afS/+JW1yqs9OriN2JP2idkkNWdvVVknWYY/dbqO1vAdPnvyRkIEEwp1pz8vuyxBSTXk20T46ClvFvCV8MmT320VH9PmBpDf3uQUR5Pk0eeHVsFtTIc9q8H9uXLsfIuEMjoD7VHV+2G7qGn7z8D6UrRixYoVK1asWMH6UrRixYoVK1asWAGsL0U/C+6ohmhcxY3ygMBW5mN/sYNPryPVl+ZtY5T83Roxc5ZHjkqaQFHJn0YhcQzw0CnGwxeVf6Noj4//w4L/49BiFKoaB1tTnrWiGsIuygsiFOyrGXew8osprZH29axm7Ny8IFxVqXN0GAP+9SSfmqImOXcTv1AQvuC3iTxAvFMTcq2G+mrB/yBqC1MZf9GovV5p73YXlSzfvETqkHF3C6bLxL8QnWhbk2L5KuK/mkRP3bS0+CFfYiLS7InHjvG3C7bPiogApn2Pa6V+ZgdDERVnKnEv/x/7YsGGIsrtKmIOHt8n/N2COXhsa540oZCjxb2edE/No8X3WUnVGzW5soiisF/N8texaprudouaKA24k9P8n7yiShZLOnvCg4djwIwONzSqYpcY/9XcaFCVvPFVc/t6xt0s5NETX7WGy8mSXsZLav3pd4s+Z5uUUv+76dLALNrAER4c5sWCe9er7N3oDYD4bqvG05bsXn3FFJqPFnTfyeqfapQw/mKW/0yLWQm3M/bgsXtPulN0Al1RFMwmw+Twn0V55egYruT7YhbL038zi2bxShx/poRqUsyC3UWV8/deDeRFjat1sYTrBfvHDWEb8f9hJ+q3y3SPBvNyVuq4bV5esxpj2WkMi4f0YQNRDaqmNdfWTVYz7cHr7xZD7USJTr9eMHeiJPMxUH1lcz1daGgXMuX1gm0RG/WNaA7zTFe1htZ6leEmiv7xFdtn0r7D3S2E6wXjC9Ohp15lzJsJiiHNDnPwnA+91olTUnq3jez+7SC6pnnkmKB7Lr+eLtcKiksJN4qlqWcvCqpFs9RGx9jrCE8B6wthE6HP+B9arMMuNY8xUfl526Jjnjr6lyN1m4nnIDHHKC+smizLb2ZF0BRFpxjTGoofA+FmuURimC5jvhtYzh2bf+wpUXOMRefJdcS8nb/EyVg1qdtd0lJ99iGa7IUys1YRQG6XYFIT73OTMU7+SyZqvVz/weJ+cdZ1dxX75OUhZeuXloKbeGlMtzdR41KNmp6/mrFJY1lyaya/SReaNt/q/81kMd9M8mfaFNyPPYyOcDfpnFiawOYqtRT7isnmQgXJI0r7ZHnsCX0Sjdh8gZ7PMtD5kBZHN0TqJtP9EAh3E+6rmWor7krnCEltE/WVKF37teIx6ln+UjUUjK+Eu4n5Tb5Q05s/dKRvFsLLCeuy1tOi9e+uI3ZIlKw4jvSLRfRXn4lvIqXT3KTJ6yzpJX5gaF5/kxrpSzH4e+35NHnF9wB2lzBdZvlxhzk5/Nuzmq6/msFUlsVfvKn+pVhfilasWLFixYoVK1hfilasWLFixYoVKwAwtT6HFaz4v2K/33N7e8u/+Z/+RxZ3Tc6Wq+3EOHf4luK9LJ5NH5mjpw+JJTmGTh4Vc/Q4V3CmMkfRTs7JE+RmOzFHz9PTlqubEUDqnlb66/tIjOrKH7pIqYZcLNYqUd2aSi6WWlVSrdXIJ8ZA5/9P9t4t5rbsqu/8rXlZ13377t+5uspVNi63nRCMwRYSAjXCLRm1UIgEUguZ2wNRGQksJSRSRCRekOiHBAmTPEQKPDTqhAeEwAkIGWIpwnTUhnQwYIPxpcp1bt9t39dl3vph7r39ncM5VYVjq0V6/aWjs7+155pzzDnHnGvt8Z9jDEtrIjXUdYo8i/cnycYrAhBJNC8DWCeRwmO9oGk0aerQ0mG9oEgN00XBoGzprEJLR2tixm4lPX7TbqYtxknaVlEVHU2nIwWUgHNiI2P8nKYxnsZWJmPUrl9aOTqjSHWkyLZlnBMIEXbxiLZjvf3sN3RQtxkzpWJqCO8T1MZDzhhJmlqMkZhOUQ2azfXH29uOoxCRckw2noFFaljWWYwjFRLKvMU6SdPq3RinKsYtMi7G7NiOl9hkIU+SgBKedRO9lLR2GCPR2uF9EufaC5T0rFu904t008fYX4N1kgCs1xmjQU1nFVJ4us1YpirOX6piGg270S0gjrN0SBFYNWn00koC1kqE8Lt5dU6Qp4bOqk18oEDTaAgJVdlinKRpNGXZEkKC2ujQdvxiW5BpS9PFtAZJEiI9uVk7T9NN68RuvsUmdUumLdYLZBJwIaFtNWlqaVtFnpud/ivpMVaildutl+09ZrMet/O6HYvt3Ajh0dLtxss5QbbRC+sFXafQ2u3utzbOm7UCraP3YbLRwW0ftxDC75Knb/XNujjPYSNH2yqkDORpTMnQdHH+t3uGEGG37rdznWqLsRIhAqmyOC9IVZRlWWe79pVytK0my8yu70r6nQxq0+/WqF3Zqojzut175Ga/EEmgu9bmfFEipCfLTNy7UosUnrpNd/pUN5ph1dAajRQe5wVdG/fELDc0dUpZNbu9yWz2pO16DBvd1zquL7eJ/wSQ5ebaXPqd7nRdnAel3E6vro+3SAKZtsyWBWXePbZGnBNRp5V7bL/d7lPbtWGcpG00iQiMqob5KmdQNqw2Y7/Vre1+lCrHcpWTZmYny1aXlHJk2rKqM/LMoKSjswolPMbJx/Z6LR11mxICeCfQqY2Unf/KMybZxPJ6Us+3a/Z6H7drI1V201ZsY9tukoC1ce9WIsYXqtt4fGKrT9v92bm4HrbXt2tObebdX9srcm2ZLXPS1FGkhnUb08hYK6nyDrupI0nCZm8Ru3q1to/NdeyHJM/jHiraNX/6A/87s9mM0WjEm8XX3FL0cz/3c7z3ve9lOBxyfHzM937v9/LZz372sTJN0/Dyyy9zcHDAYDDg+77v+3j48OFjZV555RU++MEPUpYlx8fH/KN/9I+w1j5W5j/9p//EN33TN5FlGS+++CK//Mu//Nfk+ehHP8pzzz1Hnud867d+K//lv/yXr3WXe/To0aNHjx7/A+Br/lL0iU98gpdffpk//MM/5Hd/93cxxvDd3/3drFarXZmf+qmf4jd/8zf5tV/7NT7xiU9w7949/v7f//u7751zfPCDH6TrOv7gD/6AX/mVX+GXf/mX+Zmf+ZldmS984Qt88IMf5Du/8zv5r//1v/KTP/mT/NiP/Ri/8zu/syvz7/7dv+MjH/kI//yf/3P+6I/+iL/7d/8uH/jAB3j06NHXuts9evTo0aNHj7/l+LrTZ2dnZxwfH/OJT3yCb//2b2c2m3F0dMSv/uqv8g/+wT8A4DOf+QwvvfQSn/zkJ3nf+97Hf/yP/5Hv+Z7v4d69e5ycnADwr//1v+anf/qnOTs7I01Tfvqnf5qPfexjfPrTn9619QM/8ANMp1N++7d/G4Bv/dZv5b3vfS+/+Iu/CID3njt37vATP/ET/JN/8k/+mqxt29K27e7v+XzOnTt3+IGP/2/IKppDZRIwXqJFpM9cSNCJx4SvmPbl1gT7xOft/dfxtOvb+5787lnX36j+6/c8rcyTdQDoxONJEIS/1rfrbT3t8/V2XEjwQSAS/9h1QcBvIlZer/fJMXyWnM8anyfbfhKvN65Pa+Npn6/f+6z7n6zrSRme1u/Xu/dZsr7e39vP1+fw9fCsup4132+mD29GvmeVu47X0+un/f9kn99IV54m95PfvdE8vpk+v1EfntXmm9W3p43p662v6+PlSd6wjWfV8bRxeVofniWL8RKR+Dc9pk+r983K8CyZnlX2WeP6Rv17mhzP6sv160+bkzejj0/27/X25Gfpz7bNN7NOn6Vr19t5lmyv9/fTxuiN9p3r/2+fx27V8n/+z//H//f02ZOYzWYA7O/vA/CpT30KYwzf9V3ftSvzjne8g7t37/LJT34SgE9+8pO8+93v3r0QAXzgAx9gPp/zp3/6p7sy1+vYltnW0XUdn/rUpx4rI4Tgu77ru3ZlnsTP/dzPMR6Pd//u3Lnz39v9Hj169OjRo8ffEnxdX4q89/zkT/4k3/Zt38a73vUuAB48eECapkwmk8fKnpyc8ODBg12Z6y9E2++3371emfl8Tl3XnJ+f45x7apltHU/in/7Tf8psNtv9e/XVV7/Knvfo0aNHjx49/rZBfT0rf/nll/n0pz/Nf/7P//nr2czXDFmWkWXZX7ueCksqv/L+qBL/1+ggtTHvPUkVbfGs69fruG5u9EGQbrw9tve+Xh1P++66Wfr18HpmVgARwmN1+LDxNtjItP38LFmeJdvTzL/b8tfpyTcyGT/t/ifH643G/83I9bXAs+T4erZ7XT9fD9txf5ocX4+x/GrqvF7uafVvv3+9Pj9tXbxeXU+TAfgb6fQb9eVZ7T2rrWfVd33P2H7+m8jw1eCNZLy+Hp+UafudSraebV+7tXF933gz++Dr4Y3G6b93HN9oD37atTe7ft6Mnr1e/W+mb1/tc+/JMm801097Tj5tHaqQ0An75O1vCl83S9GHP/xhfuu3fovf//3f5/bt27vrp6endF3HdDp9rPzDhw85PT3dlXnSG2379xuVGY1GFEXB4eEhUsqnltnW0aNHjx49evToscXX/KUohMCHP/xhfv3Xf53f+73f4/nnn3/s+/e85z1orfn4xz++u/bZz36WV155hfe///0AvP/97+dP/uRPHvMS+93f/V1GoxHvfOc7d2Wu17Ets60jTVPe8573PFbGe8/HP/7xXZkePXr06NGjR48tvub02csvv8yv/uqv8hu/8RsMh8Pd+Z3xeExRFIzHY370R3+Uj3zkI+zv7zMajfiJn/gJ3v/+9/O+970PgO/+7u/mne98Jz/4gz/Iz//8z/PgwQP+2T/7Z7z88ss7euvHf/zH+cVf/EX+8T/+x/zIj/wIv/d7v8e///f/no997GM7WT7ykY/woQ99iG/+5m/mW77lW/iX//Jfslqt+OEf/uG/UZ/+cnaMdmkMeJjEIFLb4FPqCXOwcXIXAAxAbQKQXYdKPJ2Xu+/ENU8D6wWpcNRWo6VDC0djYxC3bb3bNrf3bIOrZdJig9jVuW1nK/dWvm1QtBASpPC7YGlaul1d2/a2ZQCcF7sy2/u3fZOb4FwQA91tg50l19oWSaDdBBlUOzN/spN3+/+2L9u+qWuecDYIVOKxO6rnK/3djp3dmPO3sl0PeLe9vpVpW9d2rFLhdu1en6vtuG/n/Lrc2za2c6+Ef2xutt9bLx4bQ3FNT7RwGC8xTpKpa4Ekr43N9t5tfX5n5k4ek+m63m11ywaxm1cprpvSk8fquz5+1+W7Pm9bHdpSHjaIXf+29zxZ11Z2QaDzMTgcsAsSKpKwG4PtXF3XiW2ZXZ+e8M65Xu66bl3Htv/bNq/3cVv308Zy+/22fmC3LrYyP6lTrVOP6f51ebbyXR/H6+v2ur5cb/vJ+b4+3tugeNs5sl7sZHyyT9vr1/eH7fq/Xv/2mnGSTEadbJ16TH+f1Lmtrl0f0+0+cL3vT+re9b5eX3MhJI+1DY/r7/U18eR878Zyo3PXr1/Xo2ftH9fH/Lqc1/fTrdzX5+n69evrZSvjdV3aBkm8Lv92/Ld4Ug+uX3NekCn72L3bcbve5laO68+m63vtdf3b/r/di7byX5+nbbvb77b1ALvnWCYtrVOPrYvtd0/2JRXuMd3aroldvzd6dX2Nb/tzfTyvf7arr3iS/03wNX8p+lf/6l8B8B3f8R2PXf+3//bf8kM/9EMA/It/8S8QQvB93/d9tG3LBz7wAX7pl35pV1ZKyW/91m/xD//hP+T9738/VVXxoQ99iJ/92Z/dlXn++ef52Mc+xk/91E/xC7/wC9y+fZt/82/+DR/4wAd2Zb7/+7+fs7MzfuZnfoYHDx7wjd/4jfz2b//2Xzt83aNHjx49evTo8TV/KXozYY/yPOejH/0oH/3oR59Z5i1veQv/4T/8h9et5zu+4zv44z/+49ct8+EPf5gPf/jDbyhTjx49evTo0eP/3/i6ep/9j4JXv3iEOpLoz+eYUUDdWGO7SAEIGXC1RBYOt1SIysZ8MFYglcfMU9TQYFcakoDIHX6lEKUlzFKC9shhzN3jLzP0UY3tvmI6DlaQKE8iAsElJDLE+wqHmCoSD27gQXswArEWcNzGPDiXKQwtwSckykMAnVtsJ0lkwBuB0B5vBTqzdNMMOTR4IygGLW0TcxeZRkErKQ7XdG3shzeCRAQSGdDa0bUKAnE8rICZJj1ZY63EX0bKMxl3qNTRTTPQgUQEEAGlHSQBZyTeSEQaxyixglBadB7zd7mVQlaW8CgjpIGQepJGEHIPIqCrDrNOoREkAws+QWiP6wRpaegucsRoM9ZGkFUd5l6Frxz5axr/0pLuIofUI4uYP8rWinTQxRxtnYJHGSELMDQEI0AE8kFHM83RwxZnJCp1WCMJ0xQSCJkjHXZ0lzlJZQmdiH9f5eCJY5E6EhHIyw7z2RHm2JBoj1Ax91fwCUXVsr4sQXmE8mxj7enU0t2vCJmH1JNWHf5LFeF2jWsUMnO4hUZPGtjQJ84KVBrHVSQBs9IkKhBaQZJ5gkvAJiSFI7SS6mBN02jcUpOkHpVZbKsINgGXkOQOEpAq5l1S2tHNM1AeWomeNLF8rVCjDrvSiMLijUBmDn+Ww16HkIHgITgBCwUjgzhPCcctUrtYx1qRFA6ROrif48YWjEBfScyRReQbM76R4BJEYQnTFLnfkgiPWacI7aKuGkHoZCxjBbowcZ5KS+gkKB/XjJGElULvNQQvsLVClwbbxi00dAJZWVwnICSU45r1tIAEhHb4tYrzrDxSeexSk45bTK0JjURUhkSAW2hEZeKaLAz2rICxQTxKCSctfqERQ4PvZJyfThB0QFQGnVm8E9hHBeKwxbuENDeYVuEbhapijrjgwTeKJHVxnFtBdlDT1TrO63kBHuRhu8lN1rG+KEEGsmFLe1lAgKS0Ub9NrCOpNn+vFcgQy2QOnVvMZn8IPkFeaFzpEaPYD3mlcEMH2sc+Nzrm21opspM1zUUR1/ewi7prBMEn6CKuZTPLIPWIK02Q7PTItXEvCRcZ+nS9yRMm4z6cxHyKfqnBQ/5Q0R47QmmhkYiBIfiErDQ0iwyZx31Up5au1ggV8Fcp6fE69m2T2C55kOMOO6hlXOtWkI9ajJEQEpS2tKsUoWNeSJ1autcqEgvi9hrbaGTqsAtNkjuSzTgGn6Ayi1mnJMoTVoqktFG+yzw+YxYaOTRI6enWGpU53OZZwlyhT2pMo0hEwFtBslbow5puGfMwJjLEtjdrMxEBN0/jGgaSRpIer7Gb3JfbPGvWSHRmca9U+MITZEAMDMmDHG7VuGlKMrBxTtYKRFwHKrOYy5ykdPE5ZDbrb61jvwGhPOFBjh86ZBnXtRDxuZoUcc8EdrkqzVpDK6Bw+Kn5qp73X/fgjT169OjRo0ePHn8b0L8UvQnIgSFcppjnG3zhMLXGLzVhpRAyWgF2Wb5FwJ7n+FbGX5EyYFsJJoFO4FtJYgW+VqiDBmTAdzJmh97rYuZin+AXmlDHXzVhc1/YfMfAxLf9yuGPO1CeRPtoCThqCVbgFpqgr1GZc03wCd08izIAoVa4Zfylas4K5NDgpinBCZp1imslZpahsmjJqed5/JUlopUiOIF4paC9XxIuU5KHWbSePUoJlaOrNd4I1EFDUIFwlWLaaCVLlIdV/NViO4mZ5gQnSKSPliMRLUsQDwq6VoKIVgQChNxFK8vQxl8QRmDmWUxDnkCwgtAJ3FJBI/FOoBYSXyt8I6GWdOsUn3uQgebURstN7iEkuLnGXWaoIl433ea+4za2MdOoCw0uwblosjGzDF+r+Mvp8zlir0PstYilwtQ6yt9KsAJ7r4y/6iZd/MW+UngjWV+WmBOz+wUePPi5Rt3LWD+sSDoBTbRSJiIQfIK1klA4EIH0NU231tiJjYakJI5ZkjtsozFXGa6ThLXCnhe4WmFWGpHF+0k9yZUmG7YgogUEFTNhu5UmqSWh2eh2EkiHHaKyEBKS8xTbRItAt9Y7KxGAfVgitEeNOni1AJfg5xpC/LXJXodKLUJ4hIwWxJB6gk9wlcevNN4Lqk/noD3FsME1CrdnQYAcd5gjS1JvtrSNFU1V0RoRKov3CabW6LKD8wzbSkKtSB8q8qIjuIQQQE8lwQhUZcheyWI29oUG7XEPStxFttPLRHnCWpIYgZ+m4BPSexprJZiNw4IMcd5CHM8QomXNO4G40CAD8tUcnVrUqCN5mIFPMMu4jqTyuInFtxIKt/sVnbTRSoSMetDVGmcFyUGLqyX6lbgefStJOoGzAjfXeCORpaUYtCTSI4aG7qyEkEQrjQqEwmNrRfBQL6M8idxYS0VANAKWOlrXSrN7koRltGBgEuQi7lndZR7nFKCVuJFDDA3hKlo93NiSnikISbTkLBXJWqEOa7pWoeYy6m+r4MsFyUUKVuCsxNQatbG0h32DOGpILlPcSsX9dqUIqcc7gZnmuEbi5ilmkUZLzTgexm1uGhIT+ygGUTY2FlUxUySbvd37BOYaIR0EcFYSfBKt905AEqLVYhT36KSR2M8PcCuNa+Oeg41WdrfQtKsUP7KEkxZzmSPONXaeggrRIipC3Ps7iW2iw81ufTgR9x4VENLH9eqSaA1aKRLho5XZJQQdsK+VhFrhVxqZOUIRrbm66hCZi3vJemP5nKa4eYoYxLkVuSPpEryLzxY7Twk+iZaZqaZbprgDAwMLIuCNxJcet1YkNi7GNDNgowV6q2uyFgQjcJ1AnKWRxViqaCFaKtxa4XOPrCKD4Y3AzDKSzMNUIzZ74PZZhU92uqhHX91B6/6lqEePHj169OjRg/6lqEePHj169OjRA+gPWr8peCtIck+oFXJgSTODGAWsFRyMVty7v4dMPWIlYWBJ9jrKsmNS1kzXBfUqJVTR3JlWHTaT5LmhWWaIzDEYNqzXGd4lhFZR7NXUjYwHXtcS0niImjRSS7ZVFMOGdVuitEMWhlRbOqPwPhBCiIf0lCfNLM00J5SOYtjS3KtQRw0kgfygjpRCqxADg6s3h0GXEqyCkUWOOoT0HN6acf5whFtqxLAjGEGiPf5ujUqi+VaIGNdIPB8PZmZDgxCe9VmFHreYlSbLTWxzlYL2KOmx05z8ZI3WlsVlhbOSRHukjP+UdrQ+QSqHWWTom2tkSBDSx4PcRpDkDvkoxd9s8G5DayQJyYaGksrR3WjJcoPpFMV+TVOn+CQgtccm8fB5kgRk4SN1oT1l2VInm0Ph2kV6c2AJtcSdtiRzjcvjoVdyR1Z1cRxesmgg1ZbFniC5TJEnDbaVjI7WLKYlvotm9NBJ9P7mIPJGZiAeNhWg5xJzYFGVwVlBUXaMyoarRUlZtqxXOcV+jekUYdiRK4/WFi0dV2bAZLLCOklnFDaVlGVL02psJxEyHrqMDUaqJ8hAu8h48a0PWHYpDx+No5k+CYSBReYObyPV4J1gNKxj/JJxTV1H2jURIVKAAcgd6kriXBLphxttpITWimpSYzdOCUVuaDuF6RQ6sxg2czfq0DoeXm/+3hoFtK3+igOCTyKtrDzZhaZJNWSOZCnxm0P8QseyWIG5yslurWIsl2GLHUeKLx+1kSa92TIYNa0pTVcAACAASURBVHSdor3bIkTAy4CYK9Q6wT7XElYbmuEiQ68S7K0WoeMBdfVSjZIe9kCIqFPu2GM7STVsWM4LghFR926tEYDVUedU5nATS1JLxF6Htwm2USSpj9RrPM8e6c7UkZxlhIOOsFaocVyr7sslyYHBPd8gITqByEASgCwexK+KliI1tE0aD78mIR6Yl4HEJNAliMM2HvBNHcmrGd0NT+giPcphGw9Ud4LBYcNs46zR+ugcQuFwqQcX14WbadKDhm5zQNp2CgSUgzbuj281JK2KB49tBofxELrKHNmLc+p1im8kdugg8yTKx0PSIkDmIr03UySnDjeyTA6WLFc5tlbx6ALxYLhUHuvjQeLgwTQaMg8+Iex1pLnBWkl+a0m9yiLNdtBFSitzpEOLOFnTLjNGd+Y4LzBS0tVRZ91JrMsvNXLUIQ9qTJGSVl08VF9L9m9PuXxtQlJYksuU7PaKromHtd2BIS3jIW9fJGSZobYCIQPilRx/t8EtFUkrEIOOybCm3J9ztS4ojzvqVqOUp9XxGACtRI46QpbgpdrRysElcb1rT5ZZqtGazkrmi5KyalhOS2hFPBaRQLW/otWOqmhxRUfd6EgdBghp2DiFeFyjSBpJUsaD/ls6K0ni81KOu0jLpY7gEvLnFxgTj2+4PQOdRB/G55PfHFQni4ewg48ybylPU8T4V2lusEahBtEJQI9bdGpZvpZ+Vc/73lLUo0ePHj169OhB/1LUo0ePHj169OgB9PTZm4LKDMODBat1hpCevcEamQQaq7g1mLHcy1hMSyYvXrGqM8aDmsYonhtd8ifNDYpq41UW4Nb+jC/cOwTgxukVdacZFw0AbRdNgEkSPUoS6Ql5gsotRdlSpoaziyH5IMYPEVU0BzsrGA5bLoxiPFyzbrJIAxjBweEKW9XxvtTQjA03D2a8+miPO4cX3J+OMAG0drjZJvZDbkkEJNOUw5tTOis5KFe0B5Jh3mKc5NwMKaoWJT1l1uE2Iet9gFxbulYjN991I83x3oJ6oMi1ZdlkjAcNl9MqxnvSntPJnMYqhjdbZuuCtc1IROBwvCSVjgtVclCtWQ4yMmXpnOT8C/vcePGMi3lFkkB7EiiKjpAbmnWKTD1KW5IEiqzDGkUICdWgIVOO9TxnMFnH2CepwFqB1o71ZYmqDEo7DgcrLpPA9HzA8GBF02gOj5fM1znOCTqhEEn0fkpeLdBvr9krazJl+dwrx4xPatY6w6nAyf6cRZNRpIb0cMH5oxEqM9y6eYFxkcK592iC0g5/ryC50cT4SEcGmTvyoqNIY6yZu6MrAFLpCCFhr6w5X1TkqSHTMZ7HQbFmmHUs2pRUxb6supTjaslFXfLwchRp3EZHSq3R0funS/ATz1G+xIchJJDnBq0de1VN5yStUdR1yv54RaENX/zyIfuHC5pXxgzfMaWp00iJTSJN1Q5bzCzn5MaU2aoAQFSB25MpISRc1iWToqY2mtooCm1ZNBnrdfSgmgzWWCdZ1hkHwxX3Hk5QmeV4smTdaeZ/uQeVx79zid7Ek/EDQdcqhntrJkXDrM4p9xbkyjKrczqrGJc1+loKDBcSmk4jhGeQtzxsx5HO3DhPJS7SuGIYoqdd6bATB9OU9NaSZh3H2nrB3nCNEp7zeUWeGZZdXD9No0En2E5yNFmybDJWXlAUHatZQT5uaVWKVA6dRvqtbTSDoyWLi4q86FivMiaTFTMZyDOD3cQfywvLct+AEYz2l0gRuLyqUFnUk7RqePvRGQfZis8vDnBNpKqDk8g8xrOqbi0fSwUUAoR3LBgpx/z+kOJojbUCNXHkmWGQdaQHjovpAKxgdLTE2KjPXavJiw5TxpQMiQyYVcrR6YxmpNgva9ZZR2MUy7Oc/dMrpnc8bat3VPSkrMm0oSk0XaspykifrJI8evFqRwDkoCNNLS61HA+W3BrPeG02RknP+WtjktxRlC1GO7xPOBovWbVpTDOhLMt1Tpl3XD0YcXp8yTQ1LFY5aero0q9kXM9SCwM4HKxorCKVjm68xIeEZZNRrzPSw/VuLV4mcGNvzpmqcKVgUjQsxi1V2eLHNQDGSPLDOno/aodIAlJ4itTQtZrhoGb5nCdYwd6NOesmxXSKFyfnNE4xyhou65KT4YK1STlzA4ZlQ50bnBPU5yWiMnCekZw0Ow/i8Sjuf5O8ZtoUjEeruPbaAYPjFd4neC/wXrA/WvG2yRnTruS1+YjFssBbTXm0Yn1V4GpJdbRmraIXrihjzL4QEuwspTyNz7bFWrE3WiOFZ5LXLLuMB1aSZvFISV5Er+OQWdpGI6SnW6fsH82p25RB0TJdFMjNHh1CQvJaTvENVwxvt0znJaZTiKz7qp73vaWoR48ePXr06NGD/qWoR48ePXr06NED6OmzN4XxsCFNNZOy5qhY8rnLQ8ZFQyodV21JmXXMbcVeWTPKWyZZzb3liMYp3nZwhkgC/89rt1AqmkV1ZtkfrBllDY2JU1BsTK17ec2DxZCjG7NoFkwCjVFUWUepDWdAlXfUnSbNLN9w/IiVTalUx6OrId4LUm1RKmZernQXTeH7m8zYqWM/X+GPEk6KBZerkrotcKkjO6hpr3LSveidUmeGVDr2izX72Zq1SSl1hwuC8zCkyjtEEsikw2/N7UCuYqC8k9GC82XFwWTJIG1RwqOlozGKTNnoOZd7qoMYvv9iVnE0WTLIW7SMdM82Q/eO/kgNp9WcB6sRb/mGBxwVS7TwjLKGv3h4hJKeYd4yFTE9hveRtkyVQyrHN5w+YqQb5iaPJvMmo8w61p2myjvWrebk1hWLOkNKzzitWXUpL731HvfnI4rcRLN21rGqM/KjaPIWIjB69xkP70+4M5mSS0MxbFl3kZoSVcOiyVAypg/ZL9bMioJh1aCE5yBf4UPCYhTlacqW1ijaRqOrjiI3MfO8dLhNBnqAQdpivKCxCqUcV/fGvOWtj1h1KamwZFIiC8/VuuCoWHJSBJRw/Mlf3AGXcOPkgi80BxijyDKLEh3TiaQoo+n5bFnx9tsPuaxLZOapdEdtSoZ5+1g2+8GkZr+sOXzvq1S65f5qRGclVRrHyzjJXDkKbaCC+TrHe8GNcs799YhB1rLsUkJIME4yKRpcltB2CmsUgzTKc3lVcfvmlEp3u4zaF4sKbjSMyhbrIo2bp4a6TRkNa+pWkw0tIgncGU65akum0wqdWUptsF5wdzBlaTI+f7XPfllT6o5SdazblMWs2KXBMCIlcZKyalg023QWCd/0TZ9j1hWsB5q60xSbfrc2etNJGemKutOMBw2dlbztdtwbukLxBfbpTPQqVdLThJQ0tRsaMs71KG+Rh4E8NXRd3DeGVYNxkjwzrGzMap+IgKwirXVcLrg1nPHnD06Q0pMkgb1sTSYtqy7l5MaUR4/GMU1IailOWkQSIm28KlmtM2yruHF6xeWiYnJzjlaOvbxmZVJWbbrL0j6oGqat5IX9cy6bikWbkmnL9LURatIxGkaqppq0HFdLIAbAzKRlluTUhy1yk35Da4dzSaSqgJcOHvGwHlKbGMBw3emYTidh56XaNnHcq7TDkzDQLYeDFZm0JLcDzidYJxmWDRdXAyrdMUhbWqc2WeUD47zh6IUV46ymsYo2dQyLhktTsT9asWwymjamIWmtYpi2pJss9wBnV0OSJJBpy2xWcut4yuF4iZaOMjMclCtS6XjHzYesTMraaDoryTKDkjHbvEgCSjqGG/qnLFtS5RhUDa1RHFRrALLhmufKCz6zOGHe5sybjL9zcI8vLvcZHTW0TrFY55R5y+huw6pNEcMGYyVV3rFYZxt6teTGaM44aximDbOu4PDWjFRZLhcVSjkGeZyb2/mUTDg6F9f2IzlgfVZB6jm5eUVnJau2BJ+Q5QaxScMxHMRn42Gx5M+sZFLUUWd0y7LLuHk45WJZMpxEWq2zirt7V0zSmk/+xVsRynMyWFLnmsZG3ZfSx7VgFenbpwzzlkUTj7jcPpjSFR2vfBXP+95S1KNHjx49evToQf9S1KNHjx49evToAfQvRW8Ko6xBJoFb1YzDbMUg6ximLd3GY+jWYEY2inRCJi02CPaLNV9eTMilJZeGl04f8vzBJcZJyryj0AaRBEZ5S6EMwyx6VIyzmtPhgv1izdHGxKyEp7OSxqoYwAoiJWEkpepYtBlKOIqiw3pBAAZ5DM4GsOyyXf0HkyU+CCZ5jUgC+9Wak9tXDKqGqmjJ9xu6WjPMukhBGE0qHBMdKQXjJYUyDMY1rVF0G5O9lo5B2pJA9Mo7mvLc4JK3HZyRJIFSRbrDekGuI5WRZYY8NYzyhnmTYTuFFj7SbyFhnNbkyjBrcxZNxkG1ZtFkNE4zq3NeHJ2jEs/aaHIZg64BaOmoV2kMoEf0/AB47vCSkW6Y6BqVOG5Uc4rUsKgzui5SekfDFedXQ4ZFDIo40HFeT4oFz+9doKRjnDVcXQzJUsvpZI41imadMs4abt26jHNicqq8Y7+sGeQtVdZxYzSnNZpSGyrdcvNgRqocX3hwSKk6SmUoUsMobTkZLiiyjqKM1NlykeN9wqOrIeOsoXFx7FPhyKSj1IZcW+QmD1RjFOf1gMu6ZG2ih6NKPJXq8EHw4lsfcHL3knFao1Sk9LouZr+WpY3eekFwezxjoCNFIDb5/VLlqHRHmXUkwKPFgCI1HOVL9vJ19MaxkhvDBYsmizRMm9LUKa+dT6g7HekK5RjrmlevJtRGM0g75uuck+FyRyMcjFYc7cf5y6TlxZtnVLLj7uCKUkX6VkrP6f6cYR69IaWM5netIr2WJDDUDcO8jR5CXcrB/pL90YpHiwHOCwppyJXhZLhkVufcqWL9h4MVhITJoKYctnDQ4hqJTAL5sCUbtGRVx0G2YqgbxlmD84JcfcVbqaxaTscLyqplNiu5MZwzfzBkqFuGquWkmKOk43Qy53i0pG40ewdLDgfRcw9AbqjHKusYZw3jQU26mbf9ak0AxqMVAEIFyo2H1nG+5ChfkmeRynj36X0EgbnJuT2ccnd0hdAeqR15auI6ztu4plLDnaMr9g4W+JBwMFwxKetI0SWBSV5TZR2V6rg7vKLKOm7euGKgW/bzFUfVikxbbr71nMO9BZcPR5g66uJAt6TCctUUSOEZZw1F2VKbjddq3jKsml3fJrpGJp5B2sZ1Wq3oZhlF2ZFpQ9tobK24uBqQCseizXiwGpFJy7LLkMKzX0ZPL+sEWW5YmZTWKTJpkZt95yhfMkwbqg11ur+hqrwTZNKxvCzR2kVvTOnYz9Z0TjJvczonefH0LAbQDAl3Ty/pnGScNdgN1XyjnG/WerehMR2pcrStRiQBYyTLL4yRItC66LF3azwjV5bp5YDT8YJKdZSpQW+o65XJeO/hl3jn0UP+28VNXho94CRfsJetaWZZXK9pR1OnWCdiAFVgbxipqnIQ97ijYkkqHK+c71FoQ91pbu7N6Db78qpNMUHyufkho7Th5mCG1o6jO1ccncxIpaM1MfgwKlK1W32xXrDqYjDFcVVTKMPVumDR5YgkUKj4LDgdLkiSwHP7l4gkMElr0tJw9/QS4yXjtImUdxsp6XERPemUdIyyhncf34ve3/YrlPvfFP1LUY8ePXr06NGjB/1LUY8ePXr06NGjB9C/FL1pZMrSOMXc5PiQ8MWrPaTw3K6mrG1KkRkyaSlU9BJqncJ5gQ8J1ksOshU3ijn7+QrrBberKa1THOQrcmmYNTnDrOXVxYS9fE3rFD4kuwBeubZo4alGDbNlDkTPk2kXzc+N0+yVdQz21Sky6Vg10TzcWIVKPHt5zShtubccoRLHn56dcrasSJLAIIseYKZTHBwsMV5EjzhlWZgMT8Ikq9nL1qxMSrPx9Ngra4yT+JDQWM2iyXhwNmaQthTS0HnFXl7TOI0gcLEqGegOFxIy5RjlLSrxZNryvhe+wKzOyZVhv1ojksCiyzgo1lRZhyB6dVzUJaejBSfZnFcWe4SQ8Gg9xK00TaujZ8SwQQrPoGhJhePR+YijYsnaRu+VgY5eNrNVwfFoyY29OUp4Fm3KO2/fZ9WmvHB6RuNi+ZVNWduUXEc6dO9gEYM0SsveeMXB/pIvT8dYL7A+esztF2tOqznnswGn1Zx78xEvHpwzrfNIYWY1mXS8eOMR867glcUerVH8xSsnwMbbSPjYj2HD+qpA6ejpsujyGOQTsBs9K7VhPFqhpWNUNJwvKjobzfeFMnxueshFW7I0GYIQTepOUxUtUnr2R5Gu0dpRpYbOqUgPeMUkrxmmkeodpVGus4djDosVVdZxWK52svzfX3wLhbasTMqdyRTrBMO8hSQwHMTglgCjquGyK7k5mTPJIz2SpwaZeA6LZexP1nBrMOPLlxN8SBimTTS3y45H6yEq8dzdu2K/WMc12mpe2L9AiRg4dFw0mE6RbyiSq7bkpFzylvElN6o546Lh9mDK5+aHfH56gA8JN0ZzLtqKy7ZCCweb/GVFaiCB0cGK1Z/vMRmsMZ3CuYSzZoANkrVJUdJF2lk6fIA7kynOC5TwTCYrVOLI9mvOmgELm3HRVrRG89rFmEp3OCM5qNZx/7CRurt1MMNuKEXjJcdVHJ/lOsOHBCU8ubbUbYp3CfvVGhcSPr84YGFjmTLruGgqDrO4Dga65ThbcnIw42Ac96VR3vKW4RUHxZqTcslQR8+nTEbKNJPRQ/azf3WT1imOywWNU1w0FYO0pdSGeVfQeYVIAs4LEmCcNdy8fclwEgNaNlbTOM18nfNwOaC2mjI1+AAHgzXeC4ZZR2103E+9otms3UWbMe8y5MAwyFsuH40oypZi3HDrKO6rUnj28xWPVgNKHSnH+7MR46Ih05Y8NVytCr70F6eIJNBatTsOMesKrtqSzsajAp2V3DiY0TrJyY0pq4vofXm2GAAQQsIgjfvJQLfsDdcM8/j31jOtUGbnOdpYzYPVaLNmO2QSUMrtArhWz88QSeDBlw64Vc2AeCTgXc+/xlC3LEwGwOWqZG5zbBAcp3N8SPhfbv4ZI9VQu9jGrduXjLMYHPhwb8GkqklTS5IERhvPuXHRMM5qKtXysB7ywvE5984n7JXRQ8x2ks5JhPAcp3NOygUq8XROUqTxGEiVdhsdi8EiETFw57rTtEbxzqOHNEahhCeTjoNsxe3xDBsEbvOc2/a/s1F3ztcVfzU/5IXjc8Zpw6PFgMum5FY1JblKORkv4pEV5Vg3GftZ3IP2izXjrOGyLr6qZ33/UtSjR48ePXr06EH/UtSjR48ePXr06AH0L0VvCrXRVLrjzx+dsDQZle54694lR+WK2mnePnqEDwl7+ZqTYs5JsSAVMeghwNJmmBApjtNiwTccPkIlHpl4lPB8cbrP6WDBQb5CC49KYhCvWRtP5ndWcXY1RG9MnXcOpzvZ/vL+MT4k0ZMsXyGF57nDy53XDcBeXuNJmLc5S5NirGRtUyZlzd3JlFzZmHOqTTk9mLFfrDm7GnJSLtDSRVpQdCxNFqmXJPDNd17FbYLv+ZBwkK94MB3ytoMzbh1Po0ncae4vRuxna+7PR2TKspyWHBVLEqIHnZYOGwR3hlMOsyWTsmbW5uxla3xImNc5k7SmtYpZmxNCwmxVsJetab1ikLZMiuildvPOBYOy4d4XDsm1RUmPD3BvPuLu6SVLk3GUL5nbjJFqmbUFeWo4KRccFUtGWcPb9s8pVccgj3l61jZlUtS8thzz2my8C9Y2KRoezQcsugwfwPmEFw4vKLRhYTJyaTjIV6xtykunDwG4PZ4xSmsKbamt5qyuyJXZmNltpKjylpOTWaRhhGe1ylnVm3xpww5rBQ8XQ16aPODvHN1HCcesznEhYT9fcWs0Z5zW3BlO2R+s2a/WDHTLSbHgpf2H3F+NeG0xptLRE+uV6YSTwZK9MnojCuE5Gcd5X5iMQhoerQbM25zDfEUqLVo6lPC8521fZGEy7o6uyKVhaaN+vP3mwxikEeic5PmDy0iPyUC+ycs2rmpSZfnL6RGV6nZ05nOTS+7PR4x1w1G+pFQdnVe8dPKAVLjoUSQsrY+ecrfLGChz0WVo4XjhOM7fncmU86shmbI8f3qODYJKRxP/w/WAxkX6ZpLX3CqmfMvhl/iW41doN4HhfEj4sy/dwAXB3t6SEJLoeThaMy4ajr/xIZl0DAYN40ETqVVpuFiVGCe5MYw0g0ggFdH75s5kinGSh+shk0GN9YK1TZl1BZOy5pvvvBqpHxW9BAdpSzlsKbQhFTHH06PZgGmdc28+otIdbz89I1OWMjUM0xYhPGke8+PlypJKRyENB9Waxij+19P/xt3sgm+avMoL5fkmyGGkzkdFQyYt067g1emEXJn4fdrFIINesugyRrrhzt1ztIh6sOgy9CYH36LNOK8r7i+GUa9V1JdpUyCTEKmatEYkntapjfdpy6PZAOMER9UKkQSWq5xJVlOlHYs6p3aa24MpubTUXfRU1Bsq+datS2QSGBQtpY4euMZJ/urykJuD6O3VWE2mo8eYdTLmC6xq3vWuL+32z8NyxSuLPQAergcMi0gTD7OO+xdj1m3KMGt5+1vvk0pHpg1rm3JSznfUTS4td0dX3Kjm0btMWV6bjRmlDfv5mrVNSaVl0aaUm6MWnZMcj+PedzJZMClioMX9W1NsiJ6vhTLcLqco4RinNbM6560HF7Q+HsGQBL5x9GXupufIxDPUDbWJ9FUICWujOSkj5Zqp+GxKksB+vma/WJMKx8pmO4+v/cmSo2LJ5apEpY67oyvetn+OJHDVlFSq47KpuDmY72j8TFlWTUqeGd569xF2HvMAOicY65rDwQpBzBkqCKTC8tbhBXWnKVWkET1JpNy94LSK/T5fVyxMxjBv+fKDPayX+KHlYlXiQ8KqSSmyjmlX0jjNjXLOrXKG3Mj1N0X/UtSjR48ePXr06EH/UtSjR48ePXr06AH0L0VvClerInrr5DGgnRSeUVpTqujN0XkV82fZlC8uDiI1JvzG2ynlRjGj84r/695b+PJ6AhA9BooYFO10uEAQdt4ldhNc8W2TM07KBSeDBW+/8Yi9LNJxhTLcmUw5GS74e3dfpTUK6wWP1sONh1lD5yTvOrmPFJ7aamTiyZTlwWeOGRcN9+YjRBL40tUeqXBM5yXeJ7iQYIPgdH9O4zQH+YpRGr0XRBJonaI2mkIaXtg7Z2kybg5mWC/55tuvkgqH8YLnJ5e0XjEpajov0crRWsXb7jxEJJ7Tak4qHTfKGc4LDrMVK5vxP00eMEg7rtqSR+shp6MFc5MzKWomec2N4Zy3H53hQ7Lz8vv8/UO+dLHPXl4zzDpOn7uI3gtnI6qN516pOz53ccjKZqxtytQUFMpwMlyQCstFU/HpL97kOFtQSMNRuaLZeOicFAuMk9yZTFl2GSqJOa2eO7hkL6+5NZpzdzzFh2STiy16VzROkUtDqTq+vJiwNik+CDJl2cvX7OU10yZ6SFw0FYLAKIum88bGOZXKobXj7HLI3nDN8WSJkh7rJQuT7TziDoo1pYptNS564D03umSYtjQuztfCZIzSlv0imvEBsk2Aw8YqOquYFA33r0Y7GmllU06rRTR5J569NNJxiy4jFW7nbZlLyyRdM20KRBIY6obLVcnapDxaDUiFZX+4Ypi25BtPnIHueMvoahPIz/HabMztcsqN0RxPwlA3uxxv440Ovm1yhgmCi7ZiL1ujhCOXlr1sjfGSSVrTOMVr8xHH+3MWbUa78WaxPlJoL4wvGOiW2mo+c/+YUnbcTq/41PltXtp7yGG+jLTTIHrbiQ0NbZ0kVVG/LxYVUnhOhwsGWUsuLalwpMrG3Gmq41Yeae5cGW4PpwgCz+1Fz65Vm7LoIl1hXAyA6kmY1gXvuPmQy6ZklDacjKLu2RDz273l4IoqNdwez1h0GaXqWHXpjqo/Hi15y8FVzKPXZlzWJQ/rIYs25eZozp30glfaAxYuJxeR4hxnNUp4jooVrVO8crXHMG+xXnCQrfjGvS/z4uScO4Mr3j45i5RyXnNSLHh1MeGkXGC9YJzV5MpSaMOt0RyVeA6K6EXaGoXZBDBUm3/3rsa8bf+cg3zFi8fnVJtgs6XuSDPDZVNyuSopUsPc5CxNRiotL+xfYJzkdDJnuixigMQi5pEsVfRsffveGe8+vs9Bttp5lc7/7IBFm3FjOCdPDQ8uRztq7csP9zBOcnMwi0EPO72j1ZIkcDBZIpKA3wTTrHRHri2eBB8EIxUDIO6nkf5LhaP7f9l7k2BJsvQ67/N7/foc8/DmIV9OlVVZ1dXV1egJRLPZHAzEYDTJtNNGG5mW2mKHJVYyLbXigpJpI1JmokEiSAqEMFEAutGs7uoasyrnN8Yc4eHzoMWNepA2VLM3kklxVplm78WLuH799xv/+c85lUleSuLYwjQqPDPjy2lfK7cKc6O8EihZ6r3ka5PSOFf0gzU7QUiY27SU3k+mUdKxNM39aHDNJPboqTXvNl8TVRZRZZHUFmUtOHJmtN2YN3o3t4pRYegsx2Bj5JuWJklpMk9c5pnLl4ve7bOp48RkpcRWBTttPQ7St9asSodDX+9rWxZ4pjZIbFgpeSnpBhG7DW0c6Q/XtOwEx8754+f3GLghl1GT09aURe6wyFyaZsyD3oiWSthrLjkNprc5mwMnxDFzOk7MxUwrXY/3pvz0ap/ucMleY0UNnPUnSFFjGiVRsTHkFAUDL/ylnvfbQ9EWW2yxxRZbbLEF20PRL4S2rwcD601n4sBbEG6+pe95Cz6Z7+ghsdrQA6qFrYeQnQUXYZOmmeDLjO8cPKdt6W90fSvElTktlehBZXvNoTen74YkheLVvM3ACnm11IN/gUrZdxf03TVNpW3yHVnogVRfD/mdNqdMY91hWaxd/fqWtll/cjHENCrqds5xY4pvZwzckGEj1DEjQUwWKZJMoTYpyMvUoaFSWiomrnTHyxIl99sj0koPy5miD4Hy5gAAIABJREFUxJd6UHbHXhIVFnLzTekyajIOfUyj4rQ1xTVzWnbMTdzAFBVdJ6KqBY6ZM89c1qVFWpksEp34/KhzxY674nLVZMdd0bUjPDOjacWEuc2BPWfPW/LNOy9o+fFt4rYSFVVt4DaS20HsuFCkiWK68elRoiRQKZ6ZccebcK854p3Tc6pa3xKCmqG3omGm2KLgXnuMI/U32WfL7m0yeFqam2FgSaD0N7CuHTGwQtabofSiFrw3eA2gfW/gds1adsJpMCUpTBaZgyUKwokHwDKxsayCNFHUhcDaWNn3vDVPloPbb60HjQXPpl2iQjFJfMLM5nLd1B0vOyQtddenqCS+SlGypKwFLUu/Vl0bDP2QvcaSshJkUwclSx62bvRaGBXHzRnT1AcgzG0WscPrUHc9GyqlwiCrzI0vl/bkeW/3NVJU+JbuokapRZjZTCLtaRPmFl0rQhgVSWnytZ1z7R2V6sHxuFSYm67bLPXo2BFdtSarTIZOyKE3Z5Vrn5ZApXTsiAN3jikq/tb+UzyVc9yccRjoLp4lS479GU2lI0/eal/x/TtfEsiEhoz51Z2n+GbKq1Dfc4PGmrhQtN0YKfTQfpjYLCOHu4Mxs8ilwtAp9WauB707E93xpSatFDvBipaKsUSBMCpMoyQwUw5aCx60R+w4Kw6DOT1nTVZKmk5C24pwzZwwt9nzlvpeTBz63ppXs/Zt1ysrJUmpOGzMbzt0ttSD7FJUHDb0wGrfCWm7CY4sEFR0zTWrwkEZJWFhscwcikowT132/QWn3SkP2zfaf03meDKjb4cEZoYldHegbWkvtR0vxJGF9kmSOX1Xx4q0rYh56rJMHZTQAhElKh0B5Mzp22u+vv+aob3i4+td/ZpOjCMLlqmOyFnEDp6d4VsZAzu87Sh+Nez/VRcxzhSeyhgEOoF+xwvp27pLkNf6Wjiq4PT91+wGK9a5xcBbc2dnwvNFV3ujeZn2EhIlWak7/31vTZjZ5KXk5qaFEBXPzvs8vdaxPA/aI86CMc+XXaaZR8NKiEuLltLdnIEd0ndD3j9+qe+LWg/b27LAUQWu1F3WHW/FItaimotxG8sssGVx28lvmTFH7owvVgNGScDPXh/QsSIetEfcdW5wRM6icPliPWCcN1gWDjdZg44dkVUSSxbseEsuwhaTxL8Vixz4C6axt6nDBi070eIfo8I19RC5b2U07YRx4pNvauOOveSD0cHmnpc4ZoGgvo0B8lWKKSoO23M6ju4cvXfwGkuUvN97SUvFtFSCoOaT5S6+zFgVNjvuCt9MdbxQMOcnNwckhSLMLXqNNY6ZYxg1d/sTGnaGr1LuNKd6kN1JOPZnWKLQXoIYt12s/1BsD0VbbLHFFltsscUWbA9FW2yxxRZbbLHFFsD2UPQLYT9Y4siCgR+Sl5JZ5t4OflYb/5OvUu+r2uDVqs3ADXkRdXl/+IrrVHt2+GbKR+Nd3mhcsy5tFrlLW2nbe99M6ahoMxhY8u7OOWGphwKbKqGlYha5S89e07UiPZhrVMSlYt9f4Mj8tpXpqQzDqLW3hzfHNXO+dvyajhPR669omilHjTnPF12EURMXijf71zQ7Efd7I84XLYZ+yH6wwBIFoyRAUDNKAgZuSFetGSc+P3pxQseKeR52maYegalplBo0deOG/PrxxzQ2FOE81fb5eSXpW2uaVswoDhi6K57MBgCMkoB77TGPeje8WndoqoSBH5KUJhXaIl8ataaIshbzzMWXGdOlhyVKHrWvOAzmjK5beHaOIzWtkZcSx9WUVdeONi3uikNvTlkLvY7egraKcGWOJQuGdogtCj6bD/HNjA9fH/D+4CVvdq45a+goiWN/hmlo6mDXWdJS2uvFE7p1+9l4yCJ1ySvJneaE1cbnyhQlH5wfsO8vCAuLN9o3PGpfI4yas9MbfCuj70WcdGYcDmb0+ivWmSKvJEf+nPvNEZYseat1yTj2+fruazwzZ8dd8W7vNQNvzcuwQ4XB/eaIsjbY9xascocdZ4USJY6Z07ZjfU3t9W27+d6DSwBckbHnLLiJGkSFRVMlnEdt0tLkm7sv8ZRez7ySvF61ebVqo2SJMGpaSlPG+cYTpqwEJ+0ZaWFqv6rMZuCuGVgr3gou+VbnOc+XPcZpwJ3mlAf+DdKoOV+3GdorPDO7pXF9mTFOfYpa4psp9/wRAB0rpmXGPGpcEciUQ3+u/WfskP6Gns4rqaMWNpSiLQokNRdZh3nu8dF8j18bfsGZN+ZOc8JZY3wbY3O3M8EyC6pKbOJcBOezFpOVz5E7o6V0fMlxY0bbiulZmkqqaqG9gmw9DH8ZNSlrQVet2XfmNDf3x5PJgJ6zxhblrY+UMCoedEa807+gqg3eP9DrnpbmZrg+w5EF1/OGXuNgytVKe4x5Zs57g9ccOnodFpnDqGje7k2Aj8a7hJnNrr+ibev3/2LWoa00PbbMHWa5xxerAcvc4TJuYYqStoqZJD47rh4OblsxWWXSs9e4MkdtxCbHjSl1bXC3OabrRJw0Zzz0rkhLkyNvRlxaHHW019TNOrgVYThmQdeP6LkRgZXy2XzIjr3UddKK8E1Nd0lZcdBaMFrr2jRJfK6jgIu4xXXc4E8/vQ/oQeCes6Znr1mlOubm0J+z669wrJy99pJ9b0HXWtOxo40QQHuVmaLCtAsadsbJ/oSd7hJH5vhSe2jtBwtsWXDiTWmaMXGpPZ18M2XX0cKNsLDp2/rvfz4fUNUQl9r/bpZ62Kpglnq8cXBFoDI8M2OWuPzD049pyRhbFPQdTSH+1oMPUUZFS8U4IuezaId1aW+ozpS81nEZN1GDE2/K0A6RRs1Za8Kj9rV+VpSSqFDca483tH3J0F1hikrTehgsUoc9b8nACRmtfRa5izQqLtMW7w7O6Tn6nhq4IevCuh0WfzIZMEs8jvw5fWtNz1kzdFaEuU3H1LFBtiywzYIHzRvWpcVH17uYRgXoqKAde8n7O6942LwmUJkW5oiCPW/JqT/huDHVA+4qYRhocYukYuCE3GuMyCuJYOtTtMUWW2yxxRZbbPFLY3so2mKLLbbYYosttmB7KPqF4MkMV+YEKt207QxMoyIwU75caF+iryiippXgKU3ZnHhTBtaKPWfJI/+St73X/IPDT5FGxTj1CXOb87jNpxc7vFx38UTGvr3gaqMcWhc2Z8GYtqXbp/HGb8aVunVsiopwE8Vw6M15Nu/xsH1N195YuFuaCjKNCkuWvFq1OWlNmWYefXtNlClsWdz6OjwaXHPkzvj+4ZfsOCt2Hd0aP/TmG1WAZMdeMsoC3m5f8HD/mn1nzlvtS+41RkyygLNgzEljRlwqbe2uQl6tO7xYdHncudRJ5yrFFDp+4J3OObYoedS7IsztWwqnrWIOvAVPlgMeNG82yeg5SWnSt0JOm1O+GTzlctnkPGrx/Ttf4pkZRSVxZU6zu+agseDn5/t6/cyCt4ZXPAhuaFsxPxod88C/oaoFnsi4jFqcOBN2lP7M++4CW+S8jtr82s4XzDKXb50+p2XGFLWgacacBWN27CVda40jC6aZx6EzI68kLTNi319wtzvmtDHhs/kQgKwyCTaKtl85ekHDTJimPg2V0FXa48Q1NfW44y2154+V0vPWtN2EeexS1QZtFfF80aVlxnTdCGVUfDTapW+HtEwdi9JxNAXiy5Rqk0bdsSPaKrpVUF5HDaINJQk63mLHXdGyEmyhlUyBpSnHI0/735wGUx21UerkciVKlonNnr/kcfeSvqPb2Rdxk/1gQdNOaFgJHTsiShX7wYKyNlgXFrZREMgEZZR8s/+CHXvJ/eCGcR4wtFfMIvfWT8cWBXkl+Ww5vPUjEUaNJzOuoybXSYNF8TfJ2OZXShqZs2Mtbz/futD7LC4VTTPmw/CAv5qd4puasopKC1toRY00ao4bU/b8JQ0z5UFvxN3BmK4dcdqZ8d7+ax7tXCGMGklFsVGdCmoENQ0z4TLW0SQDa6U9YOyIs8aYuNKfH6CopaZpjAphVNzxJzxo3vCj1ycoURKXWpH64/NjZonLo9Y1O85qsxdi3t6/oONEt1EaRaVLe8NMCEubLz7fo2UlfBrvUaL9yD6LdvTf3vxsXCiWucPXd18TVxZnjQl3fa00PQsmjBOfY2/GR+Nd4tLi0J9T1YKhs6Jvh2SlZJU79O2QRa6plx17talVJZ6Z0TBTzrPObb0URoWvUgIz473Ba4pa0lIJd1tjTTMbJU2V8L2dp5QImmbCrr3cxJOkPBzc4JkZXVfXumN/xt3WhIapPabuHd/QtSL6jh47aJgJu8GKY396S3N9d/iMh60b7rgjmmZCw0xoWJqm69gRh8Gc/d6CcegzcEPaTsw09XGl9kQyjYqGmdA0EwIzJcxtstJknAa4MtN+bVaEu6Et77bG3O1M8GWGI3PuNcecNGf4m9pX1ALPzLnbGiOpWJUO01wrP98I9F7btRe8jtqsSoeqFliiwJcZN1mTobXSdJsb8iQc0jRj0tK8rTE3UWMTTSXoWhH3mmN23CXH7owDb86TxQDT0Cq+tqUp1eiv+xSVIJDJ5tlk3SpzHZnTsSNOgyn77oIfHn9OUQuUKJnnLtdxA2VoHy6AH10c6+eiu2CoVvStNd89fI4tCq4S7Z+XVopX6w6e0MrerDKRRs3QWbFrLVFGxb63IC6VVlG7K86TNrv2klXu8OOrI/yNWvg/FNtD0RZbbLHFFltssQXbQ9EWW2yxxRZbbLEFsD0U/UJ4vW7jypysNDcUjro1CrzfHmkLdlFx6k+xhE6c76o1tihQRsld54Zp4ZPXkpYZ8+V6wNW6yZ6rzQ7//v1Pb2mjvJY07YRyY8ynjBLTKFkV2thvWdisS51U/dH1LgMn1C1mM+GbOy839FrObmvFNPN4EXW1EkJmt6aK2WYy3zZLxpGPLQvWpbaiv06bmKJECf03r2PdzlSGbn9fJi0CMyOvJcf+jLCw6ahI0w1GxSLX9EXbipmkPqvSYcdd8bivFU2nwZRXyxa2KFjlDkNrSVwq9pwlB94cV+Zcxw2mmYctCt7tvKaoJLvOkpdhh9fzNtPMp6kSHCPne/vPSArFurCYZy6vo7am7txEq/iOXjPPXG38tzHOBPhHhz/V5mELTSEc+vNbVc7Hs12yyqREcOjN8aRWg1xGTV7HHX56sw9AT63Ja0lHaWrJlTl5LQlzG0nFsTvljj/hRdhlP1hwGTUBSEqT9SZR/iv134ezfUZZQMeKWKYOJ55O/t5xVgxsnRZvy4K2G/N63Waa+xw25iwKlz13wY695GH/hrhUhIXNoT9nFAeYG4M70IpAS5SUCB1J4oQ0LE2trTY0bNuJaSqtrLxMW8SVpdPKzZS4VHQ3UTNFLTlrTAA4dqe8ObimpRLaKiYwM/7i8oSqFlyELYpK4MiChplwvz/GNzM8pZVyHywPSSvFZdbi2brHsnCxDU1FSioG/lqrFe2QdWGzyB16zpqzYMyeo9V0P56d0LJjHjauSSuTl3GXee6xKmy+XPRIK5OwtPnfXtwDoGutGVgheS04sGd8p/UlRS04tqf8YPg5T1ZDPgt3+Mn1IcvcQRkVP7/YIy4VUWFpY9CNMWZbxRx6c9LK5DJpYcnN+mIgjQq1oX+KWmJvKI59d8m+vdAp8RtqMC1MGk6Kv0kLt4VWMH5t/5yWivHNjLeGV/zG2UfcTJrkG3rCNzN8M+XEm+LLjM8WQ+62xiSl4jJqMs9drpIm33j8lI4d8Wzd4zJra/VY6uOogl1/RVZJDv05gUqZZx43SYAtCpJKsWsvGWc+e55Wf70zuOQ6aeCbOjpCGSVFJXUtEiUtGd8aPy4Lh6YV39Kzi9zhrn1Ny4xZFg5ZZWrzR6PEFRk9e83//vqUsjbYc5fsuismiY8jck3ZVia2UbDnLogKxf3GiKKWNCytdtyxNU3aUAmOzFmlNnmt1UyCWu/bYExcWozigHuNEbYosETBovC4TvWaTWMPuVGehbnN5azJWW+CJcpb5WFVG5y5Y1yZM04DloWDoOZuMObYn7LnLBhnwYaWFbeUc1FJjtwZfTvE2YxDfGWEe7MOeHmjjVjbKr6N7wBYZi620IaPgpqeveZJvENbRbRMrXb82eKAWe4xzXz23QUPG9dMcp9Tb8Ik9Ukrkzc7V9iioGev8c2UtNJqNWlUvFp3mEUun/yfni1xqbj/g6ebfa7wZcooCShqgSP086ZjRbfmjrYoOA5muEKPnRwHM/JaasVbZbHX0tdCGRVpbZJWJq7M+NPzM7pWREsl/OX1CWfBhFnhYYrq1nA1LnVk1ct1h3nm8jLssGMvCcyMvrVmkgU0VML39p9x6o5/qef99lC0xRZbbLHFFltswfZQtMUWW2yxxRZbbAFsD0W/EN7vvsSVGZYsqGrBt7rPbyfwbVHStSI+HWt10fm6zVvdy42BWYmgxjIKHJHz3778FoFMuOuPeK//iqapJ/sb5t8Y/j1d93nU1O3ND64OdNp14eBuJumbZso08zhw53z/+Evdet0YNQpqskqnL581JghqLsIWTSthXVp8Z/iMvh3yILjhT87POGlNOWtNOPTnt+1uV+ZMM4/nYZe4VJv8HJNRFnC1blJhMNioG8raIK0UP50f8slyl7QyySu5yUPT+TdpZRKXir4V3lI5B80lny13mKYeaaVu13lghRy7Ux61rm+VGFVtcORMiUuL42DGvd6Y66RBXCqGcoUpSh53LnVa/EadNs9cmnZym8XzVWK1aei/bxolyijpqxU77gqArzVeUWIwLXy6zhpLFPSVfs/K0Eq5t9qXjNKAb++9wJU5aaVbv1FpsSocpFFznTY5DSZEla2vv1HTtBJ2nSX3GmOmsUdWmSxyh4Gj85l8ldFQKYvcZZQEPOpc0TJjnswHLDY5Pj1b5xO91b6k56y5444YOiHXaQNp1HSUNs2TRk3TTNhzFphGxY694rPVDn/64ow/Ob/LsTtFotWSPxvtaVPO2OfQ0ynuX2WlBSrl1J3gy5RdZ0lVC+LS4o3gipdhB0sUHLozskoyyhq0VExDJTSkpt6+vfeCRebcprcLo8IWBafB5DbHLKskd/wJ13mTqja43xjRVhEfLA/ZsVf/FwPGnlpji5wTb8rVWitswtImLhWWLPh0PLxVKOaV5GnYI9sYR36lovtbx1/qDC+ZEpY2XSviMmtjGQXvtM4JZMIj54L3Oy/4euslbw8vSDaqnd3Oiq6lzRLjQpFWJr6ZcrFRlt13tfHmiafXV9O/OaMs4NSbEJeKSe6zYy+ZZp6mxUXJonSJS0XDSvCUpsLySvJnN3cpEew6Sz5d7CAMrWxblzZ7/QXXcXNjghpSVNoccpL6VLXBgTPHEgWnwRRlVAztkH1X74ddZ8U08/mTq7t4ZsZRY64zufwZXWuNLzPuBzeYosIW+S2lPLRXWKJgRy0Z2iseNa/obIxOl4XDwNLXSxkVShQceAuEUfF81bulzvp2yKPGFdMyACCQKS/DDrYsKGrJs3UPX2b8xtlHFJW8zYrLNvROUQlWhcPrtIMtilsD3UNvTs9e36qbruMGgUwxjYq3e5dklUlcWQij4uPZLkUluYybXC0apJXJKGvwyXx3o+7NmGceQ2+ljWHNjAqDbx69ZGCHjOKAtDRZZTZppbBFzp6z4GFwzXXa5KPVHmll0jQTOioiryQ9teYvn58COlnelgV/MTq9recXcYuosLBESceJeevgklXu6M9oxjRkQlhY7HsL8spk117c7pvLpMV53OZZ1GOUNXjYuObZusees2BorW5rIMDlukm6qYOfrXboWmuKSpBsVM19M+Td9mvu90Y82rlikTuM04AXyw577gJPZCSVIi4tvtt/ysW6RVTqrMvPF0PmmcfLdZcP5/vEpSKuLJQoaZgJq9whLU3yWnLWGHORtpjnLkmldI2rBb91+nOWucPzsMtRc3ZLFdqyoKkSVoXNi7DLp+tdHjZvMEW5UWvWTDMPYej7Li7V5rXNX+p5vz0UbbHFFltsscUWW7A9FG2xxRZbbLHFFlsAIH/3d3/3d/+ffhP/b0Wapvze7/0e3/jP3sR3ajoqwjBgkgUcOjOi0sYw4NiZ0vfXvIo6SFFzx5tQIOmaa4paktcme2pGIRV9taZvrngWDxhYIQ2VktUmZS3Zs+ecpx0eBZfktclpa0pHRbTMhJYZ88HskDvBhKoWCKPGQKvC2qY2dxxlDbpWRLUxOVsVDveaIyxRsspt7rgTlChpmTHKqjlwFzRVQs9aY4mK52GXB40bZrnPqT/hedjjUfOKsLSRRs3j1gXr0ubAmfE87uOIQisfLK1YOnGmOLKgaSZ0VURcWRsljTbuskTJOAt4GFyT1ian/pQ/vr7Po9YVTTPhPOngypwabd64KFxu0gZ3vDFhqdvJB+6cQ2/O56shv9p+wpN0l7hSLHKXoRPSsSPuBWM+mu3SsFLOggmuLLBEiS8zjp0paaWY5j4NmTLJAxxZcGhNGRVNPl/vsOOs2LcX/PHowa3iKq0Ue/aChpWyZy2wRcGX0YCW0tdmVTrayE1UOCKna0bktW7bN80EQY0jC5RZIkWNb2b0rDWCmr695hutF8yKACkq9p0lWWUyyX3ebWtDO0fmdO2IF+serpnxwLvhj24e0LJ0m/5p1Gdoh/gyo8bAExmelbNjrYgqm5PWjLe7lwzVinGu6YVH7WtO3Cl3gilJpThwtLqkQmcKtcyE33/xmDfbV1wmLTpWxEP3ij8f3+W7vaeAgZDgy5QvVnotOkrvv6Fa0bDTjToqo6wFz8I+94MRT1ZDHFnwyWiXd3oXtM2IobXi0JoyKwKtwBI1bTMmMFPaZkRVC3JMempNZpgMrJBF4XHozpjmPt8ZPierTDyZUSKZZ642mTRLWirhoXvF0NLZTl9EQ5LS4p434jxts28tyGuTsHI4siZUCHyRIQScxx2t/GpdIoyaI2eGlKBERYVBU6Xs2wsKBMLglsJsq5iOGWPLkqoWJBsq4cSZsihdRlmDA2fOLPd46F3zIu7SUCkP/Gs6KqLvrumaaxxR8GbjkkXhc+jOOU/afKv3nK4VYQlNzy4Lh2dhnx13iSVLhvYK2yzpWWsMo+bH42OmmU/HjulbIXv2guNgxjz38GROy4oJZEpXRcxynzeDCy7SDgM7ZN+aaypYVDTNBDBIa8WxPWFSBLhCm8P6MmNZurRUcqsqyiuTXXfJmTtm31rgm5rS+iTcJ6/1NTz05gRmyjTXxpBlLeipNZYsWRc2XRWxLm2O3Rkfr/boWDF5JVGiZGCFGEBSKaLSuv33vWCEYaDzCO0l0qgxDChrySgNcMycgbPmtDXD2dSGR40rLFFSIXDNgj13eUu7K1FpOlpUBEpnqPWdiL4V4oiCy7TNPfeGVeXwjeYLKgQ9FeKKnIZKKREkUm0oxRphwL63ZJm7TDKfb3WekdQWq9wmLi2+3XvOjrOiYaY4oqBrrrnJW7wIu1SGIK8lnsw4daYsSo9dZ0laKl6uOzxqXJPWigNnxjhrcGDPyGpFUUuOgxmCmriy2HOW+KbObrNkRVZJmipmqFYsK1dnurkLBlbInWDKy6hLy0oYWCukUbNvzUEa/Hh8wrvt10gJZS3oOyG77oqhteKnswPeaZ2zKlxcMycqbXbtJR0VYxh6rKGrIpLKwpcpfSukpyKE1GMgLZVw7MyQUo+gPPCvSWoLSxRklcmhO2fohmS1vu+H1opXcQdblHy56NMzF/zVP/6M3/md38G27V/4ub/tFG2xxRZbbLHFFluwPRRtscUWW2yxxRZbAFv67N+Lr+izv/ufnzCnpdUEtWTPXtAzQ1aViyVKBtYKDIOBHVIiOI/blEhaZsKOWjArfYRRsyg9GjLFETlKVDyJhuzaK6LSYtde4oiCltK/01crDuw5Wa24Y4/4r3/2Q97dOccSBWDQU2ttLmbobJymTG6pBk/mNGRKW8Uc2VOushYdK6aj1ozyBoHMEKImqRRppXi+7rHjrDjy5jx2X9O0El4nXXacFYfOlAKTQKY8dK+4zlvcd69ZV442E9xQd8f2hK4Zcl20OHNGXGct+tYaS2hjyWLT8h1aIcKoOXV0LtyDxoiuGfEsGXDkzGjKhMusxfuBVvj1rTX5xuCrb60JS5tDe8arpItnadXKvr2gb695Eg45cBfsWgs8q8A1tXlmYGpqQImSnhkijZoCyap0KJC8F7xgWXlcpm3aVsyfXd2l5SQ8bNwwdEKSSrFvzxmYKwxDG8BltTbr882MU2eslUL2Ak/mjLIGrsz5yeKIN4MremrNvPAYWkuSShtvlrXkKmlyzxtxYM84UDP+cPyIfzj4OT8LD/lB61M8q+Chc8WycvFlBobB99uf0bZiHCPn0J+zY69ur/lD95JPon2KWtK1Qk3JWgtKJN9tfsGLtI8tCh77rzm2pgijpmOu8WTGvPDomhEl2mixZWozvGEQokTF4+AcZVR0zTU9L+aOPeIfP/suXVcbxzlmoWlkc6UN8uwb+iqkaSa8TDpIo+a7nac6K0kVDOyQs9aE7wRfEMgUX+hsvQ/Xh7zbeMVV1qKvdIbamXVDgaRGZ84tSp9de8E0D7jvXrNvazozq02d+1Ypvtv+El9qVV9cKvatGcoosY0SIeBl1OXUm9A2Y0oELTPmImuzq5aUCLrmmrRWdK2IN9xLSgRg8JZ7zj33hgSLx/45hgGmKMkr83Y9Z4VPXFkc2VM8kfHIvSBH8fPlPsfujAfuNZaoOLVHWKLixBrzLB3onDsz48ia8DwZ0FFr6lqwoxZcFy2aZsKBM+e+c8WPV6ccOXOKWtK31px4mmp/7J+zrmyiymLfniMM8KycR80rnq+7nLjTW9VO00z5MhzQs9e3NO+ZO0JSkWPeqp+WpYtpaG1Xw0z4X87f4lHrimXhcmDNucpaWFLT94/8S9JKUSI4sLUZa1g67Kgly9JjWvh8p/nLNh/pAAAgAElEQVQljsxpmxGuyClqiYE2qrVEyUXapsagbcUklQLDIDBTrpIW9/wRgZnSNBOaMmFWeAytFS829E5UWjzyL/FFxqQI2LMWJLXCNCqG1op9b8E89zh1J3TVmuu0xYkzZUctcUVGX4UsClfn7NWSi7jNjrMiq026G4VnXpncJIGmWU1tUOiLlIaZ4IsMZVT0TW18+Gm0R0+tUbLisf9aK41FybqyOHZm3PVHDMwVjlmgREVgpZw4U/LaxDQqstokq3W+4NANmWY+j4MLbJHTlDE71pKktshrk4alDQ4PndkmD63UmXpGBcChPee+c82PFqfc88c0zZhl4aKMksONYtESJV9EO+SVZNdeMrSW1BgoWfGGd0VUWfRVSFuuCSuXI39Oz1xznTXZdxccOjOSyuKOM6Zlp7gi56+nx3y7/YyhHXKeduipNYFM2bWWHFnT2722KD0O7RmWqDZqx5IDa0pU25zYEwQQ1RaBmVEiWBYuQ2vFnrWgp/R9l9Q6z7NEkmU1H/2Tn23psy222GKLLbbYYotfBttD0RZbbLHFFltssQVb+uzfi6/os3/0X+yykg1OnTFJbbFrLXBFxp/P7nHqTcgqxbzweNO74NSZ0LUjylrwfvCcrlzjiYyLvIMSJYfWFMOAfTXnyJkyL3327AVdc820CLjvXCGAnrmmQNCUMXFt8d7OKz4Nd/l++zNeZT32rAWrysEVOZMsIK1NDAOWhUuNQb75/0XWZmiFrEsHX2Y0zYRDa0pU2exYS8pa8PXGS7JaYRhwZE1pywhT1OzaC2ZFwI5acmxPyGtJjsmpPWZWBrzvP+NpMuRV0uVd/xVprbSqRK3omBEGMCkChmpFR2lDRF9ktGTEffuaWenrtrZacNcZkdSKoVqyqDzO7BH/bn3Mu/4LSoRWycgIV2qa5E3/EkHNvPR5w7nEMGpcVWzymiweule8THr85c0Jb7UvN9cs5333ORjctp5XpcueWlBjMMob9FXI0As5c8Y8T/rcccZapVYE3LHHBCIlri1MUdK31hzYM+LK5rH7mqfpDnFlMcs9+taab7eeEsiUohbUhtDt38riPf85Ye1y7M6oEDrTrvJ4GFxzaE1RssYROXFt4YqMrFa4IqfGoK9CnsS7dFSELzJqBK7IacuIPbWgFIIje8qOueSec40rcnpmSEPGfBQdcOaM6JsrjtWUq7JNQ8b86eIhd70bJkXArPC5717TNdcM1JJPoz2ukyZ9O6SqBT1Tq2oso+R+a8yxMyEwUyZ5gxJB24w4tcektaIpE26KJlHl4JsZ3/CfkWHiyxTL0GrAt53XtGXEtAyYlg0mhc9994Z33Nd8EB0zVCt65ppXeZeBuSKuLU7tMZ7ItNJRRjSlNo3cs+bc5C0u0jbvB8+pMFiWLn0V4ogcg5qbosWxNSawMj5cHnLkzPiD67e4648JNnT3dd5mx1wSVg7CgANrBhj0zBVDc4kvMjBg11yQbpSjD5wr/nDyiB+2P+Zl1ucqafHQuwIMjtWEi6KDKWu+4T+7zYQSBsS1xZk1Isbmrjfiz6f3+M3uTymFNizct+Z0zfWGLoq1Iae5xFP6usa1xaE1xRIlJ9aEfXNOjsQRBQfWlKG54uNon6GlM/QmRYDQHoe8iHvc8Sf4ZsZfjE55s3mlqRKj5MSe8BfzM+66I7rmmlN7TFTZvOW85k5zSlg6eDLj0JrQMPX6S1FTYyA2FPMD54pR0eTDxQGmrFmVDkll8d3gCa7IOM877JoLVpXLedK5Vc321JquFfLv5sdEpXVLl/XtNfeca6QBeW2S1Sa7lh47uOPpXDKtaluxp+YoUeGJlFHR1OrPTZ7conQ5tmd8Fu1w37/m5+E+R86MO5ZW6ia1RY3BUK04cOdMcp877oSW1KMCu/aCnqXVZ1ppuaQtI8LKIatNfc/JkPO8y461xBSlViSaEWHp3qotx0WDM3tEiWBeBBzaM45tXX/XlcP/8PzrHDZ0bTIMqNC1Oql0XQgrlzP7hs/iPe65I1aVw3XaoKtiPlnv8p3mF0yLgBN7zKGtqe0jNWXHXeGInJaMtcmwqJgWPt/0njEuG3RUTM+KiEoLRxTMCp9jZ8quueBpMtS0r1HSkAltM+LEmoAweMO9wBcZhgEtGbEsPb6Ihvxq7wtGeZOHzuUm567ioaMpTl+meBsD4pYZ05QJSpQUGwo7qhwOrSnrjTI0qmzedC84sSecOBMOrRmHaooySh46l9RS8Ng7p20lfNf5hH/634y39NkWW2yxxRZbbLHFL4PtoegXgB4MHjMrfDyREZYOSW0xsENaMkYaFW96FwA4Ro6k5qF3xX01whMpbRlxz77mrn2NNGr+fHGfeenxebLHd/wnhKUDwFXaIqps1pVNVkuaImHXXPA669KTITdRg0Xp88C7QhgVkopl4fDD9se4MudX/Kc89K7oKN2dasmYY3uKJ1PaKiKtTR46lwzkkmdRn6oW3HVuUEbJnjXnHfcVT9Idfhod80U8pCFi+mpFQ8bsmnM+XB/yMu5SbtKRd+WCd7xX7DkLLvIOA3PJ17wXtOWaXXNBQ8Z8L/ic+/YVu+aCtoxoyTWOyBFUnKcd0kphGSWOyEgrxf88fkfHHoiYh94Vkpo37AsCmeCJlEAmnFhjGpv/t8wIX6SsKoc9a679cKIejshpmjF//+BTyk16s6TCMwockbGqXMra4NTRScq+SHkveMEj55y3vVcIo+LXmp+xKDwA7tgjfJEC8DLt8jXnJS0Zc5m1iSqLY3NGy4z49ebP+GbzBVdZUw9q5m3aMkIZJedpmze9C3pmyKE147H7GoCGiDlSEwbmUg9QWxNGRQNllPyvszc5tKaUGHzNe8m6svl7zZ9zoKZc5m1acs151sEXKT+Nj3mVdNlXM7oyxDH0OpcIJDUnzpSGTPh5fESJQU/q7s8dV+/tPWvOD1sfM84bKKNgVbo89i94v/UCZZS0zIhl5ZLUinnpsShdPJHyWbTLI/+ChkxYlC5tEfFfff5DlqXDTdbke80nvOu/JKkVvkj1+9oMf1aba7OsXHbUnB+0PkUZBSUGr+IOi9Ljw+SQhkhwRM6rpMsb9gXrymZRunwa75PVEmWUJJWib674WuMVgoqkVpzYY5RR8uH6kLw2N9dap5KfehOUUfKbux9yZE3YVzPy2mRa+KwrG0/oFPhl6XCZtwGYlz4lBp5ImZcejsj5MDygK0P+bu8T1pXNv3r5Bn/14gRAd1drk7RSZJVJUiuuija+SEkqRVeGZLXkV4PPcIyc/2T3x/hGzpGacGpt9qaRsWsuOFVjjqwJkzLAF6nuRnvPNvsmoytDSgw+jA4pMWiLCGUUvB2co0TBPeeabBPFc5W2qDDIa4ltFPz6/sc8cs65Z1/xhq1rxG/3P0AZBQ2RsG/OeOy8wjJKTtWIPx7f5459w66pPZ6OLN1JCWRCx1zzyL3AEylRZfErnecMraUe6rZCLvIOSa2ISpuGjNlTM95rvqCtIk7sMf/sxddQRsnfG3xMx4p47F/wry/f4JF7wbGa8jX3Be97T+mbK/Jacp03uc6b9M0Vj/wLPlof6PWtTXpmyK/4T/le43NAd2q/0/yScRHw650Pec99zvfbn3OgprzKe3hGykPnksfua950zjm0ptzzbhjnAeMi4GXa1bXEqFBGeVtblFHyNB7wUXgAwKpy+bPpXQbmEonu/CaVoiV1vbKMAmlUjIom0zIgLG3aMsIROevKxhY5/+nZj9izFtyxRzxwLumaaw7UlH01Y1ctOLNvWJWu9oUzQ4Zqxa+2vwDgrje+fZ/SqBnKFZZRktQKubk3ytpgVvh8vt5hz5ozL3WtSyrFsnC4717TMde8ijubz+RwbE+Ylz6Cil1zjkB34/bVDElNXkt21AJfZLzvPyWvJKeW7uw+TYe0ZETfXN7WkEkR4Bg5H6yOcQy9Rs+TPn+9OGFVOfxkdUxZG/x8vU9ZCzyZ4omUhoxpiFg/i4ycvJabGl/jGDn37Otf/nn/S//mFltsscUWW2yxxf+HsD0UbbHFFltsscUWWwBGXdf1//2P/f8Ty+WSVqvFP//pXRKvRVuu+TTd52k84Gv+S06tMX+weIc33AsqBNMi4NCaIKlRRsGuueBIplyUFh+mh7RlhKDiLeuGq9JjIGNWlaItMrJNG7bCoMTgomgxkDrB/ct8wFCuuCpanFk35LXUVISR8Xm2w13rhnnlcWZO+TDbYyhXJLXiTE35/dXbPHQuUJS8yntMS583nXO+aU/47xZv0zVDBDqa4tic6rYqBvfVgj9YP+Bd58Xm81TktaDE4KpoAXCqplS1wb8MH3NijTlSEwCe5wPuW5r6mlcuDZHcvmfQdMC+GfOv1/c4UhMso+R53udt+zUlBn8Z3eO73hP+NHrAu85LlFHcvu5ddcPLosu89HnHfsXLoktbRFwUHealx337ikkR8MC65oPkmDPrBmlU5LWmLo7MKcBtu1UZJedFm6FcMa887qsJz4sWkzKgKRLO1JRVpchrycuiy1014i/iu/xt73P+6eIb/IPGh3yQHPN97wk/SY94z37FtHIoETzNhpyqMcvKYddc8Dzv6wFlNWJe2dr+v9Jr0pNr/tn8fd7xXrGubL7pPueP1w+xRc4D64p55fHfX3+L/2jwE47UhF0Z0RAGH2ZNJDVH5pI/j0+5a91QImgaKZPKoycirsomJQaKklXlooyCUzXl02yHtoj0gLtc8WU+5MCcMSkD8lrytn2BZ5T8m+geb9nnXJUtjswpv798l+/4T4hqm6RStGXEvPRIasV96wpllIzKBm+qMU/yDldFS98L5oJ/ET7m+/6nt+sJul3viPz2GiSVYmAu2Zcr/uX6TY7UFGlU7JszPkyOeNd5yapycIwcgJuygTQq5qXPT8ITTFHxH7d/hEXFz9ID2jK6fY+OkfPT5JiwdPhB8Ak3ZYMDc8a6snmeDzhVI55ku7zrvKAhMlaVxbzyyGsTz0hvh8JLDI7MOf82ust77nOqWuAYBYdmwU/SNtMy4A3rinnl8j/OvsGpM+HMvqGsBdKouCmavOu84KpoUSF4qG54WnRZV3og1DJKPCNlKEOWtc2PozMeO684MJe8KtoIKlYbKvMt64ISg3Vt8WFyRFULVqXDY/cVq8rFFynneYe2jG5r24E543nexxMpQ7liWTn4IsU3stufaYiMHyUn7Jszds0VVW3wp9ED/o7/Kf/T8l2+5X9BT0TMKxdhVDzNhrRlRFZL2iIiR/LPp1/noXfNQ+eCV1kPT6SMiyYA+0p7R52qMf9i9Q4dc83f9j7nST7gedbn2+6X/JPJ9/gvh3/Ij5Jj7ltXVLWgITIcQztH/ZvoHt9wXjCpNPXzR6s3cUTObzc/oC0KFLCqDZ7kPSZFwHXR4khN8UTKfTWmISqeFgF/tHqTr3vPOTZnfJTt80m8zw8aH9MQCVFlayrWnDGq/oZiepLtcqBmZJta8oZ1zVXRYChDPs126cqQoQxva2e26UG0RUZUmXii4FXRZFeG5JvoJgCFprw8o+aLvMmJueQvkyPuW9fkteSmbNAUCcoo+DIbMi6avOmc82FyyN/xP+FH8R3u21f0RMRfJXc4VSMckTMtAzwj5apo0TNDnqS7KKNEGSW/5X/OZ3mTrJbsmitWlXV7H3+cHPA15yWv8h7KKJBGzYE5418tH/MbrQ/4s/VDDq0pz9IBv938gH8b3eU3/4/27j5Gq+rA4/j33Ps8zzzz8swMDDAwwgwvVVGUUUFYRGOjpi5h2Rqz1hqaTKXpX2MKJW2KNQRMV9E2ddsKi9Ja3KwSNbSo1TUUqcK61fDmWFEB0SooLyPizDz3Ps99O+fsH+dhLKvdlZ2hV8bzSSbhuTwvv3POPWfO3HvPc+v28l5STZ/KcygZxv6gmc7hL5IVgvs+mkZR5pldt48m18NF834yjA+SAlfV7uNfj13JFYV9vFxq458advDP783lpuZtAJyfO8LbibmEI9BZjsaNtFe/y4v+2bTljlHjhJVTp1nCYsINF+2ht7eX+vr6z/x73x4psizLsizLwk6KLMuyLMuygC/IpGjVqlWMHz+efD7PzJkz2bZt2ym9vsaJGJnp44Oknn95+h84HtfyVthMXsRMrj7EtuIkjid1rHl9Ni6aWifEFYrb3r6erBAUnJgeWcNw16PeCSg4gloRU1M5XFolwBWagqOoERIXTcEpUyMSfJ3jeFIHQMEtk0P1X2GfFwm9spYPZR1ZzHdhFGV1/8qeLJqW7EcUnIBGt0TBLdOS7eFF72zqhDltMzpjbllyPKmjW5pVR1I71AhBTiQ0OSEuJmdj5bD1CbF2yApFjRPhCEVemJsx/Kk0jhyKvJC8HozlA1kgLxIanXL/4VrzereyiiNhl9dGoxORQyExh+lDlSUrEg7GTbhoDsWN5EXCM8en0uR6ZIX5XpKiqiYvYrb1TODDpM6s4hAJEodc5fPyIuZI3MD7SSNZoXCEosEJK6cDG/tP7TnAB0l9/6mZvDCnDncG4zme1NHoRGw5fg6BdvnjsYkUnIiRmSK9qoqNx6egEGYfqKwYKjhmtVetSFDawVdVOJX6/Lfuy9nYeyHHpXnfYVkficOkXDd5IWlwfWLt4ghFjQi5fuQuemQNT/ZeggPUiSwumhonJCvgQDSCfOVUo0TwTjTS3JrD9XgnGmn2AadMoLNkUTQ6JeqdwHzniBPhomjJFKmtrLoqOJJGx6Gkqkx9aoeCE/PwG9NxK5mGux4j3SKRdlnxyt9TK+LKfhJT6wga3RJPHWs3KwwrK3YKTkzBiYh1hud7z2N87hiNTokD8XAi7XIwHk6sM9Q6ijf8MRScMiPdPgoi5mjcQF5IGp0yeZGQFwlvR6PIi5isSDi35ggFN6AgYrJC8exH55MT5k71o9wiTa5PrDL8+39eTsEJKm0V81/+OZyVPY7CIVRZelQNWRQj3bJZ+SZi6p2AWpGQF2aFkDmtLCmImD3RGBqcmBqRpcn1zaoyHIa7Ja5peI2Sypk+60T4qoom1yPQWfaHo4m0yx/LE8mLmCePXUxr5jhLd/8jo1yPhsrYcUH+YOX0h6TglKl1QrIiYX/QDJhVNz2yhrNzRxiZ6UMhKu1a5sOkDk/mmZTt5sH3LiNQWQpOUOmDmUpbhbwajKNP5flTOK6/P/iqitfDsyipLH26irbcB2SF4j8OTaFWREQ4FJyAPWELeRHz9PF2irKaV4JWthYnE6kMzdne/pV/Tx1rxxGKA+FwapyQJz68iBqRcE7+MOvfv8SMJyIkrJxWnlD9AVk0Ta7HSCckwqWocjhArSPIOzHD3bh/TBqRLTIq24fSghohqHFcXDQ7/InknZiWbA/js8eodULMjUvgnWgEl9ftJSekudWKU+byun00OmVqK6vvGp0StY6i0QmoFyH1TsDe0mikNpdNxDpDrUiodwIanNjcLseJqXESaoSkwTH9wpxm1ZXtmkanTIMjqXESakVCFkXBURSEplY4lXGVylgkiXBZf2w6TqUvjcoUGZszly10R/VkheKtYBQFJ6DGSeiV1ShMHy44ZXOZh6yjVkSMyx7no6TWrMp1zOUNb0ajyQtJXiTkULwfD+9f+VlbWUn96JFLec47j2m175AX5rufdnlt5nuNhKSo8tQKp3+16RvlFs7JH6bGcSk4OQ6FZiXnidO5BSeiyfUq/VbzXqmRtYdmE1ZOMU4uHK2sGM5T6yiaHJ/94WhcNG8FI8khGZEp8tDhvyNX+f35enAWmcpYeKqG/KTo0UcfZfHixSxbtoxdu3bR3t7OtddeS3d3d9rRLMuyLMv6HMmkHeB0u+eee/j2t7/NzTffDMB9993H008/za9//WuWLFly0nPDMCQMw/7Hvb29APiewtWKUiJRQUDkRYQyxheKcpQQeTGBTJClgFJRIhyJRJD4IcWiwlMQ+Al+olBaU8wpvERR5So8qahxFWUtkEITayhrga8UOUfhK0U5TPAjSUlLPFcRanM0RQtFUE4oZSVSSLysolxO8CNFWUmKWUUplPgZhRSKUixJgLAc01elCLyEUmyyluOEUkaSdxW+khQjRbmU4CUKTykcodFC4WvzPgBeRpEIRVAyr/WyikQLQi/GcxXZE/8XmdwAJa3QQpF3FWXflEsKReTFeHnzWUHZzPBDBb4y9e5nzHZPKSIvopStlDdOUEhiIPYjylXmpoZeRlEOzPs7QqO0phx9nDPUAlEpTzlM8LOSUDv9dYaQJEKatlKmXl3Mv2M/wisqEj/EKypKkcl3YruvFBmhKElT7sCL8YR5nkLhReY5kRcRZmNKSLzYtEc5m+C7H+cvqwQ/VgRKUlKSskwIw5hiVlHtKvxQoh1F8cTnoPrruBya9ivpSl0kCl+a9/FyCj+RuI4yjzMmnxeb9i0pSTFWICDwEjxptnuRQpUC/KJEVtZoVLmmH6hSgFdUKMe8dzGn8BNTL35RUswoAj/B08rs51FC5EX4OVO+skxQQhLEZr8s5kwd+VkJjukPJ+oy/ovlIUE5wY8lJSkpq4QgNs9RCGI/opQ3/UMK0y6BF6PKJmspruwPXkxJmb8yg9B8vpcxF7z60vSnrKMQTqUOpanDcmDquBwmFBPTJl4MvpRkXdM/SrEkCGJKstLXlNlnT+zTpazJ7Wdlpa4UsmT2Lccx7SLFx23iy0pfkpKwbMoKUEo+fl4Qms+LNJRlQpDE+Mrss2UvwYtNmyXC5PC1JKiMM+XEZKl2zX6faI2fKCJEf7kTP8QvmiOuDppymJBxJJEXUXYSApkQxjFRGFHOJghh3jf2I4JsQhTElITs7zOlSPb3J79SX35lLChi6rCYNWWPMfu7cgTlsql3vzImBWGCcEz5ihlFRoAnK+2bmPHvRHk919RvuZzgZySJFqYfxKbve5X2C7Qg0YJixvR/pQWhNmNWSZj9NuOaz/SlyVYOE/yMwnMVmcqR4QRh/j9jxh8p9Enjv4sm0oCjcIFYgF8pRymQ/eNG/z5SGWNKSpJxpBl3K/uyn1FknI/HeICMMGUMoo/7SxDGlLMJfZjPKMdmjPWUOSNRDhOUFvixGYeptFmYMfXpZU0bhUEMTmIeewlFR+FHkqwwecoyoU8rIlEZ9zIxvmO+ay9T6VNladoy9iNiqQmdGC9XaTtd+X9pxpQT/SaqlLUcmX2rVDR9IAgSSonpF6e6lmxIrz6LooiamhrWr1/Pdddd17+9o6ODnp4ennjiiZOev3z5cm6//fa/dUzLsizLsk6DgwcPMnbs2M/8/CF9pOjYsWNIKWlubj5pe3NzM3v27PnE82+99VYWL17c/7inp4e2tjYOHDhAQ0PDac/7t9bX18e4ceM4ePDgKS1ZPBPYsp2ZbNnOXEO5fLZsZx6tNcVikZaWllN63ZCeFJ2qqqqqT71xXENDw5DaWf6n+vr6IVs+W7Yzky3bmWsol8+W7czy/zmYMaQvtB4xYgSu63L06Mn3QTl69CijR49OKZVlWZZlWZ9HQ3pSlMvlmDZtGps3b+7fppRi8+bNzJo1K8VklmVZlmV93rjLly9fnnaI06m+vp6lS5cybtw4qqqqWLp0KV1dXTzwwAPU1dX9n693XZcvf/nLZDJD80zjUC6fLduZyZbtzDWUy2fL9sUwpFefnbBy5Up+8pOfcOTIES666CJ+8YtfMHPmzLRjWZZlWZb1OfKFmBRZlmVZlmX9X4b0NUWWZVmWZVmflZ0UWZZlWZZlYSdFlmVZlmVZgJ0UWZZlWZZlAXZS9L9atWoV48ePJ5/PM3PmTLZt25Z2pEGxdetW5s2bR0tLC0IIHn/88bQjDYoVK1Zw6aWXUigUGDVqFNdddx179+5NO9agWb16NVOnTu3/5tlZs2bxzDPPpB3rtLjrrrsQQrBo0aK0owzY8uXLEUKc9DN58uS0Yw2a999/n2984xs0NTVRXV3NhRdeyI4dO9KONSjGjx//ibYTQtDZ2Zl2tAGTUrJ06VImTJhAdXU1kyZN4kc/+tEp30B1qLGTor/i0UcfZfHixSxbtoxdu3bR3t7OtddeS3d3d9rRBsz3fdrb21m1alXaUQbVli1b6Ozs5KWXXmLTpk3EccxXvvIVfN9PO9qgGDt2LHfddRc7d+5kx44dXHXVVXz1q1/ltddeSzvaoNq+fTv3338/U6dOTTvKoJkyZQqHDx/u/3nhhRfSjjQoPvroI2bPnk02m+WZZ57h9ddf56c//SnDhg1LO9qg2L59+0nttmnTJgBuuOGGlJMN3N13383q1atZuXIlb7zxBnfffTc//vGPuffee9OOli5tfaoZM2bozs7O/sdSSt3S0qJXrFiRYqrBB+gNGzakHeO06O7u1oDesmVL2lFOm2HDhulf/epXaccYNMViUZ999tl606ZN+sorr9QLFy5MO9KALVu2TLe3t6cd47T4wQ9+oC+//PK0Y/zNLFy4UE+aNEkrpdKOMmBz587VCxYsOGnb9ddfr+fPn59Sos8He6ToU0RRxM6dO7nmmmv6tzmOwzXXXMOLL76YYjLrVPT29gIwfPjwlJMMPikljzzyCL7vD6lb1nR2djJ37tyT+t5Q8Oabb9LS0sLEiROZP38+Bw4cSDvSoHjyySeZPn06N9xwA6NGjeLiiy/ml7/8ZdqxTosoinjooYdYsGABQoi04wzYZZddxubNm9m3bx8Ar7zyCi+88AJz5sxJOVm67Hd6f4pjx44hpaS5ufmk7c3NzezZsyelVNapUEqxaNEiZs+ezQUXXJB2nEHz6quvMmvWLIIgoK6ujg0bNnD++eenHWtQPPLII+zatYvt27enHWVQzZw5kwcffJBzzz2Xw4cPc/vtt3PFFVewe/duCoVC2vEG5O2332b16tUsXryYH/7wh2zfvp3vfOc75HI5Ojo60o43qB5//HF6enr45je/mXaUQbFkyRL6+vqYPHkyrusipeRLWS0AAAQJSURBVOSOO+5g/vz5aUdLlZ0UWUNSZ2cnu3fvHjLXbpxw7rnn0tXVRW9vL+vXr6ejo4MtW7ac8ROjgwcPsnDhQjZt2kQ+n087zqD6y7+8p06dysyZM2lra+Oxxx7jW9/6VorJBk4pxfTp07nzzjsBuPjii9m9ezf33XffkJsUPfDAA8yZM4eWlpa0owyKxx57jIcffph169YxZcoUurq6WLRoES0tLUOu7U6FnRR9ihEjRuC6LkePHj1p+9GjRxk9enRKqazP6pZbbuGpp55i69atjB07Nu04gyqXy/GlL30JgGnTprF9+3Z+/vOfc//996ecbGB27txJd3c3l1xySf82KSVbt25l5cqVhGGI67opJhw8jY2NnHPOOezfvz/tKAM2ZsyYT0zIzzvvPH7zm9+klOj0ePfdd3n22Wf57W9/m3aUQfP973+fJUuW8PWvfx2ACy+8kHfffZcVK1Z8oSdF9pqiT5HL5Zg2bRqbN2/u36aUYvPmzUPq+o2hRmvNLbfcwoYNG/jDH/7AhAkT0o502imlCMMw7RgDdvXVV/Pqq6/S1dXV/zN9+nTmz59PV1fXkJkQAXiex1tvvcWYMWPSjjJgs2fP/sTXXuzbt4+2traUEp0ea9euZdSoUcydOzftKIOmVCrhOCdPAVzXRSmVUqLPB3uk6K9YvHgxHR0dTJ8+nRkzZvCzn/0M3/e5+eab0442YJ7nnfRX6p///Ge6uroYPnw4ra2tKSYbmM7OTtatW8cTTzxBoVDgyJEjADQ0NFBdXZ1yuoG79dZbmTNnDq2trRSLRdatW8fzzz/Pxo0b0442YIVC4RPXftXW1tLU1HTGXxP2ve99j3nz5tHW1sahQ4dYtmwZruty0003pR1twL773e9y2WWXceedd/K1r32Nbdu2sWbNGtasWZN2tEGjlGLt2rV0dHSQyQydX5nz5s3jjjvuoLW1lSlTpvDyyy9zzz33sGDBgrSjpSvt5W+fZ/fee69ubW3VuVxOz5gxQ7/00ktpRxoUzz33nAY+8dPR0ZF2tAH5tDIBeu3atWlHGxQLFizQbW1tOpfL6ZEjR+qrr75a//73v0871mkzVJbk33jjjXrMmDE6l8vps846S9944416//79accaNL/73e/0BRdcoKuqqvTkyZP1mjVr0o40qDZu3KgBvXfv3rSjDKq+vj69cOFC3draqvP5vJ44caK+7bbbdBiGaUdLldD6C/71lZZlWZZlWdhriizLsizLsgA7KbIsy7IsywLspMiyLMuyLAuwkyLLsizLsizAToosy7Isy7IAOymyLMuyLMsC7KTIsizLsiwLsJMiy7Isy7IswE6KLMuyLMuyADspsizLsizLAuykyLIsy7IsC4D/Bj3Yzz6WfJS1AAAAAElFTkSuQmCC", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "specgram(x6, Fs=Fs_x4);\n" ] }, { "cell_type": "code", "execution_count": 271, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(Fs_x4, dec_rate, Fs_x4 / dec_rate) = (228000.0, 5, 45600.0)\n" ] }, { "data": { "text/plain": [ "(228000.0, 5, 45600.0)" ] }, "execution_count": 271, "metadata": {}, "output_type": "execute_result" } ], "source": [ "@show Fs_x4, dec_rate, Fs_x4/dec_rate" ] }, { "cell_type": "code", "execution_count": 278, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "45600.0" ] }, "execution_count": 278, "metadata": {}, "output_type": "execute_result" } ], "source": [ "## Decimating Output of De Emphasis Filter\n", "x7 = DSP.resample(x6, 1//dec_rate);\n", "Fs_x7 = Fs_x4/dec_rate" ] }, { "cell_type": "code", "execution_count": 279, "metadata": {}, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "Figure(PyObject
)" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "PyObject " ] }, "execution_count": 279, "metadata": {}, "output_type": "execute_result" } ], "source": [ "psd(x7, NFFT=1024, Fs=Fs_x7)\n", "axvline(x=19e3, color=\"red\", alpha=0.5,label=\"19 kHz stero pilot\")\n", "title(\"PSD of Decimated Signal Post DeEmphasis\");\n", "legend()" ] }, { "cell_type": "code", "execution_count": 274, "metadata": {}, "outputs": [], "source": [ "## Write Data To AudioFile\n", "x7 *= 10000 / maximum(abs.(x7)); # scale so it's audible" ] }, { "cell_type": "code", "execution_count": 275, "metadata": {}, "outputs": [], "source": [ "wavwrite(round.(Int16,x7), \"test.wav\", Fs=44100);" ] }, { "cell_type": "code", "execution_count": 276, "metadata": {}, "outputs": [ { "data": { "text/html": [ "" ], "text/plain": [ "WAVArray{Int16,1}(44100, Int16[-11, -3389, -5330, 490, 3701, 3418, 4582, 7626, 8142, 10000 … 74, -305, 26, 274, 782, 525, 300, -23, -279, -112])" ] }, "execution_count": 276, "metadata": {}, "output_type": "execute_result" } ], "source": [ "WAVArray(44100,round.(Int16,x7))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Credits\n", "\n", "Many thanks to Ajinkya Kadam who provided a [python FM decomulator](https://web.archive.org/web/20190819133107/https://ajinkyakadam.bitbucket.io/blogpost/2015/09/17/fmdemod/) using the instruction from http://witestlab.poly.edu/~ffund/el9043/labs/lab1.html.\n", "\n" ] } ], "metadata": { "@webio": { "lastCommId": null, "lastKernelId": null }, "kernelspec": { "display_name": "Julia 1.0.1", "language": "julia", "name": "julia-1.0" }, "language_info": { "file_extension": ".jl", "mimetype": "application/julia", "name": "julia", "version": "1.0.1" } }, "nbformat": 4, "nbformat_minor": 2 }