; EmEditor Syntax File ; ; To import this file to an existing configuration, select Configuration ; under the Tool menu, select Define Configurations, select a configuration ; you want to import to, press [Properties], select the Highlight page, and ; press [Import]. ; ; Submit your customized file to submit@emurasoft.com ; to be listed in www.emurasoft.com user files pages. ; #Highlight=on #BeginTag= #EndTag= #CommentBegin=/* #CommentEnd=*/ #LineComment1=// #LineComment2= #SingleQuote=off #DoubleQuote=on #ContinueQuote=on #Escape=\ #ScriptBegin= #ScriptEnd= #SpecialSyntax=off #HighlightBraces=on ; Annex B ; Reserved keywords #Keyword color=4,word=on,rightall=off,case=on,insidetag=off,regexp=off alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf bufif0 bufif1 byte case casex casez cell chandle class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event expect export extends extern final first_match for force foreach forever fork forkjoin function generate genvar highz0 highz1 if iff ifnone ignore_bins illegal_bins import incdir include initial inout input inside instance int integer interface intersect join join_any join_none large liblist library local localparam logic longint macromodule matches medium modport module nand negedge new nmos nor noshowcancelled not notif0 notif1 null or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_onevent pulsestyle_ondetect pure rand randc randcase randsequence rcmos real realtime ref reg release repeat return rnmos rpmos rtran rtranif0 rtranif1 scalared sequence shortint shortreal showcancelled signed small solve specify specparam static string strong0 strong1 struct super supply0 supply1 table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unsigned use uwire var vectored virtual void wait wait_order wand weak0 weak1 while wildcard wire with within wor xnor xor #Keyword color=4,word=on,rightall=off,case=on,insidetag=off,regexp=off `accelerate `autoexpand_vectornets `celldefine `default_nettype `default_decay_time `default_trireg_strength `delay_mode_distributed `delay_mode_path `delay_mode_unit `delay_mode_zero `define `else `endcelldefine `endif `endprotect `endprotected `expand_vectornets `file `ifdef `ifndef `include `line `noaccelerate `noexpand_vectornets `noremove_gatenames `noremove_netnames `nounconnected_drive `protect `protected `remove_gatenames `remove_netnames `reset `resetall `timescale `unconnected_dirve `undef `uselib ; Literal value syntax #Keyword color=5,word=on,rightall=off,case=on,insidetag=off,regexp=on [\+\-]?[[:digit:]][[:digit:]_]* #[[:digit:]][\.[:digit:]_]*(s|ms|us|ns|ps|fs|step) [\+\-]?[1-9][[:digit:]_]*'[DdOoBbHh][[:xdigit:]_XxZz]+ [\+\-]?[[:digit:]][\.[:digit:]_]*[eE][\+\-]?[[:digit:]][[:digit:]_]* '[01XxZz] ; IEEE 1800-2005; ; All SystemVerilog build-in methods #Keyword color=3,word=on,rightall=off,case=on,insidetag=off,regexp=off ; for string .len .putc .getc .toupper .tolower .compare .icompare .substr .atoi .atohex .atooct .atobin .atoreal .itoa .hextoa .octtoa .bintoa .realtoa ; for enumerated types .first .last .next .prev .num .name ; for dynamic array .size .delete ; for associative array ;.num ;.delete .exists ;.first ;.last ;.next ;.prev ; for queue ;.size .insert ;.delete .pop_front .pop_back .push_front .push_back ; for all kinds of array .find_first .find_last .find_first_index .find_last_index .min .max .unique .unique_index .reverse .rsort .sort .shuffle .sum .product .and .or .xor ; for random constraints .randomize .pre_randomize .post_randomize .mode .constraint_mode .rand_mode .srandom .get_randstate .set_randstate ; for semaphores ;.get ;.try_get ;.put ; for mailbox ;.num .get .try_get .put .try_put .peek .try_peek ; for assertion .ended .triggered .matched ; for coverage .sample .get_coverage .get_inst_coverage .set_inst_name .start .stop ; System tasks and functions #Keyword color=7,word=on,rightall=off,case=on,insidetag=off,regexp=off ; IEEE 1364-2001 ; Display tasks $displayb $displayh $displayo $monitorb $monitorh $monitoro $monitoron $monitoroff $strobeb $strobeh $strobeo $writeb $writeh $writeo ; File I/O tasks $fclose $fdisplayb $fdisplayh $fdisplayo $fgetc $fflush $fgets $fmonitorb $fmonitorh $fmonitoro $readmemb $swriteb $swriteh $swriteo $sformat $fscanf $fread $fopen $fstrobeb $fstrobeh $fstrobeo $ungetc $ferror $rewind $fwriteb $fwriteh $fwriteo $readmemh $sdf_annotate $sscanf $ftell ; Timescale tasks $printtimescale $timeformat ; Simulation control tasks $finish $stop ; PLA modeling tasks $async$and$array $async$nand$array $sync$and$array $sync$nand$array $async$or$array $async$nor$array $sync$or$array $sync$nor$array $async$and$plane $async$nand$plane $sync$and$plane $sync$nand$plane $async$or$plane $async$nor$plane $sync$or$plane $sync$nor$plane ; Stochastic analysis tasks $q_initialize $q_remove $q_exam $q_add $q_full ; Simulation time function $realtime $stime $time ; Conversion functions $bitstoreal $itor $signed $unsigned $realtobits $rtoi ; Probabilistic distribution functions $dist_chi_square $dist_exponential $dist_poisson $dist_uniform $dist_erlang $dist_normal $dist_t $random ; Command line input $test$plusargs $value$plusargs ; IEEE 1800-2005 ;22.3 Expression size system function $bits ;22.4 Range system function $isunbounded ;22.5 Shortreal conversions $bitstoshortreal ;22.6 Array querying system functions $dimensions $unpacked_dimensions $left $right $low $high $increment $size ;22.7 Assertion severity system tasks $fatal $error $warning $info ;22.8 Assertion control system tasks $asserton $assertoff $assertkill ;22.9 Assertion system functions $onehot $onehot0 $isunknown $sample $rose $fell $stable $past $countones ;22.10 Random number system functions $urandom $urandom_range ;22.11 Program control ;$stop ;$finish $exit ;22.12 Coverage system functions $coverage_control $converage_get_max $coverage_get $coverage_merge $coverage_save ;22.13 Enhancements to Verilog system tasks ;22.14 $readmemb and $readmemh ;22.15 $writememb and $writememh ;22.16 File format considerations for multidimensional unpacked arrays ;22.17 System task arguments for multidimensional unpacked arrays ;Misc $countdrivers $dumpall $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit $dumpportsoff $dumpportson $dumpvars $fullskew $getpattern $history $hold $incsave $key $list $log $nochange $nokey $nolog $period $recovery $recrem $reset_count $reset_value $restart $save $scale $scope $setup $setuphold $showscopes $showvariables $showvars $skew $sreadmemb $sreadmemh $sreadmemo $stime $timeskew $width $cast