{ "cells": [ { "cell_type": "markdown", "source": [ "# Graphene band structure" ], "metadata": {} }, { "cell_type": "markdown", "source": [ "This example plots the band structure of graphene, a 2D material. 2D band\n", "structures are not supported natively (yet), so we manually build a custom\n", "path in reciprocal space." ], "metadata": {} }, { "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "n Energy log10(ΔE) log10(Δρ) Diag\n", "--- --------------- --------- --------- ----\n", " 1 -11.15668365602 -0.60 5.7\n", " 2 -11.16023105763 -2.45 -1.30 1.3\n", " 3 -11.16040874714 -3.75 -2.32 4.1\n", " 4 -11.16041668862 -5.10 -3.07 4.3\n", " 5 -11.16041696519 -6.56 -3.35 5.4\n", "\rDiagonalising Hamiltonian kblocks: 5%|▊ | ETA: 0:00:07\u001b[K\rDiagonalising Hamiltonian kblocks: 9%|█▌ | ETA: 0:00:05\u001b[K\rDiagonalising Hamiltonian kblocks: 14%|██▎ | ETA: 0:00:04\u001b[K\rDiagonalising Hamiltonian kblocks: 19%|███ | ETA: 0:00:04\u001b[K\rDiagonalising Hamiltonian kblocks: 23%|███▊ | ETA: 0:00:03\u001b[K\rDiagonalising Hamiltonian kblocks: 28%|████▌ | ETA: 0:00:03\u001b[K\rDiagonalising Hamiltonian kblocks: 33%|█████▎ | ETA: 0:00:03\u001b[K\rDiagonalising Hamiltonian kblocks: 37%|██████ | ETA: 0:00:03\u001b[K\rDiagonalising Hamiltonian kblocks: 42%|██████▊ | ETA: 0:00:02\u001b[K\rDiagonalising Hamiltonian kblocks: 49%|███████▉ | ETA: 0:00:02\u001b[K\rDiagonalising Hamiltonian kblocks: 53%|████████▌ | ETA: 0:00:02\u001b[K\rDiagonalising Hamiltonian kblocks: 56%|████████▉ | ETA: 0:00:02\u001b[K\rDiagonalising Hamiltonian kblocks: 60%|█████████▋ | ETA: 0:00:02\u001b[K\rDiagonalising Hamiltonian kblocks: 65%|██████████▍ | ETA: 0:00:01\u001b[K\rDiagonalising Hamiltonian kblocks: 70%|███████████▏ | ETA: 0:00:01\u001b[K\rDiagonalising Hamiltonian kblocks: 74%|███████████▉ | ETA: 0:00:01\u001b[K\rDiagonalising Hamiltonian kblocks: 79%|████████████▋ | ETA: 0:00:01\u001b[K\rDiagonalising Hamiltonian kblocks: 84%|█████████████▍ | ETA: 0:00:01\u001b[K\rDiagonalising Hamiltonian kblocks: 88%|██████████████▏ | ETA: 0:00:00\u001b[K\rDiagonalising Hamiltonian kblocks: 93%|██████████████▉ | ETA: 0:00:00\u001b[K\rDiagonalising Hamiltonian kblocks: 98%|███████████████▋| ETA: 0:00:00\u001b[K\rDiagonalising Hamiltonian kblocks: 100%|████████████████| Time: 0:00:03\u001b[K\n" ] }, { "output_type": "execute_result", "data": { "text/plain": "Plot{Plots.GRBackend() n=69}", "image/png": "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", "text/html": [ "\n", "\n", "\n", " \n", " \n", " \n", "\n", "\n", "\n", " \n", " \n", " \n", "\n", "\n", "\n", " \n", " \n", " \n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n" ], "image/svg+xml": [ "\n", "\n", "\n", " \n", " \n", " \n", "\n", "\n", "\n", " \n", " \n", " \n", "\n", "\n", "\n", " \n", " \n", " \n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n", "\n" ] }, "metadata": {}, "execution_count": 1 } ], "cell_type": "code", "source": [ "using DFTK\n", "using Unitful\n", "using UnitfulAtomic\n", "using LinearAlgebra\n", "\n", "# Define the convergence parameters (these should be increased in production)\n", "L = 20 # height of the simulation box\n", "kgrid = [6, 6, 1]\n", "Ecut = 15\n", "temperature = 1e-3\n", "\n", "# Define the geometry and pseudopotential\n", "a = 4.66 # lattice constant\n", "a1 = a*[1/2,-sqrt(3)/2, 0]\n", "a2 = a*[1/2, sqrt(3)/2, 0]\n", "a3 = L*[0 , 0 , 1]\n", "lattice = [a1 a2 a3]\n", "C1 = [1/3,-1/3,0.0] # in reduced coordinates\n", "C2 = -C1\n", "positions = [C1, C2]\n", "C = ElementPsp(:C, psp=load_psp(\"hgh/pbe/c-q4\"))\n", "atoms = [C, C]\n", "\n", "# Run SCF\n", "model = model_PBE(lattice, atoms, positions; temperature)\n", "basis = PlaneWaveBasis(model; Ecut, kgrid)\n", "scfres = self_consistent_field(basis)\n", "\n", "# Choose the points of the band diagram, in reduced coordinates (in the (b1,b2) basis)\n", "Γ = [0, 0, 0]\n", "K = [ 1, 1, 0]/3\n", "Kp = [-1, 2, 0]/3\n", "M = (K + Kp)/2\n", "kpath_coords = [Γ, K, M, Γ]\n", "kpath_names = [\"Γ\", \"K\", \"M\", \"Γ\"]\n", "\n", "# Build the path manually for now\n", "kline_density = 20\n", "function build_path(k1, k2)\n", " target_Δk = 1/kline_density # the actual Δk is |k2-k1|/npt\n", " npt = ceil(Int, norm(model.recip_lattice * (k2-k1)) / target_Δk)\n", " [k1 + t * (k2-k1) for t in range(0, 1, length=npt)]\n", "end\n", "kcoords = []\n", "for i = 1:length(kpath_coords)-1\n", " append!(kcoords, build_path(kpath_coords[i], kpath_coords[i+1]))\n", "end\n", "klabels = Dict(zip(kpath_names, kpath_coords))\n", "\n", "# Plot the bands\n", "band_data = compute_bands(basis, kcoords; scfres.ρ)\n", "DFTK.plot_band_data(band_data; scfres.εF, klabels)" ], "metadata": {}, "execution_count": 1 } ], "nbformat_minor": 3, "metadata": { "language_info": { "file_extension": ".jl", "mimetype": "application/julia", "name": "julia", "version": "1.8.1" }, "kernelspec": { "name": "julia-1.8", "display_name": "Julia 1.8.1", "language": "julia" } }, "nbformat": 4 }