{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline\n", "from fastai.basics import *" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## MNIST SGD" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Get the 'pickled' MNIST dataset from http://deeplearning.net/data/mnist/mnist.pkl.gz. We're going to treat it as a standard flat dataset with fully connected layers, rather than using a CNN." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "path = Path('data/mnist')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[PosixPath('data/mnist/mnist.pkl.gz')]" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "path.ls()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "with gzip.open(path/'mnist.pkl.gz', 'rb') as f:\n", " ((x_train, y_train), (x_valid, y_valid), _) = pickle.load(f, encoding='latin-1')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(50000, 784)" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAP8AAAD8CAYAAAC4nHJkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4wLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvqOYd8AAADgpJREFUeJzt3X+MVfWZx/HPs1j+kKI4aQRCYSnEYJW4082IjSWrxkzVDQZHrekkJjQapn8wiU02ZA3/VNNgyCrslmiamaZYSFpKE3VB0iw0otLGZuKIWC0srTFsO3IDNTjywx9kmGf/mEMzxbnfe+fec++5zPN+JeT+eM6558kNnznn3O+592vuLgDx/EPRDQAoBuEHgiL8QFCEHwiK8ANBEX4gKMIPBEX4gaAIPxDUZc3cmJlxOSHQYO5u1SxX157fzO40syNm9q6ZPVrPawFoLqv12n4zmybpj5I6JQ1Jel1St7sfSqzDnh9osGbs+ZdJetfd33P3c5J+IWllHa8HoInqCf88SX8Z93goe+7vmFmPmQ2a2WAd2wKQs3o+8Jvo0OJzh/Xu3i+pX+KwH2gl9ez5hyTNH/f4y5KO1dcOgGapJ/yvS7rGzL5iZtMlfVvSrnzaAtBoNR/2u/uImfVK2iNpmqQt7v6H3DoD0FA1D/XVtDHO+YGGa8pFPgAuXYQfCIrwA0ERfiAowg8ERfiBoAg/EBThB4Ii/EBQhB8IivADQRF+ICjCDwRF+IGgCD8QFOEHgiL8QFCEHwiK8ANBEX4gKMIPBEX4gaAIPxAU4QeCIvxAUIQfCIrwA0ERfiAowg8EVfMU3ZJkZkclnZZ0XtKIu3fk0RTyM23atGT9yiuvbOj2e3t7y9Yuv/zy5LpLlixJ1tesWZOsP/XUU2Vr3d3dyXU//fTTZH3Dhg3J+uOPP56st4K6wp+5zd0/yOF1ADQRh/1AUPWG3yXtNbM3zKwnj4YANEe9h/3fcPdjZna1pF+b2f+6+/7xC2R/FPjDALSYuvb87n4suz0h6QVJyyZYpt/dO/gwEGgtNYffzGaY2cwL9yV9U9I7eTUGoLHqOeyfLekFM7vwOj939//JpSsADVdz+N39PUn/lGMvU9aCBQuS9enTpyfrN998c7K+fPnysrVZs2Yl173vvvuS9SINDQ0l65s3b07Wu7q6ytZOnz6dXPett95K1l999dVk/VLAUB8QFOEHgiL8QFCEHwiK8ANBEX4gKHP35m3MrHkba6L29vZkfd++fcl6o79W26pGR0eT9YceeihZP3PmTM3bLpVKyfqHH36YrB85cqTmbTeau1s1y7HnB4Ii/EBQhB8IivADQRF+ICjCDwRF+IGgGOfPQVtbW7I+MDCQrC9atCjPdnJVqffh4eFk/bbbbitbO3fuXHLdqNc/1ItxfgBJhB8IivADQRF+ICjCDwRF+IGgCD8QVB6z9IZ38uTJZH3t2rXJ+ooVK5L1N998M1mv9BPWKQcPHkzWOzs7k/WzZ88m69dff33Z2iOPPJJcF43Fnh8IivADQRF+ICjCDwRF+IGgCD8QFOEHgqr4fX4z2yJphaQT7r40e65N0g5JCyUdlfSAu6d/6FxT9/v89briiiuS9UrTSff19ZWtPfzww8l1H3zwwWR9+/btyTpaT57f5/+ppDsveu5RSS+5+zWSXsoeA7iEVAy/u++XdPElbCslbc3ub5V0T859AWiwWs/5Z7t7SZKy26vzawlAMzT82n4z65HU0+jtAJicWvf8x81sriRltyfKLeju/e7e4e4dNW4LQAPUGv5dklZl91dJ2plPOwCapWL4zWy7pN9JWmJmQ2b2sKQNkjrN7E+SOrPHAC4hFc/53b27TOn2nHsJ69SpU3Wt/9FHH9W87urVq5P1HTt2JOujo6M1bxvF4go/ICjCDwRF+IGgCD8QFOEHgiL8QFBM0T0FzJgxo2ztxRdfTK57yy23JOt33XVXsr53795kHc3HFN0Akgg/EBThB4Ii/EBQhB8IivADQRF+ICjG+ae4xYsXJ+sHDhxI1oeHh5P1l19+OVkfHBwsW3vmmWeS6zbz/+ZUwjg/gCTCDwRF+IGgCD8QFOEHgiL8QFCEHwiKcf7gurq6kvVnn302WZ85c2bN2163bl2yvm3btmS9VCrVvO2pjHF+AEmEHwiK8ANBEX4gKMIPBEX4gaAIPxBUxXF+M9siaYWkE+6+NHvuMUmrJf01W2ydu/+q4sYY57/kLF26NFnftGlTsn777bXP5N7X15esr1+/Pll///33a972pSzPcf6fSrpzguf/093bs38Vgw+gtVQMv7vvl3SyCb0AaKJ6zvl7zez3ZrbFzK7KrSMATVFr+H8kabGkdkklSRvLLWhmPWY2aGblf8wNQNPVFH53P+7u5919VNKPJS1LLNvv7h3u3lFrkwDyV1P4zWzuuIddkt7Jpx0AzXJZpQXMbLukWyV9ycyGJH1f0q1m1i7JJR2V9N0G9gigAfg+P+oya9asZP3uu+8uW6v0WwFm6eHqffv2JeudnZ3J+lTF9/kBJBF+ICjCDwRF+IGgCD8QFOEHgmKoD4X57LPPkvXLLktfhjIyMpKs33HHHWVrr7zySnLdSxlDfQCSCD8QFOEHgiL8QFCEHwiK8ANBEX4gqIrf50dsN9xwQ7J+//33J+s33nhj2VqlcfxKDh06lKzv37+/rtef6tjzA0ERfiAowg8ERfiBoAg/EBThB4Ii/EBQjPNPcUuWLEnWe3t7k/V77703WZ8zZ86ke6rW+fPnk/VSqZSsj46O5tnOlMOeHwiK8ANBEX4gKMIPBEX4gaAIPxAU4QeCqjjOb2bzJW2TNEfSqKR+d/+hmbVJ2iFpoaSjkh5w9w8b12pclcbSu7u7y9YqjeMvXLiwlpZyMTg4mKyvX78+Wd+1a1ee7YRTzZ5/RNK/uftXJX1d0hozu07So5JecvdrJL2UPQZwiagYfncvufuB7P5pSYclzZO0UtLWbLGtku5pVJMA8jepc34zWyjpa5IGJM1295I09gdC0tV5Nwegcaq+tt/MvijpOUnfc/dTZlVNByYz65HUU1t7ABqlqj2/mX1BY8H/mbs/nz193MzmZvW5kk5MtK6797t7h7t35NEwgHxUDL+N7eJ/Iumwu28aV9olaVV2f5Wknfm3B6BRKk7RbWbLJf1G0tsaG+qTpHUaO+//paQFkv4s6VvufrLCa4Wconv27NnJ+nXXXZesP/3008n6tddeO+me8jIwMJCsP/nkk2VrO3em9xd8Jbc21U7RXfGc391/K6nci90+maYAtA6u8AOCIvxAUIQfCIrwA0ERfiAowg8ExU93V6mtra1sra+vL7lue3t7sr5o0aKaesrDa6+9lqxv3LgxWd+zZ0+y/sknn0y6JzQHe34gKMIPBEX4gaAIPxAU4QeCIvxAUIQfCCrMOP9NN92UrK9duzZZX7ZsWdnavHnzauopLx9//HHZ2ubNm5PrPvHEE8n62bNna+oJrY89PxAU4QeCIvxAUIQfCIrwA0ERfiAowg8EFWacv6urq656PQ4dOpSs7969O1kfGRlJ1lPfuR8eHk6ui7jY8wNBEX4gKMIPBEX4gaAIPxAU4QeCIvxAUObu6QXM5kvaJmmOpFFJ/e7+QzN7TNJqSX/NFl3n7r+q8FrpjQGom7tbNctVE/65kua6+wEzmynpDUn3SHpA0hl3f6rapgg/0HjVhr/iFX7uXpJUyu6fNrPDkor96RoAdZvUOb+ZLZT0NUkD2VO9ZvZ7M9tiZleVWafHzAbNbLCuTgHkquJh/98WNPuipFclrXf3581stqQPJLmkH2js1OChCq/BYT/QYLmd80uSmX1B0m5Je9x90wT1hZJ2u/vSCq9D+IEGqzb8FQ/7zcwk/UTS4fHBzz4IvKBL0juTbRJAcar5tH+5pN9IeltjQ32StE5St6R2jR32H5X03ezDwdRrsecHGizXw/68EH6g8XI77AcwNRF+ICjCDwRF+IGgCD8QFOEHgiL8QFCEHwiK8ANBEX4gKMIPBEX4gaAIPxAU4QeCavYU3R9I+r9xj7+UPdeKWrW3Vu1Lorda5dnbP1a7YFO/z/+5jZsNuntHYQ0ktGpvrdqXRG+1Kqo3DvuBoAg/EFTR4e8vePsprdpbq/Yl0VutCumt0HN+AMUpes8PoCCFhN/M7jSzI2b2rpk9WkQP5ZjZUTN728wOFj3FWDYN2gkze2fcc21m9msz+1N2O+E0aQX19piZvZ+9dwfN7F8L6m2+mb1sZofN7A9m9kj2fKHvXaKvQt63ph/2m9k0SX+U1ClpSNLrkrrd/VBTGynDzI5K6nD3wseEzexfJJ2RtO3CbEhm9h+STrr7huwP51Xu/u8t0ttjmuTMzQ3qrdzM0t9Rge9dnjNe56GIPf8ySe+6+3vufk7SLyStLKCPlufu+yWdvOjplZK2Zve3auw/T9OV6a0luHvJ3Q9k909LujCzdKHvXaKvQhQR/nmS/jLu8ZBaa8pvl7TXzN4ws56im5nA7AszI2W3Vxfcz8UqztzcTBfNLN0y710tM17nrYjwTzSbSCsNOXzD3f9Z0l2S1mSHt6jOjyQt1tg0biVJG4tsJptZ+jlJ33P3U0X2Mt4EfRXyvhUR/iFJ88c9/rKkYwX0MSF3P5bdnpD0gsZOU1rJ8QuTpGa3Jwru52/c/bi7n3f3UUk/VoHvXTaz9HOSfubuz2dPF/7eTdRXUe9bEeF/XdI1ZvYVM5su6duSdhXQx+eY2YzsgxiZ2QxJ31TrzT68S9Kq7P4qSTsL7OXvtMrMzeVmllbB712rzXhdyEU+2VDGf0maJmmLu69vehMTMLNFGtvbS2PfePx5kb2Z2XZJt2rsW1/HJX1f0n9L+qWkBZL+LOlb7t70D97K9HarJjlzc4N6Kzez9IAKfO/ynPE6l364wg+IiSv8gKAIPxAU4QeCIvxAUIQfCIrwA0ERfiAowg8E9f/Ex0YKZYOZcwAAAABJRU5ErkJggg==\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "plt.imshow(x_train[0].reshape((28,28)), cmap=\"gray\")\n", "x_train.shape" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(torch.Size([50000, 784]), tensor(0), tensor(9))" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x_train,y_train,x_valid,y_valid = map(torch.tensor, (x_train,y_train,x_valid,y_valid))\n", "n,c = x_train.shape\n", "x_train.shape, y_train.min(), y_train.max()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In lesson2-sgd we did these things ourselves:\n", "\n", "```python\n", "x = torch.ones(n,2) \n", "def mse(y_hat, y): return ((y_hat-y)**2).mean()\n", "y_hat = x@a\n", "```\n", "\n", "Now instead we'll use PyTorch's functions to do it for us, and also to handle mini-batches (which we didn't do last time, since our dataset was so small)." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "bs=64\n", "train_ds = TensorDataset(x_train, y_train)\n", "valid_ds = TensorDataset(x_valid, y_valid)\n", "data = DataBunch.create(train_ds, valid_ds, bs=bs)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(torch.Size([64, 784]), torch.Size([64]))" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x,y = next(iter(data.train_dl))\n", "x.shape,y.shape" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "class Mnist_Logistic(nn.Module):\n", " def __init__(self):\n", " super().__init__()\n", " self.lin = nn.Linear(784, 10, bias=True)\n", "\n", " def forward(self, xb): return self.lin(xb)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "model = Mnist_Logistic().cuda()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Mnist_Logistic(\n", " (lin): Linear(in_features=784, out_features=10, bias=True)\n", ")" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Linear(in_features=784, out_features=10, bias=True)" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model.lin" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "torch.Size([64, 10])" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model(x).shape" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[torch.Size([10, 784]), torch.Size([10])]" ] }, "execution_count": null, "metadata": {}, "output_type": "execute_result" } ], "source": [ "[p.shape for p in model.parameters()]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "lr=2e-2" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "loss_func = nn.CrossEntropyLoss()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def update(x,y,lr):\n", " wd = 1e-5\n", " y_hat = model(x)\n", " # weight decay\n", " w2 = 0.\n", " for p in model.parameters(): w2 += (p**2).sum()\n", " # add to regular loss\n", " loss = loss_func(y_hat, y) + w2*wd\n", " loss.backward()\n", " with torch.no_grad():\n", " for p in model.parameters():\n", " p.sub_(lr * p.grad)\n", " p.grad.zero_()\n", " return loss.item()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "losses = [update(x,y,lr) for x,y in data.train_dl]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "plt.plot(losses);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "class Mnist_NN(nn.Module):\n", " def __init__(self):\n", " super().__init__()\n", " self.lin1 = nn.Linear(784, 50, bias=True)\n", " self.lin2 = nn.Linear(50, 10, bias=True)\n", "\n", " def forward(self, xb):\n", " x = self.lin1(xb)\n", " x = F.relu(x)\n", " return self.lin2(x)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "model = Mnist_NN().cuda()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "losses = [update(x,y,lr) for x,y in data.train_dl]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "plt.plot(losses);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "model = Mnist_NN().cuda()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def update(x,y,lr):\n", " opt = optim.Adam(model.parameters(), lr)\n", " y_hat = model(x)\n", " loss = loss_func(y_hat, y)\n", " loss.backward()\n", " opt.step()\n", " opt.zero_grad()\n", " return loss.item()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "losses = [update(x,y,1e-3) for x,y in data.train_dl]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "plt.plot(losses);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "learn = Learner(data, Mnist_NN(), loss_func=loss_func, metrics=accuracy)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "LR Finder is complete, type {learner_name}.recorder.plot() to see the graph.\n" ] }, { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "learn.lr_find()\n", "learn.recorder.plot()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Total time: 00:03\n", "epoch train_loss valid_loss accuracy\n", "1 0.148536 0.135789 0.960800 (00:03)\n", "\n" ] } ], "source": [ "learn.fit_one_cycle(1, 1e-2)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "learn.recorder.plot_lr(show_moms=True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEKCAYAAAD9xUlFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4wLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvqOYd8AAAIABJREFUeJzt3Xl8HPV9//HXZ7W679OWJduSD3wi27IxdrhsSEiAlCOhASckJQRoSFOa0ovk10eSkqYlTUJpaBoaEqBpCeQgECAcSbhNgsE2vvCNLRv50mFL1i3t6vv7Y8eLsGVblrWaXen9fDz2odnZ2ZnPrlZ67/c7M98x5xwiIiIAAb8LEBGR+KFQEBGRKIWCiIhEKRRERCRKoSAiIlEKBRERiVIoiIhIlEJBRESiFAoiIhIV9LuAU1VUVOQqKir8LkNEJKGsWrWqwTlXfLLlEi4UKioqWLlypd9liIgkFDPbNZDl1H0kIiJRCgUREYlSKIiISFTC7VMQkZGjp6eH2tpaOjs7/S5lxEhLS6O8vJzk5ORBPV+hICK+qa2tJTs7m4qKCszM73ISnnOOxsZGamtrqaysHNQ61H0kIr7p7OyksLBQgTBEzIzCwsLTankpFETEVwqEoXW67+eoCYXtdS3c8eRGukO9fpciIhK3Rk0ovHuwg/tf28nLW+v9LkVE4kRjYyNz585l7ty5jB07lrKysuj97u7uAa3js5/9LFu2bIlxpcNn1OxoPndqEQWZKXz3t1u44IxiUoKjJg9F5DgKCwtZs2YNAF//+tfJysrib//2b9+3jHMO5xyBQP//Mx544IGY1zmcRs1/xuSkAFfOLWPz/hb+/pdr/S5HROLY9u3bmT17Np///Oeprq5m37593HzzzSxYsIBZs2Zxxx13RJc999xzWbNmDaFQiLy8PG6//XbmzJnD4sWLqaur8/FVDM6oaSkA3H7JdADuf20nS6aVcOW8Mp8rEpEj/unJt9m49/CQrnPmuBy+9iezBvXcjRs38sADD3DvvfcCcOedd1JQUEAoFGLp0qVcffXVzJw5833PaW5u5oILLuDOO+/ktttu4/777+f2228/7dcxnEZNSwEgJRjgK5dO56yKfL78q/XsqG/1uyQRiVOTJ0/mrLPOit5/+OGHqa6uprq6mk2bNrFx48ZjnpOens4ll1wCwPz586mpqRmucofMqGopAASTAnz/k9Us/c5LfPe3W/n+p6r9LklEYNDf6GMlMzMzOr1t2zb+4z/+gzfeeIO8vDyuu+66fs8FSElJiU4nJSURCoWGpdahNKpaCkeU5KTxuXMr+c36fWzY0+x3OSIS5w4fPkx2djY5OTns27eP5557zu+SYmZUhgLAjedPIi8jmW89u9nvUkQkzlVXVzNz5kxmz57NTTfdxDnnnON3STFjzjm/azglCxYscEN1kZ0fvbqDf/7NJh668WzOmVI0JOsUkYHbtGkTM2bM8LuMEae/99XMVjnnFpzsuaO2pQBw3aKJlOWlc+czm+ntTaxwFBGJhVEdCmnJSdz2oTNYv6eZpzfs87scERHfjepQALhyXhnTxmRzz/PbSbSuNBGRoTbqQyEpYFy3aAJbDrSwaV+L3+WIiPhq1IcCwGVV4wgGjF+v3eN3KSIivlIoAAWZKSyaVMjzmxJvnBIRkaGkUPBcOL2E7XWt7Gps87sUERkmS5YsOeZEtLvvvpsvfOELx31OVlYWAHv37uXqq68+7npPduj83XffTXt7e/T+pZdeSlNT00BLjxmFgueiGSUAvLBZrQWR0WLZsmU88sgj75v3yCOPsGzZspM+d9y4cfzyl78c9LaPDoWnn36avLy8Qa9vqCgUPBMLM5lSkqVQEBlFrr76ap566im6uroAqKmpYe/evcydO5eLLrqI6upqzjzzTH79618f89yamhpmz54NQEdHB9deey1VVVVcc801dHR0RJe75ZZbokNuf+1rXwPge9/7Hnv37mXp0qUsXboUgIqKChoaGgC46667mD17NrNnz+buu++Obm/GjBncdNNNzJo1i4svvvh92xkqo25AvBO5aHoJ97+2k9auEFmpemtEhtUzt8P+9UO7zrFnwiV3HvfhwsJCFi5cyLPPPssVV1zBI488wjXXXEN6ejqPPfYYOTk5NDQ0sGjRIi6//PLjXv/4Bz/4ARkZGaxbt45169ZRXf3eQJvf/OY3KSgoIBwOc9FFF7Fu3TpuvfVW7rrrLl588UWKit4/msKqVat44IEHWLFiBc45zj77bC644ALy8/PZtm0bDz/8MPfddx+f+MQnePTRR7nuuuuG5r3yqKXQx5JpJfSEHX/Y3uB3KSIyTPp2IR3pOnLO8ZWvfIWqqio++MEPsmfPHg4cOHDcdbzyyivRf85VVVVUVVVFH/v5z39OdXU18+bN4+233+53yO2+li9fzlVXXUVmZiZZWVl87GMf49VXXwWgsrKSuXPnArEbmltfh/uYPzGf7NQgz719gItnjfW7HJHR5QTf6GPpyiuv5LbbbmP16tV0dHRQXV3Ngw8+SH19PatWrSI5OZmKiop+h8ruq79WxM6dO/nOd77Dm2++SX5+Ptdff/1J13Oik2hTU1Oj00lJSTHpPlJLoY+UYICPzinl6fX7aO1KvHHQReTUZWVlsWTJEm644YboDubm5mZKSkpITk7mxRdfZNeuXSdcx/nnn89DDz0EwIYNG1i3bh0QGXI7MzOT3NxcDhw4wDPPPBN9TnZ2Ni0tx54we/755/P444/T3t5OW1sbjz32GOedd95QvdyTUigc5WPV5XT0hHlla73fpYjIMFm2bBlr167l2muvBeBTn/oUK1euZMGCBTz00ENMnz79hM+/5ZZbaG1tpaqqin/7t39j4cKFAMyZM4d58+Yxa9YsbrjhhvcNuX3zzTdzySWXRHc0H1FdXc3111/PwoULOfvss7nxxhuZN2/eEL/i4xvVQ2f3pysUZvbXnuOGcyv58iUa0lckljR0dmxo6OwhlBpMYkZpDuve1RXZRGT0USj0o6o8lw17mnWNBREZdWIWCmY23sxeNLNNZva2mf1VP8uYmX3PzLab2Tozq+5vXcOtqjyPlq4QOxo05IVIrCVaF3a8O933M5YthRDwN865GcAi4C/MbOZRy1wCTPVuNwM/iGE9AzanPHKq+bpa/8chERnJ0tLSaGxsVDAMEeccjY2NpKWlDXodMTtPwTm3D9jnTbeY2SagDOh75sYVwE9c5BPxupnlmVmp91zfTCnJIjs1yKpdh/hYdbmfpYiMaOXl5dTW1lJfr6P9hkpaWhrl5YP/vzUsJ6+ZWQUwD1hx1ENlwLt97td683wNhaSAMb8inzd2HvSzDJERLzk5mcrKSr/LkD5ivqPZzLKAR4EvOecOH/1wP085ph1pZjeb2UozWzlc3ygWVhawra6VxtauYdmeiEg8iGkomFkykUB4yDn3q34WqQXG97lfDuw9eiHn3A+dcwuccwuKi4tjU+xRzq4sAODNGrUWRGT0iOXRRwb8GNjknLvrOIs9AXzGOwppEdDs9/6EI84syyM1GGCFupBEZBSJ5T6Fc4BPA+vNbI037yvABADn3L3A08ClwHagHfhsDOs5JSnBAHPK83hrt45AEpHRI5ZHHy2n/30GfZdxwF/EqobTNXdCHg++VkNXKExqMMnvckREYk5nNJ/A3PF5dId72bzv2JEMRURGIoXCCcwZr5PYRGR0USicwLjcNHLSgmzer5aCiIwOCoUTMDOmj81hi0JBREYJhcJJTBubzZb9LRqbRURGBYXCSUwbm01LV4g9TUN/LVQRkXijUDiJ6WOzAdSFJCKjgkLhJM7wQkE7m0VkNFAonEROWjJleelqKYjIqKBQGIAjO5tFREY6hcIATBubzTv1rXSHev0uRUQkphQKAzCjNIdQr2N7XavfpYiIxJRCYQBmlkZ2Nm/cd/Q1gkRERhaFwgBUFGaSGgywSaEgIiOcQmEAgkkBpo3NViiIyIinUBigmaU5bNp3WMNdiMiIplAYoBmlORxq72H/4U6/SxERiRmFwgDNKM0BUBeSiIxoCoUBmu4dgbRJV2ETkRFMoTBAOWnJjC9IZ+NetRREZORSKJyCIzubRURGKoXCKZhRmsPOxjbau0N+lyIiEhMKhVMwszQH5zSMtoiMXAqFUzBzXOQIJO1XEJGRSqFwCsry0slJC2q/goiMWAqFU2BmzCjN0cB4IjJiKRRO0YzSHLbsbyHcq+EuRGTkUSicopnjcmjvDrOrsc3vUkREhpxC4RTN9Ia7UBeSiIxECoVTNKUki2DAtLNZREYkhcIpSktOYnJxlg5LFZERSaEwCDPH5WhgPBEZkRQKgzCjNJv9hzs52NbtdykiIkNKoTAIM0tzAV1bQURGHoXCIMzwrq2g/QoiMtIoFAahMCuVMTmpaimIyIgTs1Aws/vNrM7MNhzn8SVm1mxma7zbV2NVSyxMG5uj0VJFZMSJZUvhQeAjJ1nmVefcXO92RwxrGXLTx2azvb6VULjX71JERIZMzELBOfcKcDBW6/fbtDHZdId6qdFwFyIygvi9T2Gxma01s2fMbJbPtZyS6d7OZp2vICIjiZ+hsBqY6JybA9wDPH68Bc3sZjNbaWYr6+vrh63AE5lSkkVSwNii/QoiMoL4FgrOucPOuVZv+mkg2cyKjrPsD51zC5xzC4qLi4e1zuNJDSZRWZSpnc0iMqL4FgpmNtbMzJte6NXS6Fc9gzF9bLYOSxWRESUYqxWb2cPAEqDIzGqBrwHJAM65e4GrgVvMLAR0ANc65xLqyjUzx+Xw1Lp9NLV3k5eR4nc5IiKnLWah4JxbdpLH/xP4z1htfzjMGhcZ7mLjvsN8YHK/PV8iIgnF76OPEtqscd4FdzTchYiMEAqF01DkDXfxtkJBREYIhcJpmjUul7f3NvtdhojIkFAonKbZZblsr2ultSvkdykiIqdNoXCa5k/Mp9fBmt1NfpciInLaFAqnqXpCHgGDN2tG7DBPIjKKKBROU3ZaMtPH5rBq1yG/SxEROW0KhSEwd0Iea2ubSLBz70REjqFQGAJVZbm0dIaoaWz3uxQRkdOiUBgCVeV5AKyr1c5mEUlsAwoFM5tsZqne9BIzu9XM8mJbWuI4Y0wWackB3tIRSCKS4AbaUngUCJvZFODHQCXw05hVlWCCSQHmjc9n5S4dgSQiiW2godDrnAsBVwF3O+f+GiiNXVmJ56zKAjbuPUxLZ4/fpYiIDNpAQ6HHzJYBfwY85c1Ljk1JiemsishJbKvVhSQiCWygofBZYDHwTefcTjOrBP4vdmUlnuoJ+SQFjDd3qgtJRBLXgK6n4JzbCNwKYGb5QLZz7s5YFpZoMlODzBqXozObRSShDfToo5fMLMfMCoC1wANmdldsS0s8Z1UUsObdJtq7NTieiCSmgXYf5TrnDgMfAx5wzs0HPhi7shLTZVWldIV6+emK3X6XIiIyKAMNhaCZlQKf4L0dzXKU6gn5TCnJ4pVtDX6XIiIyKAMNhTuA54B3nHNvmtkkYFvsykpc50wu5M2dB+kO9fpdiojIKRtQKDjnfuGcq3LO3eLd3+Gc+3hsS0tMiycX0dETZq2GvBCRBDTQHc3lZvaYmdWZ2QEze9TMymNdXCJaPKkQM3htu7qQRCTxDLT76AHgCWAcUAY86c2To+RmJDN7XC5/eKfR71JERE7ZQEOh2Dn3gHMu5N0eBIpjWFdC+8CUQt7afUiHpopIwhloKDSY2XVmluTdrgP0Vfg4zp1SRE/YsUJnN4tIghloKNxA5HDU/cA+4GoiQ19IP86qKCAlGODVrdqvICKJZaBHH+12zl3unCt2zpU4564kciKb9CMtOYmzKwtYvr3e71JERE7J6Vx57bYhq2IEOndKEVsPtLK/udPvUkREBux0QsGGrIoR6Lypkf3wy3VoqogkkNMJBTdkVYxA08dmU5SVwqvb1IUkIonjhENnm1kL/f/zNyA9JhWNEIGAce6UIpZvb6C31xEIqGElIvHvhC0F51y2cy6nn1u2c25A12IYzc6dWkxDazeb9h/2uxQRkQE5ne4jOYnzphYBsFyjpopIglAoxNCYnDTOGJPFqwoFEUkQCoUYO29qMW/UHKSzJ+x3KSIiJ6VQiLFzpxbRHerlDQ15ISIJIGahYGb3e0NtbzjO42Zm3zOz7Wa2zsyqY1WLn86uLCAlKaBDU0UkIcSypfAg8JETPH4JMNW73Qz8IIa1+CYjJcj8ifm8vLUe53Rqh4jEt5iFgnPuFeBEfSZXAD9xEa8Ded51oEecS88cy9YDrazerauxiUh883OfQhnwbp/7td68Eeeq6nJSgwGeXLvX71JERE7Iz1Do7xTffvtXzOxmM1tpZivr6xOvbz4rNcjSaSU8vX4f4V51IYlI/PIzFGqB8X3ulwP9fpV2zv3QObfAObeguDgxL/h2WVUpdS1drKzRUUgiEr/8DIUngM94RyEtApqdc/t8rCemLpxeQlpygN+sH7EvUURGgFgekvow8EdgmpnVmtnnzOzzZvZ5b5GngR3AduA+4AuxqiUeZEa7kParC0lE4lbMBrVzzi07yeMO+ItYbT8eXVZVyjMb9vPGzoMsnlzodzkiIsfQGc3D6EgX0tPqQhKROKVQGEYZKUEumj6GZzboKCQRiU8KhWF2WVUpDa3dvL6j0e9SRESOoVAYZkunlVCYmcL3X9zudykiIsdQKAyz9JQkbjp/En94p5HNuiKbiMQZhYIPrlkwntRggP/5wy6/SxEReR+Fgg/yM1O4Yu44Hn9rD83tPX6XIyISpVDwyWcWV9DRE+YXq949+cIiIsNEoeCT2WW5LJiYz0/+uIteHZ4qInFCoeCjz3yggt0H23l5a+KN/CoiI5NCwUcfmTWWkuxUHvxDjd+liIgACgVfpQQDfPLsCby8tZ6dDW1+lyMiolDw2ycXTiAYMP79d1t1DWcR8Z1CwWclOWl87rxKnli7lxc21/ldjoiMcgqFOPC3F0+jojCDbz+3RUciiYivFApxIDkpwF9/6Aw272/hebUWRMRHCoU4cdmZpRRnp3LPC9voCoX9LkdERimFQpwIJgX4xhWzWFfbzLee2eJ3OSIySikU4shHZpdyzYLx/N/ru3j3YLvf5YjIKKRQiDO3LJlMWnKAZfe9zv7mTr/LEZFRRqEQZyqKMnnoxkU0tHZx5zOb/C5HREYZhUIcOrM8l08vmsiT6/axp6nD73JEZBRRKMSp68+pBOAbT24krHMXRGSYKBTiVFleOrd/ZDrPvr1f3UgiMmwUCnHspvMncd2iCdz36k7eqW/1uxwRGQUUCnHu1oumEgwY3/3tFg2YJyIxp1CIcyXZafzNxdN4ev1+/m/Fbr/LEZERTqGQAP78/EksmVbMN57ayIY9zX6XIyIjmEIhAQQCxl2fmEtBRgpf/OlqWjp7/C5JREYohUKCKMhM4Z5PzuPdQx3c/uh6QuFev0sSkRFIoZBAzqoo4NYLp/Kb9fs48+u/5Z7nNaKqiAwthUKCufG8Sj4wuZC05ADf/d1Wvv2sRlQVkaET9LsAOTWZqUF+etMiAP7fY+v50fKdVBRlct2iiT5XJiIjgVoKCeyrfzKTsysL+MfHN/DPT22kR/sZROQ0KRQSWGowiR9ffxYfrSrlR8t38if3LKetK+R3WSKSwBQKCS4rNcg9y+bxz1fOZuuBFq76r9d0LoOIDJpCYQQwM65bNJF7r5tPS2eIZfe9zurdh/wuS0QSUExDwcw+YmZbzGy7md3ez+PXm1m9ma3xbjfGsp6R7uJZY/nF5xdTkJnCp3+0gpe21PldkogkmJiFgpklAd8HLgFmAsvMbGY/i/7MOTfXu/0oVvWMFuX5Gfz8zxdTlp/OX/70LXUlicgpiWVLYSGw3Tm3wznXDTwCXBHD7YlnTE4a9143n8zUIDf+z0oFg4gMWCxDoQx4t8/9Wm/e0T5uZuvM7JdmNr6/FZnZzWa20sxW1tfXx6LWEWdScRb/dV01bV0hLv/P5Xzwrpf5xlMbqW/p8rs0EYljsQwF62fe0RcEeBKocM5VAb8H/qe/FTnnfuicW+CcW1BcXDzEZY5c1RPyefnvl3Ltwglsr2vlx8t38pG7X+Fnb+6ms0fDY4jIsWIZCrVA32/+5cDevgs45xqdc0e+ut4HzI9hPaNSQWYK/3LVmdTceRn/97mzaesO8Q+PrufjP/gD62qb/C5PROJMLEPhTWCqmVWaWQpwLfBE3wXMrLTP3csBXYw4hs6dWsTTt57HFXPHsa2ulSu//xrfe36bTngTkSiL5SUezexS4G4gCbjfOfdNM7sDWOmce8LM/pVIGISAg8AtzrnNJ1rnggUL3MqVK2NW82hR39LFl3+1jt9vqiMnLcjffXgaiycXMaUky+/SRCQGzGyVc27BSZdLtOv+KhSGTrjX8dhbe7jnhW3samwnKWBcNa+MO66YRUaKxkoUGUkGGgr6yx/FkgLG1fPLuWpeGX98p5FHV9fy6Opa3tp9iI/PL+esigLOqijwu0wRGUZqKcj7PLthH194aDW93sfijDFZFGamcuBwJx+ePZZtB1r4aNU4PjRzDGHnSA0GSA0m+Vu0iJyUuo9k0N7e20xP2PHylnp+t2k/h9p6CCYZuxrbj1m2LC+dD80cw5SSLGaOy6GyMJP8zBQfqhaRE1EoyJAK9zrW1TbhgP3Nnby1+xDPvX2A3QePDYo55bnMHJfLlz44lTE5acNfrIgcQ6Egw6In3Eso7PjpG7tJTjJ21Lfx5Nq9NLZ1A3DNgvF85dIZZKcFeWjFLp5ev59xeelUFGbw8fnljMtL9/kViIwOCgXx1fJtDdzx1NtsPdBKcXYqTe3d9IQjn7WUpADd4V7yMpL5wpLJXDmvjJJstShEYkmhIHHh2Q37+cZTGzGLtBq+eOEUzIydDW186WdrWPtu5Kzqj1aVcvmccZxVUUBeRjJm/Y2SIiKDpVCQuOec47Xtjfxm/V5+uao22pKoKs9l2cIJLJlWTF56CukpOrpJ5HQpFCShdPaEeWlLPRv2NPPIm7tpaI3skyjKSuGKuWXMHZ/H85sO8MTavZTnZzB/Yj43nTeJmeNyouvo7XW8tLWOrNRkppZk6SgokT4UCpKwnHP84Z1G3qw5yLMb9rN5f8v7Hp9dlsOO+jbau8OMy03j1oumEjDjv17aTk2fw2YXTMznHy6ZzpzyPFKCuvKsjG4KBRkRensdB9u7WVlzkPL8DGaU5pAUMJo7evjxqzt4cUs9672LCJXmpnHz+ZMozk5lV2M79778Di2dIQIGF5xRzFmVBRhGZVEGH541lu5wL6tqDjGjNEetChnxFAoyKoR7Ha9tbyDU28vCykKyUt8buaWmoY0fL99J2DmeWruXw53vjQZbUZjBnqYOesKOlKQA08Zms6+5g8nFWdx43iSmlmTR0NrF3PF5BJPUypDEp1AQ6aO319HaHaKrp5dXt9Xz0Ird1B5q59OLJtLY1s0f32lkbG4aq3YdoqVPeOSmJ/PZcyq45qzxlObqnApJXAoFkUE43NnD8m0NNLZ1k2TGi1vq+N3GAwAsnlTIFy+cwuyyXHLTk32uVOTUKBREhsiW/S08urqWh1fspqUrRHZakElFmbR1h7ngjGKu/0AF4wsy/C5T5IQUCiJD7GBbN7/fdICXttTR2hXmcEcPa2ubCJhx2ZmlzJuQB8B5U4uYUpJNQ2sXWalBlm9rwAyKs1NxDqaXZmtkWRl2CgWRYbC/uZP7X9vJQ6/voq07HJ2fnpxER0+43+fkpAU5szyXvIwUbj5vEnPGR8JkV2Mb3/3tViqKMvnT+eU0tfdQkpOqQQVlSCgURIZRZ0+Yju4wTR09PLqqlnfqWxmXl0641zFvQh7doV66w71kpQZ5eWs9K2sO0dTezeHOENmpQSqKMjnY1s2epo73rdcMxudnYAZLp5UwuSSL//j9NnLSglw+dxxzxucxtzxPh9TKSSkUROJca1eIh17fRU1jG69ua6C9O8x/f3o+GSlJvLSlnomFGbxT18a2uhaa2ntYvr0h+txJxZnsqG8DIDUYYHxBBmeW5TJ1TBbFWamEeh2b9h1mR30b9S1dfHj2WD65cALZaUEyUpI0ttQopFAQSSBH/g5P9M+6tSvElv0t5KQFmTomm71NHazefYi3djexo76VlTWHaOkKve85AYOxOWnsbe6MzjODzJQgs8tyKM/PID8jmfkTC6gqzyUrLUhKUoC05CSccwqPEUShIDLKhHsdbd0h6lu62N/cydzxedFWwbYDLbyyrYH2rhA7G9owMzbvP8yhtm4a27rpCvVG12MGWSlBusO9LJ5cyOVzxnHxrLFkeuva09RBe1eI8QUZpCUPfIf5QIJPYkehICID0hUKs6rmEO/Ut9LeHebA4S7CvZGQeGFLHe8ejOznyEhJIhiw6JnhuenJLJ5UyIzSHOZPzAegtauHnPRkctKSKc9Pp76lK7oPZfXuQ3SHeynPT8e5yD6S8QXpTB2TTWluGg0t3cwal0MgoNCIBYWCiJw25xzLtzewrraZhtYuWjsjLYSJhRk8sWYvK3YepPWoLqv+FGenMn9CPtlpQbYciAxwuK62+ZjlyvLSWTSpkEnFmXSFeplcnMmscbnkpAV5Yu1ezIy6lk4OtXXT2hU5Q716Yj7Tx2YzoSCDw50hCjNTyEoLUpiZolZJHwoFERkW2w60sHl/C5mpSdHupMMdPdQe6qClM8T8ifmcN7XomH/QbV0h3t57mB31rYR6HclJxgub63iz5hAHvcu59idgkJESpKMnTHZakKb2nn6XM4NpYyJhkZwUYF9zB5VFWXT0hNjT1Mn0MdmMyUmloiiTqSXZVBZnvm/srP50h3rZeqCF+tYuJhRkUJKdSkZKkKRTbN2Ewr0EzIa1VaRQEJGE5JyjtStES2eI2kMd7Khv5WB7NxWFmUwszKCyKJOAGd3hXnLSktnf3MmGPc3sO9xJcVYq9S2d7GhoIzWYxPo9TbxT10ZXKEx7d5i8jGSCgQCZqUkcau+hvqUrut1gwJg2NpvWrhBjc9L40Mwx5GWk0NzRQ1N7N1mpQX628t3oUV9HFGWlMqk4k7z0ZKaX5lDtncQ2VNvyAAAKkElEQVQYDARICQboCffy8tZ6th5oITkpQENrFxv3HiY1GGB6aQ4FGSmEenupa+lidlku1RPyaWqP7OeZNz6PhZUFQzIoo0JBROQkGlq72NfUyZYDLWyva+X1HY0UZaWy9UALuw+2H7N8bnoyn1hQzgcmF7GnqYO2rhBra5tYvauJ5KCx51AHvcf5l1qenx7tEqsozATgnfpW6lu6qGlsZ+74PLYdaHnfSZAA2alBZpXlMKUki1svnErJIE9mHGgonLitJCIyghVlpVKUlcqZ5bnHPLarsY2WzhBFWamEnSMrNUhOWvCE+ykaW7vY0dBGR3eYjp4wobAj1NvLuVOKKMxKPe7zesK9JCcFCIV7qWlsoygrleSkAK9sreeVbfWs2HGQdbXNfHHp1CF53SeiloKISAJo6wqReZJ9Hicy0JaCrh4iIpIATicQToVCQUREohQKIiISpVAQEZEohYKIiEQpFEREJEqhICIiUQoFERGJUiiIiEhUwp3RbGb1wK5BPr0IaDjpUv5QbYOj2gZHtQ1OItc20TlXfLKVJFwonA4zWzmQ07z9oNoGR7UNjmobnNFQm7qPREQkSqEgIiJRoy0Ufuh3ASeg2gZHtQ2OahucEV/bqNqnICIiJzbaWgoiInICoyYUzOwjZrbFzLab2e0+bP9+M6szsw195hWY2e/MbJv3M9+bb2b2Pa/WdWZWHePaxpvZi2a2yczeNrO/ipf6zCzNzN4ws7Vebf/kza80sxVebT8zsxRvfqp3f7v3eEWsavO2l2Rmb5nZU3FWV42ZrTezNWa20pvn++/T216emf3SzDZ7n7nF8VCbmU3z3q8jt8Nm9qV4qM3b3l97fwMbzOxh729j6D9vzrkRfwOSgHeASUAKsBaYOcw1nA9UAxv6zPs34HZv+nbgW970pcAzgAGLgBUxrq0UqPams4GtwMx4qM/bRpY3nQys8Lb5c+Bab/69wC3e9BeAe73pa4Gfxfi9uw34KfCUdz9e6qoBio6a5/vv09ve/wA3etMpQF681NanxiRgPzAxHmoDyoCdQHqfz9n1sfi8xfzNjYcbsBh4rs/9LwNf9qGOCt4fCluAUm+6FNjiTf83sKy/5Yapzl8DH4q3+oAMYDVwNpGTdIJH/36B54DF3nTQW85iVE858DxwIfCU98/B97q8bdRwbCj4/vsEcrx/bhZvtR1Vz8XAa/FSG5FQeBco8D4/TwEfjsXnbbR0Hx15Q4+o9eb5bYxzbh+A97PEm+9bvV4zcx6Rb+RxUZ/XRbMGqAN+R6TV1+ScC/Wz/Wht3uPNQGGMSrsb+Hug17tfGCd1ATjgt2a2ysxu9ubFw+9zElAPPOB1u/3IzDLjpLa+rgUe9qZ9r805twf4DrAb2Efk87OKGHzeRksoWD/z4vmwK1/qNbMs4FHgS865wydatJ95MavPORd2zs0l8s18ITDjBNsfltrM7KNAnXNuVd/ZftfVxznOuWrgEuAvzOz8Eyw7nLUFiXSj/sA5Nw9oI9IlczzD/rfg9ctfDvziZIv2My8mtXn7Ma4AKoFxQCaR3+3xtj/o2kZLKNQC4/vcLwf2+lRLXwfMrBTA+1nnzR/2es0smUggPOSc+1W81QfgnGsCXiLSf5tnZkeuZN53+9HavMdzgYMxKOcc4HIzqwEeIdKFdHcc1AWAc26v97MOeIxImMbD77MWqHXOrfDu/5JISMRDbUdcAqx2zh3w7sdDbR8Edjrn6p1zPcCvgA8Qg8/baAmFN4Gp3p76FCJNwyd8rgkiNfyZN/1nRPryj8z/jHd0wyKg+UjzNRbMzIAfA5ucc3fFU31mVmxmed50OpE/jk3Ai8DVx6ntSM1XAy84r2N1KDnnvuycK3fOVRD5PL3gnPuU33UBmFmmmWUfmSbSP76BOPh9Ouf2A++a2TRv1kXAxniorY9lvNd1dKQGv2vbDSwyswzv7/XI+zb0n7dY77CJlxuRIwW2EumP/n8+bP9hIn2BPURS/HNE+vieB7Z5Pwu8ZQ34vlfremBBjGs7l0jTch2wxrtdGg/1AVXAW15tG4CvevMnAW8A24k081O9+Wne/e3e45OG4Xe7hPeOPvK9Lq+Gtd7t7SOf93j4fXrbmwus9H6njwP5cVRbBtAI5PaZFy+1/ROw2fs7+F8gNRafN53RLCIiUaOl+0hERAZAoSAiIlEKBRERiVIoiIhIlEJBRESiFAoSd8ws7I1SudbMVpvZB06yfJ6ZfWEA633JzOLy+rp+MbMHzezqky8po4VCQeJRh3NurnNuDpHBC//1JMvnERkVMi71OeNUJO4pFCTe5QCHIDI2k5k977Ue1pvZFd4ydwKTvdbFt71l/95bZq2Z3dlnfX9qkeszbDWz87xlk8zs22b2pjcu/p9780vN7BVvvRuOLN+XRa5b8C1vnW+Y2RRv/oNmdpeZvQh8yyJj8j/urf91M6vq85oe8GpdZ2Yf9+ZfbGZ/9F7rL7xxqTCzO81so7fsd7x5f+rVt9bMXjnJazIz+09vHb/hvcHdRCJieQaebroN5gaEiZxVvZnI6I7zvflBIMebLiJytqZx7JDklwB/ADK8+0fOQH0J+K43fSnwe2/6ZuAfvelUImfbVgJ/w3tnAycB2f3UWtNnmc/w3pnNDxIZ3jjJu38P8DVv+kJgjTf9LeDuPuvL917bK0CmN+8fgK8SGTZ5C+9dRjfP+7keKDtq3vFe08eIjDSbRGRgtSbgar9/57rFz03NWolHHS4yKipmthj4iZnNJhIA/2KRET97iQwPPKaf538QeMA51w7gnOs7ENiRwf5WEQkTiIwNVNWnbz0XmEpkzKz7LTJY4OPOuTXHqffhPj//vc/8Xzjnwt70ucDHvXpeMLNCM8v1ar32yBOcc4csMgLrTOC1yDA3pAB/BA4DncCPvG/5T3lPew140Mx+3uf1He81nQ887NW118xeOM5rklFKoSBxzTn3RzMrAoqJfLsvJtJy6LHICKVp/TzNOP4wwV3ezzDvff4N+Evn3HPHrCgSQJcB/2tm33bO/aS/Mo8z3XZUTf09r79aDfidc25ZP/UsJDIY2rXAF4ELnXOfN7OzvTrXmNnc470mM7u0n+2JRGmfgsQ1M5tOpKujkci33TovEJYSuVQiQAuRy4ge8VvgBjPL8NZRcJLNPAfc4rUIMLMzvJFGJ3rbu4/IKLLHuwbvNX1+/vE4y7wCfMpb/xKgwUWuWfFbIv/cj7zefOB14Jw++ycyvJqyiAzU9jTwJSIDy2Fmk51zK5xzXyVyha3xx3tNXh3XevscSoGlJ3lvZJRRS0HiUbpFrrQGkW+8f+acC5vZQ8CTFrkQ/ZF9DjjnGs3sNTPbADzjnPs779vySjPrBp4GvnKC7f2ISFfSaov019QDVxIZ/fTvzKwHaCWyz6A/qWa2gsiXrGO+3Xu+TuRqY+uAdt4b1vifge97tYeBf3LO/crMrgceNrNUb7l/JBJ+vzazNO99+WvvsW+b2VRv3vNERkddd5zX9BiRfRrriYwa/PIJ3hcZhTRKqshp8LqwFjjnGvyuRWQoqPtIRESi1FIQEZEotRRERCRKoSAiIlEKBRERiVIoiIhIlEJBRESiFAoiIhL1/wG/2yFUeHy0eQAAAABJRU5ErkJggg==\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "learn.recorder.plot_losses()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## fin" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 1 }