{ "metadata": { "name": "", "signature": "sha256:359437e039184be5de9712e690e15628dc4da6e45bf09de15093d9f082c273df" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "from __future__ import division\n", "from __future__ import print_function\n", "\n", "from math import ceil\n", "from math import log\n", "from math import sin\n", "from math import pi\n", "from fractions import gcd\n", "\n", "import myhdl\n", "print(myhdl.__version__)\n", "from myhdl import *" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.9dev\n" ] } ], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "There was a [question posted on reddit](http://www.reddit.com/r/FPGA/comments/2q3dye/help_with_vhdl_sound_output/) about a music box implementation. The following is an implementation of the simple example posted implemented in MyHDL. Experimenting and verifying an exmaple like this in MyHDL/Python is much easier than Verilog/VHDL (e.g. plot the outputs). \n", "\n" ] }, { "cell_type": "code", "collapsed": false, "input": [ "def m_musicbox(clock, reset, note, nv, sample_rate=48e3, clock_rate=50e6):\n", " \"\"\" module to generate a \"tone\".\n", " \n", " Port Map\n", " --------\n", " clock : circuit synchronous clock\n", " reset : circult reset\n", " note : digital signal for the note\n", " nv : sample valid strobe\n", " \"\"\"\n", " \n", " # Build the ROM table to hold the \"note\". \n", " # Replace the following with which ever \"note\" \n", " # sequence (algorithm) one desires\n", " Fs = sample_rate\n", " nmax = note.val.max\n", " f1,f2 = Fs/40, Fs/12\n", " print(\"The note has a tone at %.3f and %.3f\" % (f1,f2,))\n", " nsmp = int((f1*f2)/gcd(f1,f2))\n", " note_rom = [(sin(p1)+sin(p2))/2 for p1,p2 in \n", " zip([((2*pi)/Fs)*ii*f1 for ii in range(nsmp) ],\n", " [((2*pi)/Fs)*ii*f2 for ii in range(nsmp)]) ]\n", " \n", " # convert the ROM from float to integer for the requested range\n", " note_rom = tuple([int(round(nmax*nn)) for nn in note_rom])\n", " \n", " # Signals and variable for the logic\n", " ticks_per_fs = int(ceil(clock_rate/sample_rate))\n", " sample_rate_cnt = Signal(intbv(1, min=0, max=ticks_per_fs+1))\n", " \n", " # note ROM current index\n", " Nsmp = len(note_rom)\n", " noteidx = Signal(intbv(0, min=0, max=Nsmp))\n", " \n", " @always_seq(clock.posedge, reset=reset)\n", " def rtl(): \n", " if sample_rate_cnt == ticks_per_fs:\n", " sample_rate_cnt.next = 1\n", " note.next = note_rom[noteidx]\n", " nv.next = True\n", " noteidx.next = noteidx + 1 if noteidx < Nsmp-1 else 0\n", " else:\n", " sample_rate_cnt.next = sample_rate_cnt + 1\n", " nv.next = False\n", " \n", " return rtl\n", "\n", "# quick check\n", "m_musicbox(Signal(bool(0)), ResetSignal(0, 0, False), \n", " Signal(intbv(0, min=-16, max=16)), Signal(bool(0)) )" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "The note has a tone at 1200.000 and 4000.000\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 2, "text": [ "" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "def test(Nsmp=10, Fs=48e3, Nmax=16, convert=False):\n", " \n", " Fclk = 4*48e3\n", " Ts = 1/Fs\n", " xv,tv = [],[]\n", " nmax = Nmax\n", " \n", " clock = Signal(bool(0))\n", " reset = ResetSignal(0, active=0, async=True)\n", " note = Signal(intbv(0, min=-nmax, max=nmax))\n", " nv = Signal(bool(0))\n", " \n", " # clock driver\n", " @always(delay(10))\n", " def tbclk():\n", " clock.next = not clock\n", " \n", " # reset driver\n", " def pulse_reset():\n", " reset.next = reset.active\n", " yield delay(100)\n", " reset.next = not reset.active\n", " yield clock.posedge\n", " \n", " # stimulus driver\n", " def _test():\n", " tbdut = m_musicbox(clock, reset, note, nv, Fs, Fclk)\n", " \n", " @instance\n", " def tbstim():\n", " t,scnt = 0,0\n", " yield pulse_reset()\n", " \n", " while scnt < Nsmp:\n", " if nv:\n", " xv.append(int(note.val))\n", " tv.append(t)\n", " t += Ts\n", " scnt += 1\n", " yield clock.posedge\n", " \n", " raise StopSimulation\n", " \n", " return tbclk, tbdut, tbstim\n", " \n", " # run the simulation, using _test as the stimulus\n", " Simulation(traceSignals(_test)).run()\n", "\n", " if convert:\n", " # convert the design to VHDL\n", " toVHDL(m_musicbox, clock, reset, note, nv,\n", " sample_rate=Fs, clock_rate=50e6) \n", " \n", " toVerilog(m_musicbox, clock, reset, note, nv, \n", " sample_rate=Fs, clock_rate=50e6) \n", " return tv,xv\n", "\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "# run the simulation\n", "Fs = 48e3\n", "Nmax = 2**(15-1)\n", "tv,xv = test(Nsmp=100, Fs=Fs, Nmax=Nmax, convert=False)\n", "print(\"Simulation complete\")" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "The note has a tone at 1200.000 and 4000.000\n", "Simulation complete" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "# run the simulation and collect 1000 samples and plot\n", "import matplotlib.pyplot as plt\n", "\n", "tv,xv = test(Nsmp=Fs//200, Fs=Fs, Nmax=Nmax, convert=False)\n", "\n", "fig,ax = plt.subplots(1, figsize=(9,3,))\n", "ax.plot(tv, xv)\n", "ax.set_ylim(-Nmax, Nmax)\n", "ax.set_xlabel(\"Time [sec]\")\n", "ax.set_ylabel(\"Raw Amplitude\")\n", "\n", "# plot the power spectral density (see the spikes of the \"tones\")\n", "fig,ax = plt.subplots(1, figsize=(9,3,))\n", "tv,xv = test(Nsmp=Fs, Fs=Fs, Nmax=Nmax, convert=False)\n", "p,f = ax.psd(xv, Fs=Fs, NFFT=8192)\n", "ax.set_ylim(-60, 80)\n", "ax.set_xlim(0, 6000)\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "The note has a tone at 1200.000 and 4000.000\n", "The note has a tone at 1200.000 and 4000.000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 5, "text": [ "(0, 6000)" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAk4AAADVCAYAAAChZ39cAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niIiIiIiIiFS//wcyiqAe7zAonwAAAABJRU5ErkJggg==\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjkAAADfCAYAAAAUacLbAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEREREZFj/f9gCX1HAzE5ewAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "# the following requires the myhdl_tools package \n", "# (http://www.bitbucket.org/cfelton/myhdl_tools)\n", "from myhdl_tools import vcd\n", "\n", "# rerun the test with less samples\n", "test(Nsmp=5, Fs=Fs, Nmax=Nmax, convert=False)\n", "\n", "# not a great VCD plotter but enough to get an idea,\n", "# use gtkwave for real debug\n", "vcd.parse_and_plot(\"_test.vcd\")" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "The note has a tone at 1200.000 and 4000.000\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 6, "text": [ "(,\n", " )" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAArcAAAD7CAYAAABwmLxJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIiIiIiIiIiJ2/R8B/VG8JrWbSgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "# convert and run tools, this requires the gizflo\n", "# package and can be found at http://www.github.com/cfelton/gizflo\n", "\n", "tv,xv = test(Nsmp=2, Fs=Fs, Nmax=Nmax, convert=True)\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "The note has a tone at 1200.000 and 4000.000\n", "The note has a tone at 1200.000 and 4000.000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "The note has a tone at 1200.000 and 4000.000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 7 } ], "metadata": {} } ] }