{ "metadata": { "name": "", "signature": "sha256:f4d03486f146b82cdf688ead1636a25a525bec6334a0563235e12a21d3174684" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib.pyplot as plt\n", "%pylab inline\n", "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Equations 22-24 of [Hogg and Lang](http://arxiv.org/pdf/1210.6563.pdf) explicitly write what we want to evaluate, namely, a model that convolves the \"pixel-convolved\" PSF with the intrinsic galaxy profile. We can copy Hogg and Lang and represent the deVauc profile as a sum of Gaussians. The PSF we will represent as Gaussian for this project. The only question is how to get the pixel-convolved PSF represented as a Gaussian (do we need to go through their minimization procedure?) What exactly does that mean?\n", "\n", "From pg 8: \"Other definitions for the PSF (the non-pixel-convolved, for example) require that the user do two convolutions, the first with the PSF and the second with the square (or worse) pixel.\"\n", "\n", "We might not have to worry about speed so much in our case, because we can just do this once and plop it down in the center of random pixels, and then maybe recycle through several positions with respect to the center of the pixel to make sure we're not biasing things by just choosing the center. Then the model looks very simple, so we can just write slow code to do the square pixel convolution.\n", "\n", "In summary, we should represent the deVauc profile as 10 Gaussians and the PSF as a single Gaussian and use Eqn. 22-24 as our base model. Then we should convolve that model with the SDSS pixels. We should end up with something like Fig 6.\n", "\n", "The model will just tell us how to weight the noise when we start grabbing real SDSS images." ] }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "# Doris, can you grab the Gaussian coefficients from the Hogg and Lang paper (download source and grab with python from the tex, or by hand..) and code them up in the deVauc function?\n", "\n", "def N(xgrid,ygrid,m,Vinv):\n", " \"\"\"\n", " 2d normal (Gaussian) distribution.\n", " #http://en.wikipedia.org/wiki/Gaussian_function#Two-dimensional_Gaussian_function\n", " xgrid,ygrid is the output of a meshgrid.\n", " #m is the mean (x_0,y_0)\n", " Vinv is a 2x2 np.array, you already took the inverse!\n", " \"\"\"\n", " assert Vinv[0,1] == Vinv[0,1]\n", " exparg = (xgrid - m[0])*Vinv[0,0]*(xgrid - m[0]) + \\\n", " 2*(xgrid - m[0])*Vinv[1,0]*(ygrid -m[1]) + \\\n", " (ygrid - m[1])*Vinv[1,1]*(ygrid - m[1])\n", " \n", " return (np.linalg.det(Vinv))**0.5/(2.*np.pi)*np.exp(-0.5*exparg)\n", "\n", "def N2diso(r,sig2inv):\n", " exparg = r**2*sig2inv\n", " return (0.5/np.pi)*sig2inv*np.exp(-0.5*exparg)\n", " \n", "def deVauc1d(r,Ie,re,aopt=1):\n", " \"\"\"\n", " Input r in units of the half-light radius.\n", " aopt = 0: straight-up deVauc formula.\n", " aopt = 1: SDSS approximation ('luv') Eqn 17-18 of Hogg and Lang.\n", " aopt = 2: Hogg and Lang approximation to luv (sum over 10 2d isotropic Gaussians).\n", " \"\"\"\n", " xi = np.fabs(r/re)\n", " if aopt == 0:\n", " return Ie*np.exp(-7.66924944*((xi)**(0.25)-1))\n", " if aopt == 1:\n", " result = np.zeros(len(r))\n", " result = Ie*np.exp(-7.66925*(((xi)**2 + 0.0004)**(0.125)-1))\n", " xx = np.where(xi > 8.)[0]\n", " if len(xx) > 0:\n", " result[xx] = 0.\n", " xx = np.where((xi >= 7.) & (xi < 8.))[0]\n", " if len(xx) > 0.:\n", " result[xx] = result[xx] * (1.-(xi[xx]-7)**2)**2\n", " return result\n", " if aopt == 2:\n", " ## hard code Hogg/Lang coefficients.\n", " mluv10={0.01468:0.01190**-2, \\\n", " 0.09627:0.02210**-2, \\\n", " 0.28454:0.03995**-2, \\\n", " 0.63005:0.07117**-2, \\\n", " 1.19909:0.12586**-2, \\\n", " 2.03195:0.22240**-2, \\\n", " 3.07255:0.39593**-2, \\\n", " 4.10682:0.71922**-2, \\\n", " 4.83948:1.37549**-2, \\\n", " 4.94943:3.13117**-2}\n", " \n", " #mdev10={0.00139:0.00087, \\\n", " # 0.00941:0.00296, \\\n", " # 0.04441:0.00792, \\\n", " # 0.16162:0.01902, \\\n", " # 0.48121:0.04289, \\\n", " # 1.20357:0.09351, \\\n", " # 2.54182:0.20168, \\\n", " # 4.46441:0.44126, \\\n", " # 6.22820:1.01833, \\\n", " # 6.15393:2.74555}\n", " \n", " result = np.zeros(len(r))\n", " xx = np.where(xi > 8.)[0]\n", " for kk, vv in mluv10.iteritems():\n", " result += kk*N2diso(xi,vv)\n", " if len(xx) > 0:\n", " result[xx] = 0.\n", " result = Ie*result\n", " return result\n", " \n", " \n", " \n", "\n", "def intT1d(r,pofr):\n", " \"\"\"\n", " Trapezoid rule for integration.\n", " \"\"\"\n", " return 0.5*((r[1:]-r[:-1])*(pofr[1:]+pofr[:-1])).sum()\n", "\n", "## Plot of the deVauc and LUV profiles. Can you add the plot of the Gaussian approximation?\n", "\n", "r=10**np.arange(-3,2,0.001)\n", "print len(r)\n", "\n", "print 'this shows that parameter dependence works!'\n", "\n", "plt.figure()\n", "Ieval = 1.; reval = 1.\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,0),'b')\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,1),'g')\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,2),'r')\n", "\n", "plt.figure()\n", "Ieval = 2.; reval = 1.\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,0),'b')\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,1),'g')\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,2),'r')\n", "\n", "plt.figure()\n", "Ieval = 1.; reval = 2.\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,0),'b')\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,1),'g')\n", "plt.loglog(r,deVauc1d(r,Ieval,reval,2),'r') \n", " \n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "5000\n", "this shows that parameter dependence works!\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 3, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nREREREREREREREREREREREQkFv1/MiPuO7Ne8gkAAAAASUVORK5CYII=\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3Xd8FNX6x/HPpgMJkACCEGBBQEQBQQSkRhBFih0L6k/A\nrijYQL3Wa0GuIuq1XhDBTrmgF8EGGkCxoCIgvYUWpQtSU3Z/f5wN2UQCm20zu/t9v17zSmYyzDz3\n3PU5s3NmzgMiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiImKZ+DCcoxIwH8gFVofhfCIichxxYTjH\nMGBiGM4jIiI+8if5jwO2AktKbe/p2bYMGO7Z1sOzvt3fAEVExB46A60omfyTgfVAHSABWODZ50lg\nNPA58BHgCGukIiJyVAl+/Jt5gLPUtnbAUmCLZ30i0Bt4yLN+Hebq3+3H+UREJMj8Sf5Hkwls8lrf\nDGR5rU8o6x/Wrl3bnZubG6QwRERiRi7mbotfgjXg6/cVfW5uLm63O6jLo48+GtR9y9rH1+3HWi/r\nd7VFdLWFL9vUFuFvi/Iez05tAdQOJGn7+6hnVaA/8JpnPR3oBbzvWT8f2I+5RXQ8jz322GN+hlE2\np9MZ1H3L2sfX7cdaL/o9OzubrKys48ZSXmqLss8d6L7laQtftqktjr4eyrYoTzv4un842uLxxx8H\nePy4wQSZk5IDvilADuYrSCJmwLe1j8dyi/Hoo49aHYJtqC2KqS2KqS2KEeAYqj+3fT7AvLTVBHOf\nfyBwCLgV81TPImAq8EsggcWiUFzdRSq1RTG1RTG1RfDY4dFLTycmIiK+cjgcEEAOD8cbviIiYjNK\n/iIiMUjJX0QkBin5i4jEICV/EZEYpOQvIhKDlPxFRGKQkr+ISAxS8hcRiUHBmtK5LKcAdwKpQDbw\nZojPJyIiPgjX9A5xwIfA5Uf5m6Z3EBEpJyumdyhPDV+AvsBMzyIiIjbgT/J/C5PovSVj5vbvCbQA\nLsPU8AWY7tl+WVkHXLkS9u/3IxIREfFLqGv4pgGXYub7zy7rgH36wObNUKECZGaapW7do/+emupH\nxCIiUkKoa/jO9SzHVKdOFh06OKlZ00mTJlnUqpXFpk2mQ8jONj+L1pOTS3YK9eqB01m8nHgixPtb\nn0xExKays7PJzs4mJyeHnJycgI8XrOQf0Ihtdna2bydxw+7dxR3Bpk2wcSPMnAk5OWbZtct0Ct4d\ngtMJDRqYn7VqQZwecBWRCJOVlVWimI1nwNdvwUr+m4G6Xut1KflNICgcDsjIMEvLlkff5+BB0yEU\ndQY5OTB9evHvf/5Z/G2hUSNo3Nj8bNQIGjY03yxERKKdv12HEzOQ29yzngKsADoC2zBlHm/Gt1KO\nYX3U88AB2LAB1q+HtWth9WpYs8YsGzeabwalO4XGjU3HkJIStjBFRI4p0Ec9/fmHHwBdgWqYRP8I\n5gmg84FnMU8QvQOM8PF4tnnOPz/fdABr1pTsFFavNh1GzZqmI2jaFE45pXipVct8KxERCRcrkn+w\n2Sb5H0tBgRljWLkSVqyA5cvNsmIF5OX9vUNo2tSMMySE+h1qEYlJSv42sHNnyc6g6Pc//jC3jZo1\ng+bNoUUL87N+fQ06i0hglPxt7MABWLUKfvsNliwpXvbsgdNOMx2Bd6eQnm51xCISKZT8I9CuXaZD\nWLy4ZKdQpYrpCFq0gNatzdKwob4liMjfKflHCZfLDCovXgyLFsHChfDzz7B3L7RqVdwZnHGGGXTW\ni2wisU3JP8pt317cEfzyi1m2bTPvOZxxhukQ2rQxg8z6hiASO5T8Y9Du3aZD+OUX0yksWGA6iTPP\nhPbtoV07s5xwgtWRikioREXyX1MzETcO3A5wO0xQRes4zO/mZ/H6kf0cjiPb8azjKN5+ZB9PO7mL\n/hd79ilaOXIur21H1j3ndMQ5KEyIxx0fhzs+HldCPO6EeNzx8ZCQgCshARLM7+7ExCO/OxISITGR\nuJQKxFWsRFzFVBIqpRGfmkZCpTSSUquQlFaV5LSqJKdWpWLVGlTMqImjHJfy27fDjz/C99/DDz+Y\n3zMySnYGrVrpDWaRaBEVyX/9tzNwu1y43S6T4d1u3O6idTduz7rDzZH93ABFf3e5ATdul6v4p9uN\nGze43EeOc7Rtbsz2v21zebZ7jufGjbuwEFd+Hq78PNwF+bjzPD/zixcK8qGgAHdBgfk9Px8KCiE/\nD8fhPByHDhF/6DDxh/JIOJRPQl4+SYcKSMorIDmvkOQ8NxXzXKQUwN4UB/sqxLO/UhKHUpM5nFqR\n/MqVcFVOg5o1STixDimZTtLqNybdeQoZdZsQn5gEmDGElStNR1DUIaxaZQaTO3WCzp3Nz4wMy/5/\nF5EAREXy122fv8s7fIC9Wzexb9tm9m/fwqEdf3B451YKdu6gcOd22LaNxO07Sdm5l8q795O+J4+q\nB93srhjHjowU9taqyqHME8HppEKjpqSf0oo0Z1tWrK/LvHkwb57pEOrVMx1B0VK37vFjExHrKfnL\nEfmHDrAjZxm71ixhz8rF5K9dhWPDBirkbiP9j72cuPMwB5IcbKmTxl7niRQ0bsKe6q1Zc7gr3y3v\nyLxvk6hUqbgjyMoyTxZp6goR+7F78r8Q6AVUwRRv//Io+yj5h4nb5WLHut/IXfAVexb9gHvFClLX\nbaLm5j/J2FfIxloVyK1fh43VW7AkP4tPllzIAVc9unWD7t3NUqeO1f8rRATsn/yLVAZeAAYd5W9K\n/jawf/c2cubPZOf8WbgXLiRj1UYabNrHzrQE1tQ6gSWpzfl82/lsSbqKTt1OoHt3882gWjWrIxeJ\nTVYk/3GYEo3bKJ7SGUyd3meBeGACMNLrb//ClHb8+SjHU/K3qcL8PDb8PJvf584k//tvqbZoNQ03\n7WNd9QoszDiJ7IMd+T29H827nU2vXnF06ABJSVZHLRIbrEj+nYF9wNsUJ/9kzHz+nYCtwHfATcCv\nwDPAF8DsMo6n5B9BDu3fw+pZk9j51QySfvwZ57LfcbjcfFszk68dndnX5BrO6nMuvXrHUa+e1dGK\nRC+rbvs4KVnMpQswDOjjWb8XU+BlD3AdsADTEbxxlGO5u3btitPpxOl0/q1Umdic283mRfPI+Wg8\nrq/mcNKiDTjcbuZm1OWnyp1JaPt/dL+8O126xukdA5EAlK7hO2fOHLBB8u+P+UZwq2f9SkwB91t8\nOJau/KOI2+Vi869zWT9tAgVfzqHpsg3kOxx8nnEyq50X0OTSwVx0RR1q1LA6UpHIZpcr/6swV/9K\n/lKC2+Vi7TfTWffeWFK/nk/zDbtYmFGF72u2J67LAC64rR9NmmqWOpHyskvy7wwMp/i2z31AEvCU\nD8dS8o8h+3dvY9GHr7Jz0jSaLl5O6uFCPj+xCb+3uoKONw+l09lVNUGdiA/skvwjpoC72Mu6H2ax\n6JWXqD1nDk237mV2zTqsataHVjcP45y+DTV1tUgZVMBdosaOnOX88NJzpHw6kzbr/+CXalVZ1PRs\nGg+6n55XtlVHIOIlUl7yOhYlf/mbA3t2MOel0eRPnUTHlWtZXiWNn5r1oMmND3Jev9bqCCTmKflL\n1Ms7uI+vXniO/Inv0mnlOpakV+bX087l9CEP0blXC809JDFJyV9iyuH9e5k1+lnck96l06ocFler\nytIz+9D1kSdp1rq+1eGJhI2Sv8Ss/X/u4tMRI6n48Xt0zNlCdmYmf5wzkEseu58atSpaHZ5ISCn5\niwB/5Kxj9sMP0+ir6Tj/3MenjVtS4Zp7uWzoVcQn6NlRiT5K/iKlLJ79JUtGPEnHn+azPyGeb1p3\np/3j/6LlWadaHZpI0Cj5i5TBXVjIzBdf5fD4l+i2ag3z6tZhxwW3ceUTw6hQMcHq8EQCouQv4oOt\nGzby+fDhtPj6Y6ocyuPLll1p+dBI2p3bxurQRPyi5C9STtkT3mPHS0/TbdlyFtQ6gW0X3sjlIx4m\nuYKKEUjkUPIX8dOuP7bxv2H30+zLSZxw4DBftTuPLqNeolHzhlaHJnJcgSb/UD8G0QAYC0wO8XlE\nyi2j1gkMeHscbX/fx9JnxlI1dznVzmzExOZN+d9rU9A1iUSzcF35Twb6lfE3XfmLbaxZ9Bvz7xtK\nt+++JqdyGqv7XM8Vo56kYmoFq0MTKcGKK/9xmFKNS0pt7+nZtgwzvbNIxGnU8jT+74tZ1Nj6Fxsu\nGsjJn4xle+00xvS+kE1rt1gdnkjQ+JP838Ikem/JwGue7S2Ay4BWgYUmYp3kihW5+pXRdNiyh6XP\nvEWttb9SoXldJrRvx0+zF1gdnkjA/En+84Ddpba1A5YCW4ACYCLQG8gAXgdOR98GJEL1uu1a+q7Y\nwMaP55DoyqNhn3ZMadaIGWP+a3VoIn4L1psumcAmr/XNmDKOu/ChlGNWVpYKuIvtte7RmdY9FrJl\n9Tr233EbHe66nNlPpbN7wHAuefge4uI1jYSETukC7oFSDV8RP/21ey+Tbx/CWZ+/z4HEJFZecw9X\njnxEnYCEhV0e9dwM1PVar0vJbwIiUSctvTKD3n+Lk3L3seiiGzhtwkgWn5jKhNuGk59XYHV4IscU\nrOS/ADgNqAMkApcDnwbp2CK2lpScyKDXR3Pq73/xW/8htPjvv1lZO5VxNwwh71Ce1eGJHJU/yf8D\nTIH2Jpir+4HAIcwtn8+BRcBUfCveLhI14hMSuOaFEbTM3cfSGx+g+YxxrKuTxvib7qQgX98ExF40\nvYNIiLgKXUx6eCSN33yKBHchv/a/m2tHPaExAQkKze0jYnOuQhcf3vMwLd59nv2J8awe9Aj9n7iP\nuDg7/OcnkUrJXyRCFOTl88Hguzlr8htsSa3EjiHPcOm9N1sdlkQoJX+RCHP4wEE+vPFWzp7+Liur\nVyPx8VfJuvZSq8OSCGOXRz1FxEfJFStw3XvjqbJ+F+tP7UDTW/sxtfnJLP1modWhSQxR8hexSJVq\nlblp+jQO/rSevWlVqdXjDMZ3OZs/Nmy1OjSJAUr+IhZr0LQ+A+b/wLqP51J1+3oKT6vNm1cN1DsC\nElK65y9iMzNeHkfG03dRKT+P1XeN4NIHh1odktiQBnxFopCr0MXbt93H2R+8yK+1a1P31Sm07tbW\n6rDERjTgKxKF4uLjGPDGKCou/50/T3BSr097xpxzLru277E6NIkSoU7+acCHmAIwA0J8LpGoU6NO\nDa6bO5f1U2bhXL+YHU1qMP7ex1VfWAIW6ts+g4Bc4DNgCqbCV2m67SPioykP/pPTX32SNdXSyXj5\nQ9qef7bVIYlF7F7DtzamuheYCl8iEoDLnn6Emut28HuDVpx0SXfe6X4OB/bsszosiUChruG7Bajn\n2SdYVcNEYlpaRmUGzvqM1R/No3rOEjY2rM60Z161OiyJMKGu4TsZuBoYg6n8JSJB0v68jpy36nfm\nX3E7Zz51B5NanMKG5TlWhyURItQ1fPcB/Y/3j1XDV8Q/cfFxDHp1FJvuvpPCK3qTeOZJvHv1YK5+\nbTSOOD3MF01Uw1dEyjR11H9o9MydbEurQu13P6VZh9ZWhyQhYpfn/FXDV8QGLrnnJuqv2kFO3aZU\n79GGtwbcgqvQZXVYYkOq4SsSZaqkp3LDnDksGPUObWZM4PMmdfj1m0VWhyU2oxq+IlGq9y1X02DN\ndnbUacSJ57VizMDb9S1AjtDcPiIx4Ms33iHzwRtZUy2DxhNn0bRVM6tDkgDZ5Z6/iNhYj5uvJXP1\nNv7KqEdal+a8Pexxq0MSi+nKXyTGTHvyRc741z3MaXwK507/mpq1q1sdkvhBV/4iUi4XPzSEuJ/X\nkr5/H7ua1+Gjl9+zOiSxgJK/SAzKbFyfPsvX8culN9Fh+LWM7dFTlcNijG77iMS4RV99z75rzweH\ni8rvfkHzrHZWhyQ+0G0fEQlIy27tabtuG0tPOYuavTswdfAwq0OSMNCVv4gc8cHosbR+4laW1W/G\nuV99Q6X0NKtDkjKohq+IBNWqZetYdlFHnH/+RcH4T2nTq7PVIclR6LaPiARVk2YN6bt8M9+370a9\nfl15/65/WB2ShICu/EWkTFNHvcEZj9/OvOZtuHz2XJJSkqwOSTzsftunAfAPoArQr4x9lPxFbGz5\nzyvYdlEHCuPiqPvJdzRu3tjqkAT73/ZZD9wQ4nOISAidckZT2q3M5Y9aDXB0acb0MROtDkmCwNfk\nX56i7SISZVIqptD/hwX82O8W2g+5ilcGDLY6JAmQr18ZOmNKMr5NcfWuZGAF0AnTMXwH3ISZ1781\n8CyQ69l3MrrtIxIV5r09hXqDr+KLZi3oP/sbKlWqYHVIMSmc9/ydlCzd2AUYBvTxrN8LpABPev2b\nDOBpoDswFhh5lOO6u3btqhq+IhFky7I1bDi3PfsSXdT/3/ec3LyJ1SFFvdI1fOfMmQMWJf/+mG8E\n/tTt9aYrf5EIlH/wMNO6dqbV6oXkvDSJHtdebHVIMcXKAV9lbJEYllghmct//JH5F97A6Tdfynt3\nPmB1SFIOgSR/FW0XEa4b/xrz/zWOzuOf5f2s7rgKCq0OSXwQSPJX0XYRAeDCwQPY+dmP1FvzA1+f\n7GRv7jarQ5Lj8DX5q2i7iBxTqw6tOXnhZjakJbH1tPpsmDPf6pDkGDS9g4gEVX6BixEX9eWWrz5n\n64iXaT6kvM+AiC/s/oaviMSYxIQ4HvlkBi/ecC/pD93Od3eoPoAd6cpfREJm1PNjuOCJW9nYtR/d\np70PDjuknOhg94ndfKHkLxLFJk7+lMaDL+CPxp3pNW+2OoAgUfIXEdv7dv6vJF/SnhUNT+eqed8S\nHx9vdUgRT8lfRCLCqkWryO9+OrObnszNXy8gOTHB6pAimgZ8RSQiNGnZhBrf/EbfJSu5t287/tx3\nyOqQYpqSv4iEzQlNG3LC7Pk8OncpVwzqyJYdf1kdUsxS8heRsKrU5nSqTJzCOzNX0OWBTizL2WF1\nSDFJyV9Ewi6xbx9q3H0PH361l1YvduLHFZutDinmhGPA90KgF6aO75vAl6X+rgFfkVhUUADdujGu\nYgq3NdzP0nu+4aST7PAMSmSIpKd9KgMvAINKbVfyF4lVmzbhPuMMsvolsWL+NOZ+eCYnn2x1UJEh\nnE/7BFrH9yHglXJFJyLRrW5dHE89xbtfuWl79RS6dYPffrM6qNhQnl7D3zq+vwPPAF8As49yXF35\ni8Qyl4vdZ7VifMO91LpgPXffDTNnQqtWVgdmb4Fe+ZfnLYt5mFKO3toBS4EtnvWJQG9MHd93PNvu\nxNTwrQw0At4ofeCsrCzV8BWJVXFxpLw5gWvbtaLycxtJfqUePXvC//4H7dpZHZx9lK7hG6jy9hpO\ngl/HV1f+IsK4bulcnNmD9LcnMWMGDBxoOoD27a2OzJ6sfsNXWVtEgmJ2/7OoMONz+O03eveG8ePh\nggtgwQKrI4tOgSZ/1fEVkaCo3+B0svt3gGFm/v9eveDNN6FPH/hFNQKDLtDkrzq+IhIU9avU56Os\nE2HZMvjmGwD69oXXXzcdwa+/WhxglClP8lcdXxEJmbpV6pJzMBemToXmzY9sv/hiePll6NkTlpR+\n0Fz8Vp6nfa4qY/un6GpfRAKUWTmTzXs3Q+vWf/vbZZeZF4LPOw9mzYJmzSwIMMpoQm0RsYXMypls\n2lv2kOGVV0JhIfToAdnZ0Lhx+GKLRkr+ImIL6SnpHMw/SF5hHknxSUfd5+qr4cABOPdcmDcPMjPD\nHGQU0ayeImILDoeDjAoZ7Dyw85j73Xgj3Hab+QawfXuYgotCSv4iYhvVKlZj58FjJ3+A++4zA8Hn\nnw9794YhsCik5C8itlGtQrXjXvkXeeopaNvWPA568GCIA4tCSv4iYhu+XvkDOBzmEdDMTOjXD/Lz\nQxxclFHyFxHbSE9JZ/fB3T7vHxdnpoFwOOC668DlCl1s0UbJX0RsIy0pjX15+8r1bxITYdIk2Ljx\nyMwQ4gMlfxGxjdSk1HInf4AKFcwMoDNmwIsvhiCwKBTq5/xPwcznnwpkY2r4iogcVWpSKnsP+/f4\nTkYGfPYZdOwIdeqYt4KlbKG+8l+OmfvnOuC8EJ9LRCKcv1f+RerXh08+Me8BzJ0bxMCikK/JP5D6\nvX2BmZ5FRKRMqUmp7Mv3P/kDnH46vP++eQJo6dIgBRaFfE3+b2ESvbdk4DXP9hbAZUAr4FpgNFDb\ns990zz76EiYixxTolX+Rc86BUaPMVNBbthx//1jk6z1/f+v3dgEuBVIw9/xFRMpUMbFiUJI/wDXX\nwObN0Lu3mQcoLS0oh40agQz4ZlKyatdmTP1eb3M9yzGpgLuIAKQkpHC44HDQjjd8OKxdayaEmzYN\n4uODduiwC3YB90CSf9Dq92ZnZwfrUCISwZITkjlcGLzk73DAK6+YQjDDh8NzzwXt0GFX+sLYU8Dd\nb4E87aP6vSISVMnxyUG98gdISoIpU8x7AGPGBPXQES2QK3/v+r3bMPV7bw5GUCISm4J95V8kI8O8\nANapEzRsCN27B/0UEcfXK3/V7xWRkAvFlX+Rxo1h4kTo3x9WrgzJKSKKr1f+qt8rIiEXqiv/IllZ\n8PTT0KcPfP89VKsWslPZnub2ERHbCOWVf5HrrzeFYPr1M0XhY5WSv4jYRqiv/IuMGAHJyaYiWKxS\n8hcR20iOT+ZQwaGQnyc+3kwBMX06vP12yE9nS6Ge1VNExGdJ8UnkF4anJFd6Onz8sRkHaNYM2rQJ\ny2ltQ1f+ImIb8XHxFLoLw3a+U0+FN96ASy6BrVvDdlpbUPIXEduIc5iU5HKHrx7jJZfAgAGxVwdY\nyV9EbCUhLoECV3gfw3nsMahSBe66K6yntZSSv4jYSrwjnkJX+G79gCkE/+67MGuWKQgfCzTgKyK2\nEu77/kWqVIH//tcMALduDS1ahD2EsArHlX8lzDxAvcNwLhGJcFbc9ily6qnwwgum/u9e/0oJR4xw\nJP9hmEIvIiLHZcVtH29XX20mfrv+enAHbeJ6+wl1Dd8enr9t9zdAEYktVt328TZ6NKxfDy+9ZGkY\nIeXrPf+3gH8D3u/CFdXw7YTpGL4DvsBM89waeBboirnt0ww4iCniHsV9qYgEKiEuwdIrf4CUFJg8\nGdq3h7Zt4ayzLA0nJEJdw/chz8/rMFf/SvwickzxjnjL7vl7a9AAxo6FK66AX36B6tWtjii4Ql3D\nt8iEYx1INXxFpIgdbvsU6dsXvv3WjAN8+ql5JNQqquErIlHNDrd9vD35JJx9Njz7rKkDbBXV8BWR\nqGaX2z5FEhLgvffg+efhhx+sjiZ4Akn+3jV8EzE1fFXVS0QCYqfbPkXq1YPXX4erroI9e6yOJjhU\nw1dEbMXKl7yO5eKLoWdPuOWW6Hj+XzV8RcRWHDhw2zS7jhplHv0cPx4GDrQ6msBoYjcRsZU4Rxxu\nmz4VXqECfPghDBsGK1ZYHU1glPxFxFYcDkdY5/Mvr1NPNU8AXXklHAp9xcmQUfIXEVuJc8TZ9rZP\nkZtugkaN4P77rY7Ef0r+ImIrDux95Q/gcMB//mOmgJ41y+po/KPkLyK24nA4bHvP31tGBowbZwZ+\nd++2OpryU/IXEVuJc8TZ/sq/SI8e5hHQ22+3OpLyU/IXEVux86OeR/PMM7BwoXkKKJIo+YuIrUTS\nlT9AxYrwzjswZAhs2XL8/e1CyV9EbCVS7vl7a9MGBg829/9dEdJvKfmLiK1E2pV/kQceMHV/X3nF\n6kh8E+rkn4UpBPMapqqXiMgxOQhsqmKrJCSY2z///GdkvP0b6uTvAvYCqUBuiM8lImKpxo3hscdg\n0CAotNfEpH8T6gLuczGlHe8BHvUzRhGJMZH0tE9pt94KSUn2L/7ua/J/C5PovRUVcO8JtAAuA1oB\n1wKjgdpe+/4JVAwoUhGJCYFWqLJaXBy8+SY89RSsXm11NGULdQH3i4DzgHTg5bIOrhq+IuIt0p72\nKe2kk+Dhh83tnzlzglP71041fH0p4P6RZzkm1fAVkSKROuBb2h13wOTJ8PLLcOedgR/PTjV8I7tr\nFhEJobg4M/fPP/8Ja9daHc3fqYC7iNhOJA/4emvSxDz/f/319nv5SwXcRcRWIn3At7ShQ+HwYXjt\nNasjKUkF3EXEdiJ9wNdbfDy89RY8+ihsstG9ERVwFxFbiZYBX29Nm5oB4MGD4aOPTDEYq2luHxGx\nnWi55+/t/vth1SqYNs3qSAwlfxGxlWi7518kOdmUfrzzTtizx+polPxFRMKmc2fo1QsefNDqSJT8\nRcSGomnAt7SRI82tn+++szYOJX8RsZVoHPD1lp4Oo0fDTTdBXp51cSj5i4jtROOAr7fLL4d69eC5\n56yLQclfRGwlWgd8vTkcpuLX88/DmjXWxKDkLyJiAacThg83T/9Y8UVHyV9EbCeaB3y9DRkCOTnw\n8cfhP3eok38C8Bym6Mv/hfhcIhIFon3A11tSkrn9M3Qo7N8f3nOHOvlfCpwI5AG/h/hcIhIlon3A\n19vZZ0OHDvD00+E9b6hr+DYCsoEhwE3+hSgisSQWBnxLe+45eOMNM/1DuIS6hu8WTP1eAJvNZi0i\nYg+1a5u3fu+4I3yDv74m/3nA7lLbvGv4FlBcw/cd4C4gF5gMXAi86jmGiMhxxcqAr7c77oDcXJg6\nNTznC3UN3/3ANcc7kAq4i0iRWBrw9ZaYaAZ/r7kGevaESpVK/t1OBdyD1jWrgLuIeIulAV9vXbpA\n167wxBPwzDMl/2anAu6q4SsiQReLA77enn0Wxo4N/Zu/quErImIjtWrBvfeaJZRUw1dEbCcWB3y9\nDR0KixfD7NmhO4dq+IqIrcTqgK+3lBTz7P/QobBwISQEMjpbBs3tIyK2E6sDvt4uvhiqV4cxY0Jz\nfCV/EbGVWB/wLeJwwAsvwGOPwe7Sb1kFgZK/iIhNtWxpvgE8/njwj63kLyK2E+sDvt6eeALeew9W\nrAjucZX8RcRWNOBbUo0a8MADcPfdwT2ukr+I2I4GfEsaPNi89PXZZ8E7ppK/iNiKBnz/LikJRo6E\n++6DwsLgHFPJX0QkAlx0EVStChMmBOd4Sv4iYjsa8P07h8O8+PXww8Ep+RiC98ZK6ARc7TlPM6Bj\niM8nIhGTTfn5AAADCUlEQVROA75la9cOOneG558P/FihvvL/BjP/zyfA+BCfK+JpautiaotisdgW\nZQ34xmJblDZihHn5K1ChruFbpD/wfrmjizH6YBdTWxSLtbY41oBvrLXF0TRoAAMGBH6cUNfwBagH\n7MFU9QqL8nxAfNm3rH183X6s9VB/mNUWZZ870H3L0xa+bFNbFFvy45Iy/xZM5T22XT4X//jHccM4\nrlDX8AUYhPnmEDZKeGWfO9B91RbH30fJ/9jbj7dt6uVTyV+bX67z+ytSk39GxnHDOK7yjKw4gelA\nc896f6Az5p4+wJWYGr63lDOGLRR/SxAREd/kYopp+cUONXz9Dl5ERPyjGr4iInJMTko+7ZMC5FBc\nw3cB0DrsUYmISMh8gLm/dJjiGr4A5wO/YR71fMCa0EREREREREREJLKcgnmJ7B3geotjsdqFwBvA\nh0APi2OxWgNgLDDZ6kAslIb5LLwFDLA2FMvp81As6vJEHDDJ6iBsojJhfmHOxmL5P/ZBFL9xP8XK\nQGwklj8PpfmUJ8IxpXMg8wL1BWZ6lmgQ6BxJDwGvhCa0sAu0LaJNedqjNublSDBv10cbfTaK+dMW\ntskTnTFz/ngHnwysxzwmmoB5TPRo8wIV+ST0YYaFv23hAEYC3cMZbIgF+rmItiu98rTHQMxUKhCd\nV/7laYsi0fZ5KFKetrBlnnBSMvgulEzo92J6K0rt8yLmHta9oQwuzJyUvy3uBH7CjIHcHMrgwsxJ\n+dsiA3gdWE30Xf058a09UjGz5I4BrgtXcGHmxLe2iObPQxEnvrXFHZQjT4S6mEtZMin5NvBmzLxA\n3uZ6lmjnS1u85FminS9tsYvyzx8Vqcpqj32YubViSVltEUufhyJltcWTwL99PYhVZRxVo62Y2qKY\n2qIktUcxtUWxoLSFVclf8wIVU1sUU1uUpPYoprYoFlFt4UTzAhVxorYo4kRt4c2J2qOIE7VFEScR\n2haaF6iY2qKY2qIktUcxtUUxtYWIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiISDT6f9z6brWi7NFq\nAAAAAElFTkSuQmCC\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAXH6RDx+/t4+AAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "r=np.arange(100)\n", "r = np.array([float(i) for i in r])\n", "print r\n", "plt.ylim(0,2)\n", "plt.plot(r,deVauc1d(r,0.5,0.5,2)) " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[ 0. 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14.\n", " 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29.\n", " 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44.\n", " 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59.\n", " 60. 61. 62. 63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74.\n", " 75. 76. 77. 78. 79. 80. 81. 82. 83. 84. 85. 86. 87. 88. 89.\n", " 90. 91. 92. 93. 94. 95. 96. 97. 98. 99.]\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 30, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAEACAYAAAC57G0KAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nkiRJkiRJkiRJkiRJkiRJGon/AyF0bSV4s7PWAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 30 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "result = N2diso(np.arange(1000),10)\n", "# plt.plot(result)\n", "# plt.figure()\n", "plt.loglog(result)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEACAYAAACpoOGTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "result = N2diso(np.arange(100),0.001)\n", "# plt.plot(result)\n", "# plt.figure()\n", "plt.loglog(result)\n", "plt.xlim(0,10**2)\n", "plt.ylim(10**-8,10**-3)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 17, "text": [ "(1e-08, 0.001)" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n0f8DkL/vfTwln5UAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "result = N2diso(np.arange(1000),0.001)\n", "plt.plot(result)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 16, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZUAAAEACAYAAAB78OvLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nfx30bRiIAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "x=r\n", "y=deVauc1d(r,1.,1.,0)*2*np.pi*x\n", "y2=deVauc1d(r,1.,1.,1)*2*np.pi*x\n", "y3=deVauc1d(r,1.,1.,2)*2*np.pi*x\n", "ihalf = np.where(r > 1.)[0].min()\n", "print 'half light radius correct?', x[ihalf], intT1d(x[:ihalf],y[:ihalf])/intT1d(x,y)\n", "print 'half light approx correct for LUV profile?',intT1d(x[:ihalf],y2[:ihalf])/intT1d(x,y2)\n", "print 'half light approx correct for Hogg profile?',intT1d(x[:ihalf],y3[:ihalf])/intT1d(x,y3)\n", "\n", "print 'note that the profiles are not normalized to have unit flux, but to be 1 at the half light radius!'\n", "print 'therefore the total integrated flux is ~21, agrees with Hogg Sum over a_luv'\n", "intT1d(x,y),intT1d(x,y2),intT1d(x,y3)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "half light radius correct? 1.00230523808 0.499975031558\n", "half light approx correct for LUV profile? 0.52884049292\n", "half light approx correct for Hogg profile? 0.528455293308\n", "note that the profiles are not normalized to have unit flux, but to be 1 at the half light radius!\n", "therefore the total integrated flux is ~21, agrees with Hogg Sum over a_luv\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 4, "text": [ "(22.662326549606234, 21.019904088683031, 21.036406893930479)" ] } ], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## BR: no edits below this point!!" ] }, { "cell_type": "code", "collapsed": false, "input": [ "## Plot of the deVauc and LUV profiles. Can you add the plot of the Gaussian approximation?\n", "\n", "r=10**np.arange(-3,2,0.001)\n", "print len(r)\n", "# plt.loglog(r,deVauc(r,1.,1.,0),'b')\n", "plt.loglog(r,deVauc(r,1.,1.,0),'b')\n", "plt.loglog(r,deVauc(r,1.,1.,1),'g')\n", "# plt.loglog(r,deVauc(r,1.,1.,2),'r')" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "5000\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 4, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "x=r\n", "y=deVauc(r,1.,1.,0)*2*np.pi*x\n", "y2=deVauc(r,1.,1.,1)*2*np.pi*x\n", "ihalf = np.where(r > 1.)[0].min()\n", "print 'half light radius correct?', x[ihalf], intT1d(x[:ihalf],y[:ihalf])/intT1d(x,y)\n", "print 'half light approx correct for LUV profile?',intT1d(x[:ihalf],y2[:ihalf])/intT1d(x,y2)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "half light radius correct? 1.00230523808 0.499975031558\n", "half light approx correct for LUV profile? 0.52884049292\n" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "V = np.array([[80,0],[0,10]])\n", "# V = np.array([[80,0],[0,80]]) #circular profile\n", "Vinv = np.linalg.inv(V)\n", "if 0==1:\n", " print V\n", " print Vinv\n", " print V*Vinv" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "## set up the model using the geometry of the image files.\n", "# https://www.sdss3.org/instruments/camera.php#Filters\n", "nx = 2048\n", "ny = 1361\n", "psize = 0.396 # arcseconds per pixel.\n", "\n", "# coordinates of center of pixels\n", "x = (np.arange(0,nx,1)+0.5)*psize\n", "y = (np.arange(0,ny,1)+0.5)*psize\n", "\n", "xM, yM = np.meshgrid(x,y,indexing='xy')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "# 2048*1361" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "print x[-10:]\n", "print y[-10:]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[ 807.246 807.642 808.038 808.434 808.83 809.226 809.622 810.018\n", " 810.414 810.81 ]\n", "[ 535.194 535.59 535.986 536.382 536.778 537.174 537.57 537.966\n", " 538.362 538.758]\n" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "m = np.array([100,300])\n", "## test with a fat Gaussian that spans many pixels.\n", "I = N(xM,yM,m,Vinv)\n", "# check -- is the Gaussian profile normalized?\n", "parea = psize**2\n", "print 'should sum to 1. Error:',(I[:,:].flatten()).sum()*parea-1" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "should sum to 1. Error: -3.46389583683e-14\n" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.matshow(I,origin='lower',extent = [x.min(),x.max(),y.min(),y.max()],interpolation='nearest')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 11, "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAEACAYAAAC57G0KAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSZIkSZIkSZIkSZIkqQr/DwbfRqvpOnXkAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "mysize=1\n", "xx = np.where((np.fabs(xM - m[0]) < V[0,0]**0.5*mysize) & (np.fabs(yM - m[1]) < V[1,1]**0.5*mysize))\n", "extent = max(xx[0].max()-xx[0].min(),xx[1].max()-xx[1].min())\n", "print extent\n", "xcen = int(xx[0].mean())\n", "ycen = int(xx[1].mean())\n", "\n", "## zoom\n", "#plt.matshow(I[xx[0].min():xx[0].max()+1,xx[1].min():xx[1].max()+1],origin='lower',extent = [x.min(),x.max(),y.min(),y.max()])\n", "## zoom, keeping aspect ratio.\n", "#myextent = xM[\n", "plt.matshow(I[xcen-extent/2:xcen+extent/2,ycen-extent/2:ycen+extent/2],origin='lower',interpolation='nearest')#extent = [xM[xx[0]].min(),xM[xx[0]].max(),yM[xx[1]].min(),yM[xx[1]].max()])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "44\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 12, "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAP0AAAD+CAYAAADxoQNSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 12 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Repesenting PSF as a single Gaussian K=1, simplify eq 22 to:\n", "\n", "$$I = \\displaystyle \\sum^{M_{dev}}_{m=1}a_m^{dev} N(x-m,V)$$\n", "The m inside the Gaussian (mean) is different from the m-components we are summing over." ] }, { "cell_type": "code", "collapsed": false, "input": [ "mdev6 = {0.01308: 0.00263, 0.12425: 0.01202,0.63551: 0.04031,2.22560: 0.12128,5.63989: 0.36229,9.81523: 1.23604}\n", "# check sum as 18.454 as in Table 1 \n", "sum(mdev6.keys())" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "18.45356" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "r = np.linspace(0,8)\n", "sig = 2\n", "mu=0\n", "N= 1./(sig*np.sqrt(2*np.pi))*np.exp(-(r-mu)**2/(2*sig**2))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.plot(N)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 157, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXsAAAEACAYAAABS29YJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 157 }, { "cell_type": "code", "collapsed": false, "input": [ "def N_1d(r,mu,sig):\n", " # sig = std_dev = sqrt(v) (just the scalar given in the table, nothing needs to be done to it)\n", " mu=0\n", " return 1./(sig*np.sqrt(2*np.pi))*np.exp(-(r-mu)**2/(2*sig**2))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 51 }, { "cell_type": "code", "collapsed": false, "input": [ "def Iconv_1d(r, mdev):\n", "# I_conv = numpy.zeros((50,))\n", " I_conv = np.zeros_like(r)\n", " for a in mdev.keys():\n", " sig =mdev[a]\n", "# r = np.linspace(0,8)\n", " I_conv += a*N_1d(r,0,sig)\n", " return I_conv" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 84 }, { "cell_type": "code", "collapsed": false, "input": [ "# plt.plot(Iconv_1d(mdev6))\n", "r = np.linspace(0,8)\n", "print len(r)\n", "# plt.plot(r,Iconv_1d(r,mdev6))\n", "plt.loglog(r,Iconv_1d(r,mdev6))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "50\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 137, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAGcJJREFUeJzt3XmUVNWdwPFvswcRNwSDgI2ijkaNLBGO0IiaCaIIo0GP\nSxLUGIka92iO6AntUbMZTWZMoqMkoiZC4iSTaNRoJkkTEnAER1ETFQQUWVQIouIygPb8cbunq5uG\nru3VfVX1/ZzzTldVV7369aH43Vv33Xt/IEmSJEmSJEmSJEmSJEmSJEmSJEmKpnPC558MXA58DngL\nWJ7w+0mSUqQ38JPYQUiS8vcT4HXg2TaPH9f02N+Br7X53XeA4cmHJklKSh0wlNbJvzuwAtgb6AIs\nbHpODfBt4NgSxyhJ2oEuebxmHlDb5rGRwN+A1U33fw6cAIwhJP7ewBDg3/OKUpJUVPkk//YMAF7N\nuL8KGAfcANy6oxfut99+jcuWLStSGJJUNZYROtV56VSkIBrzfeGyZctobGysiGPGjBkV8Z6FnjPf\n1+fyumyf29HzCv19uRyx/o5K+XzG+Gx29Bxgv0KSdr5TPXcFzgBua7q/G3A8cF/T/QnAu4Qhoo7U\nN9+ora3NM5z0iPE3JPGehZ4z39fn8rpsn9vR83b0+4aGBsaNG5d1TGkW6/9XpXw+Y3w223tOQ0MD\ns2bNYu7cuQDXZR1UGzV5vq4WeBA4tOl+D+AFYDTwBjAfmAb8TxbnamxqxaTUqa+vp76+PnYY0jZq\namog/xyeV89/NnA9MJCQ4DcCi4AXgXuACwgXfO/P8nz1zTcqoeevyuPnUmkSu+dfTPb8JSlHhfb8\ni3XBV5JURkz+klSFkt7YLRv1zTccW5WkHXPMX5KqmGP+kqScmfwlqQqZ/CWpCpn8JakKOdtHkspI\nucz2GQxcA+wCnLKd5zjbR5JylPbZPiuAcxN+D0lSjvJJ/vnU8JUkpUg+lbzuIlTnuifjse6Evf3H\nEBqGBcBjwFOFBlhKc+bAjTdCnz6w557haO92nz7h6NYtdsSSlJ9i7ec/FrgKmNh0/6uEPf5/BHyD\nUMd3JqGYe1upGfN/+214+WVYtw7Wrw8/M29nPvaPf8BOO7VuENprJDIf23lnqEnDmmpJZa/QMf+k\na/huAL7c0Yszi2WMGzcuWuWk3r3hsMOye25jI2zc2H4j8dpr8Nxz2zYYW7bsuHFo+9juu0OXYv0L\nSSprDQ0NNDQ0FO18xer5n07o/Z/fdP80QvLvMPGTop5/0t5/PzQG7TUY7X3DePNN2GWX7Iahmh/r\n2TP2XympFNLS819FqOzVbCCtvwnsUH19fdQef6l87GMwcGA4svHhh6EBaK+ReOUVePLJbRuOmpqW\nhqBfP9hrr3Bk3m6+37u3w1BSuSnWNwBr+FaQxkZ4993QELzxRjhee63leP311ve3bt1x45B5228U\nUroU2vPP54WzgaOAPQiJ/uuEGUATgJsI00fvBb6Z5flM/pG8+25Lg9C2YWh7v1u37BqKvfbyOoVU\nCjGSf7E1zpgxoyqGfcpVY2OYCbW9hiHz/vr10L8/7LsvDB4cjszbffs61CQVonnY57rrroNyT/72\n/CvH5s2wciWsWBGO5ctb3/7gA6itbd0oZDYOvXrF/guk8lARPX+Tf/VoXkvRtlFovt2r17bfGIYM\ngf33h7339luD1Cwts30KUi2zfdSylqK99RSNjWEIKbNRWLAAfvpTWLIkNBxDhsABB4TGIPNnnz42\nDKoOsWf7FJM9f2XlnXdg6dJwLFkSjubbjY3bNgj77x+OXXaJHblUfA77SITtNjIbg8yfPXvCfvu1\nPvbdN/zcay+/Mag8mfylHWhsDLOQli1r/3jvvZaGoG3jMGgQdO8e+y+Q2mfylwrw9tvh2kJ7DcOa\nNWGldPPspNra1segQdC1a9TwVcVM/lJCtm4NDcCKFWGGUuaxYgWsXRsWuNXWhm8KbY9+/RxSUnKc\n7SMlpEuX0LsfNAiOOmrb32/ZAqtXt56d9PDD4efy5WEFdfOU1eahpX/6p3AMGGDDoPw420dKuXfe\nab2OYelSePFFeP75MNx04IEtjUHzceCBFglSdtI+7LMzcCfwPjAXmNXOc0z+qjpvvRUaghdeaDme\nfz7s1nrggTB0aDiGDYNPftKVz9pW2pP/OcAa4HfAfwBT2nmOyV9q8t578Oyz8NRTLcff/haGiYYN\ngyOPhNGjwyI5N9CrbjHG/H8CnEDY0fPQjMePI+zq2Rm4m1CysT+wsOn3W/MNUqoWPXvCyJHhaLZ1\na/hm8OSTMH8+3H47vPoqjBoVGoIxY8Lz/XagXOTTatQBmwgF3JuTf3fCfv6ZBdzPAw4nNBIPYc9f\nKpp//CM0BH/9K/zlL/D00zBiBIwfD8cdF4aKOnWKHaWSFKPnP49QzCXTSOBvwOqm+z8nfDv4PnAH\n8C+E4i/tSksNX6lc7LEHnHhiOCDMLJo7F373OzjttFBfevz4cBx/POy2W9x4Vbi01vA9g/CNwBq+\nUgqsWAGPPgqPPAINDeFawSmnwOTJoeFQ+Su051+sL4YFZe/6+vqitmhStRs8GL78ZfjNb2DVKjjr\nrLAGYd99w7eBmTPDjCOVn4aGhlajJfkqVs+/DvgaMLHp/pVAN+DGLM5lz18qkXffDY3Az38O//Vf\nMGkSnHNOWMTmorPyEmuqZy0WcJfK2rp18LOfwY9/DO+/HxqBs8+Gj388dmTKRoxhn9mE5H4A8Cpw\nNvABYbz/UWAx8CuyS/yAwz5SDHvuCZdeCs88A7NnhwVmn/gETJ0aZg8pnWIP+xSTPX8pJTZsgDvv\nhFtvDSuNL78cJkxw2mgapX2FbzZM/lLKbN4M998Pt9wSbtfXw0kn2QikSaHJv3PxQslbffON2tra\neFFI+n+dO4ctJM47L2xZff31cNtt4XrAgQd6cTimhoYGZs2axdy5cwGuy/c8afgntOcvpVxjIzz4\nIHz966FhuOkmOOaY2FFVN4d9JJXMRx/BL38JV10Vdh397nfD2gGVXloWeUmqAp06hZXCzz8f9hI6\n4gi4+upQu0DlxeQvKWc9esD06WGa6Jo1YYroQw/Fjkq5cNhHUsH++Ef40pfCNtPf/35YQ6BkOewj\nKbpjjgnfAvbaCw49NGwfoXRzqqekoujWLWwaV1cHF18MixbBpz8N3bvHjqyylMtUz8HANcAuwCnb\neY7DPlKF2bQJLrkE/vznsHXEiBGxI6o8aR/2WQGcm/B7SEqZXr3ChnE33hiKydx8c1groPTINvn/\nhFCe8dk2jx/X9NjfCVs6S9L/O/VUeOIJuO8+OPPMUKBe6ZBt8r+LkOgzdQdua3r8MEJ93qHA54Hv\nEYq3S6pytbWhznDnzqHg/CuvxI5IkH3ynwe82eaxzLq9W2mp23svcBmwBtgduJ1QyN1vBlKV+tjH\n4J57wnbRo0aFawGKK58C7s0GEPbzb7aKULc30wayqONrAXep8tXUhPoBhxwCU6bAD38YVgsrOzEL\nuNfSunrX6cBY8ivansnZPlKVWbwYJk6EK64IDYJyF3O2zypgYMb9gbT+JpA1K3lJ1eWTnwzXAe64\nA776VWcC5SJGJa9aile3N5M9f6lKbdgAJ5wAhx8ehoEsFpO9UvX8i163N5M9f6k67b47PPooPPdc\n2Bvoww9jR5R+1vCVVDE2bYITT4SBA+Guu8K0UO1Y2lf4SlKHevUKW0KvXQvnnhuKxihZJn9JqdCz\nJ/z617BkSZgF5IBAstLw5aq++Ya7ekrVrVs3OPlkmDED1q2Do46KHVH6lMuuntlwzF9SK6+/DmPG\nhDUAF14YO5p0KnTMv5AVvpKUiH794LHHwl5A++wTFoSpuOz5S0qtxx8Ps4AeewyGDo0dTbo420dS\nxRo1Cm67DSZNgtWrY0dTWRz2kZRqU6bASy/B5MlhS4gePWJHVBmc7SMp9UaPhj/9KQz/TJoUdgit\nVuU022cycDyhju+Pgd+3+b1j/pI6tGlTGAa66CKYNi12NPEVOuZfyvazN/B94Jw2j5v8JWVl6dLw\nLeCBB0JDUM1KecG30Dq+1wI/zCk6Scqw//4wcyacdhq82ba2oHKSS6tRB2wC7qFlW+fuhG2dxxAa\nhgXAecAhwDDgJmAt8C3gMeAP7ZzXnr+knFxySZj9c//91Tv+X8qef751fC8CjiUUeHekTlLBvv3t\nMANo5szYkZSvQqd6ZlPH99+aju2yhq+kXPToAXPmQF1duAZw8MGxI0pezBq+kEwdX4d9JOXlzjvh\n9tvDSuCuXWNHU1qxV/gWpY6vlbwk5ePcc6FvX/jWt2JHUjqxKnnVUvw6vvb8JeVt1SoYNiwsADv8\n8NjRlE4pe/6J1fG15y8pXwMGwE03wdSpsHlz7GiSZw1fSWrS2Bi2fRg5Eq69NnY0pVFOK3y3x+Qv\nqWArV4bhnwULwmKwShf7gq8kpcKgQTB9Opx/vvV/s+GunpIqxqc+Bf/6r9CrFxx2WOxoklFOu3p2\nxGEfSUWzcGGo/vXCC7DrrrGjSY5j/pLUxrnnQu/ecMstsSNJjslfktp4442w5cO8eXDQQbGjSYYX\nfCWpjb594Zpr4LLLvPi7PSZ/SRXpK1+BV16B3/42diTp5GwfSRWpc2cYPBiuuiqUfexUIV1dZ/tI\nUgcaG+Hoo+FznwsXgStJ2i/4HgRcDPQCGggF3Nsy+UtKzBNPwMknw5Il0LNn7GiKJ+3Jv1knYA5w\naju/M/lLStSpp4YdP6dPjx1J8ZRqtk8hxdtPBB5uOiSp5L7xjTDn36LvLbJtNfIt3r4m4xy/BSa2\nc257/pIS96UvQb9+cMMNsSMpjkJ7/tnW8J1HKOSSKbN4O7QUb7+BUMAdQonHzxKKvjRs7+TW8JWU\ntGuugeHD4dJLoU+f2NHkLmYN31paV/E6g/CNoJD6vWDPX1KJnH8+7LJLZZR9jLnCt2gZ20pekkph\n+nS4446w/UO5ilHJq5bWPf86wkXe5nH8K4FuwI05xmDPX1LJXHhh2PTtm9+MHUlhYvb8FxIu7u4N\ndCVM43ykgPNJUuKuvDL0/jdujB1JXNlu7zAbuB4YCEwDNgKLgBcJM4AuIFzwvT+PGOqbb7i9g6Sk\n7bor/P3vsHw5jB0bO5rcub2DJOXp+edh3LjQAOy0U+xo8uOWzpKUo4MOgjFjYObM2JHE466ekqrS\n4MFwxRVh6+dy2vHTYR9JKlBdHVx8MZxySuxIcuewjyTl6fLL4eabq7Pal8lfUtWaNAnWr4f582NH\nUnomf0lVq3PnsNfPLbfEjqT0HPOXVNU2bYJ99oGnnoJBg2JHk71Cx/yd7SOpqnXrBmvXwuLFcOyx\nsaPpmLN9JKlIliwJ8/5XroQePWJHk51ymO2zE2EfoBNK8F6SlLMDDoBhw+AXv4gdSemUIvlfRdj3\nR5JS6ytfgVtvjR1F6SRdw/efm363Lt8AJakUJkyAdevgySdjR1IaSdfwvYAw7HMw8D5wEtsWgXHM\nX1Iq3HADrFoFt98eO5KOpb2G77VNP6cSev/tZnlr+EpKg7PPhkMPhe9+F3r1ih1Na9bwlaQETZ4c\nVv5+8YuxI9kxa/hKUhGdd16o9JVW1vCVpAR8+CHU1sLDD4choLSyhq8kFVHnzvCFL8Ddd8eOJFnZ\nJv/ZwHzgAOBV4GzgA8J4/6PAYuBXwP/kE4TDPpLSZOpU+OlPYcuW2JFsK8awT1Ic9pGUOqNHw9VX\nw8SJHT83hnLY3kGSys5ZZ8GsWbGjSI49f0lqx1tvha2ely2DPfaIHc223NJZkhLQowc8/TS89x6M\nGBE7mhZu6SxJCXvwQfjOd2DevNiRbKvQnr/JX5K2Y/Nm2HtvWLQoDAGliRd8JSkh3brBlClw332x\nIyk+k78k7cCZZ8LPfgaVNkBh8pekHTjySHjnHXi2bTWTMudsH0nagZoaWLMm1Pk95pjY0ZTPbJ9x\nwPXAc8AcYG47z/GCr6RUW7gwDP+8+GJoDNIg7Rd8PwLeBnoBaxJ+L0lKxIgRsHUrLF4cO5LiSbqG\n758J1b2uAGbkGaMkRVVTA6eeCr/4RexIiifb5H8XIdFn6g7c1vT4YcAUYCjweeB7QP+M524EehYU\nqSRF1Jz8K2WUOukavv8CjAd2A35QSKCSFNPQoSHxP/10uF3usk3+7RlA2Nu/2SrCBd5Mv246dsgC\n7pLSrqYGTj4Z/vM/4yT/NBVwPx0YiwXcJVWJ+fNh2rR0zPmPOdtnFTAw4/5AWn8TyJqVvCSVg1Gj\nYP36MOc/ljQUcO8BvACMBt4glHmcRu6lHO35SyobF1wQNnn7WnvzG0uoVD1/a/hKEnDSSfCrX8V7\nf2v4SlIEW7ZAv37w3HPQv3/Hz09K2lf4SlJF6doVxo+Hhx6KHUlhTP6SlKNJk+CBB2JHUZhUJH/H\n/CWVk+OOg7lzQ33fUnPMX5IiOuYYuPTS8C0gBsf8JSmCch/6secvSXl46SWoq4PVq6FThG60PX9J\nimDIENh55/Ld49/kL0l5Ov54ePjh2FHkxxq+kpSnTp1g5kz44hdL957lUsO3C/AtYCdgAXBPO89x\nzF9SWfrgA+jbF15+GXbfvbTvnfYx/88CHwc2A2sTfi9JKqkePeCoo+Cxx2JHkruka/gOARqAS4Dz\n8gtRktKrXMf9k67hu5pQvxfgo0KDlaS0GT8efv/78qvtm23ynwe82eaxzBq+W2mp4XsvcBmwBrgf\nmAz8qOkcklRR9t0XdtopHdW9cpF0Dd93gc91dCJr+EoqZ5/5TBj3P+yw5N7DGr6SlDK/+Q384Adh\n+KdUrOErSZEdfTQ8/nhpdvm0hq8kpcjYsTB9etjuuRQqooavJJW7T38a/vCH2FFkL9sLvqdv5/FH\nmo6C1NfXe6FXUlk79li46KLk36dYF37d0lmSimDLFujTB5Yvhz32SP790r69gyRVha5dYcwY+NOf\nYkeSHXf1lKQief11WLQIJk5M7j3KZVfPbDjsI6kiLF4Mp5wCS5Yk/14O+0hSShx6KLz5JqxaFTuS\njpn8JalIOnUKWzyHEZl0M/lLUhGNGwflsGGByV+SisjknwP39pFUKQ4+GDZuTG7cP8bePklxto+k\nijJlCpx0Epx5ZnLvkfbZPmMI1b7uBP6a8HtJUiqMG5f+xV6l6vlPBvoSGoG27PlLqijPPBN6/0nO\n9y9Vzz/fAu7NzgDuyzk6SSpDhxwC69fDa6/FjmT7ki7gDjAIeItQ0lGSKl6nTjB6NPzlL7Ej2b5s\nt3SeRyjmkimzgDu0FHC/gVDEvdk5hG8O22UNX0mVpq4O5s0Lwz/FkKYavmcAdVjDV5K2sWABXHAB\nPPVUMuePOdunaBnbef6SKs3w4bB0Kbz1VnHPm4YavnWEi7zNm5deCXQDbswxBnv+kirS0UfDVVfB\nhAnFP3fMnv9C4BBgb6ArcCpFKOkoSZVizBj4a0pXOKWigLvDPpIq0ejRMH9+cc/p9g6SlHIbN8LA\ngbBhQyjzWExp395BkqrWrrvCPvuEFb9pYw1fSUrQ4sXwwQcwcmRxzmcNX0kqA3ffDY88AnPmFPe8\nDvtIUoodeWQ6Z/yY/CUpQUOGhGGftBV1N/lLUoJqamDUKPjv/44dSWsmf0lK2KhR8PjjsaNozeQv\nSQkz+W+HK3wlVbJPfSrs7rllS+HnKpcVvgOAm4H1wErg2+08x6mekireIYeEaZ/DhxfnfGmf6jkC\neAC4kFDlS5Kq0qhRYY//tEi6hu9cYBrwSyBFf7YkldbIkfDEE7GjaJF0Dd+pwDXAZ4ExRYhXksrS\nEUeUZ/KfB7zZ5rHMGr5baanhey9wGbAG+CNh2+fvASuKEK8klaVPfCIs9Cp2Za98ZVvAvT0DCHv7\nN1tFqOGb6RlCrd8dsoC7pErXpQsMHQqLFsGxx+b++jQVcD8dGIsF3CUpK5dfDnvuCVdfXfi5Ys72\nWQUMzLg/kNbfBLLmPH9J1eCII2DhwsLOkYYC7j2AF4DRwBuEMo/TyL2Uoz1/SVVh+XIYO7Y4m7yV\nqudvDV9JKtDgwfD++7B2bf7nKJcVvtmw5y+paowfDxddBBMnFnaetK/wlSRlGD48zPiJzeQvSSU0\nYgQ8+WTsKFKS/B3zl1QtCu35O+YvSWWosTHM9X/mGejfP//zOOYvSWWkpiYM/cQe9zf5S1KJDR8e\nf9zfYR9JKrGVK+Gjj6C2Nv9zFDrsY/KXpDJUaPLvXLxQ8lbffKO2kGZQkqpAQ0MDs2bNYu7cuQDX\n5XuepHv+BwNXAxsJ20PMbuc59vwlKUdpn+1zHPDvwEWECl9SWXH9iSpV0jV87wVOBr4J7JZnjFI0\nJn9VqqRr+K4DLifU8V1XhHhTLUaiSOI9Cz1nvq/P5XXZPrej51VLco/1d1bK5zPGZzPX981V0jV8\n9yEM+9wL3FKEeFPN5F/Y603+yTH5F/b6Skz+hRRzOQOoo/Ayji8B++X4GkmqdsuAIfm+uJAC7sWa\nopN38JKk/KSihq8kKb1qaT3bpwfwMrA30BVYCAwreVSSpMTMJlzA/V9aavgCTACeI0z1vDpOaJIk\nSZIkSSovg4GZwP2xA5Ey7AzMISx8PCtuKFIrFZczK+YPUUU4h5bV7v8RMxBpO7LKmaWo5JXvvkBS\nqeTyGe1PWNUOYWW7lKSyzp91hD1/MoPvDqwgTBPtQpgm2nZfoGb2/JW0XD6jZxO2MQF7/kpeLp/N\nZqnKmbW0Dn4s8NuM+18Frm3zmt2B24GlpLhlU8WoJbvPaC/gPuBOYGqpglNVqyW7z2ZOObOQ7R0K\nMYDWq4FXEfYFyrSB3PcJkople5/RTYR9raRYtvfZzClnlmLMvz2W7lLa+RlVWhXlsxkr+bsvkNLO\nz6jSqqw+m7W4L5DSrRY/o0qnWsr0s+m+QEo7P6NKKz+bkiRJkiRJkiRJkiRJkiRJkiRJklSJ/g9p\nYn+IP+hfbgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 137 }, { "cell_type": "code", "collapsed": false, "input": [ "# plt.plot(Iconv_1d(mdev6))\n", "r = np.linspace(0,10,100000)\n", "print len(r)\n", "# plt.plot(r,Iconv_1d(r,mdev6))\n", "plt.loglog(r,Iconv_1d(r,mdev6))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "100000\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 140, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYQAAAEHCAYAAACumTGlAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSHQDkIUAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 140 }, { "cell_type": "code", "collapsed": false, "input": [ "r=10**np.arange(0,1.676,0.001)\n", "plt.loglog(r,Iconv_1d(r,mdev6))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 132, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEACAYAAACpoOGTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 132 }, { "cell_type": "code", "collapsed": false, "input": [ "mdev8 = {0.00262:0.00113, 0.02500:0.00475,0.13413:0.01462,0.51326:0.03930,1.52005:0.09926,3.56204:0.24699,6.44845:0.63883,8.10105:1.92560}\n", "mdev10={0.00139:0.00087, 0.00941:0.00296,0.04441:0.00792,0.16162:0.01902,0.48121:0.04289,1.20357:0.09351,2.54182:0.20168,4.46441:0.44126,6.22820:1.01833,6.15393:2.74555}" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 165 }, { "cell_type": "code", "collapsed": false, "input": [ "# r=10**np.arange(-3,2,0.001)\n", "r=10**np.linspace(-3,1,5000)\n", "plt.loglog(r,deVauc(r,1.,1.,0),'b')\n", "plt.loglog(r,deVauc(r,1.,1.,1),'g')\n", "plt.loglog(r,Iconv_1d(r,mdev6),'r')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 181, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYQAAAEACAYAAACznAEdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niESY/w/uvALXDZF1SgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 181 }, { "cell_type": "code", "collapsed": false, "input": [ "# r=10**np.arange(-3,2,0.001)\n", "r=10**np.linspace(-3,1,5000)\n", "# print len(r)\n", "# plt.loglog(r,deVauc(r,1.,1.,0),'b')\n", "plt.loglog(r,deVauc(r,1.,1.,0),'b')\n", "plt.loglog(r,deVauc(r,1.,1.,1),'g')\n", "# plt.loglog(r,Iconv_1d(r,mdev6),'r')\n", "# plt.loglog(r,Iconv_1d(r,mdev8),'c')\n", "plt.loglog(r,Iconv_1d(r,mdev10),'m')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 182, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEHCAYAAABGNUbLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 182 }, { "cell_type": "code", "collapsed": false, "input": [ "r=10**np.linspace(-3,1,5000)\n", "plt.loglog(r,Iconv_1d(r,mdev6),'r')\n", "plt.loglog(r,Iconv_1d(r,mdev8),'c')\n", "plt.loglog(r,Iconv_1d(r,mdev10),'m')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 186, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYQAAAEHCAYAAACumTGlAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nfPbCpdq+BZUAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 186 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "--------2D stuff:\n", "Doing a 1D plot above to check instead------------\n" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# V = np.array([[0.,0.],[0.,0.]])\n", "def Iconv(mdev):\n", " I_conv = numpy.zeros((1361, 2048))\n", " for a in mdev.keys():\n", " v=mdev[a]\n", " m = np.array([100,300])\n", " v_inv = np.linalg.inv(np.array([[v**2,0],[0,v**2]]))\n", " I_conv += a*N(xM,yM,m,v_inv)\n", " return I_conv" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "def plotI(data):\n", " mysize=1\n", " V = np.array([[5.,0.],[0., 5.]]) \n", " xx = np.where((np.fabs(xM - m[0]) < V[0,0]**0.5*mysize) & (np.fabs(yM - m[1]) < V[1,1]**0.5*mysize))\n", " extent = max(xx[0].max()-xx[0].min(),xx[1].max()-xx[1].min())\n", " xcen = int(xx[0].mean())\n", " ycen = int(xx[1].mean())\n", " plt.matshow(data[xcen-extent/2:xcen+extent/2,ycen-extent/2:ycen+extent/2],origin='lower',interpolation='nearest')#extent = [xM[xx[0]].min(),xM[xx[0]].max(),yM[xx[1]].min(),yM[xx[1]].max()])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "I_conv= Iconv(mdev6)\n", "plotI(I_conv)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAPYAAAD7CAYAAABZjGkWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nh+1I6twePPVTkiRJkiRJkrSo/RdjuhCXxm5KNQAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "mdev8 = {0.00262:0.00113, 0.02500:0.00475,0.13413:0.01462,0.51326:0.03930,1.52005:0.09926,3.56204:0.24699,6.44845:0.63883,8.10105:1.92560}\n", "I_conv8= Iconv(mdev8)\n", "plotI(I_conv8)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAPYAAAD7CAYAAABZjGkWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "mdev10={0.00139:0.00087, 0.00941:0.00296,0.04441:0.00792,0.16162:0.01902,0.48121:0.04289,1.20357:0.09351,2.54182:0.20168,4.46441:0.44126,6.22820:1.01833,6.15393:2.74555}\n", "I_conv10= Iconv(mdev10)\n", "plotI(I_conv10)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAPYAAAD7CAYAAABZjGkWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "# Look very simmilar but not identical by element-wise comparison\n", "print np.array_equal(I_conv,I_conv8) \n", "print np.array_equal(I_conv8,I_conv10) " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "False\n", "False\n" ] } ], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "I_conv.shape" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 22, "text": [ "(1361, 2048)" ] } ], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "m = np.array([100,300])\n", "m[0]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 23, "text": [ "100" ] } ], "prompt_number": 23 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "See devPlot.py for I-r plot (linear and log scaled)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "n=0\n", "rlist = []\n", "Iconvlst = []\n", "m = np.array([100,300]) #center\n", "# too large can not run on ipynb\n", "for i in arange(I_conv.shape[0]-1):\n", " for j in arange(I_conv.shape[1]-1):\n", "# print(i,j)\n", " r = np.sqrt(abs(i-m[0])**2+abs(j-m[1])**2) #treating center as origin\n", "# print I_conv[i][j]\n", " rlist.append(r)\n", " Iconvlst.append(I_conv[i][j])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 29 }, { "cell_type": "code", "collapsed": false, "input": [ "# print rlist\n", "# print Iconvlst" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 43 }, { "cell_type": "code", "collapsed": false, "input": [ "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "len(rlist)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 35, "text": [ "2783920" ] } ], "prompt_number": 35 }, { "cell_type": "code", "collapsed": false, "input": [ "len(Iconvlst)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 38, "text": [ "2783920" ] } ], "prompt_number": 38 }, { "cell_type": "code", "collapsed": false, "input": [ "r=10**np.arange(-3,2,0.001)\n", "print len(r)\n", "plt.figure()\n", "# plt.loglog(I_conv,'b')\n", "# plt.show()\n", "plt.loglog(rlist,Iconvlst)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "5000\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 40, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "text": [ "" ] } ], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "np.nonzero(I_conv.flatten())\n", "#truncating all the zero values so that this can be plotted on loglog scale, otherwise -inf error\n", "I_conv = [I_conv.flatten()[i] for i in np.nonzero(I_conv.flatten())] \n", "# np.where(I_conv>0)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 45 }, { "cell_type": "code", "collapsed": false, "input": [ "print(I_conv)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[array([ 4.94065646e-323, 4.94065646e-323, 1.43279037e-322, ...,\n", " 2.42092166e-322, 9.88131292e-323, 4.94065646e-323])]\n" ] } ], "prompt_number": 46 }, { "cell_type": "code", "collapsed": false, "input": [ "a=plt.plot(I_conv,'o'); #semicolon supress matplotlib output (direct output to vars)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAEACAYAAABI5zaHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJEmSJEmSJO3X/wPQGPWShoPEVAAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 48 }, { "cell_type": "code", "collapsed": false, "input": [ "# plt.loglog(I_conv,'o') # can not loglog since negative exp\n", "# a = plt.plot(log(I_conv),'o')#Stilll a delta function" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 52 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Now generate a model image and do the fitting exercise. Eventually the model should be deVauc convolved with Gaussian, but we'll skip that step for now and just use a Gaussian as the model." ] }, { "cell_type": "code", "collapsed": false, "input": [ "## work in progress -- want to finish Doris? We want to evaluate the model (deVauc convolved with Gaussian PSF) on a fine grid, and then sum up the fine pixels that contribute to \n", "## the pixels of SDSS camera size. Given some reasonable parameters for galaxy size (re = 1.0, 1.5, 2.0) and PSF values (take some percentiles from the galaxy files I give you), figure out\n", "## how fine the fine grid needs to be.\n", "\n", "## truncate the fit 5*sigma away from the center.\n", "fitsigma = 5.\n", "tgal = 1.5 ## rough typical size of a galaxy, in arcseconds.\n", "nsub = 10 ## how fine should we subsample the model? Let's test this empirically for realistic values of p.\n", "pfine = psize/float(nsub)\n", "\n", "nbig = fitsigma*tgal/psize # number of native size pixels.\n", "nsmall = nbig*nsub # number of small pixels we sum over to get model in the big pixel.\n", "\n", "## make mbig the location of the center of the source within the central pixel.\n", "mbig = np.array([0.2,0.3])\n", "assert (np.fabs(mbig) < 0.99).all()\n", "xbig = \n", "\n", "xf = np.arange(0,nsmall+0.5,1)\n", "yf = np.arange(0,nsmall+0.5,1)\n", "assert len(xf) == nsmall\n", "xbig = np.arange(\n", "\n", "## width of Gaussian is tg\n", "mg = np.array([0.,0.])\n", "Vg = np.array([[tgal**2,0],[0,tgal**2]])\n", "Vginv = np.linalg.inv(Vg)\n", "\n", "# coordinates of center of pixels\n", "xf = (np.arange(-fitsigma*tgal/pfine+mg[0]+0.5,fitsigma*tgal/pfine+mg[0]-0.4,1))*pfine\n", "yf = (np.arange(-fitsigma*tgal/pfine+mg[1]+0.5,fitsigma*tgal/pfine+mg[1]-0.4,1))*pfine\n", "\n", "xMf, yMf = np.meshgrid(xf,yf,indexing='xy')\n", "If = N(xMf,yMf,mg,Vginv)" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "SyntaxError", "evalue": "invalid syntax (, line 17)", "output_type": "pyerr", "traceback": [ "\u001b[0;36m File \u001b[0;32m\"\"\u001b[0;36m, line \u001b[0;32m17\u001b[0m\n\u001b[0;31m xbig =\u001b[0m\n\u001b[0m ^\u001b[0m\n\u001b[0;31mSyntaxError\u001b[0m\u001b[0;31m:\u001b[0m invalid syntax\n" ] } ], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.imshow(If,origin='lower',interpolation='nearest')" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## What's next??\n", "\n", "I wrote some notes here: \n", "\n", "/home/bareid/imagingsys/notes1 on riemann.\n" ] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }