{ "metadata": { "name": "", "signature": "sha256:5d139c17b06a2701ee7366e9868aecccbd6b5c36d55c66a45f7a86381e6191e4" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "%pylab inline" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "from __future__ import division\n", "import numpy as np\n", "from IPython.core.display import HTML\n", "from deltasigma import *" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Audio demo of mod1 and mod2 - demo #4\n", "=====================================\n", "\n", "Demonstration of the first and second order modulators, as in `dsdemo4.m` in the **MATLAB Delta Sigma Toolbox**, albeit this demo employs its Python port **`deltasigma`**.\n", "\n", "Port written by G. Venturini. First version Jan 1st, 2014. *Happy new year!!*\n", "\n", "##Introduction\n", "\n", " * The user selects:\n", " * A modulator. It may be the simple `mod1` or `mod2`, or a user specified modulator.\n", " * The source (sine, ramp or file).\n", " * The $\\mathrm{sinc}^n$ filter order $n$ and decimation factor.\n", " * The output signal and quantization error are evaluated.\n", " * The signals are passed through the decimation filter.\n", "\n", "Input, output and quantization error are compared in terms of time evolution, spectra and played out aloud." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Options and utility functions\n", "You may skip to the following section" ] }, { "cell_type": "code", "collapsed": false, "input": [ "plotsize = (20, 4) #The plot size in inches. Reduce for low res/small screens" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "# An in-browser HTML5 audio player\n", "# Notice there is an audio player in the dev branch of iPython,\n", "# it is unlikely that as of today Jan 1st, 2014 many people have access to it\n", "# for that reason, the following function is used instead.\n", "# It is originally from the notebook 'the sound of Hydrogen' by 'filmor', with small modifications.\n", "# http://nbviewer.ipython.org/url/gist.github.com/filmor/c7ae1a867fc9058ffcd4/raw/91ce69c1400540ed39f68bd92234abfb1dc2ae70/tone-generator.ipynb\n", "from io import BytesIO\n", "import base64, struct\n", "\n", "def wavPlayer(data, rate, scale=False, autoplay=False):\n", " \"\"\"This method will display html 5 player for compatible browser with \n", " embedded base64-encoded WAV audio data.\n", "\n", " Parameters :\n", " ------------\n", " data : 1d np.ndarray containing the audio data to be played\n", " rate : the data rate in Hz\n", " scale : if set to True, the audio signal is amplified to cover the full scale.\n", " \"\"\"\n", " if np.max(abs(data)) > 1 or scale:\n", " data = data/np.max(abs(data))\n", " data = (2**13*data).astype(np.int16)\n", " \n", " buffer = BytesIO()\n", " buffer.write(b'RIFF')\n", " buffer.write(b'\\x00\\x00\\x00\\x00')\n", " buffer.write(b'WAVE')\n", " \n", " buffer.write(b'fmt ')\n", " if data.ndim == 1:\n", " noc = 1\n", " else:\n", " noc = data.shape[1]\n", " \n", " bits = data.dtype.itemsize * 8\n", " sbytes = rate*(bits // 8)*noc\n", " ba = noc * (bits // 8)\n", " buffer.write(struct.pack('' or (data.dtype.byteorder == '=' and sys.byteorder == 'big'):\n", " data = data.byteswap()\n", "\n", " buffer.write(data.astype(np.int16).tostring())\n", "\n", " # Determine file size and place it in correct position at start of the file.\n", " size = buffer.tell()\n", " buffer.seek(4)\n", " buffer.write(struct.pack('\n", " \n", " Your browser does not support the audio element.\n", " \"\"\".format(base64=base64.b64encode(val).decode(\"ascii\"), autoplay=autoplay)\n", " display(HTML(src))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Parameters" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Source type\n", "Set the `SourceType` flag, which has the following meaning:\n", "\n", "* `0`: sine wave, see below for amplitude and frequency values.\n", "* `1`: ramp from -.7 to +.7.\n", "* `2`: audio file: a 9 seconds long recording of a tenor sax playing. Please make sure you download and save the file `sax.wav.b64` first, as explained below." ] }, { "cell_type": "code", "collapsed": false, "input": [ "SourceType = 0 # change the SourceType" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you set `SourceType = 0` above, here you can specify the amplitude and frequency of the sine wave.\n", "\n", "Otherwise, the following values are ignored." ] }, { "cell_type": "code", "collapsed": false, "input": [ "SineAmp = .4\n", "SineFreq = .5e3" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you set `SourceType = 1` (ramp), there is nothing you need to do.\n", "\n", "if you set `SourceType = 2` (file), make sure you download the file `sax.wav.b64` included with this notebook and save it to the current working directory." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Modulator order\n", "Select a modulator order equal to `1` to have the `mod1` structure, modulator order equal to 2 provides `mod2`.\n", "\n", "**Note:** two convenience functions `mod1()` and `mod2()` are available to quickly access NTF, STF and ABCD matrix for `mod1` and `mod2`.\n", "\n", "Modify the following code to employ your modulator of choice!" ] }, { "cell_type": "code", "collapsed": false, "input": [ "mod_order = 2\n", "if not mod_order in (1, 2):\n", " raise ValueError('Please choose an order equal to either 1 or 2')\n", "ABCD_mod1 = np.array([[1., 1., -1.], [1., 0., 0.]])\n", "ABCD_mod2 = np.array([[1., 0., 1., -1.], [1., 1., 1., -2.], [0., 1., 0., 0.]])\n", "ABCD = ABCD_mod1 if mod_order == 1 else ABCD_mod2\n", "print \"Delta sigma modulator ABCD matrix:\"\n", "print ABCD" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Delta sigma modulator ABCD matrix:\n", "[[ 1. 0. 1. -1.]\n", " [ 1. 1. 1. -2.]\n", " [ 0. 1. 0. 0.]]\n" ] } ], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### $\\mathrm{sinc}^n$ decimation filter: parameters" ] }, { "cell_type": "code", "collapsed": false, "input": [ "SincOrder = 2 # SincOrder\n", "DecFact = 32 # 32 DecFact" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Misc. options" ] }, { "cell_type": "code", "collapsed": false, "input": [ "T = 2 # Input signal duration in seconds.\n", "FsOut = 8192 # set to ensure compatibility.\n", "Fs = FsOut*DecFact #Fs" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 9 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Simulation\n", "###Setup the input signal" ] }, { "cell_type": "code", "collapsed": false, "input": [ "N = int(np.round(T*Fs))\n", "if SourceType == 0:\n", " SineAmp = max(min(SineAmp, 1), 0) # 0 <= SineAmp <= 1\n", " if SineFreq >= FsOut/2:\n", " raise ValueError('Anything above FsOut/2 (%g) will be inaudible.' % FsOut/2)\n", " print \"Generating a sine wave:\"\n", " print \" * at freq. %g Hz, \" % SineFreq\n", " print \" * with norm. amplitude %g,\" % SineAmp\n", " print \" * sampled at %g Hz,\" % Fs\n", " print \" * total length %g s (%d #samples).\" % (T, N)\n", " u = SineAmp*np.sin(2*np.pi*SineFreq/Fs*np.arange(N))*ds_hann(N)\n", " u0 = u[::DecFact]\n", "elif SourceType == 1:\n", " u = np.linspace(-0.7, 0.7, N)\n", " u0 = u[::DecFact]\n", " print \"Generating a ramp:\"\n", " print \" * from -.7 to +.7, \"\n", " print \" * sampled at %g Hz,\" % Fs\n", " print \" * total length %g s (%d #samples).\" % (T, N)\n", "elif SourceType == 2:\n", " from scipy.interpolate import interp1d as interp\n", " filename = 'sax.wav'\n", " T = 9\n", " try:\n", " from scipy.io import wavfile \n", " except ImportError:\n", " print \"Error: Reading audio files requires scipy > v 0.12.0\"\n", " try:\n", " fpin = open(filename + '.b64', 'r')\n", " b64data = fpin.read()\n", " fpin.close()\n", " fpout = open(filename, 'wb')\n", " fpout.write(base64.b64decode(b64data))\n", " fpout.close()\n", " sr, filedata = wavfile.read(filename)\n", " except IOError:\n", " print \"Wav file %s not found.\" % filename\n", " if len(filedata.shape) == 2:\n", " filedata = np.average(filedata, axis=1)\n", " T = min(T, filedata.shape[0]/sr)\n", " N = int(np.round(T*Fs))\n", " filedata = filedata[:int(np.round(T*sr)+1)]\n", " if max(abs(filedata)) > 1:\n", " filedata = filedata/max(abs(filedata))\n", " filets = np.arange(filedata.shape[0])/sr\n", " ts = (np.arange(N)/Fs)\n", " u0 = interp(filets, filedata)(ts[::DecFact])\n", " u = interp(filets, filedata)(ts)\n", " print \"Decoded the file %s.b64 and loaded its data.\" % filename\n", " print \"Input data available:\"\n", " print \" * sampled at %g Hz,\" % Fs\n", " print \" * total length %g s (%d #samples).\" % (T, N)\n", " print \" * normalized (only if amp > 1)\"" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Generating a sine wave:\n", " * at freq. 500 Hz, \n", " * with norm. amplitude 0.4,\n", " * sampled at 262144 Hz,\n", " * total length 2 s (524288 #samples).\n" ] } ], "prompt_number": 10 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Inspect the input signal\n", "### Plot: time domain" ] }, { "cell_type": "code", "collapsed": false, "input": [ "plot(np.arange(N)[::DecFact]/Fs, u0)\n", "figureMagic(size=(20,4))\n", "ylabel('$u(t)$');" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABJwAAAD/CAYAAAC0Acw7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 11 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Plot: frequency domain" ] }, { "cell_type": "code", "collapsed": false, "input": [ "if SourceType == 0 or SourceType == 2:\n", " N = max(u0.shape)\n", " if SourceType == 0:\n", " U = np.fft.fft(u0)/(N/4)\n", " else:\n", " U = np.fft.fft(u0 * ds_hann(N))/(N/4)\n", " f = np.linspace(0, FsOut, N + 1)\n", " f = f[:N/2 + 1]\n", " semilogx(f, dbv(U[:N/2 + 1]))\n", "xlabel('f [Hz]')\n", "ylabel('U(f) [dB]')\n", "figureMagic(xRange=[1, max(f)], size=plotsize, name='Spectrum')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABJIAAAEdCAYAAABXM021AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text": [ "" ] } ], "prompt_number": 12 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Play the input signal\n", "\n", "Click on the Play button to listen to the input signal.\n", "\n", "*Requires a HTML5-capable browser such as recent version of Chrome, Firefox or IE.*" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# Show a Html 5 audio player\n", "wavPlayer(data=u0, rate=FsOut)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "" ], "metadata": {}, "output_type": "display_data", "text": [ "" ] } ], "prompt_number": 13 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Simulate!\n", "The simulation is run calling `simulateDSM()`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "v, junk1, junk2, y = simulateDSM(u, ABCD)\n", "del junk1, junk2\n", "q = v - y # quantization error" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 14 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Inspect the output" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Plot: time domain" ] }, { "cell_type": "code", "collapsed": false, "input": [ "N = max(v.shape)\n", "nPlot = 400\n", "if N > nPlot:\n", " n = np.arange(int(np.floor(N/2 - nPlot/2)), int(np.floor(N/2 + nPlot/2)))\n", "else:\n", " n = np.arange(N)\n", "n = n.astype(np.int32)\n", "hold(True)\n", "t = np.arange(max(n.shape))\n", "step(t, u[n], 'r')\n", "bar(t, v[n], color='b', linewidth=0)\n", "ylabel('$u(t), v(t)$')\n", "xlabel('Sample #')\n", "axis([0, max(n)-min(n), -1.1, 1.1])\n", "figureMagic(size=(20, 4), name='Modulator Input & Output')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABJYAAAEYCAYAAAAOFXx8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 15 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Plot: frequency domain" ] }, { "cell_type": "code", "collapsed": false, "input": [ "N = max(v.shape)\n", "Nfft = min(N, 16*8192)\n", "n = np.arange((N - Nfft)/2, (N + Nfft)/2).astype(np.int32)\n", "V = np.fft.fft(v[n] * ds_hann(Nfft)) / (Nfft / 4)\n", "if SourceType == 1:\n", " inBin = np.round(SineFreq/Fs*Nfft)\n", "else:\n", " inBin = np.ceil(Nfft/1000)\n", "hold(True)\n", "ylabel('V(f) [dB]')\n", "xlabel('Frequency [Hz]')\n", "semilogx(np.arange(max(V.shape))/max(V.shape)*Fs, dbv(V))\n", "f, Vp = logsmooth(V, inBin)\n", "semilogx(f*Fs, Vp, 'm', linewidth=2.5)\n", "xlim([f[0]*Fs, Fs/2])\n", "msg = 'NBW = %.1f Hz ' % (Fs*1.5/Nfft)\n", "text(Fs/2, -90, msg, horizontalalignment='right', verticalalignment='center')\n", "figureMagic(size=plotsize, name='Spectrum')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABJIAAAEdCAYAAABXM021AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\naN7TAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 16 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Decimate; Comparison of output signal and input signal" ] }, { "cell_type": "code", "collapsed": false, "input": [ "w = sinc_decimate(v, SincOrder, DecFact)\n", "filtered_q = sinc_decimate(q, SincOrder, DecFact)\n", "N = max(w.shape)\n", "t = np.arange(N)/FsOut\n", "subplot(211)\n", "plot(t, w)\n", "ylabel('$w$')\n", "figureMagic(size=(20, 4))\n", "subplot(212)\n", "plot(t, u0 - w, 'g')\n", "ylabel('$u-w$')\n", "xlabel('t [s]')\n", "figureMagic(size=(20, 4))\n", "suptitle('Output and conversion error');" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABKEAAAEfCAYAAACZP9WFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJJXC/wP0RGwElfiAqgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 18 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Play the output signal\n", "\n", "Click on the Play button to listen to the output signal `w`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "wavPlayer(data=w, rate=FsOut)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "" ], "metadata": {}, "output_type": "display_data", "text": [ "" ] } ], "prompt_number": 19 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Play the quantization error (filtered)\n", "\n", "Click on the Play button to listen to the quantization error `filtered_q`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "wavPlayer(data=filtered_q, rate=FsOut, scale=True)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "" ], "metadata": {}, "output_type": "display_data", "text": [ "" ] } ], "prompt_number": 20 }, { "cell_type": "markdown", "metadata": {}, "source": [ "###Play the difference in the signal before and after A/D conversion" ] }, { "cell_type": "code", "collapsed": false, "input": [ "wavPlayer(data=u0-w, rate=FsOut, scale=True)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "" ], "metadata": {}, "output_type": "display_data", "text": [ "" ] } ], "prompt_number": 22 }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Final comparison of the spectra of the three signals" ] }, { "cell_type": "code", "collapsed": false, "input": [ "N = max(filtered_q.shape)\n", "Nfft = min(N, 16*8192)\n", "n = np.arange((N - Nfft)/2, (N + Nfft)/2).astype(np.int32)\n", "E = np.fft.fft(filtered_q[n] * ds_hann(Nfft)) / (Nfft / 4)\n", "W = np.fft.fft(w[n] * ds_hann(Nfft)) / (Nfft / 4)\n", "U0 = np.fft.fft(u0[n] * ds_hann(Nfft)) / (Nfft / 4)\n", "if SourceType == 0:\n", " inBin = np.round(SineFreq*Nfft)/FsOut\n", "else:\n", " inBin = np.ceil(Nfft/1000)\n", "hold(True)\n", "ylabel('dB')\n", "semilogx(np.arange(Nfft)/Nfft*FsOut, dbv(U0), label='Input signal')\n", "semilogx(np.arange(Nfft)/Nfft*FsOut, dbv(W), label='Output signal')\n", "semilogx(np.arange(Nfft)/Nfft*FsOut, dbv(E), label='Filtered quant. error')\n", "f, U0p = logsmooth(U0, inBin)\n", "semilogx(f*FsOut, U0p, '#1E90FF', linewidth=2.5)\n", "f, Wp = logsmooth(W, inBin)\n", "semilogx(f*FsOut, Wp, '#556B2F', linewidth=2.5)\n", "f, Ep = logsmooth(E, inBin)\n", "semilogx(f*FsOut, Ep, '#8B0000', linewidth=2.5)\n", "xlim([10, FsOut/2])\n", "msg = 'NBW = %.1f Hz ' % (Fs*1.5/Nfft)\n", "text(FsOut/2, -6, msg, horizontalalignment='right', verticalalignment='top')\n", "figureMagic(size=plotsize, name='Spectrum')\n", "legend(loc=3);" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABJIAAAEPCAYAAAAZLe4lAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text": [ "" ] } ], "prompt_number": 23 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Conclusions\n", "\n", "We briefly demonstrated the use of two modulators to perform A/D conversion of audio signals. \n", "\n", "Feel free to go through this notebook, change the parameters and resimulate!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### System version information" ] }, { "cell_type": "code", "collapsed": false, "input": [ "#%install_ext http://raw.github.com/jrjohansson/version_information/master/version_information.py\n", "%load_ext version_information\n", "%reload_ext version_information\n", "\n", "%version_information numpy, scipy, matplotlib, deltasigma" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "
SoftwareVersion
Python2.7.8 (default, Aug 10 2014, 12:56:47) [GCC 4.9.1]
IPython2.1.0
OSposix [linux2]
numpy1.8.2
scipy0.13.3
matplotlib1.3.1
deltasigma0.1-7
Sat Aug 30 17:43:42 2014 CEST
" ], "json": [ "{\"Software versions\": [{\"version\": \"2.7.8 (default, Aug 10 2014, 12:56:47) [GCC 4.9.1]\", \"module\": \"Python\"}, {\"version\": \"2.1.0\", \"module\": \"IPython\"}, {\"version\": \"posix [linux2]\", \"module\": \"OS\"}, {\"version\": \"1.8.2\", \"module\": \"numpy\"}, {\"version\": \"0.13.3\", \"module\": \"scipy\"}, {\"version\": \"1.3.1\", \"module\": \"matplotlib\"}, {\"version\": \"0.1-7\", \"module\": \"deltasigma\"}]}" ], "latex": [ "\\begin{tabular}{|l|l|}\\hline\n", "{\\bf Software} & {\\bf Version} \\\\ \\hline\\hline\n", "Python & 2.7.8 (default, Aug 10 2014, 12:56:47) [GCC 4.9.1] \\\\ \\hline\n", "IPython & 2.1.0 \\\\ \\hline\n", "OS & posix [linux2] \\\\ \\hline\n", "numpy & 1.8.2 \\\\ \\hline\n", "scipy & 0.13.3 \\\\ \\hline\n", "matplotlib & 1.3.1 \\\\ \\hline\n", "deltasigma & 0.1-7 \\\\ \\hline\n", "\\hline \\multicolumn{2}{|l|}{Sat Aug 30 17:43:42 2014 CEST} \\\\ \\hline\n", "\\end{tabular}\n" ], "metadata": {}, "output_type": "pyout", "prompt_number": 24, "text": [ "Software versions\n", "Python 2.7.8 (default, Aug 10 2014, 12:56:47) [GCC 4.9.1]\n", "IPython 2.1.0\n", "OS posix [linux2]\n", "numpy 1.8.2\n", "scipy 0.13.3\n", "matplotlib 1.3.1\n", "deltasigma 0.1-7\n", "Sat Aug 30 17:43:42 2014 CEST" ] } ], "prompt_number": 24 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }