{ "metadata": { "name": "", "signature": "sha256:a98f052fcee22055c5f074f0f5019598abad627681083e154ffe2ee1c6e4c723" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "from IPython.display import HTML\n", "import pandas as pd\n", "import numpy as np\n", "import os\n", "import matplotlib.pyplot as plt\n", "from matplotlib_venn import venn3, venn3_circles, venn3_unweighted\n", "import seaborn\n", "%pylab inline" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "#These are defined by the way annovar defines precedence. I found empirically that stop_gain > frame_shift in annovar, hence the reverse\n", "precedence_dict = {\n", "\"splicing_variant\": 1,\n", "\"frameshift_variant\": 4,\n", "\"stop_gained\": 2,\n", "\"stop_lost\": 3,\n", "\"inframe_variant\": 5,\n", "\"nonsynonymous_variant\": 6,\n", "\"synonymous_variant\": 7,\n", "\"5_prime_UTR_variant\": 8,\n", "\"3_prime_UTR_variant\": 9,\n", "\"intron_variant\": 10,\n", "\"upstream_gene_variant\": 11,\n", "\"downstream_gene_variant\": 12,\n", "\"intergenic_variant\": 13,\n", "\"intron_variant\": 14,\n", "\"upstream_gene_variant\": 15,\n", "\"regulatory_region_variant\": 16,\n", "\"ignored\": 17\n", "}\n", "\n", "def ranked(col):\n", " return max(col, key=lambda val: -1*precedence_dict[val])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": true, "input": [ "with pd.get_store('classified_variant_store.h5') as store:\n", " snpeff_subset = store.get(\"cftr_snpeff_ensembl_subset\")\n", "ensembl_symbol_mapping = {\"CFTR\":\"ENSG00000001626\",\n", " \"AC000111.3\": \"ENSG00000232661\",\n", " \"AC000111.4\":\"ENSG00000237974\",\n", " \"AC000111.5\": \"ENSG00000234001\",\n", " \"AC000111.6\": \"ENSG00000083622\", \n", " \"CTTNBP2\": \"ENSG00000077063\",\n", " \"\":\"\"}\n", "snpeff_subset[\"EnsemblGene\"] = snpeff_subset[\"Gene_Name\"].apply(lambda x: ensembl_symbol_mapping[x])\n", "grouped_snpeff_subset = snpeff_subset.groupby([\"EnsemblGene\", \"POS\", \"REF\", \"ALT\"])\n", "grouped_snpeff_subset = grouped_snpeff_subset.agg({\"normalized_so_snpeff\": ranked})\n", "grouped_snpeff_subset = grouped_snpeff_subset.rename(columns={\"normalized_so_snpeff\": \"normalized_so_snpeff_max\"}).reset_index()\n", "grouped_snpeff_subset = pd.merge(grouped_snpeff_subset, snpeff_subset, how=\"left\", on=[\"POS\", \"REF\", \"ALT\", \"EnsemblGene\"])\n", "grouped_snpeff_subset = grouped_snpeff_subset[grouped_snpeff_subset[\"normalized_so_snpeff_max\"] == grouped_snpeff_subset[\"normalized_so_snpeff\"]]\n", "#kludge ties are broken by taking the first element in the group (ie randomly; this should only really effect the transcript level comparisons, ie hgvs etc)\n", "grouped_snpeff_subset = grouped_snpeff_subset.groupby([\"EnsemblGene\", \"POS\", \"REF\", \"ALT\"]).first()\n", "agg_snpeff = grouped_snpeff_subset.reset_index()\n", "del agg_snpeff[\"normalized_so_snpeff_max\"]\n", "del grouped_snpeff_subset\n", "del snpeff_subset\n", "agg_snpeff.rename(columns={\"EnsemblGene\":\"Gene\"}, inplace=True)\n", "agg_snpeff[100000:100050]" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "
\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTIDEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeff
100000 ENSG00000001626 117235027 C G . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Thr784Arg/c.2351C>G nonsynonymous_variant
100001 ENSG00000001626 117235027 C T . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Thr784Ile/c.2351C>T nonsynonymous_variant
100002 ENSG00000001626 117235027 CA C . FRAME_SHIFT CFTR ENST00000454343 p.X784X/c.2352*>-A frameshift_variant
100003 ENSG00000001626 117235027 CAT C . FRAME_SHIFT CFTR ENST00000454343 p.X784X/c.2352*>-AT frameshift_variant
100004 ENSG00000001626 117235027 CATG C . CODON_CHANGE_PLUS_CODON_DELETION CFTR ENST00000454343 p.X784Thr/c.2352*>-ATG inframe_variant
100005 ENSG00000001626 117235028 A AA . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2353*>+A frameshift_variant
100006 ENSG00000001626 117235028 A AATC . CODON_INSERTION CFTR ENST00000454343 p.Trp785X/c.2353*>+ATC inframe_variant
100007 ENSG00000001626 117235028 A AC . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2353*>+C frameshift_variant
100008 ENSG00000001626 117235028 A ACA . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2353*>+CA frameshift_variant
100009 ENSG00000001626 117235028 A ACAG . CODON_INSERTION CFTR ENST00000454343 p.Trp785X/c.2353*>+CAG inframe_variant
100010 ENSG00000001626 117235028 A ACG . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2353*>+CG frameshift_variant
100011 ENSG00000001626 117235028 A AG . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2353*>+G frameshift_variant
100012 ENSG00000001626 117235028 A AT . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2353*>+T frameshift_variant
100013 ENSG00000001626 117235028 A C . SYNONYMOUS_CODING CFTR ENST00000454343 p.Thr784Thr/c.2352A>C synonymous_variant
100014 ENSG00000001626 117235028 A G . SYNONYMOUS_CODING CFTR ENST00000454343 p.Thr784Thr/c.2352A>G synonymous_variant
100015 ENSG00000001626 117235028 A T . SYNONYMOUS_CODING CFTR ENST00000454343 p.Thr784Thr/c.2352A>T synonymous_variant
100016 ENSG00000001626 117235028 AT A . FRAME_SHIFT CFTR ENST00000454343 p.X785X/c.2353*>-T frameshift_variant
100017 ENSG00000001626 117235028 ATG A . FRAME_SHIFT CFTR ENST00000454343 p.X785X/c.2353*>-TG frameshift_variant
100018 ENSG00000001626 117235028 ATGG A . CODON_DELETION CFTR ENST00000454343 p.Trp785X/c.2353*>-TGG inframe_variant
100019 ENSG00000001626 117235029 T A . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Trp785Arg/c.2353T>A nonsynonymous_variant
100020 ENSG00000001626 117235029 T C . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Trp785Arg/c.2353T>C nonsynonymous_variant
100021 ENSG00000001626 117235029 T G . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Trp785Gly/c.2353T>G nonsynonymous_variant
100022 ENSG00000001626 117235029 T TA . STOP_GAINED CFTR ENST00000454343 p.Trp785*/c.2354*>+A stop_gained
100023 ENSG00000001626 117235029 T TC . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2354*>+C frameshift_variant
100024 ENSG00000001626 117235029 T TG . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2354*>+G frameshift_variant
100025 ENSG00000001626 117235029 T TGCT . CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 p.Trp785X/c.2354*>+GCT inframe_variant
100026 ENSG00000001626 117235029 T TT . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2354*>+T frameshift_variant
100027 ENSG00000001626 117235029 T TTC . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2354*>+TC frameshift_variant
100028 ENSG00000001626 117235029 T TTG . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2354*>+TG frameshift_variant
100029 ENSG00000001626 117235029 T TTGA . CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 p.Trp785X/c.2354*>+TGA inframe_variant
100030 ENSG00000001626 117235029 TG T . FRAME_SHIFT CFTR ENST00000454343 p.X785X/c.2354*>-G frameshift_variant
100031 ENSG00000001626 117235029 TGG T . FRAME_SHIFT CFTR ENST00000454343 p.X785X/c.2354*>-GG frameshift_variant
100032 ENSG00000001626 117235029 TGGA T . CODON_CHANGE_PLUS_CODON_DELETION CFTR ENST00000454343 p.X785Tyr/c.2354*>-GGA inframe_variant
100033 ENSG00000001626 117235030 G A . STOP_GAINED CFTR ENST00000454343 p.Trp785*/c.2354G>A stop_gained
100034 ENSG00000001626 117235030 G C . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Trp785Ser/c.2354G>C nonsynonymous_variant
100035 ENSG00000001626 117235030 G GA . STOP_GAINED CFTR ENST00000454343 p.Trp785*/c.2355*>+A stop_gained
100036 ENSG00000001626 117235030 G GAT . STOP_GAINED CFTR ENST00000454343 p.Trp785*/c.2355*>+AT stop_gained
100037 ENSG00000001626 117235030 G GC . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2355*>+C frameshift_variant
100038 ENSG00000001626 117235030 G GG . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2355*>+G frameshift_variant
100039 ENSG00000001626 117235030 G GGC . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2355*>+GC frameshift_variant
100040 ENSG00000001626 117235030 G GT . FRAME_SHIFT CFTR ENST00000454343 p.Trp785X/c.2355*>+T frameshift_variant
100041 ENSG00000001626 117235030 G GTGA . CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 p.Trp785X/c.2355*>+TGA inframe_variant
100042 ENSG00000001626 117235030 G GTGC . CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 p.Trp785X/c.2355*>+TGC inframe_variant
100043 ENSG00000001626 117235030 G T . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Trp785Leu/c.2354G>T nonsynonymous_variant
100044 ENSG00000001626 117235030 GG G . FRAME_SHIFT CFTR ENST00000454343 p.X785X/c.2355*>-G frameshift_variant
100045 ENSG00000001626 117235030 GGA G . FRAME_SHIFT CFTR ENST00000454343 p.X785X/c.2355*>-GA frameshift_variant
100046 ENSG00000001626 117235030 GGAA G . CODON_CHANGE_PLUS_CODON_DELETION CFTR ENST00000454343 p.X785Cys/c.2355*>-GAA inframe_variant
100047 ENSG00000001626 117235031 G A . STOP_GAINED CFTR ENST00000454343 p.Trp785*/c.2355G>A stop_gained
100048 ENSG00000001626 117235031 G C . NON_SYNONYMOUS_CODING CFTR ENST00000454343 p.Trp785Cys/c.2355G>C nonsynonymous_variant
100049 ENSG00000001626 117235031 G GA . FRAME_SHIFT CFTR ENST00000454343 p.Asn786X/c.2356*>+A frameshift_variant
\n", "

50 rows \u00d7 10 columns

\n", "
" ], "metadata": {}, "output_type": "pyout", "prompt_number": 3, "text": [ " Gene POS REF ALT ID \\\n", "100000 ENSG00000001626 117235027 C G . \n", "100001 ENSG00000001626 117235027 C T . \n", "100002 ENSG00000001626 117235027 CA C . \n", "100003 ENSG00000001626 117235027 CAT C . \n", "100004 ENSG00000001626 117235027 CATG C . \n", "100005 ENSG00000001626 117235028 A AA . \n", "100006 ENSG00000001626 117235028 A AATC . \n", "100007 ENSG00000001626 117235028 A AC . \n", "100008 ENSG00000001626 117235028 A ACA . \n", "100009 ENSG00000001626 117235028 A ACAG . \n", "100010 ENSG00000001626 117235028 A ACG . \n", "100011 ENSG00000001626 117235028 A AG . \n", "100012 ENSG00000001626 117235028 A AT . \n", "100013 ENSG00000001626 117235028 A C . \n", "100014 ENSG00000001626 117235028 A G . \n", "100015 ENSG00000001626 117235028 A T . \n", "100016 ENSG00000001626 117235028 AT A . \n", "100017 ENSG00000001626 117235028 ATG A . \n", "100018 ENSG00000001626 117235028 ATGG A . \n", "100019 ENSG00000001626 117235029 T A . \n", "100020 ENSG00000001626 117235029 T C . \n", "100021 ENSG00000001626 117235029 T G . \n", "100022 ENSG00000001626 117235029 T TA . \n", "100023 ENSG00000001626 117235029 T TC . \n", "100024 ENSG00000001626 117235029 T TG . \n", "100025 ENSG00000001626 117235029 T TGCT . \n", "100026 ENSG00000001626 117235029 T TT . \n", "100027 ENSG00000001626 117235029 T TTC . \n", "100028 ENSG00000001626 117235029 T TTG . \n", "100029 ENSG00000001626 117235029 T TTGA . \n", "100030 ENSG00000001626 117235029 TG T . \n", "100031 ENSG00000001626 117235029 TGG T . \n", "100032 ENSG00000001626 117235029 TGGA T . \n", "100033 ENSG00000001626 117235030 G A . \n", "100034 ENSG00000001626 117235030 G C . \n", "100035 ENSG00000001626 117235030 G GA . \n", "100036 ENSG00000001626 117235030 G GAT . \n", "100037 ENSG00000001626 117235030 G GC . \n", "100038 ENSG00000001626 117235030 G GG . \n", "100039 ENSG00000001626 117235030 G GGC . \n", "100040 ENSG00000001626 117235030 G GT . \n", "100041 ENSG00000001626 117235030 G GTGA . \n", "100042 ENSG00000001626 117235030 G GTGC . \n", "100043 ENSG00000001626 117235030 G T . \n", "100044 ENSG00000001626 117235030 GG G . \n", "100045 ENSG00000001626 117235030 GGA G . \n", "100046 ENSG00000001626 117235030 GGAA G . \n", "100047 ENSG00000001626 117235031 G A . \n", "100048 ENSG00000001626 117235031 G C . \n", "100049 ENSG00000001626 117235031 G GA . \n", "\n", " Effect Gene_Name Transcript_ID \\\n", "100000 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100001 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100002 FRAME_SHIFT CFTR ENST00000454343 \n", "100003 FRAME_SHIFT CFTR ENST00000454343 \n", "100004 CODON_CHANGE_PLUS_CODON_DELETION CFTR ENST00000454343 \n", "100005 FRAME_SHIFT CFTR ENST00000454343 \n", "100006 CODON_INSERTION CFTR ENST00000454343 \n", "100007 FRAME_SHIFT CFTR ENST00000454343 \n", "100008 FRAME_SHIFT CFTR ENST00000454343 \n", "100009 CODON_INSERTION CFTR ENST00000454343 \n", "100010 FRAME_SHIFT CFTR ENST00000454343 \n", "100011 FRAME_SHIFT CFTR ENST00000454343 \n", "100012 FRAME_SHIFT CFTR ENST00000454343 \n", "100013 SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100014 SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100015 SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100016 FRAME_SHIFT CFTR ENST00000454343 \n", "100017 FRAME_SHIFT CFTR ENST00000454343 \n", "100018 CODON_DELETION CFTR ENST00000454343 \n", "100019 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100020 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100021 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100022 STOP_GAINED CFTR ENST00000454343 \n", "100023 FRAME_SHIFT CFTR ENST00000454343 \n", "100024 FRAME_SHIFT CFTR ENST00000454343 \n", "100025 CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 \n", "100026 FRAME_SHIFT CFTR ENST00000454343 \n", "100027 FRAME_SHIFT CFTR ENST00000454343 \n", "100028 FRAME_SHIFT CFTR ENST00000454343 \n", "100029 CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 \n", "100030 FRAME_SHIFT CFTR ENST00000454343 \n", "100031 FRAME_SHIFT CFTR ENST00000454343 \n", "100032 CODON_CHANGE_PLUS_CODON_DELETION CFTR ENST00000454343 \n", "100033 STOP_GAINED CFTR ENST00000454343 \n", "100034 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100035 STOP_GAINED CFTR ENST00000454343 \n", "100036 STOP_GAINED CFTR ENST00000454343 \n", "100037 FRAME_SHIFT CFTR ENST00000454343 \n", "100038 FRAME_SHIFT CFTR ENST00000454343 \n", "100039 FRAME_SHIFT CFTR ENST00000454343 \n", "100040 FRAME_SHIFT CFTR ENST00000454343 \n", "100041 CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 \n", "100042 CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 \n", "100043 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100044 FRAME_SHIFT CFTR ENST00000454343 \n", "100045 FRAME_SHIFT CFTR ENST00000454343 \n", "100046 CODON_CHANGE_PLUS_CODON_DELETION CFTR ENST00000454343 \n", "100047 STOP_GAINED CFTR ENST00000454343 \n", "100048 NON_SYNONYMOUS_CODING CFTR ENST00000454343 \n", "100049 FRAME_SHIFT CFTR ENST00000454343 \n", "\n", " hgvs_snpeff normalized_so_snpeff \n", "100000 p.Thr784Arg/c.2351C>G nonsynonymous_variant \n", "100001 p.Thr784Ile/c.2351C>T nonsynonymous_variant \n", "100002 p.X784X/c.2352*>-A frameshift_variant \n", "100003 p.X784X/c.2352*>-AT frameshift_variant \n", "100004 p.X784Thr/c.2352*>-ATG inframe_variant \n", "100005 p.Trp785X/c.2353*>+A frameshift_variant \n", "100006 p.Trp785X/c.2353*>+ATC inframe_variant \n", "100007 p.Trp785X/c.2353*>+C frameshift_variant \n", "100008 p.Trp785X/c.2353*>+CA frameshift_variant \n", "100009 p.Trp785X/c.2353*>+CAG inframe_variant \n", "100010 p.Trp785X/c.2353*>+CG frameshift_variant \n", "100011 p.Trp785X/c.2353*>+G frameshift_variant \n", "100012 p.Trp785X/c.2353*>+T frameshift_variant \n", "100013 p.Thr784Thr/c.2352A>C synonymous_variant \n", "100014 p.Thr784Thr/c.2352A>G synonymous_variant \n", "100015 p.Thr784Thr/c.2352A>T synonymous_variant \n", "100016 p.X785X/c.2353*>-T frameshift_variant \n", "100017 p.X785X/c.2353*>-TG frameshift_variant \n", "100018 p.Trp785X/c.2353*>-TGG inframe_variant \n", "100019 p.Trp785Arg/c.2353T>A nonsynonymous_variant \n", "100020 p.Trp785Arg/c.2353T>C nonsynonymous_variant \n", "100021 p.Trp785Gly/c.2353T>G nonsynonymous_variant \n", "100022 p.Trp785*/c.2354*>+A stop_gained \n", "100023 p.Trp785X/c.2354*>+C frameshift_variant \n", "100024 p.Trp785X/c.2354*>+G frameshift_variant \n", "100025 p.Trp785X/c.2354*>+GCT inframe_variant \n", "100026 p.Trp785X/c.2354*>+T frameshift_variant \n", "100027 p.Trp785X/c.2354*>+TC frameshift_variant \n", "100028 p.Trp785X/c.2354*>+TG frameshift_variant \n", "100029 p.Trp785X/c.2354*>+TGA inframe_variant \n", "100030 p.X785X/c.2354*>-G frameshift_variant \n", "100031 p.X785X/c.2354*>-GG frameshift_variant \n", "100032 p.X785Tyr/c.2354*>-GGA inframe_variant \n", "100033 p.Trp785*/c.2354G>A stop_gained \n", "100034 p.Trp785Ser/c.2354G>C nonsynonymous_variant \n", "100035 p.Trp785*/c.2355*>+A stop_gained \n", "100036 p.Trp785*/c.2355*>+AT stop_gained \n", "100037 p.Trp785X/c.2355*>+C frameshift_variant \n", "100038 p.Trp785X/c.2355*>+G frameshift_variant \n", "100039 p.Trp785X/c.2355*>+GC frameshift_variant \n", "100040 p.Trp785X/c.2355*>+T frameshift_variant \n", "100041 p.Trp785X/c.2355*>+TGA inframe_variant \n", "100042 p.Trp785X/c.2355*>+TGC inframe_variant \n", "100043 p.Trp785Leu/c.2354G>T nonsynonymous_variant \n", "100044 p.X785X/c.2355*>-G frameshift_variant \n", "100045 p.X785X/c.2355*>-GA frameshift_variant \n", "100046 p.X785Cys/c.2355*>-GAA inframe_variant \n", "100047 p.Trp785*/c.2355G>A stop_gained \n", "100048 p.Trp785Cys/c.2355G>C nonsynonymous_variant \n", "100049 p.Asn786X/c.2356*>+A frameshift_variant \n", "\n", "[50 rows x 10 columns]" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "with pd.get_store('classified_variant_store.h5') as store:\n", " vep_subset = store.get(\"cftr_vep_ensembl_subset\")\n", "del vep_subset[\"Feature\"]\n", "vep_subset.drop_duplicates(inplace=True)\n", "grouped_vep_subset = vep_subset.groupby([\"Gene\", \"POS\", \"REF\", \"ALT\"])\n", "grouped_vep_subset = grouped_vep_subset.agg({\"normalized_so_vep\": ranked})\n", "grouped_vep_subset = grouped_vep_subset.rename(columns={\"normalized_so_vep\": \"normalized_so_vep_max\"}).reset_index()\n", "grouped_vep_subset = pd.merge(grouped_vep_subset, vep_subset, how=\"left\", on=[\"POS\", \"REF\", \"ALT\", \"Gene\"])\n", "grouped_vep_subset = grouped_vep_subset[grouped_vep_subset[\"normalized_so_vep_max\"] == grouped_vep_subset[\"normalized_so_vep\"]]\n", "grouped_vep_subset = grouped_vep_subset.groupby([\"Gene\", \"POS\", \"REF\", \"ALT\"]).first()\n", "agg_vep = grouped_vep_subset.reset_index()\n", "del grouped_vep_subset\n", "del vep_subset\n", "del agg_vep[\"normalized_so_vep_max\"]\n", "agg_vep[80000:80023]" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "
\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTIDConsequencehgvs_vepnormalized_so_vep
80000 ENSG00000001626 117188850 G GTC . frameshift_variant ENSP00000389119.1:p.Val426SerfsTer14ENST000004... frameshift_variant
80001 ENSG00000001626 117188850 G GTGA . stop_gained ENSP00000389119.1:p.Ala425_Val426insTerENST000... stop_gained
80002 ENSG00000001626 117188850 G T . synonymous_variant ENST00000426809.1:c.1275G>T(p.%3D)ENST00000426... synonymous_variant
80003 ENSG00000001626 117188850 GG G . frameshift_variant ENSP00000389119.1:p.Val426LeufsTer13ENST000004... frameshift_variant
80004 ENSG00000001626 117188850 GGT G . frameshift_variant ENSP00000389119.1:p.Val426CysfsTer25ENST000004... frameshift_variant
80005 ENSG00000001626 117188850 GGTT G . inframe_deletion ENSP00000389119.1:p.Val426delENST00000426809.1... inframe_variant
80006 ENSG00000001626 117188851 G A . missense_variant ENSP00000389119.1:p.Val426IleENST00000426809.1... nonsynonymous_variant
80007 ENSG00000001626 117188851 G C . missense_variant ENSP00000389119.1:p.Val426LeuENST00000426809.1... nonsynonymous_variant
80008 ENSG00000001626 117188851 G GA . frameshift_variant ENSP00000389119.1:p.Val426AspfsTer26ENST000004... frameshift_variant
80009 ENSG00000001626 117188851 G GAC . frameshift_variant ENSP00000389119.1:p.Val426AspfsTer14ENST000004... frameshift_variant
80010 ENSG00000001626 117188851 G GAGT . inframe_insertion ENSP00000389119.1:p.Val426delinsGluPheENST0000... inframe_variant
80011 ENSG00000001626 117188851 G GAT . frameshift_variant ENSP00000389119.1:p.Val426AspfsTer14ENST000004... frameshift_variant
80012 ENSG00000001626 117188851 G GC . frameshift_variant ENSP00000389119.1:p.Val426AlafsTer26ENST000004... frameshift_variant
80013 ENSG00000001626 117188851 G GG . frameshift_variant ENSP00000389119.1:p.Val426GlyfsTer26ENST000004... frameshift_variant
80014 ENSG00000001626 117188851 G GGTA . inframe_insertion ENSP00000389119.1:p.Val426delinsGlyIleENST0000... inframe_variant
80015 ENSG00000001626 117188851 G GT . frameshift_variant ENSP00000389119.1:p.Ala427CysfsTer25ENST000004... frameshift_variant
80016 ENSG00000001626 117188851 G T . missense_variant ENSP00000389119.1:p.Val426PheENST00000426809.1... nonsynonymous_variant
80017 ENSG00000001626 117188851 GT G . frameshift_variant ENSP00000389119.1:p.Ala427LeufsTer12ENST000004... frameshift_variant
80018 ENSG00000001626 117188851 GTT G . frameshift_variant ENSP00000389119.1:p.Val426GlyfsTer25ENST000004... frameshift_variant
80019 ENSG00000001626 117188851 GTTG G . inframe_deletion ENSP00000389119.1:p.Val426delENST00000426809.1... inframe_variant
80020 ENSG00000001626 117188852 T A . missense_variant ENSP00000389119.1:p.Val426AspENST00000426809.1... nonsynonymous_variant
80021 ENSG00000001626 117188852 T C . missense_variant ENSP00000389119.1:p.Val426AlaENST00000426809.1... nonsynonymous_variant
80022 ENSG00000001626 117188852 T G . missense_variant ENSP00000389119.1:p.Val426GlyENST00000426809.1... nonsynonymous_variant
\n", "

23 rows \u00d7 8 columns

\n", "
" ], "metadata": {}, "output_type": "pyout", "prompt_number": 4, "text": [ " Gene POS REF ALT ID Consequence \\\n", "80000 ENSG00000001626 117188850 G GTC . frameshift_variant \n", "80001 ENSG00000001626 117188850 G GTGA . stop_gained \n", "80002 ENSG00000001626 117188850 G T . synonymous_variant \n", "80003 ENSG00000001626 117188850 GG G . frameshift_variant \n", "80004 ENSG00000001626 117188850 GGT G . frameshift_variant \n", "80005 ENSG00000001626 117188850 GGTT G . inframe_deletion \n", "80006 ENSG00000001626 117188851 G A . missense_variant \n", "80007 ENSG00000001626 117188851 G C . missense_variant \n", "80008 ENSG00000001626 117188851 G GA . frameshift_variant \n", "80009 ENSG00000001626 117188851 G GAC . frameshift_variant \n", "80010 ENSG00000001626 117188851 G GAGT . inframe_insertion \n", "80011 ENSG00000001626 117188851 G GAT . frameshift_variant \n", "80012 ENSG00000001626 117188851 G GC . frameshift_variant \n", "80013 ENSG00000001626 117188851 G GG . frameshift_variant \n", "80014 ENSG00000001626 117188851 G GGTA . inframe_insertion \n", "80015 ENSG00000001626 117188851 G GT . frameshift_variant \n", "80016 ENSG00000001626 117188851 G T . missense_variant \n", "80017 ENSG00000001626 117188851 GT G . frameshift_variant \n", "80018 ENSG00000001626 117188851 GTT G . frameshift_variant \n", "80019 ENSG00000001626 117188851 GTTG G . inframe_deletion \n", "80020 ENSG00000001626 117188852 T A . missense_variant \n", "80021 ENSG00000001626 117188852 T C . missense_variant \n", "80022 ENSG00000001626 117188852 T G . missense_variant \n", "\n", " hgvs_vep \\\n", "80000 ENSP00000389119.1:p.Val426SerfsTer14ENST000004... \n", "80001 ENSP00000389119.1:p.Ala425_Val426insTerENST000... \n", "80002 ENST00000426809.1:c.1275G>T(p.%3D)ENST00000426... \n", "80003 ENSP00000389119.1:p.Val426LeufsTer13ENST000004... \n", "80004 ENSP00000389119.1:p.Val426CysfsTer25ENST000004... \n", "80005 ENSP00000389119.1:p.Val426delENST00000426809.1... \n", "80006 ENSP00000389119.1:p.Val426IleENST00000426809.1... \n", "80007 ENSP00000389119.1:p.Val426LeuENST00000426809.1... \n", "80008 ENSP00000389119.1:p.Val426AspfsTer26ENST000004... \n", "80009 ENSP00000389119.1:p.Val426AspfsTer14ENST000004... \n", "80010 ENSP00000389119.1:p.Val426delinsGluPheENST0000... \n", "80011 ENSP00000389119.1:p.Val426AspfsTer14ENST000004... \n", "80012 ENSP00000389119.1:p.Val426AlafsTer26ENST000004... \n", "80013 ENSP00000389119.1:p.Val426GlyfsTer26ENST000004... \n", "80014 ENSP00000389119.1:p.Val426delinsGlyIleENST0000... \n", "80015 ENSP00000389119.1:p.Ala427CysfsTer25ENST000004... \n", "80016 ENSP00000389119.1:p.Val426PheENST00000426809.1... \n", "80017 ENSP00000389119.1:p.Ala427LeufsTer12ENST000004... \n", "80018 ENSP00000389119.1:p.Val426GlyfsTer25ENST000004... \n", "80019 ENSP00000389119.1:p.Val426delENST00000426809.1... \n", "80020 ENSP00000389119.1:p.Val426AspENST00000426809.1... \n", "80021 ENSP00000389119.1:p.Val426AlaENST00000426809.1... \n", "80022 ENSP00000389119.1:p.Val426GlyENST00000426809.1... \n", "\n", " normalized_so_vep \n", "80000 frameshift_variant \n", "80001 stop_gained \n", "80002 synonymous_variant \n", "80003 frameshift_variant \n", "80004 frameshift_variant \n", "80005 inframe_variant \n", "80006 nonsynonymous_variant \n", "80007 nonsynonymous_variant \n", "80008 frameshift_variant \n", "80009 frameshift_variant \n", "80010 inframe_variant \n", "80011 frameshift_variant \n", "80012 frameshift_variant \n", "80013 frameshift_variant \n", "80014 inframe_variant \n", "80015 frameshift_variant \n", "80016 nonsynonymous_variant \n", "80017 frameshift_variant \n", "80018 frameshift_variant \n", "80019 inframe_variant \n", "80020 nonsynonymous_variant \n", "80021 nonsynonymous_variant \n", "80022 nonsynonymous_variant \n", "\n", "[23 rows x 8 columns]" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "with pd.get_store('classified_variant_store.h5') as store:\n", " annovar_subset = store.get(\"cftr_annovar_ensembl_subset\")\n", "grouped_annovar_subset = annovar_subset.groupby([\"Gene\", \"POS\", \"REF\", \"ALT\"])\n", "agg_annovar = grouped_annovar_subset.agg({\"normalized_so_annovar\": ranked}).reset_index()\n", "del annovar_subset['normalized_so_annovar']\n", "agg_annovar = pd.merge(agg_annovar, annovar_subset, on=[\"Gene\", \"POS\", \"REF\", \"ALT\"])\n", "del grouped_annovar_subset\n", "del annovar_subset\n", "agg_annovar[2000:2050]" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "
\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTnormalized_so_annovarcombined_effecthgvs
2000 ENSG00000001626 117119399 GGT G splicing_variant splicing NaN
2001 ENSG00000001626 117119399 GGTA G splicing_variant splicing NaN
2002 ENSG00000001626 117119400 G A splicing_variant splicing NaN
2003 ENSG00000001626 117119400 G C splicing_variant splicing NaN
2004 ENSG00000001626 117119400 G GA splicing_variant splicing NaN
2005 ENSG00000001626 117119400 G GAGC splicing_variant splicing NaN
2006 ENSG00000001626 117119400 G GC splicing_variant splicing NaN
2007 ENSG00000001626 117119400 G GG splicing_variant splicing NaN
2008 ENSG00000001626 117119400 G GGCA splicing_variant splicing NaN
2009 ENSG00000001626 117119400 G GT splicing_variant splicing NaN
2010 ENSG00000001626 117119400 G GTA splicing_variant splicing NaN
2011 ENSG00000001626 117119400 G GTG splicing_variant splicing NaN
2012 ENSG00000001626 117119400 G T splicing_variant splicing NaN
2013 ENSG00000001626 117119400 GT G splicing_variant splicing NaN
2014 ENSG00000001626 117119400 GTA G splicing_variant splicing NaN
2015 ENSG00000001626 117119400 GTAA G splicing_variant splicing NaN
2016 ENSG00000001626 117119401 T A splicing_variant splicing NaN
2017 ENSG00000001626 117119401 T C splicing_variant splicing NaN
2018 ENSG00000001626 117119401 T G splicing_variant splicing NaN
2019 ENSG00000001626 117119401 T TA splicing_variant splicing NaN
2020 ENSG00000001626 117119401 T TAT splicing_variant splicing NaN
2021 ENSG00000001626 117119401 T TC splicing_variant splicing NaN
2022 ENSG00000001626 117119401 T TCGT splicing_variant splicing NaN
2023 ENSG00000001626 117119401 T TG splicing_variant splicing NaN
2024 ENSG00000001626 117119401 T TGCT splicing_variant splicing NaN
2025 ENSG00000001626 117119401 T TGT splicing_variant splicing NaN
2026 ENSG00000001626 117119401 T TT splicing_variant splicing NaN
2027 ENSG00000001626 117119401 TA T intron_variant intronic NaN
2028 ENSG00000001626 117119401 TAA T intron_variant intronic NaN
2029 ENSG00000001626 117119401 TAAA T intron_variant intronic NaN
2030 ENSG00000001626 117119402 A AA intron_variant intronic NaN
2031 ENSG00000001626 117119402 A AAG intron_variant intronic NaN
2032 ENSG00000001626 117119402 A AAGT intron_variant intronic NaN
2033 ENSG00000001626 117119402 A AC intron_variant intronic NaN
2034 ENSG00000001626 117119402 A ACAG intron_variant intronic NaN
2035 ENSG00000001626 117119402 A AG intron_variant intronic NaN
2036 ENSG00000001626 117119402 A AGT intron_variant intronic NaN
2037 ENSG00000001626 117119402 A AT intron_variant intronic NaN
2038 ENSG00000001626 117119402 A C intron_variant intronic NaN
2039 ENSG00000001626 117119402 A G intron_variant intronic NaN
2040 ENSG00000001626 117119402 A T intron_variant intronic NaN
2041 ENSG00000001626 117119402 AA A intron_variant intronic NaN
2042 ENSG00000001626 117119402 AAA A intron_variant intronic NaN
2043 ENSG00000001626 117119402 AAAT A intron_variant intronic NaN
2044 ENSG00000001626 117119403 A AA intron_variant intronic NaN
2045 ENSG00000001626 117119403 A AC intron_variant intronic NaN
2046 ENSG00000001626 117119403 A ACAG intron_variant intronic NaN
2047 ENSG00000001626 117119403 A ACT intron_variant intronic NaN
2048 ENSG00000001626 117119403 A ACTG intron_variant intronic NaN
2049 ENSG00000001626 117119403 A AG intron_variant intronic NaN
\n", "

50 rows \u00d7 7 columns

\n", "
" ], "metadata": {}, "output_type": "pyout", "prompt_number": 5, "text": [ " Gene POS REF ALT normalized_so_annovar \\\n", "2000 ENSG00000001626 117119399 GGT G splicing_variant \n", "2001 ENSG00000001626 117119399 GGTA G splicing_variant \n", "2002 ENSG00000001626 117119400 G A splicing_variant \n", "2003 ENSG00000001626 117119400 G C splicing_variant \n", "2004 ENSG00000001626 117119400 G GA splicing_variant \n", "2005 ENSG00000001626 117119400 G GAGC splicing_variant \n", "2006 ENSG00000001626 117119400 G GC splicing_variant \n", "2007 ENSG00000001626 117119400 G GG splicing_variant \n", "2008 ENSG00000001626 117119400 G GGCA splicing_variant \n", "2009 ENSG00000001626 117119400 G GT splicing_variant \n", "2010 ENSG00000001626 117119400 G GTA splicing_variant \n", "2011 ENSG00000001626 117119400 G GTG splicing_variant \n", "2012 ENSG00000001626 117119400 G T splicing_variant \n", "2013 ENSG00000001626 117119400 GT G splicing_variant \n", "2014 ENSG00000001626 117119400 GTA G splicing_variant \n", "2015 ENSG00000001626 117119400 GTAA G splicing_variant \n", "2016 ENSG00000001626 117119401 T A splicing_variant \n", "2017 ENSG00000001626 117119401 T C splicing_variant \n", "2018 ENSG00000001626 117119401 T G splicing_variant \n", "2019 ENSG00000001626 117119401 T TA splicing_variant \n", "2020 ENSG00000001626 117119401 T TAT splicing_variant \n", "2021 ENSG00000001626 117119401 T TC splicing_variant \n", "2022 ENSG00000001626 117119401 T TCGT splicing_variant \n", "2023 ENSG00000001626 117119401 T TG splicing_variant \n", "2024 ENSG00000001626 117119401 T TGCT splicing_variant \n", "2025 ENSG00000001626 117119401 T TGT splicing_variant \n", "2026 ENSG00000001626 117119401 T TT splicing_variant \n", "2027 ENSG00000001626 117119401 TA T intron_variant \n", "2028 ENSG00000001626 117119401 TAA T intron_variant \n", "2029 ENSG00000001626 117119401 TAAA T intron_variant \n", "2030 ENSG00000001626 117119402 A AA intron_variant \n", "2031 ENSG00000001626 117119402 A AAG intron_variant \n", "2032 ENSG00000001626 117119402 A AAGT intron_variant \n", "2033 ENSG00000001626 117119402 A AC intron_variant \n", "2034 ENSG00000001626 117119402 A ACAG intron_variant \n", "2035 ENSG00000001626 117119402 A AG intron_variant \n", "2036 ENSG00000001626 117119402 A AGT intron_variant \n", "2037 ENSG00000001626 117119402 A AT intron_variant \n", "2038 ENSG00000001626 117119402 A C intron_variant \n", "2039 ENSG00000001626 117119402 A G intron_variant \n", "2040 ENSG00000001626 117119402 A T intron_variant \n", "2041 ENSG00000001626 117119402 AA A intron_variant \n", "2042 ENSG00000001626 117119402 AAA A intron_variant \n", "2043 ENSG00000001626 117119402 AAAT A intron_variant \n", "2044 ENSG00000001626 117119403 A AA intron_variant \n", "2045 ENSG00000001626 117119403 A AC intron_variant \n", "2046 ENSG00000001626 117119403 A ACAG intron_variant \n", "2047 ENSG00000001626 117119403 A ACT intron_variant \n", "2048 ENSG00000001626 117119403 A ACTG intron_variant \n", "2049 ENSG00000001626 117119403 A AG intron_variant \n", "\n", " combined_effect hgvs \n", "2000 splicing NaN \n", "2001 splicing NaN \n", "2002 splicing NaN \n", "2003 splicing NaN \n", "2004 splicing NaN \n", "2005 splicing NaN \n", "2006 splicing NaN \n", "2007 splicing NaN \n", "2008 splicing NaN \n", "2009 splicing NaN \n", "2010 splicing NaN \n", "2011 splicing NaN \n", "2012 splicing NaN \n", "2013 splicing NaN \n", "2014 splicing NaN \n", "2015 splicing NaN \n", "2016 splicing NaN \n", "2017 splicing NaN \n", "2018 splicing NaN \n", "2019 splicing NaN \n", "2020 splicing NaN \n", "2021 splicing NaN \n", "2022 splicing NaN \n", "2023 splicing NaN \n", "2024 splicing NaN \n", "2025 splicing NaN \n", "2026 splicing NaN \n", "2027 intronic NaN \n", "2028 intronic NaN \n", "2029 intronic NaN \n", "2030 intronic NaN \n", "2031 intronic NaN \n", "2032 intronic NaN \n", "2033 intronic NaN \n", "2034 intronic NaN \n", "2035 intronic NaN \n", "2036 intronic NaN \n", "2037 intronic NaN \n", "2038 intronic NaN \n", "2039 intronic NaN \n", "2040 intronic NaN \n", "2041 intronic NaN \n", "2042 intronic NaN \n", "2043 intronic NaN \n", "2044 intronic NaN \n", "2045 intronic NaN \n", "2046 intronic NaN \n", "2047 intronic NaN \n", "2048 intronic NaN \n", "2049 intronic NaN \n", "\n", "[50 rows x 7 columns]" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "vc_snpeff = agg_snpeff.groupby([\"normalized_so_snpeff\"]).size()\n", "vc_snpeff.name = \"SNPeff\"\n", "vc_vep = agg_vep.groupby([\"normalized_so_vep\"]).size()\n", "vc_vep.name = \"VEP\"\n", "vc_annovar = agg_annovar.groupby([\"normalized_so_annovar\"]).size()\n", "vc_annovar.name = \"Annovar\"\n", "vc_df = pd.DataFrame([vc_snpeff, vc_vep, vc_annovar])\n", "vc_df.transpose().plot(kind=\"barh\", fontsize=13, figsize=(16,8))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 6, "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABDsAAAHcCAYAAADYyqG/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nf6DHTxAAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "master_df = pd.merge(agg_snpeff, agg_vep, how=\"outer\", on=[\"Gene\", \"POS\", \"REF\", \"ALT\"])\n", "master_df = pd.merge(master_df, agg_annovar, how=\"outer\", on=[\"Gene\", \"POS\", \"REF\", \"ALT\"])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "###Determining a concordance value\n", "We just want to find the ratio of rows where all three algorithms report the same effect vs the total number of rows.\n", "\n", "Unfortunately, in the case of coding variants (the second calculation) we can't use the total number of rows in the master table for the denominator. Instead, we use the total number of unique rows where at least one algorithm uses the one of the effect in the list of coding effect." ] }, { "cell_type": "code", "collapsed": false, "input": [ "#Number of matching\n", "all_count = 0\n", "for effect in precedence_dict.keys():\n", " all_count += master_df[(master_df[\"normalized_so_vep\"] == effect) & \n", " (master_df[\"normalized_so_snpeff\"] == effect) &\n", " (master_df[\"normalized_so_annovar\"] == effect)].count()\n", "num_matching = all_count[\"POS\"]\n", "num_total = master_df.count()[\"POS\"]\n", "print num_matching\n", "print num_total\n", "print \"Percent matching: \" + str(100.0*num_matching/num_total)\n", "\n", "all_count = 0\n", "total_count = 0\n", "#list of coding level effects\n", "effects = [eff for eff, priority in precedence_dict.iteritems() if priority < 8]\n", "\n", "total_count = master_df[master_df[\"normalized_so_vep\"].isin(effects) | \n", " master_df[\"normalized_so_snpeff\"].isin(effects) |\n", " master_df[\"normalized_so_annovar\"].isin(effects)].count()\n", "for effect in effects:\n", " all_count += master_df[(master_df[\"normalized_so_vep\"] == effect) & \n", " (master_df[\"normalized_so_snpeff\"] == effect) &\n", " (master_df[\"normalized_so_annovar\"] == effect)].count()\n", "num_matching = all_count[\"POS\"]\n", "num_total = total_count[\"POS\"]\n", "print num_matching\n", "print num_total\n", "print \"Percent matching: \" + str(100.0*num_matching/num_total) " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "140859\n", "287071\n", "Percent matching: 49.0676522533\n", "61688" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "66418\n", "Percent matching: 92.8784365684\n" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " vep_effect = master_df[master_df[\"normalized_so_vep\"] == effect]\n", " annovar_effect = master_df[master_df[\"normalized_so_annovar\"] == effect]\n", " snpeff_effect = master_df[master_df[\"normalized_so_snpeff\"] == effect]\n", " fig = plt.figure(figsize=(10,10), dpi=300)\n", " fig.suptitle(effect, fontsize=14, fontweight='bold')\n", " v = venn3_unweighted([set(vep_effect.index.values), set(snpeff_effect.index.values), set(annovar_effect.index.values)], set_labels=(\"VEP\", \"SNPeff\", \"Annovar\"))\n", " plt.plot(fontsize=24)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3XmYnFWdNv77eZ7au3rvTnfSSTp7OhuEkAXMgoJAFMkE\nFVSEDLwiDo7MoO8gDIKKUUFFwB+COLxhEVFEQFkHARHZk7CEkAXIvnXS6b32qmc5vz+KbtJJV3V1\n0l3Pdn+uK1egUqn6VqeWu875nnMkIYQAERERkYPJZhdARERENNwYeIiIiMjxGHiIiIjI8Rh4iIiI\nyPEYeIiIiMjxGHiIiIjI8Rh4iEwkhMBDDz2ESCRidilUgFNPPRVNTU1ob28fstt8//338c9//nPI\nbo+I+sfAQ2Sib3zjG/j+978PVVXNLoUKsGjRInz605+G3+8fktt78skn8YUvfAEffvjhkNweEeXm\nMbsAIjfbunUrJEkC9/+0hx/96EdDens7duyAruv89ycqAo7wEOXQ1NSE4447rvf/n3rqKTQ1NeG/\n//u/AQCPPvoompqacPvtt+Oqq67C8ccfjzPPPBMvvfRS79959913cf7552POnDmYN28evv71r2P3\n7t0AgAsvvBDNzc0QQmDRokX4y1/+0nub119/PZYtW4YFCxZg48aNyGQyuOGGG3DSSSdh9uzZ+OY3\nv4n9+/f33s/WrVvxr//6r5gzZw5mz56NL3/5y9iwYQMAYPXq1WhqasKPfvQjrFy5EieccAJOO+00\nvP766/jLX/6CxYsXY+7cufjFL35R8M9G0zTccMMNmDdvHhYtWoQ//vGPOO+889DU1NR7nW3btmHF\nihWYNWsWlixZgl//+te9f3ZoTTfffDPmz5+PT3ziE1i1alWf+7nzzjuxePFiHHfccVixYkXBIyHX\nXHMNmpqa8Mgjj/Re9uCDD6KpqQk/+9nPAADPP/88zj77bBx33HGYN28e/uM//gMdHR0AgNtuuw1N\nTU246aabcNppp2HRokVobW09YkrrrbfewnnnnYfZs2djzpw5+NrXvoY9e/YU9BgfffRR3H777QCA\nm2++GRdeeGHBP38iGjwGHqI8JEka8Dp333031q5di2nTpmHXrl24/PLL0dLSAsMwcNlll2H9+vU4\n/vjjMX78eLz88su4/PLLAQAnnngiAoEAAGDJkiUYNWpU7/09+OCD8Hg8aGhowNSpU3HLLbfgvvvu\nQ3l5OWbNmoV//OMfuOSSS6BpGoQQ+Na3voXVq1djypQpmDhxItatW4drrrmmT52PPPIIXnrpJYwd\nOxb79u3Dt771LVx//fWYNGkSkskkVq1ahddee62gn8uqVatw3333QQiBSZMm4ec//3nvaBUApNNp\nfO1rX8Obb76J2bNnIxgM4te//jXuuOOOPrfz+OOP47HHHsO4cePQ0dGBm266CTt37gQAPPDAA7j1\n1lshyzLmzp2Lt99+GxdddBG6u7sHrG/58uUAgGeeeab3sv/93/+FJElYtmwZ9u7diyuuuAK7d+/G\niSeeiNLSUjz77LNH1Ldq1SqMGDECU6ZMQW1tLYCPnxPxeByXXXYZNm3ahFmzZmHkyJF49dVX8dOf\n/rSgxzhq1CiMHz8eADB58mTMnTu3oJ89ER0dBh6iY1RaWoonn3wSDz74IM4991yk02k89NBDSCQS\n6OjowIQJE3DTTTfhoYcewve//31cfvnl0HUdV1xxBaqqqiBJEm644QYsWLCgd2pj9OjRePjhh/Ho\no49C0zT8/ve/x+jRo/Hkk0/i/vvvx9e//nVs27YNL730ElRVxaWXXoof//jHePDBB/GnP/0J5eXl\nvSNJPQKBAB555BH8+c9/RjAYRDwexw9/+EPcc889OOeccwAAW7ZsKegx/+53v4MkSbjvvvtw7733\n4s4770Qikej98yeeeAIHDhzA17/+ddx///144oknMGrUKPzud787YvrmkUcewUMPPYQ5c+ZACIFN\nmzYBAO666y6EQiE8+eSTuPvuu3Hdddeho6MDjz/++ID1zZ8/H6NGjcLrr7+OaDSK9vZ2rF27FhMn\nTsS0adPg8XhwzTXX4De/+Q3uuece3HbbbQBwxM9s7ty5+OMf/4i77777iPvQNA1XXnklfvnLX+L+\n++/HAw880O9t5HqMJ510Ej772c8CAJYtW4b//M//HPBxEdHRY+AhKlCuPosFCxYgFAoByK7iAYCd\nO3ciHA5j+fLl+PDDD7F48WKcc845aGlpwcyZM6EoSt77mjVrFmQ5+/LctWsXVFXF3r17MWvWLDQ1\nNeF//ud/AAAbN26Ez+fDqaeeilQqhcsvvxynnHIKuru7kU6n+9zm1KlTUVZWBp/Ph/LyckiS1Duq\n0DN6kclkBvw5xGIxtLe3Y8SIEZgxY0bvz6C0tLT3Olu3bgUA/Pa3v+2dGmxubkZ3dzf27t3be72m\npibU1NQAQO9oRyaTQSwWw4EDB5BIJDBv3jw0NTXhBz/4Qe9jLsTZZ58NTdPw3HPP4W9/+xsMw8Cy\nZcsAAPX19fjEJz7RO2p0wQUXAMARP7PZs2fnvP3y8nIsWbIEu3fvxje+8Q2cfvrp/d5GrsdIRMXF\npmWiPAzD6P3vXB9Sh66w6rl+T1i58cYbsWzZMvz973/HmjVr8Nvf/hYPPPAAnnrqKdTV1eW833A4\n3PvfmqYBACorK3HiiSf2uV59fT0ikQiWL1+OaDSKiy66CBdeeCH+67/+C62trX2ue+jKop76gsFg\nn/8vRE89+Rpte64zY8YMjBw5svf6h08R9kzpAegTAnVd761v4cKFvZcLIXpDw0CWL1+O3/72t3ju\nueeQSqUgSRLOPvtsAMC6detwwQUXoKGhAV/96lexYsUKfPOb3zziMR3673C4PXv24JxzzkEgEMCK\nFStw2WWX4ctf/vIRt5HrMRJRcTHwEOXg8/mgqio6OztRWVmJ9evX93u9119/HZFIBGVlZXj55ZcB\nZL/J79ixA3feeSdqa2tx3XXXAQCuvPJKPPHEE1i/fj1OP/303gDQ8wHf49AA0tjYCK/XC4/Hg5//\n/OcIhUJ49NFH0dbWhgULFuC1117DgQMHcOaZZ+Lyyy/H/v370dnZORw/EgBARUUFqqqqcPDgQWzY\nsAEzZ87Eyy+/jGg02vt4Jk2aBAA44YQTcO211yKTyeDWW2/FmDFjMHr0aDQ3NwPI3SNVXl6O6upq\nxGIxXHfddairq8Pf//53vP/++1iyZElBdY4fPx6zZs3C66+/Dl3XMXfu3N7w9fjjj0PTNKxYsQJf\n/epX8fTTT/d7G7mCoBACzz77LGKxGM477zxceumlOZ8f+frAev7s0GBNRMODgYcoh+nTp2PdunW4\n+OKL0djYiFdffbXf60UiEXzuc5/DqFGjsG7dOgSDQXzpS19CKBTCG2+8gZaWFrzxxhsIhUJ46623\nEAwGMXPmTABAdXU19u3bh8suuwwXX3xxv7cfDodxzjnn4KGHHsJnPvMZNDQ04J133kE4HMbnP/95\nNDQ0AACeffZZrFixAlu2bOld6nxoX83hjmUp9Fe+8hXcfvvtuOiiizB9+nS899578Hg8vcHtc5/7\nHG699Vb8/ve/x/r169HZ2Yk9e/Zg6dKl+MpXvlLQfaxYsQK33HILli9fjkmTJuGdd96BJEk47bTT\nCq5z+fLlWLlyJQD0TmcB6P2Z3XzzzXjuuefw9ttvQ5IkxOPxAW+zZ6Sq5zbuv/9+bN68Ge+++y5k\nWS7oNnpUV1cDyDZo79ixAzfeeGPBf5eIBoc9PEQ5/PCHP0RTUxN27tyJSCTS+8F5uGXLlmHhwoXY\nvHkzxo8fjzvuuAPV1dUIBoO499578alPfQp79uzBpk2bcNxxx+E3v/lN70jDpZdeitraWuzcubN3\n2qU/3/ve93DBBRdA0zRs3rwZJ5xwAlatWoWamhrMmjUL3/72t1FZWYkPPvgAX/rSl/DFL34RkiTh\n3XffzXmbh14uSVJBK9J6XHbZZTj//PMBZJt0V65cifLycng82e9Q4XAY9957LxYsWIAtW7YgFovh\ni1/8Im644Yact3l4DZdeeim+9a1vwe/3Y/369Zg8eTJuv/32PkvfB/LZz34WHo8Hfr8fS5cu7b38\nggsuwFlnnQUA2Lt3L2688UZMmDAB27ZtQzwez/vz6Ll86dKluOCCCxAMBrF161Z8+9vfxqJFi9Dd\n3d1v43J/j/HMM8/EnDlz0N3djYMHDxb8uIho8CTBHa+Ijsqjjz6Ka665Bl/+8pfxwx/+0OxyimrV\nqlXw+XxYtGgRxo8fj2g0ioULF6K6uhr/+Mc/zC6PiOgInNIioj5uueWWnMvTJUnCFVdcgY0bN+Lp\np59GdXU1ZsyYgQ8//BCZTKbg/ppjdd111+U8z0qSJKxcuRJVVVVFqYWI7IGBh+goDWYKyE7efvtt\nrF279ojH19O7ctFFF+Haa6+FYRhYvXo1XnvtNZSXl+Pcc8/Fd7/73aLU+Oqrr/bZafrwGr/3ve8V\npQ4isg9OaREREZHjsWmZiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GH\niIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeI\niIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iI\niByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiI\nHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgc\nj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByP\ngYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+B\nh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GH\niIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeI\niIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcj4GHiIiIHI+Bh4iI\niByPgYeIiIgcj4GHiIiIHI+Bh4iIiByPgYeIiIgcz2N2ATR4F154IRYvXoxLL720z+V333031qxZ\ngxdffBGTJ0+Goih9/vyOO+6AYRg4/fTTMXXq1N7LhRBYsWIFvvCFLxSlfiKiYlm3bh1uvvlmdHV1\nQQiB+vp6XHXVVQgEAvj0pz+NlStX4txzz+29/qpVq7B161bccMMNuO222/CHP/wBdXV1kCQJuq6j\nuroaP/jBDzBu3Li89/voo4/itttuw8SJE3HxxRfj2muvRU1NDX7/+9/D7/cP86OmfgmynWeeeUac\nccYZR1y+dOlS8corr4ipU6eKzs7Ofv/unj17xOzZs/tcduDAATFv3jzx/vvvD0u9RERmSKfTYv78\n+WLTpk29lz322GPik5/8pNi9e7doamoS8+bNEzt27Oj981WrVomrr75aCCHEbbfdJlauXNnnNu+/\n/37x+c9/fsD7vvDCC8Xjjz8uhBDi6quvFnfccccQPCI6FhzhsaHTTjsNP/nJT/Dmm29i7ty5AIA1\na9YAABYuXAggO2pTqLq6OjQ2NmLXrl19Rn6IiOwsmUwiFoshHo/3XrZs2TKUlpZC13UEAgFcfPHF\n+M53voM//elP8Hq9fd47hRBHvJeedNJJ+OUvfwkAiEaj+MlPfoIPP/wQmqbh5JNPxne/+1387Gc/\nw3vvvYd9+/bh4MGDeOGFF+D3+xGNRvHd7363OA+ejsDAY0MejwfnnXceHnnkkd7A86c//Qnnn39+\n73VWrFjRZ0przJgxuO222/q9vXfeeQe7d+/G8ccfP7yFExEVUXl5Oa688kpccsklqKmpwZw5c7Bg\nwQKcddZZaGtrAwD827/9G1599VXcfPPNuOqqq/LenqZpePjhh3HSSScBAH76059i5syZuPHGG6Hr\nOq6++mrcc889uOaaa7B582ZceOGFOOOMM7B161ZMmTIFF1988bA/ZsqNgcemvvSlL+Gss85CIpFA\nJpPBq6++iuuvv773z++//35UVFT0+3fT6TSWL18OANB1HRUVFbjppptQV1dXlNqJiIrloosuwnnn\nnYc1a9Zg7dq1uOuuu3DXXXfh1ltvBQBIkoRf/OIXWL58ORYvXgxJkvr8/aeffhpvvfUWAEBVVcyc\nORM//vGPAQAvvvgiNmzYgIcffhgAkEqlIMv9rwUazKg7DQ8GHpuqra3FJz7xCTz11FOIx+NYunQp\nwuFwQX/X7/fjr3/96zBXSERkrrfeegvvvPMOLrnkEnzyk5/EJz/5SXznO9/B2Wefjddee633eiNH\njsT111+Pq666qvfLYI+zzjoL1157bb+3bxgGfvWrX2HChAkAgEgkckRgIuvgsnQbO//88/H444/j\nsccew1e/+tU+f8ZvE0TkdlVVVbjzzjuxdu3a3staWlqQTCbxqU99qs91ly5diiVLluC+++7rc3m+\n99JFixbh3nvvhRACmUwG//7v/44//OEPQ/sgaMhwhMfG5s+fj66uLlRUVGDy5Ml9/uzwHh4A+M53\nvoMJEybwG8hgCAEkEkA0CmQygKYBqpr9/fBfuS7XNMAwAK+37y+f78jL+vvl8wElJUCOoXIyX1JN\nIqWloBkaMnoGqqFC1dV+f8/omY+v99FlhjDgkT19fnll7xGX9f6Z4u1zvRJfCUp9pVBkZeBiXWT8\n+PG4/fbb8atf/QrNzc0IBoMoLS3FypUr4fV6j3gvvPbaa3unr4DsdFe+98trr70WP/nJT7Bs2TKo\nqoqFCxfikksu6fe6fN81nyQ4FEBup6rZQBONApHIx7/3/Leum11hNuyEw0BZGVBe/vHv5eVAaSnD\nUBEk1AQi6Qi6U93Z39Pdvf+vGqrZ5QEASrwlKPOXodRfmv3dl/29zF+GoDdodnlEpmLgIXdQVaCt\nrW+g6fk9mTS7umMjSdnQU1Z2ZCAqLQUUfusvVEJN9BtoIumIZULN0fLInj4hqCcUVQYqUeovNbs8\nomHHwEPOFI0CLS0f/2pvz05PuY0kAZWVQH39x78KbG53OlVXcTB+EAdiB3AgdgAH4wdtH2qOVsgb\nQn24HnUldagL16E6WM3pMXIcBh6yP13PBpqecHPgQLbvhvoXDn8cfkaOBCoqssHI4VJaqjfcHIgd\nQFuiDYYwzC7LkhRJQW1JbW8IGlEyglNiZHsMPGQ/ySRw8ODH4aa11Rp9Nnbl9/cdAaqpccQ0WDQd\nxf7Y/t6A05XqMrskWyv3l6MuXIe6kjrUh+tREahgIy7ZCgMPWZ9hAM3NwK5dwN69QHe32RU5m8cD\n1NZmw8/o0dnfbfDBFsvEsKtrFw7EDmB/bD8SKkf5hpNP8aE+XI/G8kaMqxjHESCyPAYesqZMBtiz\nJxtydu/O/j+ZIxQCJkzI/qqrs1T4iWfi2N65Hds7t6Ml3mJ2Oa4lQcKIkhForMiGn4pA/7u8E5mJ\ngYesI5kEduwAdu7MjugY7K+wnJISYPx4YOJEYMQIU8JPPBPHjq4d2N65HQdiB4p+/zSwikAFGssb\nMaFyAmpLas0uhwgAAw+ZLZ3OBpxt24B9+9y5ksquSko+HvkZ5vCTUBO9IzkMOfZS5i/DxMqJmFg1\nEVXBKrPLIRdj4KHiU9XsVNW2bdlpK47k2F843Df8DIGEmsCOzo9HcgT4VmV3lYFKTKyaiImVE1Ee\nKDe7HHIZBh4qno4OYMMGYOvW7HEL5Ew94Wfq1OweQIOg6iq2dmzFts5t2B/dz5DjYCNKRmDmiJmY\nUDkBssSdwmn4MfDQ8BIiO5qzYUO2L4fcZdQoYOZMoLEx75RXV6oLm1o34cP2D5HR2aDuJiFvCNNr\np2NazTSu9KJhxcBDwyOdBj74ANi4MbvrMblbOAxMnw40NQGBAIDsKdS7u3djY+tG7I3sNblAMpsi\nKZhYNRGzRsxCdaja7HLIgRh4aGh1dWVHcz78kNNWdCRFgTFlEnZNqMQbHRsRzTAM05FGhkdi5oiZ\nGFcxjpsb0pBh4KFjJ0S2+XjDhuzGgET90AIeREf4EPOlYQgd8UAAO/3AXilldmlkUWFfGDNqZ6Cp\npgl+j9/scsjmGHjo6Knqx9NW3P2YcsiEfYjUKEgoyX5bkNM+H/YGFWyXkuB6PeqPR/ZgctVkzBwx\nE5XBwTXCE/Vg4KHBy2SAdeuATZu4AzLllAl70VWrICkXNoKjeTzYHfJiq5SE4CwG5TC6bDTmjZrH\nDQ1p0Bh4qHC6ng0577wDpDgNQf1TQ15013kRl4/uLKuM14sdIQ92SskhroycZGLlRMxrmIcyf5nZ\npZBNMPDQwITIbhK4di1XXFFOml9Bd70PcU//U1eDlfb5sC2kYA8YfKh/siRjeu10zBk5BwFPwOxy\nyOIYeCi/ffuA1auBtjazKyGL0r0yIvUBRH3JYdkoMOn3Y0sA2C+lh/y2yRl8ig/H1x2PWXWz4JE9\nZpdDFsXAQ/3r6MgGnT17zK6ELMrwyIiMDCLqS8IoQrtxPBDA+wEDbWDfGPWvxFuCuaPmYkr1FC5n\npyMw8FBf8Tjw5pvZfXT41KB+CACx+hC6w2noQi/6/XeFgnjPl0ECxb9vsoeqYBXmN8zH2PKxZpdC\nFsLAQ1k9K682bOCGgZRTptSHjhES0jB3esmQZewJB/CBlOCKLsppVOkoLGhYwBVdBICBhwwju48O\nV15RHoZHRtcoP6JeazUQp31+bAoJHOQ0F+UxsXIi5jfMR6m/1OxSyEQMPG7W2Qn84x9sSKa8YiOC\n6CpToQvrjvx1lASx3pNGWuLWhdQ/r+zFgtELMK1mGvt7XIqBx42EyE5fvfVWdoSHqB+ZEi866xWk\nYI+RP11RsCvsxxbp6Pb/IXdoKG3AksYlHO1xIQYet+nsBF58EWhtNbsSsihDltDdEETUlxiGRebD\nL+kPYGPAQLvEaS7qn1f24qTRJ2Fa7TSzS6EiYuBxCyGA9euzK7B0rm6h/qXLfGirNaDButNXBZGA\n5tISbJDibGqmnEaXjcaSxiUI+8Jml0JFwMDjBl1d2VGdgwfNroQsSkjZUZ1IIAknvSUk/QGsC+qI\nQDW7FLIon+LDSaNPQlNNk9ml0DBj4HEyjupQAdSQF+31EtIOnQISsoIdYR+2yNZaYUbWMqZsDJY0\nLkGJr8TsUmiYMPA4VXd3dlSnpcXsSsjCovVBdJWki7JTstkioSDe8WWQ4oaFlINP8eHk0Sdjas1U\ns0uhYcDA4zRCAO+9lx3V4QaClIPuldEx2o+Ey0Y9NMWD98Me7JPssfKMzDG2fCwWj13M0R6HYeBx\nkkQCeP554MABsyshC0tWBdBepVl6X53h1hoO4V0lCV3i2x/1z6f4cErjKRhfOd7sUmiIMPA4RUsL\n8Nxz2dBD1A8hSegcE0TUy+cIAGR8PrwdFOiW2NBMuc0ZOQcnjjyRmxU6AAOPE3zwAfDKK2xMppx0\nr4y2MV6kJHPPwLIaQ5bxfqkPezjFRXk0ljfi1PGnwqt4zS6FjgEDj50ZBvDGG9kDP4lyyJT60DrC\nAXvrDKPmshK8J8fNLoMsrDJQiTMmnoHyQLnZpdBRYuCxq1Qq26/T3Gx2JWRhsRFBdJSmIGy5Z3Jx\nRUJBvOlNQWVfD+XgV/w4dfypGFM+xuxS6Cgw8NhRezvw7LNANGp2JWRRAkDX2BAi7NcZlLTPh7dD\nBiIcDaMcJEiY3zAfx9cfb3YpNEgMPHazfXt2fx0uOaccdJ+CttFepNiXclQMWcamUh+XrlNek6om\n4ZTGU6DIitmlUIEYeOxCiOzp5m+/bXYlZGGZsBet9YAmuPLomEgS9oaD2KhwhIxyqwnV4IyJZ/As\nLptg4LEDVQVeeAHYtcvsSsjCklUBtFVmXLFrcrF0lYSw1pvgT5RyCnqCOH3i6agP15tdCg2Agcfq\nuruz/TqdnWZXQhaWbU5OsjV5GMSCQaz2p6Dxp0s5yJKMhWMWYlrtNLNLoTwYeKystRV4+mkgzb1T\nKLfIqBA6g5x6GU5JfwCrAxmkJY71UG7H1x2PBaMXmF0G5cDAY1UtLcD//i+QceYJ1jQ0OseEEPEx\n7BRD2ufDmpCOBA8fpTxmjZiFk8ecbHYZ1A8GHivavx945pls7w5RPwSAjsYgYh53Hf5pNs3jwZsl\nEo+joLym107HwjELeRyFxTDwWM2+fcDf/sZl55STkCW0NfqRkLls2gy6omBdqYI2cPSVcmuqacLi\nsYsZeiyEgcdK9uzJNijzTCzKwfDIaB3LM7HMZsgyNpb50AyGTsptSvUUnNJ4CkOPRTDwWMWuXdmj\nIhh2KAfdK+PgWA8yHFmwBCFJ+KDMj13coJDymFg5EZ8a/ynIkmx2Ka7HwGMFO3YAf/979jBQon7o\nXhktYz1QGXasRQI2lwWwm6GH8hhfMR6nTTiNocdk/Ombbds2hh3Kq2cai2HHggTQFEmjAQGzKyEL\n29G1A89tew66wRF8MzHwmGnLluwOygw7lIMhS2gd60Ua7NmxKkkIzIikUQ+/2aWQhe3q3oVntz3L\n0GMiTmmZ5YMPgJdeyp6RRdQPIUs42OhHiquxbMGQZawr9aBV4kgc5dZQ2oAzJ50Jj+wxuxTXYeAx\nw6ZNwCuvmF0FWZiQJLSNCyAhc58dOzEUBW+VyugA9+mh3EaGR2LppKXwKl6zS3EVTmkV27ZtDDuU\nlwDQPi7IsGNDsq5jTtRABXxml0IWtj+2H89tfw6GYDtDMTHwFFNLC/Dii2ZXQRbXMS6EuMzjIuxK\n0XXMjQmUCk5ZUG57I3vx2p7XzC7DVRh4iiUSye6gzH12KI+OsSHEFIYdu1M0FfMTEkqEYnYpZGGb\nWjdhfcuj7FG8AAAgAElEQVR6s8twDQaeYkins2djpdh8SrlFRoUQ9TLsOIVHVTEv6YEH3GWXclu9\ndzV2du00uwxXYOAZboYBPPcc0NVldiVkYYnqADqDDDtO48+kMS/N5eqUm4DACzteQGu81exSHI+B\nZ7i9/DLQ3Gx2FWRhmbAX7RVcyuxUZckUZmshs8sgC9MMDX/b9jfEMjGzS3E0Bp7htG5ddr8dohx0\nn4LWOgEDXK3hZHWxBCYJhh7KLaEm8MzWZ6Dq3NJguDDwDJft24E1a8yugixMyBJaR3ugQTO7FCqC\nCZEk6nkEBeXRkezA89ufB7fHGx4MPMPh4EHgH/8wuwqyuPaxAaQlHhnhFpIQmBlVuVyd8toT2cPl\n6sOEgWeoRaNcfk4D6m4IIa44Z2PBzTv248pbHupz2QtrN+OKX/yhz2Vd0QQu/uHdULW+r4/dB9px\nzv/9de/lG7ftw3/8/A/4z1/8Afc+8erwFl9Eiq7jxKQMr+DKLcptY+tGbDi4wewyHIdfNYZSJpNd\nfp50zgcZDb1ETQBdAeesyHro2TX4+5rNCPo/3iZ/654W/O21vm/Yb27aiVV/fQld0b6PPZ5M438e\n+Sd83o/3rPntI//Ef604E2Prq/GdXz6IHc1tGD+qZngfSJH4MxnMVwJ4zZcCcw/l8vqe11HqK0Vj\nRaPZpTgGR3iGihDA888DnZ1mV0IWlgl70VburGmsUbWV+P6ly9DTdRCJJXHP46/i3879FA7tRJBl\nCT/7z3MRDn28TFsIgV/98Tn8n39ZDJ/34+9ffq8H0XgKqqYjo2pQZGclg3AyheN1NjFTbj3L1dsT\n7WaX4hgMPEPl7beBvXvNroIszFAktNVLEHBWQ+KiEyZDUbJvJYYh8Mvf/w3f+MIpfUZ8AGBOUyPK\nSoJ9Lrv/qdcxf8YETBhdCwC9zZpf/PRcXHfHX/D1lfdiRFUZxtRVFeGRFFddLIExgk3MlJtqqHh+\n+/NcuTVEGHiGQktLNvAQ5dExJgBVOHu/nS27W9Dc2oXb/vh33HD3U9i1vx13Pvxizuu/sHYz/vba\nBlx5y0PojCRwza8fQUbVcMefX8D/+/7FuPf6r2FUbQUefv7N4j2IImqKqQizs4Dy6E534429b5hd\nhiPwlXasVDW7IovLCCmPWF3QUU3KuUwdV4+7rrsIANDSHsFP734S//bFT+a8/r3Xf633vy+87i7c\ncPkXoekGNN2A35d9e6osK0Ek7syfnazrmJP045WgDsNhI380dDa3bcaY8jEYVzHO7FJsjYHnWL32\nWvZgUKIcMmEvOsLOP0ft8C4bAdHvKVJSjrOlei4P+r24ZPliXP3/PQy/z4NwKIArVywd2mItJJhO\n4zhvCOs8zmlkp6H30q6XMKJkBEJe9n4dLUlwh6Ojt317tlGZKAehyNg/ToEKzsFTfhvKA9gnOT8Y\n09EbXTYan5n0GUiSs5r4i4U9PEcrHs+ek0WUR2eDn2GHCjItpiLAt2TKY29kLza2bjS7DNviq+to\nCAG8+CKQdtbyYhpaieoAol5n9p7Q0FN0HXPSPrPLIItbvXc1OpIdZpdhSww8R+O994B9+8yugixM\n9yvoqODIDg1OaTKFqUZw4CuSa+lCxws7XoBucDf/wWLgGaz2dh4KSgNqH+WFDr4h0eA1xlKoAEd6\nKLeOZAfWNq81uwzbYeAZDF0HXngBMAyzKyELi9WFkJTZfEpHRzIEZiXZlEr5rW9Zj70RbnY7GAw8\ng7F6NY+OoLx0r4yuMHu76NiE0mlM5tQWDeDFnS8ipfHLVaEYeAq1Zw+wgafXUn6dDX5OZdGQGBdL\nI8St0iiPhJrAy7u4WrhQDDyFSKeBf/7T7CrI4pKVAVfspkzFIRsGjst4B74iudqOrh34oO0Ds8uw\nBQaeQqxdCyS4CyrlZsgSOqo5skNDqzyRxFgeMEoDWL1vNdIap9IHwsAzkNZWYPNms6sgi+tuCEIT\nXIZOQ29yXINXsImZcktpKa7aKgADTz5CAK++yoNBKa9MqR9RP6eyaHh4NA2zdDYwU36bWzejLdFm\ndhmWxsCTz4cfAgcPml0FWZgA0F4rwCPpaDjVxhIYwb15KA8BgVd2v8L3ojwYeHJJp7PL0InyiI4M\nISNlzC6DXGB6gm/YlN/B+EF82P6h2WVYFl8/ubz5JpDi/gaUm+6V0R3ic4SKw5/JYAr35qEBsIE5\nNwae/rS3A5s2mV0FWVz3qAAMcNdtKp7R8QwbmCmvlJbCW/vfMrsMS2Lg6c/q1WxUprzUoAcxD7cq\noOJSdB3TjZDZZZDFbWrdhO5Ut9llWA4Dz+H27AH28nwSyq+rzgtGYjJDXTyBEBSzyyALM4SB1fvY\ng3o4Bp5DCQG88YbZVZDFpct8SHBHZTKJZAjMULlii/Lb2bUT+6P7zS7DUhh4DvX++zwclAbUVcMe\nCjJXVSKFSsFjJyi/N/a+wWXqh2Dg6aGq2ZVZRHkkaoJISVwBQSYTAtMznNai/FoTrdjWuc3sMiyD\ngafHu+8CSU5TUG4CQFe5ZnYZRACAcDKFUeA5W5Tfmn1roBs85w9g4MlKpYD1682ugiwuVh+ECp6X\nRdYxOcnpCsovlolhUyu3WQEYeLI2bgQ0fnOn3AxZQncJd1Qmawmk0xjPzQhpAO8dfA+G4J5hDDya\nlg08RHnE64LQwWFhsp7GFJ+XlF8sE8P2zu1ml2E6Bp4PPuAREpSXkCREQhzdIWvyZzIYLdjLQ/m9\ne+Bds0swnbsDjxDAe++ZXQVZXKImAA2c8iTrGs+FgzSA9mQ79kbcvamuuwPP9u1AJGJ2FWRxkTJO\nGZC1hVIpjAA3I6T83D7K4+7A8667//FpYMmqADLgdBZZ38S0u9/OaWD7ovvQGm81uwzTuPcVsm8f\n0NZmdhVkcZFKsysgKkxZMoUKjvLQANa3uHcLFvcGHo7u0ADSZX6kwIZ2so/JKndfpvy2d25HNB01\nuwxTuDPwtLfzRHQaUKSaZ2aRvVQmUjxJnfISEK4d5XFn4OGuyjQANeRFQuboDtmLJASmaH6zyyCL\n+6D9A6Q0972/uS/wxGLANh6mRvlFaj1ml0B0VGoTKfhc+NZOhdMMDRsPum/DXfe9Kt57DzC4xTbl\nZnhkxD3u+/ZDziAbBsYbHOWh/Da2boRmuGt/MXcFnnQa2LzZ7CrI4uI1fgjwUEayr3oeN0EDSGkp\nfND2gdllFJW7As/77/OQUBpQvIQjgGRvgUwG1YJL1Cm/9w6666QBdwWeLVvMroAsLhP2Ig3u00/2\n16izD43yi6QjaIm1mF1G0bgn8HR0ZH8R5RGr8ppdAtGQqE6koAhurUD5bet0zyIe9wSerVvNroAs\nTsgSEj6O7pAzyIaBRgTNLoMsblvHNhjCHdP47gg8QjDw0IAS1QHogs2e5Byj0u74IKOjl9SS2BfZ\nZ3YZReGOwNPSkt1/hyiPWJgrs8hZSlIplAr28lB+WzvcMSDgjsDD0R0agBbwIMWdlcmBxhtcrUX5\n7eza6Yo9eZwfeAwD2L7d7CrI4mI1/FAgZxqRVCFx8JLyUA0Vu7p2mV3GsHN+4Nm7F0jxmzvlF/dn\nzC6BaFgomoqR4M7LlJ8bprWcP7nL6aw+3t2/Hze9/DLuP+88tCcSuPbZZxFNpyGEwM8+8xmMLi83\nu8Siy4S90KCaXQbRsBmpK2h2/rt9XqsfW41tb2+DoRs44YwTMGPJDLNLspQ9kT1Ia2n4Pc4Nx85+\nCagqsHOn2VVYxl1r1uDxzZtR4s3uNfOLl17Cv0yfjqVTpmD1nj3Y0tbmysCTLPcCDDzkYBWpDBA2\nuwrz7Nm0B81bmnH+9edDTalY8+Qas0uyHEMY2N65HdNqp5ldyrBx9pTWzp08SuIQjZWV+PWyZb2n\nRL3T3IwD0Sgu/vOf8cTmzThp7FhT6zNLIsDnCDmbR9NQA/f2qe1cvxO1Y2rx11/+FX+56S+YNGeS\n2SVZktOntZwdeLa5ZwfJQpwxeTIU+eN/8n3d3SgPBHDPuediZGkp7lrjvm89WsCDDNi/Q87XoLt3\nF/FkNImWHS1YdsUyfPprn8ZTtz9ldkmWtD+2H7GMc7dwce6UVjKZbVimnCqCQZw6cSIA4NSJE3HL\nK6+YXFHxJSp9ADjCc7SE5IEBHwzhhSF5YQhP9hc80I1suBaQAPHR75AghAAgQfporFGSkd0cVEL2\nGpIBRdahQIckaVCQgQQVsshAltTsdWnQqtIqEDK7CnMES4OoGlUFWZFRNbIKHp8HiUgCoTKX/kDy\n2NaxDcfXH292GcPCuYFn+/bsknTKaU5DA17cvh3/Mn061uzdi8k1NWaXVHTJIHdW7o8AYCAETSqB\nKvwwhA+aLkM3JBi6DN2QoeuAUeTwIUkSZBnwKAKKIiBLOhQ5G5A8UhoeOQmvEQdD7JF8mQzKg150\nS+7rV2uY2oC3n3kbc8+ai1hnDGpKRbCUx270Z2vHVgYe29nl/D0FjlbPcYJXn3IKrn32Wfzx3XdR\n5vfjl2edZWpdxab7FKTlDODiAQMBGbpUAh0hZAw/VN0HVfMgo0owjHw/GHN+aEII6Dqg60D2mXzo\nW1gQQAUkSYJHEfD5DHiVDLxyBl4pCQ8SkIS7z0obbXjRrbgv8Ew4YQL2vr8XD1z7AIQQOO3/nAZJ\n4sGq/WlPtiOajqLUX2p2KUNOEsKB48OGAdx7LxuWKa/YiCDaS5Nml1E0hhREBuVQ9QAyuhdpVYHq\nss8+RZHg8+rwe7SPglAUXinimmmypD+Al4Lcl4zyO6XxFEytmWp2GUPOmSM8Bw8y7NCAkiXO/pDT\n5TDSRinSWgjJtBcqXxLQdYGkLiMJHwAfgDBkeRQCfg1Bbxp+OQYPuiE59BDZYDqFUNCDBKf8KI/9\nsf0MPLbR3Gx2BWRxQpGRlJ0zvSEA6FIp0qLso4DjgaY5O9ANFcMQSCQVJJIhACHIUh38fh1BXzYA\nedENSTgnIIw2vPhQds7joaHXHHXmZygDD7lSqswLAXsHHlUqQ1qUI60GkEx7oOuHBhyGnaNlCIFk\nSkYyFQQQhCSNgN9rIOBPI6DE4RedsHNTdJUGuHhLHipALBNDJB1Bmb/M7FKGlPMCj64DLS1mV0EW\nly5RzC5h8CQJKsqR1CsQTwWQ6dN/w4AzXIQQSGUkpDIBAAHIcg1KAipCvij8aLfd6E84nWHgoQE1\nR5sZeCyvpaVnCQdRTmm/PbYsEAA0qRIJvQLxpJ99OBZgGALRhAfRRCVkqRKhoI6QN4qA1A5JWL8L\nXNF1lAt3Lk+nwjVHm9FU02R2GUPKeYGH01k0ACFLSEsWXo4uScigEkmtHLFUgL04FmYIIJZQEEMF\nJFQgFNIR8sYQRDskC+/gPUJ4GHgoLyf28TDwkOukS30QVtuPRZKQQRUSWjliSd8hg5QMO3YhAMQT\nCuIohyRVIBjQUOKLI4A2yBYLP+zjoYEk1AS6Ul2oCFSYXcqQcVbg0bTsknSiPNJh6/TvGJIfcaMO\nkXiIOyk4iBA9K7/KIKEM4RINpd52eEWn2aUBAMIZlYGHBtQcbWbgsayWFh4nQQNK+cx/jqhSFaKZ\nKsQSHo7hOJwAEI17EEUd/L46lAUjCKIFEszrNfRoGsLwIGbj1WY0/JqjzZheO93sMoaMswIPp7No\nAEKSkJHNmV4QkgdJUYdIshRpa81wUJGkM0BrpgyKXI7SkhRKlFZ4hDmnU9cLH7ZKDDyU2/7ofrNL\nGFIMPOQqmVIfjCLvv6PJpYhrtYjG/dDznk9FbqEbAl1RP7owGqGggVJfFwJSa1GPuKjUBOAt2t2R\nDSW1JDqTnagMVppdypBwTuBRVaC11ewqyOKK178jIYVaRDMVSCTljy5j2KEjJZIyEskqeD3VKA3F\nUSIfhCyG/7yr0ozGwEMDao42OybwyANfxSYOHGD/Dg0o7R/m0CFJSEij0ByfipbuqkPCDlF+qibQ\nEQlhb9c4dOkTYEjBYb0/r6oi5KDvvDQ8nLQ83TnPdq7OogJk5GHae0SSkBR16IpXHLYDMtHgCADd\nMR+iUiPKSzMIy/sgD9M2CpXCgwT7eCiPg3HnfLY6J/B0dZldAVmc4ZGhDfGqFAEgLdWjK1HBRmQa\nUoYAOiM+dMsTUF6SRKmyH9IQB59yIWOfNKQ3SQ4TV+NQdRVexf7znww85Bpq2AdgiHojpGyPTlei\nikGHhpVhCHRGA+iWx6MinEJYboYkhuZJF9aFkxobaJh0pbpQW1JrdhnHzBmBRwigu9vsKsjiMoGh\neWdPS7XoSlYhleZXYyoewwA6IgF0yxNRHo4jLDVDOsYRy5Cqs3GZBtSZ6mTgsYxYDNymlgai+o6t\nYTmNanSnqpFM8ysxmUc3ss3N3fIkVITjKJGaj3oTQ5+mQRKAYHanPLpSzphBcUbg6bTGdu1kbarn\n6Fbx6XIYHamRSCStcyQFkW4A7ZESdCuTURXuQhAHBn0bkmGgXPKhy2JnfZG1MPBYCft3qACZQZ4O\nLSQFMWM0urpCMIq4IRzRYGg6cLC7AqFgGar8zVAGuXNzhVDQxREeyoOBx0oYeGgAml+BMYhh/4xU\ng7Z4DVQV4IaBZAeJpIxkcjQqy1IIy3sgicKe72WGBHDwkvKIpCMwhAFZsvd0vr2r78HAQwNQSwrr\nzDSkADrUSdjf1RN2iOxDINvYfCA+GRm5pqC/U6Jxw1bKzxAGulP2XxjkjMDDHh4agDrQCi1JQhwN\naI6MRzThjIFPcq+MCuzvrEGHOmnAHZuDmnmntpN9OGFay/7v7MkkkC7uYZBkPxlv7mkpTSpHe7L+\no2XmnL4i54gmPIinGlFdGkNI2tfv4aReVYUiJOgSn/uUmxMCj/1HeDidRQXQlSOH7QU86NbHY1/X\nSO6pQ45lGEBrdxgtiSlQpYp+r1MqcTMeyo+BxwoYeKgAmtR32D4j16A5PhldMb9JFREVVyojYX/3\nSHQb4yGkvl3KJQ74KKDh1Zmyf+uI/Z/l7N+hAQgA+kc70gpJQbcxHvs7a6BpHMIndxFCoCvqR0ti\nMjSptPfykMERTsqvK9UFYfPtOewfeDjCQwPQAx4IAJpchpbEZHRFOapD7pbOAPu7G5AQowFJQpBb\nLdMANENDXI2bXcYxsX/giUTMroAsTg94kMBo7O8axYM+iT5iCKA1EkZbZjK8Ont4aGB2X5pu/8CT\nGqLTr8mRdDmA/co0tHaHYdh7NJZoWMQTMqLd4xAQDWaXQhaX1u29ItregUcIIMOv7NS/lH8k9ssL\nkUTY7FKILE3SgFT3TIS02TxJlHJKaww85mHYoX4ISOgOzcTBzHHQNQ80STO7JCJLk7TsayQRq4M/\ntRiKKDG5IrKijG7vz1x7Bx5uOEiH0WU/DgYWoivR0LvHmi5zJ1mivAy998MgnQ4CsZMRAKe4qC9O\naZmJgYcOoXrL0aIsRCrV99upPohDQ4ncyi99vDmnritIdc9E0GgysSKyGk5pmYlTWvSRpH8UDujz\noapHrjZh4CEamA+H7UYugGSkEUH1REg2/6igocERHjNxhRYBiAanojU9C4bR/9NZA3t4iAbiy/HF\nIBmvgT/1CcjwFbkishqO8JiJIzyuJiChI3QiOpLj8h75aYgjz9Eior6UPK+TVKoESnwhPCgrYkVk\nNRzhMRN7eFzLkH1oDXwC0UTNgNcVPAGdaEDyAKvRVdUHEV2AAEYVpyCyHK7SMhMDjyupnjIcUBYi\nmSpgfx0Jtj//hagYpAJeJ7ouI9U9C0FjchEqIqtJafZuI2HgIVtJ+evRIuZDVQvrJxASww5RIQre\nblAAycgEhLQ5w1kOWVBGz9j6C6S9Aw97eFwlGWjIbiaoK4X/JXs/w4mKRh7k1G8iVougOp87M7uM\nnae17P1xwBEe10gEGtGangExyDdXQ2bDMlFBjqK5PxmvRFBdALt/lFDh7Ny4bO9nKQOPK8SDE9CW\nahp02AFg92c4UdEU0sPTn2SiHIH0SZDEIEZeybbsvDTd3h8HDDyOFwtORlty8lGvs2IPD1FhpGNY\nzZhKlsKfPhkSPENYEVkRR3iIhkEk1IT25IRjuxG2FxAV5Fi/G6RSJfAluUEhWZe9A49s7/Ipt+7Q\nDHQmGo/5doTMER6iQgy2abk/6XQQnsTJUBAYgorIihTJvlOX9k4Min1/8JRbV+h4dCVGD82NcYSH\nqCDHMqV1qEwmACVxEhQRHJLbI2uRJfvGBvtWDnCEx4E6Q7PRnagfstszDj8QkYj6dbRNy/3JZPxQ\nEicz9DgQA49ZGHgcJRKagUiibmhvlE8RosIM8YZyGdULJbmAPT0Oo8j2nVmx98cBp7QcIxaajM6h\nmsY6FKe0iAoyHC+VTMYPb2oBl6w7CHt4zMLA4wiJQCM6jnU1Vg6SwcRDVAhjmL4dpFMh+DPckdkp\nOKVlFk5p2V4qMApt6alDPZrei4GHqDBiGN9PU8kyhLR5w3b7VDwMPGZh4LG1jL8GrZnBHxcxGAw8\nRIUxpOF9rSTilQhps4f1Pmj4sYfHLJzSsi3VW46D2mwYxvA+BWXN3k9xomIxivDNPRGrQ8iYPuz3\nQ8OHPTxm4QiPLelKCAfF3MGden60uCqdqCDDPcLTIxEZg6AxuSj3RUOPU1pm4QiP7RiyDy3KfGha\ncc7ckXV7P8WJikUv4sdBKjoBATGmaPdHQ4eBxywc4bGdNt9cqBl/8e5Q2PsFSlQsw7VKqz9CAJlo\nE7yoKNp90rGTJRlSkUYCh4O9Pwk4wmMrXaHjkEyVFv1+GXiIBqYX+XViGDKk+BxuTGgjdn8vtXn1\n9i7fTRKBRnQnRppy33Z/kRIVQ7F6eA6VUb3wpedxjx6bsHPDMmD3wOMpTh8IHZuMtxLtmamm3b8s\n7P00JyoGzaTXSSoZRolxnCn3TYPjke39mWvvT4Jw2OwKaACG7EMbToBh4n44dp5zJioWzcRzWOLR\negTEONPunwpT4isxu4RjwsBDw0ZAQptvHlTVa2odCuw9DEtUDPow7XZeqEx0CnyoMrcIyivss/dn\nLgMPDZuu0PFIpsz/N5LYH0A0IM3kXjfDkGDEZ0MWRVzFSYPCwGOmEnsPrzlZPDgOkUSd2WUAADyw\n97wz0XCTZAWaBb4YaGxitjQGHjN5PEAgYHYVdBjVW46O9BSzy+jlKdImh0R2ZXjMnXY+VCpVgpAx\ny+wyqB8MPGbjtJalCElGmzTb1CblwzHwEOWnW2zFazI2En5hjRFi+hgDj9kYeCylOzQLmYy1Rt0U\nlU3LRPnoinVGeIDsTsx6YgYkYa263I6Bx2wMPJaRDtQjkqg3u4wjyGn7P82JhlNGsdYID5Dt5wmo\ns80ugz7ikT0IeKz1ZXaw7P9JwMBjCYbkRZs+A8Lkpa39kQzJ9htmEQ2ntEW3bkgmqrg/j0XYfXQH\ncELg4UotS+gMzIamWjdU+CSe10OUS8qigQcA1NgkKAiZXYbrlXjt/1lr/8DDER7TJQLjEEtae8Mw\nLk0nyi0prBt4dF2BN3WC2WW4Hkd4rICBx1S6EkKHOsnsMgbkMRh4iPojeTzQTTxWohCpVBgho8ns\nMlyNgccKQiGemm6iNu8c6Lp1vx324NJ0ov7pFtqDJ59kbCy8otLsMlyLgccKJIl9PCaJhqYilbLH\nz56Bh6h/VluSnoswJEjJ47gLs0kYeKyC01pFpytBdKXGml1GwZSM9UehiMygWXBJei6ZTABBMdXs\nMlzJ7ielA04JPJUc5iy2Tv9xMAz7PH3kjAzJ4n0KRGZQbbZlQzo2BooIml2GqyiSgjJ/mdllHDP7\nfGLlU11tdgWukvKPRDxRYXYZgyMAn8yl6USHS9hsBaNhyPCpx5ldhqtUBasgS/aPC/Z/BABQU2N2\nBa4hJBkdwp6rJQKw9y6hRENPQkTYK/AAQDJRAb8YaXYZrlETcsZnrDMCT1UVV2oVSTQ4DWrGniMl\nPs2edRMNF+H3w7DpVK+RaIJTPsKsjoHHShSFfTxFoCkl6E41mF3GUfOlGHiIDpXx2nfUU1V9CBnT\nzC7DFRh4rIbTWsOu03scDMOe3wYBwJP0OGIemmioJBV7fwlIxRrgAVfpDidZklEVtPZO+oVyzrs/\nA8+wSgZGI5GyeZe+AAKyfb/REg21mGSPPXhyMQwJnvQss8twtMpAJRTZGdt6MPDQgISkoEObYnYZ\nQ8Jn2PsbLdFQkWQFMQufoVWoVLIMATHG7DIcyynTWQBsth4xn5qabOOyYZhdieNEg1OgJez9TbCH\nX/PDwgdDO55hGHjgsQew78A+eDwerPj8CoyoHmF2Wa6k+/2ATRuWD6cnJgGhvYAkzC7FcUaUOOf1\n6ZwRHkUBamvNrsJxDMmLSNq+jcqH8yadEdzsat2mddB1HVdfdjU+f+bn8een/2x2Sa6V9vjNLmHI\nqKoPQTHB7DIcqT5cb3YJQ8Y5gQcA6urMrsBxosEmWxwOWiglpUCRnPN47Gbrrq2YMWUGAGDC2AnY\nuXenuQW5mN0blg+nJhvhtI80s/kUHyoCNttkNg9nPTsYeIaULvsRSTkn3fcIytyW3iypdApB/8c/\nf1mWYXAa2hQR4azRTk31ImhMNrsMR6krqYMkOWPaE2DgoTwigWm2Oi+rUD7dWd9s7STgDyCVSfX+\nvxACMjcNLTrJ40XKgc1sanw0ZAe1ppqtLuysz1RnvdOEQkCZzZdOW4TuCSGadE6z2qH8Gef0LtjN\npMZJeO+D9wAA23Zvw+j60SZX5E6qz5mvAU33IGA4Y0WpFdSVOCvwOC8K19UBkYjZVdhet3cahOac\nocxDeRNeSH4JQnBFR7GdMOMEbNq6CTfeeSMA4KIvXGRuQS6V8jp3WjcdHwUpvAVCUs0uxdYkSI5a\noQU4MfCMHAls2WJ2FbameUoRSzn3BHpJkxCUg0joCbNLcR1JknDB8gvMLsP1umQ/4NDWKV1XEDKm\nIaGsN7sUW6stqYVXcVafl7OmtACgsRFwUJOVGbq9TRDC2T/DoObcb7hEeXl9iBnO6985VDpeDwXc\nVWyqMdAAACAASURBVP1YNJY3ml3CkHNe4AkGgRHOGoYrJtVXgXjKGeem5BNI8M2Q3CkVCJldwrDT\nDQl+rcnsMmxtXMU4s0sYcs4LPAAwbpzZFdhWxDMFbmht8SQ98DlsHxKiQkQVd4xuphIjIIOv8aNR\n5i9DZbDS7DKGnDMDT6PzhuKKQfeEEE86Z5OpgZSIErNLICoqSVbQKdwRAgxDQoD78hwVJ47uAE4N\nPBUV2V80KFHfZMf37hwqkOK0FrmLGgg5tVe5X5l4PZz6MTecnNi/Azj5mcBprUExJC+iKXf1Pnmj\nXm56R66S8LljOquHpnt4xtYgBTwBR52fdSjnvttzWmtQYsGJjtxVOR9JSAhJzm/gJAKyWwK0w5kb\nDuajJ7m55WCMLR/rqOMkDuXcT7gRI7IrtmhAAhKimVFml2GKoMrnCLmD4fMjLZz7lp9LJuOHX7jz\n/e1oOLV/B3By4JEkjvIUKBkYA01z1gZThQrE2MdD7pD0u3c0U8qMM7sEW/DIHowuc+6ImHMDD8A+\nngJFMdbsEkwjZ2QEFIYecr5u2b3P81SyFF7wnMWBNJQ2wCM77wCGHs4OPA0NgMe5/3hDQfVWIJVy\n9/LskO7eb77kDpLHgwjcOYrbw6tNNLsEy3PydBbg9MCjKMCYMWZXYWlRD98Eggn28ZCzpYJhV2wo\nmk8qUQPJgcdHDhUJEsaWO3u039mBB2AfTx5C9iCedv4xEgPxJDwIumT3WXKnNsXdo7gAYBgyAmKc\n2WVYVl24DkGvs98H3RF4HLrE7lgl/GNctxQ9l1K11OwSiIaF8AUQFRzZAACRdub+MkPBqZsNHsr5\nn3Z+PzDauV3nxyIOLtXsEegOQJac/3Ig94kFGeZ7pFIl8CBsdhmWI0HChErnb9Dojnf46dPNrsBy\ndCWIVIov/B6SLiEs8+dBDiPJOCi5d3VWf3y680cyBmtM+RiU+p0fjN0ReMaOBcL8MDtU3D/O9U2M\nhytJsM+BnEULlUB14WaD+aguO0KnEDNqZ5hdQlG445UgScC0aWZXYSlxrc7sEizHF/PBJ7vjJGly\nh04PQ/zhVNUHH2rMLsMyyvxljt5s8FDuCDwA0NQE8KBIAEDGW4lMxn1n6gxIAGGDI4HkEB4v2sEA\n3x+P5uzl14MxrWaaY8/OOpx7EkAwCExwflNWIeJezmHnUhLhN2JyhmSoFIA7PsgGK52sguSij79c\nFEnB1JqpZpdRNO76F2fzMgQkxDPVZpdhWXJGRgn3LCGbkyQJrTJ3EM9F1xX4RYPZZZhuQuUEBDzu\naWp3V+Cprweq3L3RXso/ErrGPTnyCWc4rUX2pgeCSAjF7DIsTVIZeKbXumsQwF2BB3D9KE9C5ot8\nIP5uPxSZHxZkXxEfQ/tA0qkySMK954vVhGpQF3bX4hX3BZ7JkwGve5/kyUy52SVYnmRIKOPJymRX\nsoJW7r0zIMOQ4Hfx5qvTaty3ctl9gcfrzYYeF0r7R0DXOXJRiJLuEtesXCBnSYTLoQs+dwshae7c\nk8en+DCpapLZZRSd+wIP4NppraTCc2QKpaQVlMrO33mUHEaWcYA7hhdMTblzJHdK9RR4FffNdLgz\n8FRVZRuYXSapVZpdgq2URco4ykO2ki4pR4ajOwXTdA/8LtyE0G3Nyj3cGXgA143y6J4QMhnO6w+G\nklQQlvhtmexBkmTsV/h8HSyPMdLsEopqVOkoVAQqzC7DFO4NPOPHAyH37FOR9HJ11tEojXFai+wh\nXVKKFM/NGjQt7a6Rb7ecm9Uf9746FAU44QSzqyiapAuHbYeCN+HlRoRkeZIk4YDCcH400ukgZLhj\n9LsmVINxFePMLsM07g08QPZA0VLnv0kIyEilOdR9tMri7mxsJPvIhEqRAFdgHi2/4Y4R8Hmj5rm6\nL9Hdgef/b+9Og+Qq73OBP+9Z+/Te07NrNKMZidGCNoQQQkggEEhAEoKLlOI4JmVT+XIrdStLxVW+\n1/c6t1K+deNKHCdlf0nd8q2kHIiJy4ECx2U7mBDAToxxAAGisARCElpnRrP3epb74VgrI83W3e9Z\nnp9rStJYM/1H06f7Oe/yfxUFuPVW2VU0XdXshuvG+0e9HMaMAUuxZJdBNCchBM7r0b9xayZhd8gu\noem6091YmVspuwyp+C54001AIdpzuGU1Xt00myFXYcNGCibbSmHa43Exy1GpZICI7267rfc22SVI\nx8AjBLB9u+wqmqpi8816ucxpEwk1HvP8FC4jBqdcl8t1FZgiuqM8K7Mr0ZOJ1260uTDwAP6OrY5o\nPtldoaNWM2WXEX4ekOOxHBQwrpXERIzPg2ok1SnKLqFpblvB0R2Ageey26L5hKga0QxyMiQmEjAU\nQ3YZRJeMmQzhjeI50fy3HCoMoT3JXboAA89lfX1Ab/QOkqup0b1raTkPKNSivd6LwsOxUhjzGMAb\npV6LXvsJAYHtvdFesrEYDDxXiuAoT9Xj/H4jJSYSsFTu2CL5zprx7JbbLLatQfWiFXqGi8Ox7ao8\nFwaeK3V1Af39sqtoqGo1Pt2kW6Vtpi3WvSxIvlo6x51ZTWAgOksAFKFgW8822WUECgPPtSI0ylPX\n8+y/0wTarMaT1EkeRcEpjSO3zSCc6ExZb+jYgIzJ16kr8d3wWsUisHq17CoaoqpF524laHITOSiC\nlw+1XilTQJVnZjWFU49GQNAUDbd0x+fopIXiVTOX7dv9LswhV0U0dx0EgVJVkAfnxqnFNB2nRLTW\nmQRJrZaA8MJ/RMfGzo2wdK41vFb439WbIZfzz9kKuarD87OaKXMhA03hOgpqnQupNjgR7wgsk+cJ\nGCE/aNnSLGzp2iK7jEBi4LmeHTuAdHgDg6sYqLPhYHM5QLHGbf/UGo6VxPmYnOotk+qF+5q+s/9O\nmBpf++fCwHM9ug7s3i27iiWr6W2yS4iFxEQCSZU74ajZBM6YvKZbwgnvOp5V+VUYKgzJLiOwGHhu\npL8fWLNGdhVLYnMXUcsUpgvcpk5NVcnkMROBtSVh4NjhHEUzVAO7+8N7k94KDDzz2bULSITvAqiL\n8E7HhY1W0pAXXMBMTaJp+EjlDUyr1Ovh7F69s28nkjpHm2+EgWc+iYQfekKm7vKJ30qZsQx0hYc4\nUuONp4uwuVC5ZVxXgYpwvX72Znqxrn2d7DICj4FnIdasCV0HZtvhorVWEo5Ae6WdU1vUUHYqg3Ne\n+EaYw07zwjNiqyka7hq4S3YZocDAs1B79vgLmUPAgwLbDketUWJMGZzaooYRmo4TenQ6/4aJ4oVn\nCnF773ZkTXbeXggGnoVKpYDbb5ddxYLU9Rw8T3YV8ZQZzcBUObpGy3c+3Y4ap7KkEG44mjt2JDuw\nqXOT7DJCg4FnMdavB7q7ZVcxL1tlh2VZhCvQPsOpLVqeWiaPMS+ci2ejwLWD36VYEQruGriLrzWL\nwMCzGEIAd98NqMHeHlpXuENLJm1WQ8HjVAQtka7jhMKbFpnsEGxN39q9FcVkuJskthoDz2LlcsC2\nbbKruKE6wjEcG2WZsQwSSvBfNClYhBA4l+6ALbuQmLNtDQqCe2xMPpHn4aBLwMCzFFu2+KeqB1QY\n7k4izwOK00WeqE6LUkrnMe5yw0EQaF4wR9kEBO4euBuqEuyZhiDiq/FSKAqwdy+gBfMOwOYLZiBo\nZQ1FN7jBmILFMxI4qXC3TVAoAR0p39S1CV3pLtllhBIDz1IVi4E8a8uDgOsw+QdF8kKSZ23RvIRQ\ncDpZhCu7ELpEeMHbbdmb6cXtK8KxWziIGHiWY3gY2LBBdhVXcdUEt6QHiQcUJ4ocfqYbmskWMO0F\nc8Q4roIWeFJ6CvsG93FX1jIw8CzXHXcAnZ2yq7jEUYK/nTJulKqCYp1TWzQ310riZECnT2ItQG0B\nFKHgvqH7YOl8fV8OBp7lUlXg/vsBKxhPRIc7gwLJGrfYhZk+TtNx3GwHwLv2oPHc4ASeXSt3cd1O\nAzDwNEIqBezb5/fpkcxl4Ams3GgOKZV38vRLQsHpdCeq7KYcSF5ANn8MF4exoSNYSyfCioGnUXp7\nA3H0hCOCNe9MV/CA4lgRhhqcO0eSZzzXgSmu2wksx5H/sylaRezuD97mmLBi4GmkzZuBoSGpJThg\n4AkyYQt0THVAUXjpxVk5U+Ap6AHnunIDj6mauH/1/dAU+cErKviq22h33w0U5B0r4HrBGIal69PK\nGjqqHdxtEVNOMo3jSnhO444rx1Eg6y1SQODewXt5CnqDMTo2mq77i5iffhqo11v+8E6AdhYshOu5\n+NrzX8OxkWPQNR1/uP8P0ZvvlV1W0yUmEyi0F3ABF2SXQq1kmDhmtAExWbfjuS6e/9bXMHLqGDRN\nx/5P/yHyHeG5vlXPgiNmW/6423q2YWVuZcsfN+o4wtMM+bzfiVkCR/Iw7GL95OhPYDs2/upTf4XH\n9zyOv3nxb2SX1DKZsQzSKg96jQ1FxUepDtgxCTsAcPTNn8BxbHzqc3+FPY88jhe/E67rWxWt333b\nn+vHtp5gn9cYVgw8zTI4CGzd2vKH9bxwNbh759Q72D64HQCwvmc9jpw7IrmiFvKAttE2mCrXXcXB\naK4TM264rs/lOvXBOxjc4F/fPYPrce5EuK5v0eIR86yZxT2r7uF0d5Mw8DTTbbcBK1s7LOmF7O6x\nVCshaVw+ekERClwvPg32hSPQMdEBVcTrjTBuZrNFjAaor0ur1ColGInL17dQFHhueK5v0cL+SIZq\n4P6h+2FqvAFqFgaeZhLCX8/T3d2yhwxb4EkaSZRr5Ut/dj03dieMq1UVndVO3tVFlJ3O4qSI59Sl\nkUiiVr18fXuuCxGqHYqtuRHRFA0HVh9AMcmO7M0UpmdeOGka8MADQHt7ix4wXG+aN6+4Ga8eexUA\n8O7pdzHUIXdbvyzGlIEOmzu3osZNpvCBGt8O2yuGbsaxt/3r+/Sxd9GxImzXd/PfIhWh4P6h+9GT\n6Wn6Y8Wd8DweNdkS5TLw3HPAxERTH+aksh+uG543Tc/z8LUffQ0fjHwAAPjjA3+MvrY+yVXJUyqW\nMCJGZJdBDeBZSbxvdMCWXYhEnufhR9/6GkZO+df3gcf+GG1d4bm+k7kjKIkPmvb9BQT2De3DUCFs\nQTCcGHhaaXYWePZZYHq6aQ9xQuwP3bQWXW2mOIMxMSa7DFoGz7TwfqIDdshGXOlqVu59lMXRpn3/\nuwfuxtr2tU37/nQ1Tmm1UioF/MqvAMnk/H93iRh2wi89lkYb2mSXQUtlmDhmMexEQhNfT+/ou4Nh\np8UYeFotm/VDT6LxbeU9/jgjIzOaQUGR17Gblkg3cCzZiRpvPCKiOYuWt/dux6auTU353nR9fIeU\noVAAHnzQ78rcQF7MdjdFXfZ8FnklvgteQ0fTcTzVharH6zAqRBOC6+auzWwsKAmvTFk6OvzdW1rj\nOiN77OUSOdnzWWRVnqcTdELTcDLdhTLDTrQ0+CZyXfs67Ozb2dDvSQvHq1Omnh7gvvuARvWl4AhP\n5AgIFM4XkFbi2cclDISq4qNMF2ZD1uWcFqCBAXZ1YTX29O9p2PejxeM7pGz9/cA99/hNConm4gHF\n0SJSakp2JXQNoag4nenCdMjOsKPW6s/1455BHhkhGwNPEKxeDexZfvIXbpw7fkScCxRHikiqzdvh\nR4sjFBVnsp2Y9Bq7Fo8CRDjL/ha9mV7cP3R/7DrIBxF/AkGxbh2wbx+gLn1YXHgMPFEmXIH28+3I\nqBnZpcSe0DScynZjosWHS1KLLTPwDOYH8eCaB6EqnO4MAgaeIFm9GnjoIcBY2ouogAehsI9klAlP\noHCuwC3rEnm6gePpbkx5nMaKOm8ZN5EbOzfivqH7GHYChIEnaHp6gF//dSC9tEWqimDgiToBgez5\nLIrgQYOt5iUsfJjqRokLlGPBW+IIz86+ndi1chfX7AQMA08QFQp+6Cku/g1NCLcJBVEQpUfT6HK7\n+KLaIm4yjffNTlTZVDBG6ov626pQsW9wHzZ3bW5SPbQcDDxBlUoBDz8M9C3uoD0GnnhJXEigu9rN\nBZFNVk9nccQoxvog0DhazAiPqZp46KaHsLptdRMrouXgq2SQ6brfnHB4eMFfwsATP8aUgZ5SD1Q2\nnmyKcrYN76sF8Jjl+PEWGHHTRhoPr30YPZmeJldEy8HAE3SKAuzdC2xbWCtyhYEnlrRZDT0zPTBU\n7hpqpOl8B44L7oqLr/kDT9Eq4pF1j6BgcSNB0DHwhMX27X6vnnnWa3CEJ77Usoqu8S4k1MYfTBs7\nQsF4vgunPPY9ijPHu/Eanr5sHx5e+zCSOp8nYcDAEybr1wMHDtzw/C0GnnhTago6RzvZlXk5VBXn\nc9045zE4xt2NprSGi8N4YM0D0FU2ngwLBp6w6e8Hfu3XAMua8/9WuKwy9oQjUDxXRBvaZJcSOm7C\nwvFMLy6wezIBcMXcIzzberZh76q93CwQMvxphVFHB/DII/6v11BFTUJBFDQCApnRDHpqXMy8UJVM\nHkfMTp54TgAAVXUAXD1irika7ll1D7b3bpdTFC0Lr+ywymT8besbN171aRVVSQVREBlTBnqnenkG\n1w0IRcV4vgsfKjlwIxZdpKpXj5YXEgV8Yt0ncFPxJkkV0XKxN3qYqSqwaxfQ3Q289BJQq0HxKrKr\nooBRqgo6zndgsn0SE96E7HICxTNMfJTqwIzLUTC6mqJcDjzDxWHs7t8NTeFbZpjxpxcFQ0N+V+bn\nn4c6w8BDc/CA3EgOZt7EqDEKx13+KdBhV09n8aGWh+OyczJ9nFBr0BQNd668E2vb18ouhxpAeB7b\naUWG46D+72/i9GGesUTX5yQcjGZHUXHjGY6FomAy247T3twL/4kAoKMwg7vX96DN4uL/qOAanihR\nVSh3bMN7VgdchT9amptaUdE52omckpNdSuvpOk5lexh26IYsO4211nqGnYjhu2LEqCpwXiTxE9GD\nksk+IjQ34Qrkz+fR6XRCiUk4dlIZHE32YMrjTD7NTRUqEpMdKB8vIp2Kx3URJ/yJRlAmA8w6Gl6p\nduKjZB4A1yjQ3KxxC72TvUgp0W1UKDQNF3JdOKK1wea1QNdhegngZDcqo/6OxgxPFIkcBp4Iunih\nehB4p5TDz81uVA2esURzU6sq2s+3o9PphKpEa7dSPZ3F++lenAdHO2luChQkSwVUP+iEU708+pdO\nSyyKmoKBJ4KuvVBHqwb+rdaNk8kCvHnO4qL4ssYt9E70IqNE4NZWNzCS68b7agE1j895mlvCtaCc\n6kHpTBZXjoQnEoDOZtuRw8nsCJprKNaDwOFSFie1JDZrF5CulFtfGAWeUlPQdr4NqVwKY+YY6u6N\nD08MomomjxNKFg6nr+g6VKgwJgsoj849lcvRnWhi4ImgG12s07aGH9udGLJmMVQbh+qwHwt9nDlp\nokftwVRxCpPeJELRvcIwcTZZxATPwaIbsOw0qqcKKNvXn+Dg+p1oYuCJoGx2/r/zQTmF02oCm61x\nFMqzzS+KQkc4ArnzOVhpC6PJ0QCP9giUswWcFBm4IchlJIcOHcpYG8oT86/nYuCJJgaeCMpmAU0D\n7HkOTq84Kl4tt6PXTGGdcwH6fF9AsWTMGOgp9WCmOINxjAdqtMdLWDidaMM0t5rTdQkkq1mUT+fg\nLbCrdpG9WyOJrxIRJATQ3g6cPbuwv3+6auGc6MW65BR6K1NQXHf+L6JYEa5AZiQDK2lhMj2JGXdG\nbkGajolUAWeRALgoma7DcpOwz+VRKi1umrO9vUkFkVQMPBG1mMADAI7nb2F/X0ljQ3ISHaUZgGdH\n0zW0koZiqYhsJotxaxxlt7WL34WqYjpVwBklCYdBh67D8EyIsTzKk4tvR6DrQD7fhKJIOgaeiOro\nWNrXVVwV/1lqQ1bLYIM+iRzX99Ac9GkdndOdqOarGDfHUXWqTX08oSgop/M4paRR9wSzOM1Jhw5t\nKo/ySHLJ36O93R8lp+hh4ImopQaei6ZsHf9ht6PTzGCtmECyEs+DJunGzAkT3aIbpUIJ49o4bLex\n68CEEKimsjijZVH2FAYdmpMKFcZsDuVzvwzEy8DprOhi4ImoXM4fmq0vc2PN+aqJ8+hCf6KE1c4E\njOV+Q4oeD0heSMJSLMy2zWJCmYDjLr/dgZNM46yZw7SrMejQnAQEkrUsSqezKDuN6aO73JtFCi4G\nnoi6uHD5zJnGfL8TlSROwsKa5CxW1qegM/jQNYQrkB5NI6WlMFWYwhSm4HqLXwDvJiyMJAoY93SA\n6+dpDooQMGtp1M7lMFtt7HEoDDzRxcATYR0djQs8gN+t+UgpjaNIYcAqYcCZQqJWa9wDUCQIWyA3\nkkPaTGMmN4Mpb2HBx0tYuJDIYcQzOaJDc1KgwKplUDmXQbnW+HPfDGNhfcwonBh4IqxZc9EeBD4s\np/AhUug1yxgSU0hxjQ9dQ62qyJ3PIWNkMJudxaSYhONdPdUlhIBtpTBqZP0RHQYdmoMuNOilDMrn\n0pht0NTVXLhgOdoYeCKsFUOzp6sWTsNCu1HDGnUKuUoJCFBjOpJPqSnIjGaQVtIoF8qY1CZRd23U\nUhmc0zKY8VQGHZqTDh3adBblkdSyFyMvBKezoo2BJ8KyWX+IthWzTqM1A6NoR1arY1ifRlt1BoJ9\n/ukKwhUwJzLwzB6cTCqoKyVUveZuZ6dwSsAEJrKojCXRytWC3KEVbQw8EXZx4fLp0617zClbx2t2\nGxJKDquTs+isz3BnF6FiGPhIzeBYOQW3JIASgNEsjEwNatsUKloJHod5Yk2BAtNOwhlPozJlSqmB\nIzzRxsATcR0drQ08F1VcFe+UsngHWXQnqlgpZlColiB4bEVseKqCcSOJE24a56pzv4HVpg1guh2K\n7sDqmEHdmkW9pff0JFsCJsRMGpXRZMO2li+FaXLBctQx8ERcEO5YzlZMnIUJXRSwyiqh15lBosap\njEgSAjOJBM6IFE5UkrDLC1t34dZVlE7nAORgZKvQ8rOo6iU4WH4/HwoeFSrMWgr1sTQqizznqlmC\n8FpJzcXAE3FBmpOuewqOlNM4gjQKeg2D+izaqrNQHb6phV3VNHBOTeF4LYVSeXnbhWtTJmpTJoQo\nIFGoQGRnUNXKcLkYPtSEEDCdBDCdRmXMQilgZ6EF6bWSmoOBJ+KyWSCdBmYkH259rfG6gfG6AQV5\n9CXK6FbKyFbLDD8hYmsqxowUTtgpXKgaDf/+nidQuWABFywomgurWIKbmkFVcHQwPAQSngmlnET1\ngoVKNbhvOT09siugZgvus48apr8fOHxYdhVzcyFwopLECSQh4KHbrKJHLaNQK0GzG3suEy1f3dAx\noVk461g4UzXh2a25S3dtBeVzaQBpaJYNI1+Ca5VREVVwT3uwCAiYrgWlZKFywUKl3vgGgY2m60Bv\nr+wqqNkYeGJgYCC4gedKHgTOVBM4gwSAAopGDSu0EtrsMkx2dJbCEwIl08SoYuF0zcJUTQck/yjs\nsga7nAWQhVBdmIUKRKqMmlbmmh9JVKgwbAuYtVAdt1BxgjVdNZ++PkANfi6jZWLgiYHeXkDTgLAN\nmIzVDIzVDAB5ZDQbfUYJBbeCVK0Khbu9msbWVEzqFkY8C6erCdQr8nbOzMdzFFRGk8BoEgBgZGrQ\nsmXYZhk1Tn01jRACuqtDqyfgzliojJsoI1wh50r9/bIroFZg4IkBVfXvYD78UHYlSzdta3jX/uVd\nPTy0GXV0aBUU3CpS9SrX/iyDo6qY1U1MKibO2Qk/ZIYsHF9Umzb8re7IQdEdmPkKYFXh6FXURR0e\nFz4vkUACBpSaCXc24S8stxXZg30NIQQDT1ww8MTEwEC4A8+VPIgrRn98eb2OLr2CvFdFul6BZjMA\nzU2gYuiY1kxMwMRIzcS0rSGKM0FuXUV5JAUgBQD+9FemBjVVhWtUUVdrHzvbi3wCAoZnQq2ZcGYS\nqE+boZumWqiODsCyZFdBrcDAExNRv4OZqOuYqOsAMgCAjGajTashq9SR8mqw7DoM247dOV+2pqGk\nGZhSTFxwDIzUTdg1IX0djgyeo6A6kQAmEpc+Z6TqUFNVwKrC1WqooR67js+aUKG5OpS6Aa+mwynr\nqE0bqAZs23izDAzIroBahYEnJiwL6OwEzp+XXUlrTNuaP3JxBVV4yBt15NUaMqKOlFtHwq6FfjTI\nUxTUNA1lVUdZaJj2dEw5OiZtHbYtQjs91Qq1WR2Y1QGkL31Os2xoiTqURB2ebsPV6rBFPfQLohUo\n0DwdqqND1HQ4ZQP1WR12XY31UyTqN4N0GQNPjAwMxCfwzMXxfjkVhqt7xhiKi4xmI6XYSCoOErCR\n8GwYrgPdcaA6DoSskSEh4CgKbFWFrSioKyqqUFGBhmlXw6StY8bWYjli0yz+LjANwNXzHIrmQk/6\nQUgYNjzVgae48IQDV3Fge47U0SH14v88FcLRgLoKr67BralwqhrsisanyTXSaaBYlF0FtQoDT4z0\n9wM/+5nsKoKn5ipzBqErmYqDpOrAUlzowoUqPGjwf1Vx8cOF6nlQfvlnxfN3knkQcCHgCQEP/lZv\n1xNwhd+HyIP/+ToUP8w4KsqugrKjouKq/vqacA8uRIJrK6hOmcANDrYUigst4ULRHQjNgdAdCNUF\nhOd/APAu/d6DUADXf1b4HwKAJyA8AXgC8JTLv3cVeK4AXP/zniPg2gqcquqHGk/wabJInM6KFwae\nGCkWg9l1OQyqroqqq2JcdiEUaJ6roF5SwJfWcOB0VrwEt8EGNQUvcCIidleOIwaemOEQLhERsGIF\nuyvHDQNPzPT2+nc2RERxxpu/+GHgiRlV9e9siIjiit2V44mBJ4Zuukl2BURE8qxYwe7KccTAE0MD\nA0AyKbsKIiI51q+XXQHJwMATQ4oCrF0ruwoiotZLJrl+J64YeGJq3Tp/HpuIKE7WrfNv+ih++GOP\nqUwG6OuTXQURUesI4QceiicGnhjjPDYRxcnKlX63eYonBp4YGxgAUinZVRARtQZv8uKNgSfGoAE/\nLQAAEJ5JREFUhODiZSKKh1SKvXfijoEn5rh4mYjigK91xMATc+m0P69NRBRVXKxMAAMPgfPaRBRt\n/f1cr0gMPAS+GBBRtPGmjgAGHgKHe4koujhtTxcx8BAALugjomjiaxtdxMBDAPwprcFB2VUQETWO\npnH0mi5j4KFLbr2Vd0JEFB0bNviHhRIBDDx0hUIBWL1adhVERMunacCWLbKroCBh4KGrcJSHiKJg\n40bAsmRXQUHCwENXyeWA4WHZVRARLZ1hcHSHPo6Bhz5m2zZA4TODiEJq0ybANGVXQUHDtzX6mEyG\nh4oSUTiZph94iK7FwENz2rYNUFXZVRARLc7mzf6UFtG1GHhoTqkU27ETUbgkEv5iZaK5MPDQdW3d\n6m/tJCIKg61bAV2XXQUFFQMPXVcy6TfuIiIKOr5e0XwYeOiGeMdERGHAEWmaDwMP3RDnxIko6NJp\nrjmk+THw0Ly464GIguyWW7irlObHwEPzMk3/yAkioqBpa2PfMFoYBh5akI0bgfZ22VUQEV0mBHDX\nXewMTwvDpwktyMUXFh4sSkRBcfPNQGen7CooLBh4aMHa29mynYiCIZUCbrtNdhUUJgw8tCjbt/tn\nbRERybR7N1tm0OIw8NCiaJr/QkNEJMvgIDAwILsKChsGHlq0lSuBNWtkV0FEcWQYwK5dsqugMGLg\noSW54w5/uzoRUSvt2OGv3yFaLAYeWhLLAnbulF0FEcVJdzc7KtPSMfDQkq1dC/T2yq6CiOJAUYA9\ne9gag5aOgYeWZc8etnQnoubbuhUoFGRXQWHGwEPLkssB27bJroKIoiyf98/LIloOBh5ati1b/PNs\niIiagSPJ1AgMPLRsigLs3csXJCJqvI0bgZ4e2VVQFDDwUEO0t7M3BhE1VlcXd4NS4zDwUMOsXw8M\nD8uugmQ7c+ZN/OM/PnbV59599zk8+eQnL/350KF/xN///aN48snfxAcfvAgAqNfLeOaZ/4Knnvo0\nvv3tz2J2drSVZVPAJBLAfffxJHRqHD6VqKF27+Z6njh79dX/ix/+8H/AtuuXPnfu3GG8/fZ3Lv15\ndnYEr7/+TfzWb30Ljz76Dbz88lfgODUcPvwM2tpW4zd/8++xdu1D+NnPviHjP4ECQAhg3z42GKTG\nYuChhtI04P77/fbvFD+FwgAefvjrADwAQLk8jh//+Ku4557/fulzZ84cwooV26CqOkwzjXx+ACMj\n70HTEqhUJgAAtdo0VJUnQ8bVrbcCK1bIroKihoGHGi6XA+6+W3YVJMNNN+2Hovir1z3PxQ9+8AXc\nfffnoevJS3+nVpuBYWQu/dkwUqjVZrBmzf04dern+Nu//RW89tr/w8aNj7a8fpKvv59b0Kk5NNkF\nUDQNDgKbNwOHDsmuhGQ5d+5tTEycwI9+9L9g2zWMjR3Fiy/+H6xceTtqtdlLf69Wm4VpZvBv//Zl\n3HrrZ7F580GMjLyH5577r/id33lW4n8BtVo6DdxzD7spU3Mw8FDT7NgBnD8PnD0ruxKSobt7Mz7z\nme8CAKamTuG73/0j7N373zA7O4JXXvkqbLsGx6niwoX3USwOo14vwTDSAIBksg3V6ozM8qnFFMWf\nDuehxNQsDDzUNIriLzz8p38CymXZ1VBrXX2L7nnepc+lUh245ZbfwVNPfQqe52L37j+CphnYs+eP\n8MMf/k+88cYTcF0H+/f/bwl1kyy7dgEdHbKroCgTnv9KRNQ0p04B3/sewGcaEc1lzRrg3ntlV0FR\nx0XL1HQrVgDbt8uugoiCqFAA7rpLdhUUBww81BJbt/q7L4iILtJ1f92OxsUV1AIMPNQSQvi7L3I5\n2ZUQURAI4Z/Bl8/LroTigoGHWsY0gYceYvdUIgLuvNNvX0HUKgw81FKZjB96EgnZlRCRLLfdBmzY\nILsKihsGHmq5QgF48EF//p6I4mXTJnZSJjkYeEiKjg5g/35AVWVXQkStMjwM7NwpuwqKKwYekmbF\nCr/3BtvIE0XfqlX+GXu83kkWBh6SanCQPTiIoq631++6zrBDMjHwkHRr1wK33y67CiJqhvZ24MAB\nTl+TfAw8FAhbtvjNCYkoOvJ5f1cmNyhQEDDwUGDs2AGsXy+7CiJqhHSaLSgoWBh4KFB27waGhmRX\nQUTLkUj4YSedll0J0WUMPBQoF4+g6OuTXQkRLYWu+322eGQEBY3wPM+TXQTRtWwbeP554MQJ2ZUQ\n0UIlEsADDwCdnbIrIfo4Bh4KLNcFXnwROHpUdiVENJ9Uyp/GKhRkV0I0NwYeCjTPA/7934G335Zd\nCRFdTy7nh51MRnYlRNfHwEOh8POf+x9EFCzFoh92LEt2JUQ3xsBDofH228BPfiK7CiK6qLvbX7Nj\nGLIrIZofAw+FytGj/roe15VdCVG8rVrln4WnabIrIVoYBh4KnTNngB/+EKhWZVdCFE8bNwJ33MGz\nsShcGHgolMbHge9/H5iell0JUXwIAezcCWzaJLsSosVj4KHQKpf90DMyIrsSoujTNL8p6OCg7EqI\nloaBh0LNtoEf/Qg4flx2JUTRZVn+iedsKEhhxsBDoed5wE9/Chw6JLsSouhpawP27weyWdmVEC0P\nAw9FxvHj/g4uLmYmaowNG/zFyaoquxKi5WPgoUiZmfGnuM6dk10JUXgZBrBnD7B6texKiBqHgYci\nx3WB114D3nhDdiVE4dPeDtx3H6ewKHoYeCiyTp4E/vVfgUpFdiVE4bBxI3D77ZzComhi4KFIm50F\nXnjBb1ZIRHMzTeCuu7jlnKKNgYciz/P8g0dff93/PRFd1tkJ7NvHk84p+hh4KDZOnfKnuEol2ZUQ\nBcPmzcCOHYCiyK6EqPkYeChWymV/iuvUKdmVEMmTSAB79wL9/bIrIWodBh6KHc/zd3D9/Oc8dZ3i\np6fHP+U8lZJdCVFrMfBQbI2PA6+8wgXNFA+JhL8Da3iYp5xTPDHwUOwdOQL8x3/4011EUbRunb9W\nJ5GQXQmRPAw8RPCPo/jZz4B33+VOLoqOYhHYvRvo6pJdCZF8DDxEVxgZAV5+GRgdlV0J0dLpOrB9\nu99IkNNXRD4GHqJreB5w+LA/4lOrya6GaHFWrwZ27uSiZKJrMfAQXUe57K/tOXJEdiVE88vlgDvv\nBPr6ZFdCFEwMPETzOH3a3801MSG7EqKPU1XglluALVt4BhbRjTDwEC2A6wKHDgH/+Z+AbcuuhsjX\n3w/s2sWTzYkWgoGHaBHKZeCtt4B33gHqddnVUFz19QHbtgHd3bIrIQoPBh6iJahUgLff9j+4sJla\npb/fDzqdnbIrIQofBh6iZahWLwefalV2NRRVq1b563Q6OmRXQhReDDxEDVCr+dNcb73lj/4QNcLg\noD+iUyzKroQo/Bh4iBqoXvd7+Bw6xKMqaGmEAIaG/BGdtjbZ1RBFBwMPURPYtn9MxZtvAqWS7Goo\nDIQA1qzxg04+L7saouhh4CFqIsfxg89bbwHT07KroSBSVT/obN3qNw8kouZg4CFqAc8DzpwB3nsP\nOHaMvXzIX4C8dq1/FIRpyq6GKPoYeIharFYDjh71w8/IiOxqqJUSCeCmm/ygw/U5RK3FwEMk0YUL\nfvA5coS7u6JKCGDlSj/k9Pfz+AciWRh4iALAdYHjx/3wc/KkPwVG4ZbL+SHnppt4cjlREDDwEAXM\n7Kw/4vPee8DkpOxqaDE0zV+TMzwM9PTIroaIrsTAQxRg5875Iz8ffQSMjsquhuZiWf7ZVitXAgMD\ngK7LroiI5sLAQxQSpZIffE6e9H/lURZyCAF0dfkBp68PaG/3P0dEwcbAQxRCnufv8Dp50v8YGeG6\nn2ZKpfyAs3Il0NvLbeREYcTAQxQBlQpw6tTlAMRjLZZHUfw1OBenqriFnCj8GHiIIsbz/O3uF9f9\njI35i595pV9fIuEf0Fks+kGnt5drcYiihoGHKAZs2w9BY2OXPy5c8A87jRMh/O3ixaI/atPe7v/K\nbeNE0cfAQxRTngdMTV0OP6Oj/q8zM7IrawxNuzxqc/Gjrc3/PBHFDwMPEV2lWvVD0PS0vxaoXPZ3\niF35q+wdYqoKJJP+lvCLv178fTIJFApANsvdU0R0GQMPES2a41w/DJXL/iJq1/U/PO/jv/c8f2Gw\novih5Nrf6/r1w4xlAYYh+1+AiMKGgYeIiIgiT5FdABEREVGzMfAQERFR5DHwEBERUeQx8BAREVHk\nMfAQERFR5DHwEBERUeQx8BAREVHkMfAQERFR5DHwEBERUeQx8BAREVHkMfAQERFR5DHwEBERUeQx\n8BAREVHkMfAQERFR5DHwEBERUeQx8BAREVHkMfAQERFR5DHwENGC1Ot17N69G7/7u78ruxQiokVj\n4CGiBfmXf/kXrFu3DocPH8b7778vuxwiokURnud5sosgouB77LHH8Ku/+qv4xS9+gXq9jj/90z/F\nT3/6U3z1q19Ff38/jhw5glqthi9+8Yu4/fbb8fnPfx7pdBq/+MUvcPbsWQwNDeEv//IvkUwm8dpr\nr+HP//zPUS6Xoes6/uAP/gB79uzBJz/5SXz2s5/FgQMHAAB/8Rd/AQD4vd/7PfzJn/wJjh8/jomJ\nCaRSKXzlK1/B4OAgHnvsMeTzeXzwwQf41Kc+hd/+7d+W+c9ERAHFER4imtfRo0fx5ptv4sEHH8Qj\njzyCZ599FhMTEwCAt956C48//jiefvpp/MZv/Aa+/vWvX/q6w4cP4xvf+Aa+973v4fz58/j+97+P\n8fFx/P7v/z6+8IUv4Nlnn8WXv/xlfO5zn8NHH32EgwcP4umnnwYAOI6D5557DgcPHsRLL72EXC6H\np556Cj/4wQ+wadMmPPHEE5ceJ5fL4Z//+Z8Zdojouhh4iGhe//AP/4C9e/cim81i06ZN6Ovrw1NP\nPQUhBHp7e7Fu3ToAwPr16y8FISEE9uzZA13XoWkahoeHMTk5iUOHDqG/vx+bN28GAKxZswbbtm3D\nq6++igcffBCvv/46RkdH8corr2BgYAD9/f04cOAAHnnkEXzzm9/El770Jbz66qsolUqX6tu+fXvr\n/1GIKFQ02QUQUbCVSiU888wzsCwL9957LwBgdnYWTzzxBDZt2oREInHp7wohrvpa0zSv+v88z8Nc\ns+iu68JxHFiWhQceeADf/e538frrr+PgwYMAgCeffBLf/va38elPfxoPP/ww8vk8Tp06denrk8lk\nQ/+biSh6OMJDRDf03HPPoVgs4uWXX8YLL7yAF154Ac8//zxKpRLGxsau+3VzBRshBLZs2YJjx47h\n0KFDAIAjR47gtddew44dOwAABw8exHe+8x288cYb2L9/PwDgxz/+MT7xiU/g0UcfxapVq/DCCy/A\ndd0bPhYR0ZU4wkNEN/Stb30Ln/nMZ64avclkMnjsscfwd3/3d9f9OiHEx0Z8AKBQKOCv//qv8aUv\nfQnlchmKouDP/uzPMDAwAAC4+eaboes69u/fD8MwAACPP/44vvjFL+KZZ55BoVDAfffdh5deeumq\nxyIiuhHu0iIiIqLI45QWERERRR4DDxEREUUeAw8RERFFHgMPERERRR4DDxEREUUeAw8RERFFHgMP\nERERRR4DDxEREUUeAw8RERFFHgMPERERRR4DDxEREUUeAw8RERFFHgMPERERRR4DDxEREUUeAw8R\nERFFHgMPERERRR4DDxEREUUeAw8RERFFHgMPERERRR4DDxEREUXe/wfUVYyxwEKdVQAAAABJRU5E\nrkJggg==\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nyPv/nzEMg2D7nD4AAAAASUVORK5CYII=\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3XmYXHWdL/73Oaf2ql7TW9JJOnuaJAQSSQBJkMWJKA7D\nqLigoPhDR8fLHYdHlMtw9XEQxdHx6g91xisIDoiiMiLOIAICIi6ERSCEkJCVJJ10d7q7ums7dU6d\n871/FGmS0F3dnXTV95zveb+eJ09Dp7rqU51a3vVdPl9NCCFAREREpDBddgFERERE1cbAQ0RERMpj\n4CEiIiLlMfAQERGR8hh4iIiISHkMPERERKQ8Bh4ij+ru7sbKlSun9DP79u3D/fffX6WK5HryySfR\n3d2NK6+8ctquUwiBn/70pxgZGZm26yQib2LgIfKo888/H+eff/6kL79x40a8853vxMaNG6tYlTzN\nzc1461vfitWrV0/bdf7d3/0dPv/5z8O27Wm7TiLyppDsAohobN/5znemdPl9+/bBNE2o2kt08eLF\n+Pa3vz2t17l9+3Zomqbs74yIXscRHiKPOnJKa9++feju7sYnPvEJ/PCHP8S6detw2mmn4aabbgJQ\nnu657rrrAAB33303zjvvPADAeeedh1WrVuHb3/421qxZg8985jOj13fVVVfhtNNOw6pVq3DVVVdh\n//79o7d92WWXobu7G3/605/wnve8ByeffDI+9KEPYd++fRPWXSgUsGrVKpx66qkoFAqj33/f+96H\n7u5ubNu2DaVSCTfeeCPWrVuHFStW4LzzzsOtt946etmx6t64ceMbprT+7d/+Deeeey5WrFiB9evX\n41/+5V9Gw8u1116L7u5uPPjgg/jwhz+MlStX4m//9m+xefPm0fvY09MDIQTWrVuHX/ziF1P/RyIi\n32DgIfIwTdOO+v+nn34a3/3udzFv3jzkcjncfvvt+OMf/4jm5mYsW7YMANDZ2Yl169aN/oxpmvjB\nD36A5cuXY+XKlUin07j00kvx0EMPYfbs2ejq6sJDDz2ED37wg0in00fd3j/8wz8gHo8jkUjg6aef\nxje/+c0Ja47H47jgggtgmiYeffRRAEBPTw+ef/55LF26FEuWLMEtt9yCO+64A6FQCKeffjoGBgbw\nta99DVu2bBm37mN/J7/61a/wrW99C8ViEWecccbo5R9++OGj6rnuuutQLBbR0NCALVu24Etf+hIA\n4E1vehNisRgA4Oyzz8asWbMmvG9E5F8MPEQ+UigUcOedd+LOO+/EO9/5TgDA5s2bsXjxYlx22WUA\ngPXr1+Of//mfR39GCIHrr78et99+Oy6//HL8+Mc/Rl9fHy666CLce++9uPfee/HOd74TBw8exF13\n3XXU7V1yySW44447RoPOSy+9NKk6/+Zv/gYA8MADDxz19aKLLgIArF69Gv/4j/+I++67D7feeisu\nueQSAMDu3bvHrfvYaacFCxbgmmuuwd13341bbrkFf//3fw8A2LNnz1GXW7duHX7yk5/gRz/60VH3\n4dOf/jSam5uhaRq+8pWv4PTTT5/UfSMif+IaHiIfaWtrw+LFiwEA8+fPBwBYlgUA465D0TQNp556\n6uj/P//88wBeDx9AOaD813/9F1544YWjfnb9+vVj3tZETj/9dHR0dOD3v/89CoUCfv3rX8MwjNGQ\ntnbtWuRyOXzjG9/AM888g1deeQUAUCwWx637WMuXL0cul8Odd96JZ555ZjTIHHkdR96HuXPnQtf1\nSd8HIlILR3iIfOTwFAwAhELlzyuTWXCbSqVG//vwlNCRPzfedcTjcQCAYRiTvq3Dt3HRRRehUCjg\npz/9KTZt2oQ1a9agvb0dAPClL30Jn/zkJzE4OIhPfvKT+OAHPzhh3ce67bbbcPnll2Pbtm340Ic+\nhKuuumrMGg/fhyPvBxEFDwMPkY8cu6bnSLpefjq7rvuGvzvyjX758uUAgPvuu2/0e7/85S8BAKtW\nrZr07U3k8LTW4Z1VR44o3XPPPdB1HTfddBPe8Y53YO/evWNeR6WAcs8990DTNPzTP/0TLr74YvT2\n9k65xsP3z3GcKf8sEfkLp7SIFNHc3AwA+M1vfoOenh7ccsstAN444vH+978fd911F371q19h69at\nAIBt27Zh1qxZuPTSS6etnoULF2L58uXYvHkzYrEY3va2t43+XWdnJ7Zv3453v/vdiEQio1NauVxu\n9DITjSYdvo6PfexjaGtrw6ZNm95wHROZMWMG9u/fj09+8pO44oorRqfciEg9HOEh8rEjR2DOOOMM\nnHPOOSgWi0dtMT92lKalpQV33303NmzYgAMHDmD//v3YsGED7rrrLtTV1Y37c8fj8CjPueeei2Qy\nOfr9L3/5y1i6dCkOHDiAlpYWfP3rXwcAPPfcc+Pe/rH/f91112HVqlUYGhoCAHzve98D8PoaJU3T\nJryOj3/842htbcXu3bthmuZx308i8j5NsOMWERERKY5TWkQ0Jdu2bavYj2fJkiX49Kc/XcOKiIgm\nxsBDRFOSTqfxyCOPjDvllc1ma1wREdHEOKVFREREyuOiZSIiIlIeAw8REREpj4GHiIiIlMfAQ0RE\nRMpj4CEiIiLlMfAQERGR8hh4iIiISHkMPERERKQ8Bh4iIiJSHgMPERERKY+Bh4iIiJTHwENERETK\nY+AhIiIi5THwEBERkfIYeIiIiEh5DDxERESkPAYeIiIiUh4DDxERESmPgYeIiIiUx8BDREREymPg\nISIiIuUx8BAREZHyGHiIiIhIeQw8REREpDwGHiIiIlIeAw8REREpj4GHiIiIlMfAQ0RERMpj4CEi\nIiLlMfAQERGR8hh4iIiISHkMPERERKQ8Bh4iIiJSHgMPERERKY+Bh4iIiJTHwENERETKY+AhIiIi\n5THwEBERkfIYeIiIiEh5DDxERESkPAYeIiIiUh4DDxERESmPgYeIiIiUx8BDREREymPgISIiIuUx\n8BAREZHyGHiIiIhIeQw8REREpDwGHiIiIlIeAw8REREpj4GHiIiIlMfAQ0RERMpj4CEiIiLlMfAQ\nERGR8hh4iIiISHkMPERERKQ8Bh4iIiJSHgMPERERKY+Bh4iIiJTHwENERETKY+AhIiIi5THwEBER\nkfIYeIiIiEh5DDxERESkPAYeIiIiUh4DDxERESmPgYeIiIiUx8BDREREymPgISIiIuUx8BAREZHy\nGHiIiIhIeQw8REREpDwGHiIiIlIeAw8REREpj4GHiIiIlMfAQ0RERMpj4CEiIiLlMfAQERGR8hh4\niIiISHkMPERERKQ8Bh4iIiJSHgMPERERKY+Bh4iIiJTHwENERETKC8kugKbusssuw/r16/Hxj3/8\nqO//4Ac/wMaNG/HYY49h8eLFMAzjqL//7ne/C9d18Vd/9VdYunTp6PeFELj88svx7ne/uyb1ExHV\nynPPPYdvfOMbSKfTEEKgo6MDn/vc5xCLxfDWt74VN9xwAy655JLRy996663Yvn07vvKVr+Dmm2/G\nXXfdhfb2dmiaBsdxMGPGDHzhC1/AvHnzKt7uf/7nf+Lmm2/GwoULccUVV+D6669HS0sL7rzzTkSj\n0SrfaxqTIN954IEHxIYNG97w/QsuuEA88cQTYunSpWJoaGjMn927d6849dRTj/rewYMHxZo1a8TL\nL79clXqJiGQoFoti7dq14qWXXhr93i9/+UtxzjnniFdffVV0d3eLNWvWiF27do3+/a233iquvfZa\nIYQQN998s7jhhhuOus477rhDvOtd75rwti+77DJx3333CSGEuPbaa8V3v/vdabhHdCI4wuND559/\nPm688UY8/fTTOO200wAAGzduBACcddZZAMqjNpPV3t6Orq4u7Nmz56iRHyIiPysUCshms8jlcqPf\nu+iii1BXVwfHcRCLxXDFFVfg6quvxt13341wOHzUa6cQ4g2vpWeccQb+9V//FQCQyWRw4403Ytu2\nbSiVSjjzzDPx2c9+Fl/96lexadMm7N+/H319fXjkkUcQjUaRyWTw2c9+tjZ3nt6AgceHQqEQ3vve\n9+Kee+4ZDTx33303Lr300tHLXH755UdNac2ZMwc333zzmNf3l7/8Ba+++ipOOeWU6hZORFRDDQ0N\nuOaaa3DllVeipaUFq1evxumnn44LL7wQhw4dAgB84hOfwB/+8Ad84xvfwOc+97mK11cqlfDzn/8c\nZ5xxBgDgy1/+MlasWIGbbroJjuPg2muvxW233YbrrrsOW7ZswWWXXYYNGzZg+/btWLJkCa644oqq\n32caHwOPT73vfe/DhRdeiHw+D8uy8Ic//AFf/OIXR//+jjvuQGNj45g/WywWcfHFFwMAHMdBY2Mj\nvv71r6O9vb0mtRMR1cpHPvIRvPe978XGjRvx1FNP4fvf/z6+//3v45vf/CYAQNM0fO1rX8PFF1+M\n9evXQ9O0o37+/vvvxzPPPAMAsG0bK1aswJe+9CUAwGOPPYYXX3wRP//5zwEApmlC18feCzSVUXeq\nDgYen2ptbcWb3/xm/Pd//zdyuRwuuOACpFKpSf1sNBrFvffeW+UKiYjkeuaZZ/CXv/wFV155Jc45\n5xycc845uPrqq/HXf/3X+OMf/zh6uZkzZ+KLX/wiPve5z41+GDzswgsvxPXXXz/m9buui29961tY\nsGABAGBkZOQNgYm8g9vSfezSSy/Ffffdh1/+8pf44Ac/eNTf8dMEEQVdc3Mz/v3f/x1PPfXU6Pd6\ne3tRKBRw7rnnHnXZCy64AGeffTZ++MMfHvX9Sq+l69atw+233w4hBCzLwqc+9Sncdddd03snaNpw\nhMfH1q5di3Q6jcbGRixevPiovzt2DQ8AXH311ViwYAE/gUyFEEA+D2QygGUBpRJg2+Wvx/4Z7/ul\nEuC6QDh89J9I5I3fG+tPJAIkk8A4Q+UkX8EuwCyZKLklWI4F27VhO/aYXy3Hev1yr33PFS5Ceuio\nP2E9/Ibvjf6dET7qcslIEnWROhi6MXGxATJ//nx85zvfwbe+9S309PQgHo+jrq4ON9xwA8Lh8Bte\nC6+//vrR6SugPN1V6fXy+uuvx4033oiLLroItm3jrLPOwpVXXjnmZfm6K58mOBRAQWfb5UCTyQAj\nI69/PfzfjiO7wnLYSaWA+nqgoeH1rw0NQF0dw1AN5O08RoojGDaHy1+Lw6P/b7u27PIAAMlwEvXR\netRF68pfI+Wv9dF6xMNx2eURScXAQ8Fg28ChQ0cHmsNfCwXZ1Z0YTSuHnvr6NwaiujrA4Kf+ycrb\n+TEDzUhxxDOh5niF9NBRIehwKGqKNaEuWie7PKKqY+AhNWUyQG/v638GBsrTU0GjaUBTE9DR8fqf\nSS5uV53t2OjL9eFg9iAOZg+iL9fn+1BzvBLhBDpSHWhPtqM91Y4Z8RmcHiPlMPCQ/zlOOdAcDjcH\nD5bX3dDYUqnXw8/MmUBjYzkYKc4smaPh5mD2IA7lD8EVruyyPMnQDLQmW0dDUFuyjVNi5HsMPOQ/\nhQLQ1/d6uOnv98Y6G7+KRo8eAWppUWIaLFPM4ED2wGjASZtp2SX5WkO0Ae2pdrQn29GR6kBjrJEL\ncclXGHjI+1wX6OkB9uwB9u0DhodlV6S2UAhobS2Hn9mzy1998MaWtbLYk96Dg9mDOJA9gLzNUb5q\nihgRdKQ60NXQhXmN8zgCRJ7HwEPeZFnA3r3lkPPqq+X/JzkSCWDBgvKf9nZPhZ+clcPOoZ3YObQT\nvble2eUElgYNbck2dDWWw09jbOwu70QyMfCQdxQKwK5dwO7d5REdl+srPCeZBObPBxYuBNrapISf\nnJXDrvQu7BzaiYPZgzW/fZpYY6wRXQ1dWNC0AK3JVtnlEAFg4CHZisVywNmxA9i/P5g7qfwqmXx9\n5KfK4Sdv50dHchhy/KU+Wo+FTQuxsHkhmuPNssuhAGPgodqz7fJU1Y4d5WkrjuT4Xyp1dPiZBnk7\nj11Dr4/kCPClyu+aYk1Y2LwQC5sWoiHWILscChgGHqqdwUHgxReB7dvLxy2Qmg6Hn6VLyz2ApsB2\nbGwf3I4dQztwIHOAIUdhbck2rGhbgQVNC6Br7BRO1cfAQ9UlRHk058UXy+tyKFhmzQJWrAC6uipO\neaXNNF7qfwnbBrbBcrhAPUgS4QSWtS7DSS0ncacXVRUDD1VHsQhs3Qps3lzuekzBlkoBy5YB3d1A\nLAagfAr1q8OvYnP/Zuwb2Se5QJLN0AwsbF6Ik9tOxozEDNnlkIIYeGh6pdPl0Zxt2zhtRW9kGHCX\nLMKeBU348+BmZCyGYXqjmamZWNG2AvMa57G5IU0bBh46cUKUFx+/+GK5MSDRGEqxEDJtEWQjRbjC\nQS4Ww+4osE8zZZdGHpWKpLC8dTm6W7oRDUVll0M+x8BDx8+2X5+2YvdjGoeVimCkxUDeKIy5BLkY\niWBf3MBOrQDu16OxhPQQFjcvxoq2FWiKT20hPNFhDDw0dZYFPPcc8NJL7IBM47JSYaRbDRT0yY3g\nlEIhvJoIY7tWgOAsBo1jdv1srJm1hg0NacoYeGjyHKcccv7yF8DkNASNzU6EMdweRk4/vrOsrHAY\nuxIh7NYK01wZqWRh00Ks6VyD+mi97FLIJxh4aGJClJsEPvUUd1zRuEpRA8MdEeRCY09dTVUxEsGO\nhIG9YPChsemajmWty7B65mrEQjHZ5ZDHMfBQZfv3A08+CRw6JLsS8ignrGOkI4ZMpFCVRoGFaBSv\nxIADWnHar5vUEDEiOKX9FJzcfjJCekh2OeRRDDw0tsHBctDZu1d2JeRRbkjHyMw4MpEC3BosN87F\nYng55uIQuG6MxpYMJ3HarNOwZMYSbmenN2DgoaPlcsDTT5f76PChQWMQALIdCQyninCEU/PbTyfi\n2BSxkEftb5v8oTnejLWdazG3Ya7sUshDGHio7PDOqxdfZMNAGpdVF8Fgm4Yi5E4vubqOvakYtmp5\n7uiicc2qm4XTO0/nji4CwMBDrlvuo8OdV1SBG9KRnhVFJuytBcTFSBQvJQT6OM1FFSxsWoi1nWtR\nF62TXQpJxMATZENDwKOPckEyVZRtiyNdb8MR3h35G0zG8UKoiKLG1oU0trAexumzT8dJLSdxfU9A\nMfAEkRDl6atnnimP8BCNwUqGMdRhwIQ/Rv4cw8CeVBSvaMfX/4eCobOuE2d3nc3RngBi4AmaoSHg\nsceA/n7ZlZBHubqG4c44MpF8FTaZV18hGsPmmIsBjdNcNLawHsYZs8/ASa0nyS6FaoiBJyiEAF54\nobwDy+HuFhpbsT6CQ60uSvDu9NWkaEBPXRIvajkuaqZxza6fjbO7zkYqkpJdCtUAA08QpNPlUZ2+\nPtmVkEcJrTyqMxIrQKWXhEI0hufiDkZgyy6FPCpiRHDG7DPQ3dItuxSqMgYelXFUhybBToQx0KGh\nqOgUkNAN7EpF8IrurR1m5C1z6ufg7K6zkYwkZZdCVcLAo6rh4fKoTm+v7ErIwzIdcaSTxZp0SpZt\nJBHHXyIWTDYspHFEjAjOnH0mlrYslV0KVQEDj2qEADZtKo/qsIEgjcMJ6xicHUU+YKMeJSOEl1Mh\n7Nf8sfOM5JjbMBfr567naI9iGHhUks8DDz8MHDwouxLysEJzDAPNJU/31am2/lQCzxsFOBpf/mhs\nESOCt3S9BfOb5ssuhaYJA48qenuBhx4qhx6iMQhNw9CcODJhPkYAwIpE8GxcYFjjgmYa3+qZq/Gm\nmW9is0IFMPCoYOtW4IknuDCZxuWEdRyaE4apyT0Dy2tcXcfLdRHs5RQXVdDV0IXz5p+HsBGWXQqd\nAAYeP3Nd4M9/Lh/4STQOqy6C/jYFeutUUU99Epv0nOwyyMOaYk3YsHADGmINskuh48TA41emWV6v\n09MjuxLysGxbHIN1JoQveybX1kgijqfDJmyu66FxRI0ozpt/HuY0zJFdCh0HBh4/GhgAHnwQyGRk\nV0IeJQCk5yYwwvU6U1KMRPBswsUIR8NoHBo0rO1ci1M6TpFdCk0RA4/f7NxZ7q/DLec0Didi4NDs\nMEyuSzkurq7jpboIt65TRYuaF+EtXW+BoRuyS6FJYuDxCyHKp5s/+6zsSsjDrFQY/R1ASXDn0QnR\nNOxLxbHZ4AgZja8l0YINCzfwLC6fYODxA9sGHnkE2LNHdiXkYYXmGA41WYHomlwr6WQCT4Xz/I3S\nuOKhOP5q4V+hI9UhuxSaAAOP1w0Pl9frDA3JroQ8rLw4ucClyVWQjcfxZNREib9dGoeu6Thrzlk4\nqfUk2aVQBQw8XtbfD9x/P1Bk7xQa38isBIbinHqppkI0hidjFooax3pofKe0n4LTZ58uuwwaBwOP\nV/X2Ar/+NWCpeYI1TY+hOQmMRBh2aqEYiWBjwkGeh49SBSe3nYwz55wpuwwaAwOPFx04ADzwQHnt\nDtEYBIDBrjiyoWAd/ilbKRTC00mNx1FQRctal+GsOWfxOAqPYeDxmv37gd/8htvOaVxC13CoK4q8\nzm3TMjiGgefqDBwCR19pfN0t3Vg/dz1Dj4cw8HjJ3r3lBco8E4vG4YZ09M/lmViyubqOzfUR9ICh\nk8a3ZMYSvKXrLQw9HsHA4xV79pSPimDYoXE4YR19c0OwOLLgCULTsLU+ij1sUEgVLGxaiHPnnwtd\n02WXEngMPF6waxfw29+WDwMlGoMT1tE7NwSbYcdbNGBLfQyvMvRQBfMb5+P8Becz9EjG375sO3Yw\n7FBFh6exGHY8SADdI0V0Iia7EvKwXeldeGjHQ3BcjuDLxMAj0yuvlDsoM+zQOFxdQ//cMIrgmh2v\n0oTA8pEiOhCVXQp52J7hPXhwx4MMPRJxSkuWrVuBxx8vn5FFNAaha+jrisLkbixfcHUdz9WF0K9x\nJI7G11nXibctehtCekh2KYHDwCPDSy8BTzwhuwryMKFpODQvhrzOPjt+4hoGnqnTMQj26aHxzUzN\nxAWLLkDYCMsuJVA4pVVrO3Yw7FBFAsDAvDjDjg/pjoPVGReNiMguhTzsQPYAHtr5EFzB5Qy1xMBT\nS729wGOPya6CPG5wXgI5ncdF+JXhODgtK1AnOGVB49s3sg9/3PtH2WUECgNPrYyMlDsos88OVTA4\nN4GswbDjd0bJxtq8hqQwZJdCHvZS/0t4ofcF2WUEBgNPLRSL5bOxTC4+pfGNzEogE2bYUUXItrGm\nEEII7LJL43ty35PYnd4tu4xAYOCpNtcFHnoISKdlV0Ielp8Rw1CcYUc1UauINUVuV6fxCQg8susR\n9Of6ZZeiPAaeavv974GeHtlVkIdZqTAGGrmVWVX1BROnlhKyyyAPK7kl/GbHb5C1srJLURoDTzU9\n91y53w7ROJyIgf52ARfcraGy9mweiwRDD40vb+fxwPYHYDtsaVAtDDzVsnMnsHGj7CrIw4SuoX92\nCCWUZJdCNbBgpIAOHkFBFQwWBvHwzofB9njVwcBTDX19wKOPyq6CPG5gbgxFjUdGBIUmBFZkbG5X\np4r2juzldvUqYafl6ZbJAPfeCxTYNI7GN9yZQDqmziLlHz/wJJ7ctAMlx8VF56zCmuXz8c0fPYhs\nvggBgc9++O3omNGAe377DH73THmad+2K+fjQO85E0bLx1dt/jXQ2j0Q0gms+fAEaUgls3rEf37vn\nd9A0YFV3Fz7y12dJvpfToxiJ4A9xG7bGl14a35vnvBkr2lbILkMp/KgxnSyrvP2cYYcqyLfElAo7\nz2/biy27evDNay5FoWjjZw9txK2/eBznr12Gs1cvwfPb9mJ3zyEIATz61Bbc/LkPQtM0/OO//hhn\nnbIIz7y8Bwtmt+JD7zgTjz39Mu769ZP45CXn4nv3/A6fufxtmNsxA1f/60+wq+cQ5s9qkX13T1jU\nsrDWiOGPEROCO9ZpHH/a+yfURerQ1dgluxRlcEpruggBPPwwMDQkuxLyMCsVxqEGtaaxntmyG/Nn\nteIL/34vPv9vv8AZKxdh884e9A9l8Ln//2d45KktOHXpXLQ11eHLV70bmlZ+ly85LiLhEF7a0YPT\nls0DAKxZPh/PvrwHABANh5DJmbBLDiy7BENXJx2kCiZOcbiImcZ3eLv6QH5AdinKYOCZLs8+C+zb\nJ7sK8jDX0HCoQ4OAWlMZw9kCXtnbi89/7CL8wwfeipt+8N/oHRhGXTKGr/7PS9DaVIefPrgRhqGj\nPhmHEAL/957HsHhOOzrbmpAzi0jGy71q4tEIcoVyIHzPW0/D//7uL/CxG25HW3M95rQ3y7yb0649\nm8ccwUXMND7btfHwzoe5c2uaMPBMh97ecuAhqmBwTgy2UK/fTn0yjtUndcEwdMxub0YkHIIrBM5c\nuRAAcObJC7FtTy8AwLJLuOm2+2FaJVz1/vMBAMlYFPlC+fdSKFpIJWKw7BK++7NHcMvnr8DtX/z/\nMKu1ET9/+Gk5d7CKurM2UlxZQBUMF4fx531/ll2GEhh4TpRtl3dkce03VZBtjyNnqLm2a8XCTjy9\neTcAYCCdhWnZePPKRXhy004AwAuv7MO819befOHf78XC2a34nx946+jU1rKFs7Bxc/myGzfvwsmL\nOuEKgZLjIhoph4Gm+iSyBbWmAoHXTlcvGNB5/ARVsOXQFh4/MQ24S+tE/e53bC5IFVmpMA62l5Sb\nyjrSLb94HM9v2wtXCHz0b9ZhTnszvnHngzAtG6l4FP/roxfiL1tfxU233Y+T5s/E4V/FRy9ejwWd\nLfjafzyAweEcwiED1370QjTVJfDoU1vwn488i2gkhFQihmsuv2B06ks1vakEngups5Cdpl8sFMN7\nlr0HiTDXfh0vBp4TsXNneaEy0TiEoePAPAM2OAdPlb3YEMN+jQcM0/hm18/G2xe9fXR0lKaGU1rH\nK5crn5NFVMFQZ5RhhyblpKyNGF+SqYJ9I/uwuX+z7DJ8i8+u4yEE8NhjQFG9NQU0ffIzYsiE1Vy3\nQ9PPcBysLkZkl0Ee9+S+JzFYGJRdhi8x8ByPTZuA/ftlV0Ee5kQNDDZyZIempq5gYqkbl10GeZgj\nHDyy6xE4riO7FN9h4JmqgQEeCkoTGpgVhgO+INHUdWVNNIIjPTS+wcIgnup5SnYZvsPAMxWOAzzy\nCOC6sivImhF1AAAgAElEQVQhD8u2J1DQufiUjo/mCpxc4KJUquyF3hewb4TNbqeCgWcqnnySR0dQ\nRU5YRzrFtV10YhLFIhZzaosm8Njux2CW+OFqshh4JmvvXuDFF2VXQR431BnlVBZNi3nZIhLswkwV\n5O08fr+Hu4Uni4FnMorFcoNBogoKTTFluylT7emui5VWWHYZ5HG70ruw9RCb304GA89kPPUUkGcX\nVBqfq2sYnMGRHZpeDfkC5vKAUZrAk/ufRLHEqfSJMPBMpL8f2LJFdhXkccOdcZQEt6HT9FucKyEs\nuIiZxmeWTO7amgQGnkqEAP7wBx4MShVZdVFkopzKouoIlUo42eECZqpsS/8WHMofkl2GpzHwVLJt\nG9DXJ7sK8jABYKBVgEfSUTW1ZvNoY28eqkBA4IlXn+BrUQUMPOMpFsvb0IkqyMxMwNIs2WVQACzL\n8wWbKuvL9WHbwDbZZXgWnz/jefppwGR/AxqfE9YxnOBjhGojallYwt48NAEuYB4fA89YBgaAl16S\nXQV53PCsGFyw6zbVzuycxQXMVJFZMvHMgWdkl+FJDDxjefJJLlSmiux4CNkQWxVQbRmOg2VuQnYZ\n5HEv9b+EYXNYdhmew8BzrL17gX08n4QqS7eHwUhMMrTn8kjAkF0GeZgrXDy5n2tQj8XAcyQhgD//\nWXYV5HHF+gjy7KhMkmiuwHKbO7aost3p3TiQOSC7DE9h4DnSyy/zcFCaULqFayhIrua8iSbBYyeo\nsj/v+zO3qR+Bgecw2y7vzCKqIN8Sh6lxBwRJJgSWWZzWosr68/3YMbRDdhmewcBz2PPPAwVOU9D4\nBIB0Q0l2GUQAgFTBxCzwnC2qbOP+jXBcnvMHMPCUmSbwwguyqyCPy3bEYYPnZZF3LC5wuoIqy1pZ\nvNTPNisAA0/Z5s1AiZ/caXyurmE4yY7K5C2xYhHz2YyQJrCpbxNcwZ5hDDylUjnwEFWQa4/DAYeF\nyXu6TD4uqbKslcXOoZ2yy5COgWfrVh4hQRUJTcNIgqM75E1Ry8JswbU8VNnzB5+XXYJ0wQ48QgCb\nNsmugjwu3xJDCZzyJO+az42DNIGBwgD2jQS7qW6wA8/OncDIiOwqyONG6jllQN6WME20gc0IqbKg\nj/IEO/A8H+x/fJpYoTkGC5zOIu9bWAz2yzlNbH9mP/pz/bLLkCa4z5D9+4FDh2RXQR430iS7AqLJ\nqS+YaOQoD03ghd7gtmAJbuDh6A5NoFgfhQkuaCf/WGyz+zJVtnNoJzLFjOwypAhm4BkY4InoNKGR\nGTwzi/ylKW/yJHWqSEAEdpQnmIGHXZVpAnYijLzO0R3yF00ILClFZZdBHrd1YCvMUvBe34IXeLJZ\nYAcPU6PKRlpDsksgOi6teRORAL600+SV3BI29wWv4W7wnhWbNgEuW2zT+NyQjlwoeJ9+SA2662K+\ny1Eeqmxz/2aU3GD1FwtW4CkWgS1bZFdBHpdriUKAhzKSf3XwuAmagFkysfXQVtll1FSwAs/LL/OQ\nUJpQLskRQPK3mGVhhuAWdapsU1+wThoIVuB55RXZFZDHWakwimCffvK/Lofr0KiykeIIerO9ssuo\nmeAEnsHB8h+iCrLNYdklEE2LGXkThmBrBapsx1BwNvEEJ/Bs3y67AvI4oWvIRzi6Q2rQXRddiMsu\ngzxux+AOuCIY0/jBCDxCMPDQhPIzYnAEF3uSOmYVg/FGRsevUCpg/8h+2WXURDACT29vuf8OUQXZ\nFHdmkVqSpok6wbU8VNn2wWAMCAQj8HB0hyZQioVgsrMyKWi+y91aVNnu9O5A9ORRP/C4LrBzp+wq\nyOOyLXxTIDW1FWxoHLykCmzXxp70HtllVJ36gWffPsDkJ3eqLBe1ZJdAVBVGycZMsPMyVRaEaS31\nJ3c5nTXKFQL/9JvfYPfQEHRNww0bNsDQNFz7wAPQNQ2LW1rwhfPPh6YFayurlQqjBFt2GURVM9Mx\n0KP+q/24hCvw8G0Po//VfoTCIWz42AY0tjfKLstT9o7sRbFURDSkbjhWe4THtoHdu2VX4RlP7N6N\ngm3jxx/4AD515pn4P088gZt+9ztcvX49fvT+90MA+G0AA2Khgb13SG2NZrBHMLc/vR1OycGlX7wU\n69+/Ho/d+ZjskjzHFS52Dqm9/EPtwLN7N4+SOEIsFELGsiCEQKZYRFjXsbm3F2tmzwYAnD1vHv74\n6quSq6y9fIyPEVJbqFRCC4K7Tm3/tv2Yf8p8AMDMRTPRuys43YWnQvVpLbUDz47gdJCcjNWdnbBK\nJVxw2234/EMP4bLVq486IjMRiSBTDFbjvVIsBAvB/vRLwdDpBHck0ypYiMRfD3yarkG4XMl9rAPZ\nA8ha6rZwUXdWt1AoL1imUbc89RRWd3biH9etw8FMBpf/9KcoOa832stZFuqj6s7fjiXfFAHAEZ7j\nJbQQXETgijBcLQxXhMp/EILjlj9PCWiAeO0rNAghAGjQXovbmo5yc1AN5UtoLgzdgQEHmlaCAQsa\nbOjCgq7Z5cvSlDUXbSAhuwo5IvEIrMLrH2yEK6DpwVqrOFk7BnfglI5TZJdRFeoGnp07y1vSaVTB\ntpGMlD/l1MdiKLkulrW1YePevVg7Zw4e37ULZ86dK7nK2irE2Vl5LAKAiwRKWhK2iMIVEZQcHY6r\nwXV0OK4OxykvhK8lTdOg60DIEDAMAV1zYOjlgBTSigjpBYTdHBhi3yhiWWiIhzGsBW+BfueSTux4\ndgeWnrEUPa/0oHVuq+ySPGv74HZlA48mhKIfl+6/nyM8xxgxTfyv3/wGQ4UCSq6LD69ejeXt7fjf\nDz4I23GwcMYMfGnDhsDs0nIiBvbPdaHqU2AyBHQ4WhIOErDcKGwnArsUgmVrcH065K9pGkKGQCTi\nImxYCOsWwloBIeShiWBN2R5rX10Cm4287DJqTgiB3/7gt+jf2w8AeNvfvQ3NM5slV+VdH1jxAdRF\n62SXMe3UDDyuC9x+OxcsU0XZtjgG6gqyy6gZV4vDQgNsJwbLCaNoG7AD9mHfMDREwg6iodJrQSiD\nsDYSmGmyQjSGx+PsS0aVvaXrLVjaslR2GdNOzSmtvj6GHZpQIan2m5yjp1B061AsJVAohmHzKQHH\nESg4OgqIAIgASEHXZyEWLSEeLiKqZxHCMDRFD5GNF00k4iHkOeVHFRzIHmDg8Y2eHtkVkMcJQ0dB\nV2d6QwBwtDoURf1rASeEUkntQDddXFcgXzCQLyQAJKBr7YhGHcQj5QAUxjA0oU5AmO2GsU1X5/7Q\n9OvJqPkeysBDgWTWhyHg78Bja/UoigYU7RgKxRAc58iAw7BzvFwhUDB1FMw4gDg0rQ3RsItYtIiY\nkUNUDMHPi6KbS0CAW/LQJGStLEaKI6iP1ssuZVqpF3gcB+hlUymqrJg0ZJcwdZoGGw0oOI3ImTFY\nR62/YcCpFiEETEuDacUAxKDrLUjGbCQiGUQx4LvRn1TRYuChCfVkehh4PK+3txx6iCooRv3RskAA\nKGlNyDuNyBWiXIfjAa4rkMmHkMk3QdeakIg7SIQziGkD0IT3V4EbjoMGEczt6TR5PZkedLd0yy5j\nWqkXeDidRRMQuoaiZnl3UETTYKEJhVIDsmaMa3E8zBVANm8gi0ZoaEQi4SARziKOAWge7uDdJkIM\nPFSRiut4GHgocIp1EQiv9WPRNFhoRr7UgGwhcsQgJcOOXwgAubyBHBqgaY2Ix0pIRnKI4RB0j4Uf\nruOhieTtPNJmGo0xdU6VVyvwlErlLelEFRRT3lm/42pR5Nx2jOQS7KSgECEO7/yqh4Z6pJIl1IUH\nEBZDsksDAKQsm4GHJtST6WHg8azeXh4nQRMyI/IfI7bWjIzVjGw+xDEcxQkAmVwIGbQjGmlHfXwE\ncfRCg7y1hqFSCSmEkPXxbjOqvp5MD5a1LpNdxrRRK/BwOosmIDQNli5nekFoIRREO0YKdSh6a4aD\naqRoAf1WPQy9AXVJE0mjHyEh53TqDhHBdo2Bh8Z3IHNAdgnTioGHAsWqi8Ctcf+dkl6HXKkVmVwU\njk/Pp6Lp5bgC6UwUacxGIu6iLpJGTOuv6REXTSUBhGt2c+RDhVIBQ4UhNMWbZJcyLdQJPLYN9PfL\nroI8rnbrdzSYaEXGakS+oL/2PYYdeqN8QUe+0IxwaAbqEjkk9T7oovrnXdVZJQYemlBPpkeZwKNP\nfBGfOHiQ63doQsVolUOHpiGvzUJPbil6h5uPCDtEldklgcGRBPal5yHtLICrxat6e2HbRkKhz7xU\nHSptT1fn0c7dWTQJll6l3iOahoJoRzrXeEwHZKKpEQCGsxFktC401FlI6fuhV6mNQpMIIc91PFRB\nX06d91Z1Ak86LbsC8jg3pKM0zbtSBICi1oF0vpELkWlauQIYGolgWF+AhmQBdcYBaNMcfBqEjv3a\ntF4lKSZn52A7NsKG/+c/GXgoMOxUBMA0rY3Qymt00vlmBh2qKtcVGMrEMKzPR2PKRErvgSam50GX\ncoRKCxuoStJmGq3JVtllnDA1Ao8QwPCw7CrI46zY9LyyF7VWpAvNMIv8aEy147rA4EgMw/pCNKRy\nSGk90E5wxDJhO1y4TBMaMocYeDwjmwXb1NJE7MiJLVguYgaGzRkoFPmRmORx3PLi5mF9ERpTOSS1\nnuNuYhgplaAJQDC7UwVpU40ZFDUCz5A32rWTt9mh49vF5+gpDJozkS9450gKIscFBkaSGDYWozmV\nRhwHp3wdmuuiQYsg7bGzvshbGHi8hOt3aBKsKZ4OLTQDWXc20ukE3Bo2hCOaipID9A03IhGvR3O0\nB8YUOzc3CgNpjvBQBQw8XsLAQxMoRQ24Uxj2t7QWHMq1wLYBNgwkP8gXdBQKs9FUbyKl74UmJvd4\nr3c1gIOXVMFIcQSucKFr/p7O93f1hzHw0ATs5ORWZrpaDIP2IhxIHw47RP4hUF7YfDC3GJbeMqmf\nSZbYsJUqc4WLYdP/G4PUCDxcw0MTsCfaoaVpyKETPSPzkcmrMfBJwWXZwIGhFgzaiybs2BwvyTu1\nnfxDhWkt/7+yFwpAsbaHQZL/WOHxp6VKWgMGCh2vbTPn9BWpI5MPIWd2YUZdFglt/5iHk4ZtG4bQ\n4Gh87NP4VAg8/h/h4XQWTYJjvHHYXiCEYWc+9qdnsqcOKct1gf7hFHrzS2BrjWNepk5jMx6qjIHH\nCxh4aBJK2tHD9pbegp7cYqSzUUkVEdWWaWk4MDwTw+58CO3oVcpJBd4KqLqGTP8vHfH/o5zrd2gC\nAoDzWkdaoRkYdufjwFALSiUO4VOwCCGQzkTRm1+MklY3+v2EyxFOqixtpiF83p7D/4GHIzw0AScW\nggBQ0uvRm1+MdIajOhRsRQs4MNyJvJgNaBribLVMEyi5JeTsnOwyToj/A8/IiOwKyOOcWAh5zMaB\n9Cwe9En0GlcA/SMpHLIWI+xwDQ9NzO9b0/0feMxpOv2alOToMRwwTkL/cAquv0djiaoil9eRGZ6H\nmOiUXQp5XNHx945ofwceIQCLH9lpbGZ0Jg7oZ6GAlOxSiDxNKwHm8AokSqfyJFEaV7HEwCMPww6N\nQUDDcGIF+qyVcEohlLSS7JKIPE0rlZ8j+Ww7ouZ6GCIpuSLyIsvx93uuvwMPGw7SMRw9ir7YWUjn\nO0d7rDk6O8kSVeQ6o28GxWIcyJ6JGDjFRUfjlJZMDDx0BDvcgF7jLJjm0Z9OnSkcGkoUVFHt9eac\njmPAHF6BuNstsSLyGk5pycQpLXpNIToLB521sO037jZh4CGaWATHdCMXQGGkC3H7TdB8/lZB04Mj\nPDJxhxYByMSXor94Mlx37IdzCVzDQzSRyDgfDAq5FkTNN0NHpMYVkddwhEcmjvAEmoCGwcSbMFiY\nV/HIT1e88RwtIjqaUeF5YppJGLmzEEJ9DSsir+EIj0xcwxNYrh5Bf+zNyORbJrys4AnoRBPSJ9iN\nbtsRiMzpiGFWbQoiz+EuLZkYeALJDtXjoHEWCuYk+uto8P35L0S1oE3ieeI4OszhkxF3F9egIvIa\ns+TvZSQMPOQrZrQDvWItbHty6wmExrBDNBmTbjcogMLIAiRKq6tZDnmQ5Vi+/gDp78DDNTyBUoh1\nlpsJOsbkf8jfj3CimtGnOPWbz7Yibq9lZ+aA8fO0lr/fDjjCExj5WBf6i8shpvji6upcsEw0Kcex\nuL+Qa0LcPh1+fyuhyfPzwmV/P0oZeAIhF1+AQ2b3lMMOAL8/wolqZjJreMZSyDcgVjwDmpjCyCv5\nlp+3pvv77YCBR3nZ+GIcKiw+7n1WXMNDNDnaCexmNAt1iBbPhIbQNFZEXsQRHqIqGEl0Y6Cw4MSu\nhMsLiCblRD8bmGYSkQIbFJJ3+Tvw6P4un8Y3nFiOoXzXCV+P0DnCQzQZU120PJZiMY5Q/kwYiE1D\nReRFhubfqUt/JwbDv794Gl86cQrS+dnTc2Uc4SGalBOZ0jqSZcVg5M+AIeLTcn3kLbrm39jg38oB\njvAoaChxKobzHdN2fe6xByIS0ZiOd9HyWCwrCiN/JkOPghh4ZGHgUcpIYjlG8u3Te6V8iBBNzjQ3\nlLPsMIzC6VzToxhD9+/Mir/fDjilpYxsYjGGpmsa60ic0iKalGo8VSwrirB5OresK4RreGRh4FFC\nPtaFwRPdjTUOzWXiIZoMt0qfDopmAlGLHZlVwSktWTil5XtmbBYOFZdO92j6KAYeoskRVXw9NQv1\nSJTWVO36qXYYeGRh4PE1K9qCfmvqx0VMBQMP0eS4WnWfK/lcExKlU6t6G1R9XMMjC6e0fMsON6Cv\ndCpct7oPQb3k74c4Ua24Nfjkns+2I+Euq/rtUPVwDY8sHOHxJcdIoE+cNrVTz48Xd6UTTUq1R3gO\ny4/MQdxdXJPbounHKS1ZOMLjO64eQa+xFqVSbc7c0R1/P8SJasWp4duBmVmAmJhTs9uj6cPAIwtH\neHznUOQ02Fa0djco/P0EJaqVau3SGosQgJXpRhiNNbtNOnG6pkOr0UhgNfj7nYAjPL6STqxEwayr\n+e0y8BBNzKnx88R1dWi51WxM6CN+fy31efX+Lj9I8rEuDOdnSrltvz9JiWqhVmt4jmTZYUSKa9ij\nxyf8vGAZ8HvgCdVmHQidGCvchAFrqbTb14W/H+ZEtVCS9DwxCykk3ZVSbpumJqT7+z3X3+8EqZTs\nCmgCrh7BIayCK7Efjp/nnIlqpSTxHJZcpgMxMU/a7dPkJCNJ2SWcEAYeqhoBDYcia2DbYal1GPD3\nMCxRLThV6nY+WVZmCSJollsEVZSK+Ps9l4GHqiadOAUFU/6/kcb1AUQTKkle6+a6GtzcqdBFDXdx\n0pQw8MiU9Pfwmspy8XkYybfLLgMAEIK/552Jqk3TDZQ88MGgxEXMnsbAI1MoBMRisqugY9jhBgwW\nl8guY1SoRk0OifzKDcmddj6SaSaRcE+WXQaNgYFHNk5reYrQdBzSTpW6SPlYDDxElTke2/FayM5E\nVHhjhJhex8AjGwOPpwwnToZleWvUzbC5aJmoEsfwzggPUO7E7OSXQxPeqivoGHhkY+DxjGKsAyP5\nDtllvIFe9P/DnKiaLMNbIzxAeT1PzD5Vdhn0mpAeQizkrQ+zU+X/dwIGHk9wtTAOOcshJG9tHYvm\nar5vmEVUTUWPtm4o5JvZn8cj/D66A6gQeLhTyxOGYqeiZHs3VEQ0ntdDNB7To4EHAOzsIhhIyC4j\n8JJh/7/X+j/wcIRHunxsHrIFbzcM49Z0ovEVhHcDj+MYCJurZJcReBzh8QIGHqkcI4FBe5HsMiYU\nchl4iMaihUJwJB4rMRmmmULC7ZZdRqAx8HhBIsFT0yU6FF4Nx/Hup8PDuDWdaGyOh3rwVFLIzkVY\nNMkuI7AYeLxA07iOR5JMYilM0x+/ewYeorF5bUv6eISrQSusZBdmSRh4vILTWjXnGHGkzbmyy5g0\nw/L+KBSRDCUPbkkfj2XFEBdLZZcRSH4/KR1QJfA0cZiz1oaiK+G6/nn46JYOzePrFIhksH3WsqGY\nnQNDxGWXESiGZqA+Wi+7jBPmn3esSmbMkF1BoJjRmcjlG2WXMTUCiOjcmk50rLzPdjC6ro6IvVJ2\nGYHSHG+Grvk/Lvj/HgBAS4vsCgJDaDoGhT93S8Tg7y6hRNNPw4jwV+ABgEK+EVExU3YZgdGSUOM9\nVo3A09zMnVo1komfBNvy50hJpOTPuomqRUSjcH061evmu6HKW5jXMfB4iWFwHU8NlIwkhs1O2WUc\nt4jJwEN0JCvs31FP244g4Z4ku4xAYODxGk5rVd1QeCVc15+fBgEgVAgpMQ9NNF0Khr8/BJjZToTA\nXbrVpGs6muPe7qQ/Weq8+jPwVFUhNht50+er9AUQ0/37iZZoumU1f/TgGY/raggVT5ZdhtKaYk0w\ndDXaejDw0ISEZmCwtER2GdMi4vr7Ey3RdNF0A1kPn6E1WWahHjExR3YZylJlOguAz/YjVtLSUl64\n7LqyK1FOJr4Epby/PwkeFi1F4eGDoX3r/sfuxwtbXkDJKeG8M8/D/Dnz8R//+R+ABrS3tOPD7/ow\nNE3D4xsfx+MbH4ehG7jwvAuxsnslcoUcfvDTHyBv5hEJR3D5uy7HjMYZ6DvUhzt/eSccx0E4FMbH\nPvAxJOP+b37mFU40Cvh0wfKxnPwiILEP0ITsUpTTlmyTXcK0USfwGAbQ2gr09squRCmuFsZI0b8L\nlY8VLoTBKf/ptXXnVux8dSeu/eS1KFpFPPC7B/Dithdx4bkXYsXSFbjl7lvwwssvYN7seXjkT4/g\n+v9xPWzbxle/91UsW7QM9z96PxZ1LcLbz3k7tmzfgp/86if41GWfwn/84j/w7gvejflz5uPZF5/F\nwb6DWNi1UPbdVUYxFJVdwrSx7QjiYgEK2g7ZpSinI9Uhu4Rpo86UFgC0t8uuQDmZeLcvDgedLMM0\nYGjq3B8v2PzKZnS2d+I7d3wHN//wZpy67FSEw2Fk81kIIWAWTYSMEHbt3YVFXYsQMkKIx+Jom9GG\nfQf34UDfASxfshwAsLBrIbbt3AbbtpHNZfHclufw9e9/HTte3YH5c+ZLvqdq8fuC5WPZhS6o9pYm\nW8SIoDHmsyazFaj16GDgmVaOHsWIqU66Pyyusy39dMrmstizfw8+cekn8KGLP4Rb7r4F5515Hu7+\nr7vx+f/zeWSyGSxZsARm0UQ89vrvPhaJoWAWMGfmHDy/5XkAwPNbnodlW8gVcujp68GyRcvwmY99\nBvlCHn969k+y7qKSRoQa09SHleww4u5i2WUopT3ZDk1TY9oTYOChCkZiJ/nqvKzJijhqfbKVLZVI\nYdniZTAMAx2tHQgZIdz601vx2b/7LG64+gacseoM/Oy/f4Z4LA6zaI7+nGmZSMQTePs5b8fA0AC+\n9n+/hoGhATQ3NiMZTyIWiWHpgvJBkSu7V2L3/t2S7qF6tFAYpoKL2ezcbOgKrdSQrT2l1nuqWu9m\niQRQ7/Ot0x7hhBLIFNRZrHakqKXO2gUvWDRvETZv2wwASI+kYdkWilYR0Wj599xQ34C8mcf82fPx\nyu5XYJds5M08DvQdQGd7J7bt2ob1a9bjmo9fg9YZrVg0bxHC4TDaWtrwyu5XAADbdm1DZ7s6a8lk\nsyNqPgdKTggxV40dpV7QnlQr8GhCCLWWtT/6KPDKK7Kr8L3B+JuQKaizHfFIIiSwt2kvVHvoy/Tz\nX/8cW3duhRAC73rbuwANuPfBexEOhREKhUZ3Xv3+qd/j8Y2PQwiBd5z7Dqxevhp9A3247We3QUAg\nGU/iI+/5COqSddh3YB9+dN+P4DouWppb8NFLPgrDUG9UQoZsQyv2ISG7jKowDAdu6ncQmi27FF/T\noOEjp34EYUOdqU/1As/LLwOPPy67Cl8rherQ45wJIdSZuz1Wf3s/8k5edhlEUuxrmo2sq254TNQd\nQN54QXYZvtaWbMPF3RfLLmNaqTWlBQBdXYBCi6xkGA53Kx12ACBe4sJlCqhwROmwAwDFXAcMsKv6\niehq6JJdwrRTL/DE40CbmmtPasGONCJnqnFuSiWxPF8MKZjMmJpTWUdyXA3RUrfsMnxtXuM82SVM\nO/UCDwDMmye7At8aCS2BYpOcYwoVQogo1oeEaDIyRjBGN818G3TwOX486qP1aIo3yS5j2qkZeLrU\nG4qrBSeUQK6gTpOpiSQFjymgYNF0A0MiGCHAdTXE2JfnuKg4ugOoGngaG8t/aEoykcXKr905Uszk\ntBYFix1LIEinDVq5Dqj6NldNKq7fAVR+JHBaa0pcLYyMGay1T+FMGLqu7lOA6Fj5SDCmsw4rOSHE\nxQLZZfhKLBRT6vysI6n7as9prSnJxhcq2VW5Ek1oSGjqL+AkAgBN0zAANRsOVuIUZssuwVfmNsxV\n6jiJI6n7DtfWVt6xRRMS0JCxZskuQ4q4zccIBYMbiaIo1H3JH49lRREVwXx9Ox6qrt8BVA48msZR\nnkkqxOagVFKnm+ZUxLJcx0PBUIgGdzRTs+bJLsEXQnoIs+vVHRFTN/AAXMczSRnMlV2CNLqlI2Yw\n9JD6hvXgPs7NQh3C4DmLE+ms60RIV/fwVbUDT2cnEFL3H2862OFGmGawt2cnnOB+8qVg0EIhjCCY\no7iHhUsLZZfgeSpPZwGqBx7DAObMkV2Fp2VCfBGI57mOh9RmxlOBaChaiZlvgQZ+AB6PBg1zG9Qe\n7Vc78ABcx1OB0EPIFdU/RmIioXwI8YB0n6VgOmQEexQXAFxXR0zMk12GZ7Wn2hEPq/06GIzAo+gW\nuxOVj84J3Fb08dTZdbJLIKoKEYkhIziyAQCiqGZ/memgarPBI6n/bheNArPVXXV+InLgVs3DYsMx\n6Jr6TwcKnmycYf4w00wihJTsMjxHg4YFTeo3aAzGK/yyZbIr8BzHiMM0+cQ/THM0pHT+Pkgxmo4+\nLbi7s8YScdQfyZiqOQ1zUBdVPxgHI/DMnQuk+GZ2pFx0XuAXMR4rmec6B1JLKZGEHcBmg5XYATtC\nZ8FqhdMAACAASURBVDKWty6XXUJNBOOZoGnASSfJrsJTcqV22SV4TiQbQUQPxknSFAxDIYb4Y9l2\nBBG0yC7DM+qj9Uo3GzxSMAIPAHR3AzwoEgBghZtgWcE7U2dCAki5HAkkRYTCGAAD/FhCJbW3X0/F\nSS0nKXt21rGCkwDicWCB+ouyJiMX5hz2eJIj/ERMaigk6gAE441sqoqFZmgBevsbj6EZWNqyVHYZ\nNROsf3EuXoaAhpw1Q3YZnqVbOpLsWUI+p2ka+nV2EB+P4xiIik7ZZUi3oGkBYqHgLGoPVuDp6ACa\ng91oz4zOhFNiT45KUhantcjfnFgceWHILsPTNJuBZ1lrsAYBghV4gMCP8uR1PsknEh2OwtD5ZkH+\nNRJhaJ9I0ayHJoJ7vlhLogXtqWBtXgle4Fm8GAgH90FesBpkl+B5mquhnicrk1/pBvrZe2dCrqsh\nGuDmqye1BG/ncvACTzhcDj0BVIy2wXE4cjEZyeFkYHYukFryqQY4go/dydBKwezJEzEiWNS8SHYZ\nNRe8wAMEdlqrYPAcmckyigbqdPU7j5JidB0H2TF80mwzmCO5S2YsQdgI3kxHMANPc3N5AXPAFEpN\nskvwlfqReo7ykK8Ukw2wOLozaSUnhGgAmxAGbbHyYcEMPEDgRnmcUAKWxXn9qTAKBlIaPy2TP2ia\njgMGH69TFXJnyi6hpmbVzUJjrFF2GVIEN/DMnw8kgtOnohDm7qzjUZfltBb5QzFZB5PnZk1ZqRis\nke+gnJs1luA+OwwDWLVKdhU1UwjgsO10COfDbERInqdpGg4aDOfHo1iMQ0cwRr9bEi2Y1zhPdhnS\nBDfwAOUDRevUf5EQ0GEWOdR9vOpzwVzYSP5hJeqQB3dgHq+oG4wR8DWz1gR6XWKwA4+uA296k+wq\nqq4Y7YDrBvuf+kREshHE9bjsMojGpGka+sLqf3CrJq3UKruEqutIdWBOwxzZZUjFd8HFi4Emtedw\nC0awumlWQ4PJho3kTaV4EhnB42JOhGnWAYrvblsza43sEqRj4NE04LTTZFdRVWaJb9YnKpqJImYE\nY56f/KU/winXE+W6OqKauqM8c+rnYGZdsHajjYWBByjv2GpV88HuamFYVlR2Gf4ngAYey0Ee48YT\nSAf4PKjpZDgzZJdQNWs6OboDMPC8bo2aD4hiRM0gJ0MsHUNEj8gug2jUQJQhfLoIR83f5YKmBWhJ\ncJcuwMDzutmzgVnqHSRnGep+aqk5ATRZaq/3Iv9w4kkMCAbw6WJb6rWf0KDhtFlqL9mYCgaeIyk4\nylMUnN+fTrF0DHGDO7ZIvoPRYHbLrZZSKQRDqBV6lsxYEtiuymNh4DlSezswd67sKqZVsRicbtK1\n0pxtDnQvC5LPSjVwZ1YVRKDOEgBd07F65mrZZXgKA8+xFBrlscON7L9TBaFciCepkzy6jv0hjtxW\ng+aoM2W9rHUZ6qJ8nToS3w2PNWMGsHCh7CqmRTGkzqcVr2lIN0DX+PSh2svXNaHIM7OqwrHVCAgh\nPYRVHcE5Ommy+KwZy2mnlbsw+1wRau468AK9qKMRnBunGguFsV9Ta52Jl1hWDJrw/xEdK9pWIB7m\nWsNj+f9dvRoaGsrnbPlc0eH5WdVUN1iHkM51FFQ7g8lmOIp3BJZJCA0Rnx+0HA/FcUr7KbLL8CQG\nnvGsXQuk/BsYXD0Cmw0Hq8sBZljc9k+14cQT6AvIqd4yGcLfz+mz5p6FaIiv/WNh4BlPOAysWye7\niuNmhZtllxAIsXQMCYM74ajaNByI8jldE45/1/HMa5yHBU0LZJfhWQw8lcydCyxaJLuK41LiLqKa\naco0cZs6VZVZ14isAmtL/MAp+XMULWJEsG6ufz+k1wIDz0T+X3t3HlznXd97/P17nufsm442W4os\neV8S2wnGZHfi4CULNA2F62EoaSE3zMC0nZY7hbbDXHpvL3+0Fyi3LcPMHYZb2jQUyqRhEmBYUrdN\nIEASSOIEZxInMbZjO7ZlS9Zyjs72PPePB9txItuyJZ3nOc/5vDIa2bKk81V0ls/zW76/66+HZOs9\nAGqmdafjWo1TcugwWsAs88RxeM3WBUyz1Gqt2b362oFrScc02nw+CjwXkkz6oafF1Fzd8ZspdzxH\nzNIhjjL3RrJd1LVQuWlc18KmtZ4/+3P9rO5eHXQZoafAMxPLl7dcB+Z6Q4vWmsk0DN1T3ZrakjlV\nz+Q44rXeCHOrc7zWGbF1LIebhm4KuoyWoMAzU5s2+QuZW4CHRb3eGrVGSXwsrqktmTPGibE/Fp3O\nv63E8lpnCnFj/0byCXXengkFnpnKZOCaa4KuYkZqsQKeF3QV7Sk3nCNha3RNZu9otpuqprICYdzW\naO7Yk+5hXe+6oMtoGQo8F2PNGli4MOgqLqhuq8NyUIxr6J7Q1JbMTjXXwXGvNRfPRoFbD3+XYstY\n3DR0k55rLoICz8UwBm6+Gexwbw+tWdqhFSRn0qHoaSpCLlEsxn5LFy1BqrfA1vSrFl5FV7q1myQ2\nmwLPxSoUYMOGoKs4rxqtMRwbZbnjOZJW+J80JVyMMRzJ9lAPupA2V687WIT32JiOZIcOB70ECjyX\n4sor/VPVQ6oVrk4iz4Ou8S6dqC4XpZTtYMTVhoMwcLxwjrIZDDcP3YxthXumIYz0bHwpLAs2bwYn\nnFcAdT1hhoJTduhywxuMJVy8eJIDlnbbhIUV0pHydQvWsSC7IOgyWpICz6Xq6grlWVseBreh5B8W\n6RNpnbUlF2SMxaF0F27Qhchpxgvfbsv+XD/XXNYau4XDSIFnNlauhMsvD7qKs7h2UlvSw8SDrtEu\nDT/LeU3ki4x74RwxbldhCzyZWIYtS7ZoV9YsKPDM1nXXQW9v0FWc1rDCv52y3VgVi66aprZkem4q\nzYGQTp+0tRC1BbCMxdalW0nF9Pw+Gwo8s2XbsG0bpMJxR2xoZ1AopUZS6sIsb+XE2JfoBnTVHjae\nG57Ac/2i67VuZw4o8MyFTAa2bPH79ATMVeAJrcJwgYytK3n5NWNxKNtLRd2UQ8kLyeaPlV0rubwn\nXEsnWpUCz1zp7w/F0RMNE655Z3kDD7qOdxG3w3PlKMEZKfQwpnU7odVoBP+76Up1ceNg+DbHtCoF\nnrm0fj0sXRpoCQ0UeMLM1A09Yz1Ylh567aycK+oU9JBz3WADT8JOsG3ZNhwr+OAVFXrWnWs33wzF\n4I4VcL1wDMPKuTllh55Kj3ZbtKlGOss+q3VO425XjYZFUC+RBsM7l7xTp6DPMUXHuRaL+YuYH3wQ\narWm33wjRDsL3qjeqPP573+eI2NHqDVqfODaDzDYOcjnvvc5jDEs7l7MH2z5A4wxPPzMw3z/+e9j\njOH9V7+fG1bcEHT5cy55Mkmxu8gJTgRdijRTPMHeeCe0ybodz3V55Ot/x7GDe3GcGNs/+HE6evqD\nLmvGbC9Fw0w2/XY39G1gUWFR02836hR45kNHh9+J+Yc/bPpNNwIehj2XnS/spJAu8Cd3/AnjU+N8\n9B8/yvLe5Xx404dZP7Cev33kb3n85cfZMLSBbz71Tf7+nr+nXC3zsfs+FsnAA/55W9XeKhONiaBL\nkWawbF7L9FB32yPsALz87OM0GnU+8In/w+G9L/AfD/xf7vro/wy6rBmzTYoGzQ08g4VBNvSF+7zG\nVqUprfmyZAlcdVXTb9bzwtngbtOqTfzu9b8LgOd5OJbDniN7WD+wHoB3LH4HT+9/+vQ0T7laplQt\nRfssKg86hztJ2Fp31Q6GC71MuOF8fM6Xg6/+kiWXbwSgb8kajuzfE3BFF8c0ecQ8n8hzy+JbNN09\nTyL8ahIC73gHLGrusKQX0qHyVCxFKp6iVC3xvx7+X3zohg/hcaYldDKeZLIySTKWZPOqzdz71Xv5\n/ft/n7s23BVg1fPPNAw9oz3Ypr1eCNvNZL6L4RD1dWmW6lSJePLM0SrGsvDc1jlAwzSxP1LcjrNt\n6TYSji6A5osCz3wyxl/Ps3Bh024yrIEH4OjYUT75L59k2+XbuGXNLWc9mZSrZbKJLLsP7eaFwy9w\n30fu458+8k/8eM+PefH1FwOsev7ZFZveSq+u6iKqns1zwGSDLiMQ8WSaaqV8+u+e62Jaaodicy5E\nHMvh1mW30pVWR/b51Er3vNbkOHDbbdDd3aQbDOeL5sjkCH/2wJ9x7033sn3tdgCW9y5n14FdADy5\n90nWDayjXC2TcBLE7BhxJ04mkWGy0vxFg80WH4vTU9fOrahx0xletdu3w/ZlS69g7/NPAHBo7wv0\nXBZs246LN/8vkZax2LZ0G325vnm/rXZnPE9HTTZFuQwPPwyjo/N6Mwes7bghXBT5pZ1f4tGXHmVR\n55kpvo/d8jG+tPNL1Bt1BrsG+fj2j2OM4cv/+WV2vbYL29isHVjLvTfdG2DlzVXqKnHMHAu6DJkD\nXirNK/Ee6kEXEiDP8/i3r/8dxw6+CsCtd/8xnQsGAq5q5tKFPZTMq/P2/Q2GLUu3sLTYakGwNSnw\nNNPkJDz0EIyPz9tN7DfbQz2tJRc20TXBcXM86DJkFrxEileSPdRDOuIqM5MqvELZvDxv3//moZtZ\n1b1q3r6/nE1TWs2UycC73gXp9IU/9xIp7LS+7PEsnXQGXYZcqniCvSmFnUiYx+fT6wauU9hpMgWe\nZsvn/dCTnPu28p5+nZGRG85RtILr2C2XKBZnb7qXqi48ImJ+Fi1v7N/IugXr5uV7y7npFTIIxSLc\nfrvflXkOeVHuWdOG8kfzdFjtu+C15Tgx9mUWUPH0OIwKMw/Bdf2C9WosGBA9MoPS0+Pv3nLmrjOy\np14ukZM/midv6zydsDOOw4HsAsoKO9EyxxeRq7tXc+3AtXP6PWXm9OgMUl8fbN0Kc9WXQiM8kWMw\nFI8WyVrt2celFRjb5rXcAiZD2uVcZmEOA+yy4jI2DW6as+8nF0+vkEEbHIRbbvGbFIpMx4Ou4S4y\ndiboSuRNjGVzKLeA8ZCeYSfhMFgY5JYlOjIiaAo8YbBsGWyaffI3bjt3/Ig4F7qOdZG252+Hn1wc\nY9kczvdy0pvbtXgSIqYx62/Rn+tn29Jt0T4XsEXoNxAWq1fDli1gX/qwuPEUeKLMuIbuo93k7FzQ\npbQ94zgczC9ktMmHS0qTzTLwLOlYwu3Lb8e2NN0ZBgo8YbJsGdxxB8Qv7UnU4GEs9ZGMMuMZikeK\n2rIeIC8WZ192IWOeprGizpvFReTa3rVsXbpVYSdEFHjCpq8PfvM3IXtpi1Qto8ATdQZD/mieLnTQ\nYLN5yRS/yiykpAXKbcG7xBGeaweu5fpF12vNTsgo8IRRseiHnq6Lf0Ezxp2HgiSMssNZFrgL9KTa\nJG46yyuJXipqKthGahf12bax2bJkC+sXrJ+nemQ2FHjCKpOBO++EgYs7aE+Bp70kTyRZWFmoBZHz\nrJbNsyfe1dYHgbajixnhSdgJ7lhxB8s6l81jRTIbepYMs1jMb064cuWMv0SBp/3Ex+L0lfqw1Xhy\nXpTznbxiF9Exy+3Hm2HEzcaz3LnqTvpyffNckcyGAk/YWRZs3gwbZtaK3FLgaUvOpEPfRB9xW7uG\n5tJ4Rw/7jHbFta8LB56uVBd3rb6LYkobCcJOgadVbNzo9+q5wHoNjfC0L7tss2BkAUl77g+mbTvG\nYqRjAQc99T1qZw3v/Gt4BvID3LnqTtIx3U9agQJPK1mzBm699bznbynwtDeratE73KuuzLNh2xwt\nLOSIp+DY7s43pbWyayW3Lb+NmK3Gk61CgafVDA7Cb/wGpFLT/rOlZZVtzzQMXUe66KQz6FJajptM\nsS/Xzwl1TxbANdOP8Gzo28DmxZu1WaDF6LfVinp64K67/PdvYptqAAVJ2BgMueEcfVUtZp6pqVwH\nexK9OvFcALDtBnD2iLljOdyy+BY29m8MpiiZFT2yW1Uu529bX7v2rA/bVAIqSMIoPhanf6xfZ3Cd\nh7FsRjoW8CurgDZiySm2ffZoeTFZ5D2r38OKrhUBVSSzpd7orcy24frrYeFCePRRqFaxvKmgq5KQ\nsSoWPUd7ONl9klFvNOhyQsWLJ3gt08OEq1EwOZtlnQk8K7tWcuPgjTiWXjJbmX57UbB0qd+V+ZFH\nsCcUeGQaHhSOFUh0JBiOD9NwZ38KdKurZfP8yumg4apzsryVsas4lsMNi25gVfeqoMuROWA8T+20\nIqPRoPaTZzm0W2csybk1kg2G88NMue0Zjo1lcTLfzSFv+oX/IgA9xQluXtNHZ0qL/6NCa3iixLax\nrtvAi6keXEu/WpmePWXTO9xLwSoEXUrzxWIczPcp7Mh5pepZVqXWKOxEjF4VI8a24ahJ87jpo5RQ\nHxGZnnENHUc76G30YrVJOG5kcryc7mPM00y+TM82NsmTPZT3dZHNtMfjop3oNxpBuRxMNhx+VOnl\ntXQHoDUKMr3USIr+k/1krOg2KjSOw4nCAvY4ndT1WJBzSHhJOLCQqWF/R2NOJ4pEjgJPBJ16oHoY\nflkq8PPEQipxnbEk07MrNt1Hu+lt9GJb0dqtVMvmeSXbz1E02inTs7BIl4pUXu2lUTkz+pfNBliU\nzAsFngh68wN1uBLnP6sLOZAu4l3gLC5pX6mRFP2j/eSsCFzaxuIcKyzkFbtI1dN9XqaXdFNYB/so\nHc7zxpHwZBJiarYdOZrMjqDphmI9DLtLeQ44adY7J8hOlZtfmISeVbXoPNpJppDheOI4Nff8hyeG\nUSXXwX4rT0PTV3IONjbxk0XKw9NP5Wp0J5oUeCLofA/W8brDj+u9LE1NsrQ6gt1QPxZ5q8TJBH12\nH2NdY5z0TtIS3SviCV5PdzGqc7DkPFL1LJWDRcr1c09waP1ONCnwRFA+f+HPebWc4ZCdZH1qhGJ5\ncv6LkpZjGobC0QKpbIrh9HCIR3sM5XyRAyaH2wK5TIIRI4Z1vJPy6IXXcynwRJMCTwTl8+A4UL/A\nwelTDZsnyt30JzKsbpwgdqEvkLYUn4jTV+pjomuCEUZCNdrjJVMcSnYyrq3mck6GdCVP+VABb4Zd\ntbvUuzWS9CwRQcZAdze8/vrMPv9QJcUR08/q9Bj9U2NYrnvhL5K2YlxD7liOVDrFyexJJtyJYAty\nYoxmirxOErQoWc4h5aapH+mgVLq4ac7u7nkqSAKlwBNRFxN4ABqev4X9FSvL5emT9JQmQGdHy5s4\nJYeuUhf5XJ6R1Ahlt7mL341tM54pcthK01DQkXOIewnM8Q7KJy++HUEsBh0d81CUBE6BJ6J6ei7t\n66Zcm1+UOsk7OS6PnaSg9T0yjdh4jN7xXiodFUYSI1QalXm9PWNZlLMdHLSy1DyjLC7TihHDGeug\nfCx9yd+ju9sfJZfoUeCJqEsNPKeM1WP8tN5NbyLHKjNKeqo9D5qU80uMJlhoFlIqlhhxRqi7c7sO\nzBhDJZPnsJOn7FkKOjItG5v4ZIHykV8H4lnQdFZ0KfBEVKHgD83WZrmx5mglwVEWMJgssawxSny2\n31Cix4P0iTQpK8Vk5ySj1igNd/btDhrpLK8nCoy7joKOTMtgSFfzlA7lKTfmpo/ubC8WJbwUeCLq\n1MLlw4fn5vvtn0pzgBTL05Msqo0RU/CRNzGuITucJeNkGCuOMcYYrnfxC+DdZIpjySIjXgy0fl6m\nYRlDopqleqTAZGVuj0NR4IkuBZ4I6+mZu8ADfrfmPaUsL5NhKFViqDFGslqduxuQSDB1Q+FYgWwi\ny0RhgjFvZsHHS6Y4kSxwzEtoREemZWGRquaYOpKjXJ37c9/i8Zn1MZPWpMATYfM1F+1h+FU5w6/I\n0J8os9SMkdEaH3kTu2JTOFogF88xmZ/kpDlJwzt7qssYQz2VYTie90d0FHRkGjHjECvlKB/JMjlH\nU1fT0YLlaFPgibBmDM0eqqQ4RIrueJXl9hiFqRKEqDGdBM+qWuSGc2StLOVimZPOSWpunWomxxEn\nx4RnK+jItGLEcMbzlI9lZr0YeSY0nRVtCjwRls/7Q7TNmHUarsYZppu8U2NlbJzOygRGff7lDYxr\nSIzm8BJ9HEhb1KwSFW9+t7NLa0qSgNE8U8fTNHO1oHZoRZsCT4SdWrh86FDzbnOsHuOpeidJq8Cy\n9CS9tQnt7BKm4nFes3PsLWdwSwZKwHCeeK6K3TnGlFPC0zBPW7OwSNTTNEayTI0lAqlBIzzRpsAT\ncT09zQ08p0y5Nr8s5fkleRYmKywyExQrJYyOrWgbnm0xEk+z381ypDL9C1h1PA7j3VixBqmeCWqp\nSWpNvaaXoCVJYCayTA2n52xr+aVIJLRgOeoUeCIuDFcsr08leJ0EMVNkcapEf2OCZFVTGZFkDBPJ\nJIdNhv1Taerlma27cGs2pUMFoEA8X8HpmKQSK9Fg9v18JHxsbBLVDLXjWaYu8pyr+RKG50qZXwo8\nERemOemaZ7GnnGUPWYqxKktik3RWJrEbelFrdZVEnCN2hn3VDKXy7LYLV8cSVMcSGFMkWZzC5Ceo\nOGVcLYZvacYYEo0kjGeZOp6iFLKz0ML0XCnzQ4En4vJ5yGZhIuDDrd9spBZnpBbHooOBZJmFVpl8\npazw00Lqjs3xeIb99QwnKvE5//6eZ5g6kYITKSzHJdVVws1MUDEaHWwdhqSXwCqnqZxIMVUJ70tO\nX1/QFch8C++9T+bM4CDs3h10FdNzMeyfSrOfNAaPhYkKfXaZYrWEU5/bc5lk9mrxGKNOitcbKQ5X\nEnj15lylu3WL8pEskMVJ1Yl3lHBTZaZMBe1pDxeDIeGmsEoppk6kmKrNfYPAuRaLQX9/0FXIfFPg\naQNDQ+ENPG/kYThcSXKYJFCkK17lMqdEZ71MQh2dA+EZQymRYNhKcaiaYqwag4B/FfWyQ72cB/IY\n2yVRnMJkylSdstb8BMTGJl5PwWSKykiKqUa4pqsuZGAA7PDnMpklBZ420N8PjgOtNmByvBrneDUO\ndJBz6gzESxTdKTLVCpZ2e82bumNzMpbimJfiUCVJbSq4nTMX4jUspobTMJwGIJ6r4uTL1BNlqpr6\nmjfGGGJuDKeWxJ1IMTWSoExrhZw3GhwMugJpBgWeNmDb/hXMr34VdCWXbrzu8EL911f1eHTGa/Q4\nUxTdCplaRWt/ZqFh20zGEpy0EhypJ/2Q2WLh+JTqeNzf6k4BK9Yg0TEFqQqNWIWaqeFp4fMlMiSJ\nY1UTuJNJf2F53Qp6sG9OGKPA0y4UeNrE0FBrB5438jBvGP3xdcRqLIhN0eFVyNamcOoKQNMzTMVj\njDsJRklwrJpgvO4QxZkgt2ZTPpYBMgD+9Feuip2p4MYr1OzqW872Ep/BEPcS2NUEjYkktfFEy01T\nzVRPD6RSQVchzaDA0yaifgUzWosxWosBOQByTp1Op0reqpHxqqTqNeL1etud81V3HEpOnDErwYlG\nnGO1BPWqCXwdThC8hkVlNAmjydMfi2dq2JkKpCq4TpUqtbbr+OwYG8eNYdXieNUYjXKM6nicSsi2\njc+XoaGgK5BmUeBpE6kU9PbC0aNBV9Ic43XHH7l4A9t4dMRrdNhVcqZGxq2RrFdbfjTIsyyqjkPZ\njlE2DuNejLFGjJP1GPW6adnpqWaoTsZgMgZkT3/MSdVxkjWsZA0vVsd1atRNreUXRFtYOF4MuxHD\nVGM0ynFqkzHqNbut7yJRvxiUMxR42sjQUPsEnuk0vF9PhXF2z5i45ZJz6mSsOmmrQZI6Sa9O3G0Q\nazSwGw1MUCNDxtCwLOq2Td2yqFk2FWymcBh3HU7WY0zUnbYcsZkv/i4wBzh7nsNyXGJpPwiZeB3P\nbuBZLp5p4FoN6l4j0NEh+9R/no1pOFCz8WoObtWmUXGoTzm6m7xJNgtdXUFXIc2iwNNGBgfhySeD\nriJ8qq41bRB6o4TVIG03SFkuMeNiGw8H/73NqTcX2/Owfv13y/N3knkYXAyeMXj4W71dz+Aavw+R\nh//xGpYfZho2Zdei3LCZcm1/fU1rDy5Eglu3qIwl4DwHWxrLxUm6WLEGxmlgYg2M7YLx/DfAO/1n\nD2OB698r/DcDeAbjGfAMeNaZP7sWnmvA9T/uNQxu3aJRsf1Q4xndTS6SprPaiwJPG+nqCmfX5VZQ\ncW0qrs1I0IVIqHmuRa1koafW1qDprPYS3gYbMi/0ABcRUXfldqTA02Y0hCsiApddpu7K7UaBp830\n9/tXNiIi7UwXf+1HgafN2LZ/ZSMi0q7UXbk9KfC0oRUrgq5ARCQ4l12m7srtSIGnDQ0NQToddBUi\nIsFYsyboCiQICjxtyLJg1aqgqxARab50Wut32pUCT5tavdqfxxYRaSerV/sXfdJ+9GtvU7kcDAwE\nXYWISPMY4wceaU8KPG1M89gi0k4WLfK7zUt7UuBpY0NDkMkEXYWISHPoIq+9KfC0MWO0eFlE2kMm\no9477U6Bp81p8bKItAM914kCT5vLZv15bRGRqNJiZQEFHkHz2iISbYODWq8oCjyCngxEJNp0USeg\nwCNouFdEokvT9nKKAo8AWtAnItGk5zY5RYFHAH9Ka8mSoKsQEZk7jqPRazlDgUdOe/vbdSUkItFx\n+eX+YaEioMAjb1AswrJlQVchIjJ7jgNXXhl0FRImCjxyFo3yiEgUrF0LqVTQVUiYKPDIWQoFWLky\n6CpERC5dPK7RHXkrBR55iw0bwNI9Q0Ra1Lp1kEgEXYWEjV7W5C1yOR0qKiKtKZHwA4/ImynwyLQ2\nbADbDroKEZGLs369P6Ul8mYKPDKtTEbt2EWktSST/mJlkeko8Mg5XXWVv7VTRKQVXHUVxGJBVyFh\npcAj55RO+427RETCTs9XciEKPHJeumISkVagEWm5EAUeOS/NiYtI2GWzWnMoF6bAIxekXQ8iEmZv\ne5t2lcqFKfDIBSUS/pETIiJh09mpvmEyMwo8MiNr10J3d9BViIicYQzcdJM6w8vM6G4iM3LqiUUH\ni4pIWFxxBfT2Bl2FtAoFHpmx7m61bBeRcMhk4B3vCLoKaSUKPHJRNm70z9oSEQnSjTeqZYZcWEBy\n1gAAD1lJREFUHAUeuSiO4z/RiIgEZckSGBoKugppNQo8ctEWLYLly4OuQkTaUTwO118fdBXSihR4\n5JJcd52/XV1EpJmuvtpfvyNysRR45JKkUnDttUFXISLtZOFCdVSWS6fAI5ds1Sro7w+6ChFpB5YF\nmzapNYZcOgUemZVNm9TSXUTm31VXQbEYdBXSyhR4ZFYKBdiwIegqRCTKOjr887JEZkOBR2btyiv9\n82xEROaDRpJlLijwyKxZFmzerCckEZl7a9dCX1/QVUgUKPDInOjuVm8MEZlbCxZoN6jMHSfoAiQ6\n1qyBI0fgpZeCrkSa7fDhZ3nssc+xY8d9fPvbH6dUGgbg5MmD9Pe/jXe96/M888zXeP75BzDGcPXV\nH2XFiq3UamW+853/RqUyjmXFuOOOz5LJdLNv30947LHPYttx+vs3cPPNnwz4J5RmSyZh61adhC5z\nR4FH5tSNN8LwMJw4EXQl0ixPPPFlXnjhIWIxvxvcu9/9BQCmpsb4l3/5HTZv/jOq1Umeeuor3HPP\n96lWS9x3312sWLGV3bu/RWfnMm666Y/ZteubPPnkV7j55k/ygx98ih07/pFCYYDvfvcT7NnzCCtW\nbA3yx5QmMga2bFGDQZlbys4ypxwHtm3z279LeygWh7jzzi8C3lkff/zxv2XDhrvJZLoxv26eUq2W\nqFYnMcZ/6nGcJFNTo7/+t3FsO0a5PEIikaNQGACgv/9tvPbaE837gSRwb387XHZZ0FVI1CjwyJwr\nFODmm4OuQpplxYrtWNbZK9ZLpePs3/9TrrjitwCIxdKsXv1uvvrVO7j//veyYcPdACxfvo2DB3/O\nV7/6Lp566v+xbt37SKWK1OtTnDjxKq7bYO/eR6nXp5r+c0kwBge1BV3mh6a0ZF4sWQLr18OuXUFX\nIkF46aXvsWbNb5we2Tl48BccOvQ0H/nITjwPHnjgv9Lf/zZ27fomb3/7h1m/fgfHjr3IQw/9Pr/z\nOw9x++3/m0ce+R/Ydpzu7hXYtoYM20E2C7fcom7KMj80wiPz5uqr/bNvpP3s3/9Tliy56fTfa7Uy\njpPEtuM4TpxEIsfU1Di1Wol4PAtAOt1JtToJwN69j/He936F3/qtLzMyso+hoRsC+TmkeSzLnw7X\nocQyXzTCI/PGsvyFh//6r1AuB12NzL8zl+UnTuylUFh0+u+LF9/Avn0/5v77/wvG2AwMvJ3Fi2+g\ns3MxP/jBf+eZZ+7HdRts2/YZALLZBXztazuwLIfly7ewaNHVTf9ppLmuvx56eoKuQqLMeJ7nXfjT\nRC7dwYPw3e+C7mkiMp3ly+Gd7wy6Cok6TWnJvLvsMti4MegqRCSMikW46aYLf57IbCnwSFNcdZW/\n+0JE5JRYzF+342hxhTSBAo80hTH+7otCIehKRCQMjPHP4OvoCLoSaRcKPNI0iQTccYe6p4oI3HCD\n375CpFkUeKSpcjk/9CSTQVciIkF5xzvg8suDrkLajQKPNF2xCLff7s/fi0h7WbdOnZQlGAo8Eoie\nHti+HWz7wp8rItGwciVce23QVUi7UuCRwFx2md97Q23kRaJv8WL/jD093iUoCjwSqCVL1INDJOr6\n+/2u6wo7EiQFHgncqlVwzTVBVyEi86G7G269VdPXEjwFHgmFK6/0mxOKSHR0dPi7MrVBQcJAgUdC\n4+qrYc2aoKsQkbmQzaoFhYSLAo+Eyo03wtKlQVchIrORTPphJ5sNuhKRMxR4JFROHUExMBB0JSJy\nKWIxv8+WjoyQsDGe53lBFyHyZvU6PPII7N8fdCUiMlPJJNx2G/T2Bl2JyFsp8EhouS78x3/Ayy8H\nXYmIXEgm409jFYtBVyIyPQUeCTXPg5/8BJ5/PuhKRORcCgU/7ORyQVcicm4KPNISfv5z/01EwqWr\nyw87qVTQlYicnwKPtIznn4fHHw+6ChE5ZeFCf81OPB50JSIXpsAjLeXll/11Pa4bdCUi7W3xYv8s\nPMcJuhKRmVHgkZZz+DD84AdQqQRdiUh7WrsWrrtOZ2NJa1HgkZY0MgLf+x6MjwddiUj7MAauvRbW\nrQu6EpGLp8AjLatc9kPPsWNBVyISfY7jNwVdsiToSkQujQKPtLR6Hf7t32DfvqArEYmuVMo/8VwN\nBaWVKfBIy/M8+NnPYNeuoCsRiZ7OTti+HfL5oCsRmR0FHomMffv8HVxazCwyNy6/3F+cbNtBVyIy\newo8EikTE/4U15EjQVci0rricdi0CZYtC7oSkbmjwCOR47rw1FPwzDNBVyLSerq7YetWTWFJ9Cjw\nSGQdOAD//u8wNRV0JSKtYe1auOYaTWFJNCnwSKRNTsLOnX6zQhGZXiIBN92kLecSbQo8Enme5x88\n+vTT/p9F5IzeXtiyRSedS/Qp8EjbOHjQn+IqlYKuRCQc1q+Hq68Gywq6EpH5p8AjbaVc9qe4Dh4M\nuhKR4CSTsHkzDA4GXYlI8yjwSNvxPH8H189/rlPXpf309fmnnGcyQVci0lwKPNK2RkbgRz/SgmZp\nD8mkvwNr5Uqdci7tSYFH2t6ePfDTn/rTXSJRtHq1v1YnmQy6EpHgKPCI4B9H8eST8MIL2skl0dHV\nBTfeCAsWBF2JSPAUeETe4NgxeOwxGB4OuhKRSxeLwcaNfiNBTV+J+BR4RN7E82D3bn/Ep1oNuhqR\ni7NsGVx7rRYli7yZAo/IOZTL/tqePXuCrkTkwgoFuOEGGBgIuhKRcFLgEbmAQ4f83Vyjo0FXIvJW\ntg1vextceaXOwBI5HwUekRlwXdi1C37xC6jXg65GxDc4CNdfr5PNRWZCgUfkIpTL8Nxz8MtfQq0W\ndDXSrgYGYMMGWLgw6EpEWocCj8glmJqC55/337SwWZplcNAPOr29QVci0noUeERmoVI5E3wqlaCr\nkahavNhfp9PTE3QlIq1LgUdkDlSr/jTXc8/5oz8ic2HJEn9Ep6sr6EpEWp8Cj8gcqtX8Hj67dumo\nCrk0xsDSpf6ITmdn0NWIRIcCj8g8qNf9YyqefRZKpaCrkVZgDCxf7gedjo6gqxGJHgUekXnUaPjB\n57nnYHw86GokjGzbDzpXXeU3DxSR+aHAI9IEngeHD8OLL8LeverlI/4C5FWr/KMgEomgqxGJPgUe\nkSarVuHll/3wc+xY0NVIMyWTsGKFH3S0PkekuRR4RAJ04oQffPbs0e6uqDIGFi3yQ87goI5/EAmK\nAo9ICLgu7Nvnh58DB/wpMGlthYIfclas0MnlImGgwCMSMpOT/ojPiy/CyZNBVyMXw3H8NTkrV0Jf\nX9DViMgbKfCIhNiRI/7Iz2uvwfBw0NXIdFIp/2yrRYtgaAhisaArEpHpKPCItIhSyQ8+Bw7473WU\nRTCMgQUL/IAzMADd3f7HRCTcFHhEWpDn+Tu8Dhzw344d07qf+ZTJ+AFn0SLo79c2cpFWpMAjEgFT\nU3Dw4JkApGMtZsey/DU4p6aqtIVcpPUp8IhEjOf5291Prfs5ftxf/KxH+rklk/4BnV1dftDp79da\nHJGoUeARaQP1uh+Cjh8/83bihH/YaTsxxt8u3tXlj9p0d/vvtW1cJPoUeETalOfB2NiZ8DM87L+f\nmAi6srnhOGdGbU69dXb6HxeR9qPAIyJnqVT8EDQ+7q8FKpf9HWJvfB/0DjHbhnTa3xJ+6v2pP6fT\nUCxCPq/dUyJyhgKPiFy0RuPcYahc9hdRu67/5nlv/bPn+QuDLcsPJW/+cyx27jCTSkE8HvT/ARFp\nNQo8IiIiEnlW0AWIiIiIzDcFHhEREYk8BR4RERGJPAUeERERiTwFHhEREYk8BR4RERGJPAUeERER\niTwFHhEREYk8BR4RERGJPAUeERERiTwFHhEREYk8BR4RERGJPAUeERERiTwFHhEREYk8BR4RERGJ\nPAUeERERiTwFHhEREYk8BR4RmZFarcaNN97IvffeG3QpIiIXTYFHRGbkhz/8IatXr2b37t288sor\nQZcjInJRjOd5XtBFiEj43X333bz73e/mpZdeolar8Rd/8Rf87Gc/4wtf+AKDg4Ps2bOHarXKpz/9\naa655hr+9E//lGw2y0svvcTrr7/O0qVL+eu//mvS6TRPPfUUn/3sZymXy8RiMf7oj/6ITZs28f73\nv58Pf/jD3HrrrQB87nOfA+D3fu/3+PM//3P27dvH6OgomUyGz3/+8yxZsoS7776bjo4OXn31VT7w\ngQ/w27/920H+bxKRkNIIj4hc0Msvv8yzzz7L7bffzl133cVDDz3E6OgoAM899xz33HMPDz74IO97\n3/v44he/ePrrdu/ezVe+8hW++93vcvToUb73ve8xMjLCH/7hH/KpT32Khx56iL/6q7/iE5/4BK+9\n9ho7duzgwQcfBKDRaPDwww+zY8cOHn30UQqFAt/4xjf4/ve/z7p167j//vtP306hUOA73/mOwo6I\nnJMCj4hc0D//8z+zefNm8vk869atY2BggG984xsYY+jv72f16tUArFmz5nQQMsawadMmYrEYjuOw\ncuVKTp48ya5duxgcHGT9+vUALF++nA0bNvDEE09w++238/TTTzM8PMyPfvQjhoaGGBwc5NZbb+Wu\nu+7ivvvu4zOf+QxPPPEEpVLpdH0bN25s/v8UEWkpTtAFiEi4lUolvvWtb5FKpXjnO98JwOTkJPff\nfz/r1q0jmUye/lxjzFlfm0gkzvo3z/OYbhbddV0ajQapVIrbbruNb3/72zz99NPs2LEDgK997Wt8\n85vf5IMf/CB33nknHR0dHDx48PTXp9PpOf2ZRSR6NMIjIuf18MMP09XVxWOPPcbOnTvZuXMnjzzy\nCKVSiePHj5/z66YLNsYYrrzySvbu3cuuXbsA2LNnD0899RRXX301ADt27OCBBx7gmWeeYfv27QD8\n+Mc/5j3veQ/vfe97Wbx4MTt37sR13fPelojIG2mER0TO6+tf/zof+tCHzhq9yeVy3H333fzDP/zD\nOb/OGPOWER+AYrHI3/zN3/CZz3yGcrmMZVn85V/+JUNDQwBcccUVxGIxtm/fTjweB+Cee+7h05/+\nNN/61rcoFots3bqVRx999KzbEhE5H+3SEhERkcjTlJaIiIhEngKPiIiIRJ4Cj4iIiESeAo+IiIhE\nngKPiIiIRJ4Cj4iIiESeAo+IiIhEngKPiIiIRJ4Cj4iIiESeAo+IiIhEngKPiIiIRJ4Cj4iIiESe\nAo+IiIhEngKPiIiIRJ4Cj4iIiESeAo+IiIhEngKPiIiIRJ4Cj4iIiESeAo+IiIhEngKPiIiIRN7/\nB/FoE+MzZjFcAAAAAElFTkSuQmCC\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nuyJ+S4UQ6QAAAABJRU5ErkJggg==\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nB2jxtsHFcRxmAAAAAElFTkSuQmCC\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIiKKPAYeIiIiirz/D17wbaGe/WkEAAAAAElFTkSuQmCC\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nh4iIiGKPgYeIiIhi7/8DDMHNJlXsK60AAAAASUVORK5CYII=\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIiIisff/Acnktm+jukdbAAAAAElFTkSuQmCC\n", "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAAJsCAYAAAD5gXltAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 49 }, { "cell_type": "code", "collapsed": true, "input": [ "sampletables = '

Other algo\\'s agree, but...

'\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

Annovar doesn't match for \" + str(effect) + \"

\"\n", " query = master_df.loc[(master_df[\"normalized_so_annovar\"]!=effect) & \n", " (master_df[\"normalized_so_snpeff\"]==effect) & \n", " (master_df[\"normalized_so_vep\"]==effect)]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.head(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

Other algo's agree, but...

Annovar doesn't match for intergenic_variant

0 rows

Annovar doesn't match for upstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
1403 ENSG00000001626 117105737 C A . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1404 ENSG00000001626 117105737 C CA . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1405 ENSG00000001626 117105737 C CAG . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1406 ENSG00000001626 117105737 C CC . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1407 ENSG00000001626 117105737 C CCTG . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN

14148 rows

Annovar doesn't match for ignored

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
2814 ENSG00000001626 117105837 TT T . EXON CFTR ENST00000546407 ignored . non_coding_exon_variant ENST00000546407.1:n.1delT ignored NaN NaN NaN
2815 ENSG00000001626 117105837 TTG T . EXON CFTR ENST00000546407 ignored . non_coding_exon_variant ENST00000546407.1:n.1_2delTG ignored NaN NaN NaN
2816 ENSG00000001626 117105837 TTGA T . EXON CFTR ENST00000546407 ignored . non_coding_exon_variant ENST00000546407.1:n.1_3delTGA ignored NaN NaN NaN
2817 ENSG00000001626 117105838 T A . EXON CFTR ENST00000546407 ignored . non_coding_exon_variant ENST00000546407.1:n.1T>A ignored NaN NaN NaN
2818 ENSG00000001626 117105838 T C . EXON CFTR ENST00000546407 ignored . non_coding_exon_variant ENST00000546407.1:n.1T>C ignored NaN NaN NaN

661 rows

Annovar doesn't match for splicing_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
3460 ENSG00000001626 117105883 AAGG A . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.47_48+1delAGG splicing_variant NaN NaN NaN
3473 ENSG00000001626 117105884 AGG A . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.48_48+1delGG splicing_variant NaN NaN NaN
3474 ENSG00000001626 117105884 AGGT A . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.48_48+2delGGT splicing_variant NaN NaN NaN
3486 ENSG00000001626 117105885 GG G . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.48+1delG splicing_variant NaN NaN NaN
3487 ENSG00000001626 117105885 GGT G . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.48+1_48+2delGT splicing_variant NaN NaN NaN

486 rows

Annovar doesn't match for intron_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
3506 ENSG00000001626 117105887 T TA . INTRON CFTR ENST00000546407 n.48+3*>+A intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insA intron_variant NaN NaN NaN
3507 ENSG00000001626 117105887 T TAC . INTRON CFTR ENST00000546407 n.48+3*>+AC intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insAC intron_variant NaN NaN NaN
3508 ENSG00000001626 117105887 T TATC . INTRON CFTR ENST00000546407 n.48+3*>+ATC intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insATC intron_variant NaN NaN NaN
3509 ENSG00000001626 117105887 T TC . INTRON CFTR ENST00000546407 n.48+3*>+C intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insC intron_variant NaN NaN NaN
3510 ENSG00000001626 117105887 T TCTA . INTRON CFTR ENST00000546407 n.48+3*>+CTA intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insCTA intron_variant NaN NaN NaN

66208 rows

Annovar doesn't match for 5_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
12941 ENSG00000001626 117119515 G GA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insA 5_prime_UTR_variant splicing_variant splicing NaN
12942 ENSG00000001626 117119515 G GAT . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insAT 5_prime_UTR_variant splicing_variant splicing NaN
12943 ENSG00000001626 117119515 G GC . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insC 5_prime_UTR_variant splicing_variant splicing NaN
12944 ENSG00000001626 117119515 G GCAT . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insCAT 5_prime_UTR_variant splicing_variant splicing NaN
12945 ENSG00000001626 117119515 G GCGA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insCGA 5_prime_UTR_variant splicing_variant splicing NaN

8 rows

Annovar doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
24393 ENSG00000001626 117144306 G GA . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+A frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer27ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->A,ENST0000000308...
24394 ENSG00000001626 117144306 G GAG . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+AG frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer8ENST00000426... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->AG,ENST000000030...
24395 ENSG00000001626 117144306 G GAT . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+AT frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer8ENST00000426... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->AT,ENST000000030...
24396 ENSG00000001626 117144306 G GC . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+C frameshift_variant . frameshift_variant ENSP00000389119.1:p.Trp19LeufsTer26ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->C,ENST0000000308...
24399 ENSG00000001626 117144306 G GG . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+G frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer27ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->G,ENST0000000308...

1337 rows

Annovar doesn't match for inframe_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20862 ENSG00000001626 117120148 CATG C . CODON_DELETION CFTR ENST00000454343 p.Met1X/c.1*>-ATG inframe_variant . inframe_deletion ENSP00000389119.1:p.Met1?ENST00000426809.1:c.1... inframe_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
24397 ENSG00000001626 117144306 G GCGA . CODON_INSERTION CFTR ENST00000454343 p.Ser18X/c.54*>+CGA inframe_variant . inframe_insertion ENSP00000389119.1:p.Ser18_Trp19insAspENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->CGA,ENST00000003...
24398 ENSG00000001626 117144306 G GCTA . CODON_INSERTION CFTR ENST00000454343 p.Ser18X/c.54*>+CTA inframe_variant . inframe_insertion ENSP00000389119.1:p.Ser18_Trp19insTyrENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->CTA,ENST00000003...
28750 ENSG00000001626 117149087 G GCGA . CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 p.Arg55X/c.165*>+CGA inframe_variant . inframe_insertion ENSP00000389119.1:p.Arg55delinsSerGluENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon3:c.165-1->CGA,ENST0000000...
28754 ENSG00000001626 117149087 G GTAC . CODON_CHANGE_PLUS_CODON_INSERTION CFTR ENST00000454343 p.Arg55X/c.165*>+TAC inframe_variant . inframe_insertion ENSP00000389119.1:p.Arg55delinsSerThrENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon3:c.165-1->TAC,ENST0000000...

422 rows

Annovar doesn't match for nonsynonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136292 ENSG00000001626 117267828 A G . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Gly/c.544A>G nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183GlyENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136297 ENSG00000001626 117267829 G A . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Lys/c.545G>A nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183LysENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136298 ENSG00000001626 117267829 G C . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Thr/c.545G>C nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183ThrENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136307 ENSG00000001626 117267829 G T . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Ile/c.545G>T nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183IleENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136319 ENSG00000001626 117267830 A C . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Ser/c.546A>C nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183SerENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN

273 rows

Annovar doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
21380 ENSG00000001626 117120185 TCCA T . STOP_GAINED CFTR ENST00000454343 p.X13*/c.38*>-CCA stop_gained . stop_gained ENSP00000389119.1:p.Ser13_Lys14delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon1:c.38_40d...
24810 ENSG00000001626 117144335 TACA T . STOP_GAINED CFTR ENST00000454343 p.X28*/c.83*>-ACA stop_gained . stop_gained ENSP00000389119.1:p.Tyr28_Arg29delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.83_85d...
24824 ENSG00000001626 117144336 ACAG A . STOP_GAINED CFTR ENST00000454343 p.X28*/c.84*>-CAG stop_gained . stop_gained ENSP00000389119.1:p.Tyr28_Arg29delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.84_86d...
25230 ENSG00000001626 117144365 TACC T . STOP_GAINED CFTR ENST00000454343 p.X38*/c.113*>-ACC stop_gained . stop_gained ENSP00000389119.1:p.Tyr38_Gln39delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.113_11...
25244 ENSG00000001626 117144366 ACCA A . STOP_GAINED CFTR ENST00000454343 p.X38*/c.114*>-CCA stop_gained . stop_gained ENSP00000389119.1:p.Tyr38_Gln39delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.114_11...

145 rows

Annovar doesn't match for synonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136269 ENSG00000001626 117267827 G A . SYNONYMOUS_CODING CFTR ENST00000468795 p.Val181Val/c.543G>A synonymous_variant . synonymous_variant ENST00000468795.1:c.545G>A(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136270 ENSG00000001626 117267827 G C . SYNONYMOUS_CODING CFTR ENST00000468795 p.Val181Val/c.543G>C synonymous_variant . synonymous_variant ENST00000468795.1:c.545G>C(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136279 ENSG00000001626 117267827 G T . SYNONYMOUS_CODING CFTR ENST00000468795 p.Val181Val/c.543G>T synonymous_variant . synonymous_variant ENST00000468795.1:c.545G>T(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136291 ENSG00000001626 117267828 A C . SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Arg/c.544A>C synonymous_variant . synonymous_variant ENST00000468795.1:c.546A>C(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136320 ENSG00000001626 117267830 A G . SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Arg/c.546A>G synonymous_variant . synonymous_variant ENST00000468795.1:c.548A>G(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN

85 rows

Annovar doesn't match for downstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
25976 ENSG00000001626 117144419 T TA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->A,ENST000000030...
25977 ENSG00000001626 117144419 T TATG . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->ATG,ENST0000000...
25978 ENSG00000001626 117144419 T TC . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->C,ENST000000030...
25979 ENSG00000001626 117144419 T TCGA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->CGA,ENST0000000...
25980 ENSG00000001626 117144419 T TG . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->G,ENST000000030...

41993 rows

Annovar doesn't match for stop_lost

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136604 ENSG00000001626 117267850 GTTA G . STOP_LOST CFTR ENST00000468795 p.X189Trpext*?/c.567*>-TTA stop_lost . stop_lost ENSP00000419254.1:p.CysTer190TrpENST0000046879... stop_lost ignored unknown UNKNOWN
136618 ENSG00000001626 117267851 TTAG T . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>-TAG stop_lost . stop_lost ENSP00000419254.1:p.Ter191delextTer5ENST000004... stop_lost ignored unknown UNKNOWN
136619 ENSG00000001626 117267852 T A . STOP_LOST CFTR ENST00000468795 p.*190Lysext*?/c.568T>A stop_lost . stop_lost ENSP00000419254.1:p.Ter191LysextTer6ENST000004... stop_lost ignored unknown UNKNOWN
136620 ENSG00000001626 117267852 T C . STOP_LOST CFTR ENST00000468795 p.*190Glnext*?/c.568T>C stop_lost . stop_lost ENSP00000419254.1:p.Ter191GlnextTer6ENST000004... stop_lost ignored unknown UNKNOWN
136621 ENSG00000001626 117267852 T G . STOP_LOST CFTR ENST00000468795 p.*190Gluext*?/c.568T>G stop_lost . stop_lost ENSP00000419254.1:p.Ter191GluextTer6ENST000004... stop_lost ignored unknown UNKNOWN

42 rows

Annovar doesn't match for 3_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136649 ENSG00000001626 117267854 G GA . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572insA 3_prime_UTR_variant ignored unknown UNKNOWN
136650 ENSG00000001626 117267854 G GACG . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572insACG 3_prime_UTR_variant ignored unknown UNKNOWN
136651 ENSG00000001626 117267854 G GC . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572insC 3_prime_UTR_variant ignored unknown UNKNOWN
136652 ENSG00000001626 117267854 G GCG . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572insCG 3_prime_UTR_variant ignored unknown UNKNOWN
136653 ENSG00000001626 117267854 G GG . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.572dupG 3_prime_UTR_variant ignored unknown UNKNOWN

1019 rows

Annovar doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 50, "text": [ "" ] } ], "prompt_number": 50 }, { "cell_type": "code", "collapsed": false, "input": [ "sampletables = '

At least 1 column doesn\\'t match

'\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

Annovar doesn't match for \" + str(effect) + \"

\"\n", " query = master_df.loc[(master_df[\"normalized_so_annovar\"]!=effect) & \n", " ((master_df[\"normalized_so_snpeff\"]==effect) | (master_df[\"normalized_so_vep\"]==effect))]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.head(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

At least 1 column doesn't match

Annovar doesn't match for intergenic_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
0 117105737 C A . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
1 117105737 C CA . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
2 117105737 C CAG . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
3 117105737 C CC . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
4 117105737 C CCTG . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN

1403 rows

Annovar doesn't match for upstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
1403 ENSG00000001626 117105737 C A . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1404 ENSG00000001626 117105737 C CA . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1405 ENSG00000001626 117105737 C CAG . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1406 ENSG00000001626 117105737 C CC . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN
1407 ENSG00000001626 117105737 C CCTG . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . upstream_gene_variant upstream_gene_variant NaN NaN NaN

14170 rows

Annovar doesn't match for ignored

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
2788 ENSG00000001626 117105835 TTTT T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2801 ENSG00000001626 117105836 TTT T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2802 ENSG00000001626 117105836 TTTG T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2806 ENSG00000001626 117105837 T TA . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2807 ENSG00000001626 117105837 T TAC . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN

680 rows

Annovar doesn't match for splicing_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
3460 ENSG00000001626 117105883 AAGG A . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.47_48+1delAGG splicing_variant NaN NaN NaN
3473 ENSG00000001626 117105884 AGG A . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.48_48+1delGG splicing_variant NaN NaN NaN
3474 ENSG00000001626 117105884 AGGT A . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_donor_variant ENST00000546407.1:n.48_48+2delGGT splicing_variant NaN NaN NaN
3477 ENSG00000001626 117105885 G GA . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insA ignored NaN NaN NaN
3478 ENSG00000001626 117105885 G GC . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insC ignored NaN NaN NaN

1038 rows

Annovar doesn't match for intron_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
3506 ENSG00000001626 117105887 T TA . INTRON CFTR ENST00000546407 n.48+3*>+A intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insA intron_variant NaN NaN NaN
3507 ENSG00000001626 117105887 T TAC . INTRON CFTR ENST00000546407 n.48+3*>+AC intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insAC intron_variant NaN NaN NaN
3508 ENSG00000001626 117105887 T TATC . INTRON CFTR ENST00000546407 n.48+3*>+ATC intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insATC intron_variant NaN NaN NaN
3509 ENSG00000001626 117105887 T TC . INTRON CFTR ENST00000546407 n.48+3*>+C intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insC intron_variant NaN NaN NaN
3510 ENSG00000001626 117105887 T TCTA . INTRON CFTR ENST00000546407 n.48+3*>+CTA intron_variant . intron_variant ENST00000546407.1:n.48+2_48+3insCTA intron_variant NaN NaN NaN

66288 rows

Annovar doesn't match for 5_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
10730 ENSG00000001626 117119357 T TA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insA intron_variant upstream_gene_variant upstream NaN
10731 ENSG00000001626 117119357 T TAG . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insAG intron_variant upstream_gene_variant upstream NaN
10732 ENSG00000001626 117119357 T TC . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insC intron_variant upstream_gene_variant upstream NaN
10733 ENSG00000001626 117119357 T TG . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insG intron_variant upstream_gene_variant upstream NaN
10734 ENSG00000001626 117119357 T TGC . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insGC intron_variant upstream_gene_variant upstream NaN

27 rows

Annovar doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20850 ENSG00000001626 117120148 C CA . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+A frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insA 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20852 ENSG00000001626 117120148 C CC . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+C frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1dupC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20853 ENSG00000001626 117120148 C CG . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+G frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insG 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20854 ENSG00000001626 117120148 C CGC . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+GC frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20855 ENSG00000001626 117120148 C CT . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+T frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insT 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN

1823 rows

Annovar doesn't match for inframe_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20851 ENSG00000001626 117120148 C CACT . CODON_INSERTION CFTR ENST00000454343 p.Met1X/c.1*>+ACT inframe_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insACT 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20857 ENSG00000001626 117120148 C CTGC . CODON_INSERTION CFTR ENST00000454343 p.Met1X/c.1*>+TGC inframe_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insTGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20862 ENSG00000001626 117120148 CATG C . CODON_DELETION CFTR ENST00000454343 p.Met1X/c.1*>-ATG inframe_variant . inframe_deletion ENSP00000389119.1:p.Met1?ENST00000426809.1:c.1... inframe_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
24397 ENSG00000001626 117144306 G GCGA . CODON_INSERTION CFTR ENST00000454343 p.Ser18X/c.54*>+CGA inframe_variant . inframe_insertion ENSP00000389119.1:p.Ser18_Trp19insAspENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->CGA,ENST00000003...
24398 ENSG00000001626 117144306 G GCTA . CODON_INSERTION CFTR ENST00000454343 p.Ser18X/c.54*>+CTA inframe_variant . inframe_insertion ENSP00000389119.1:p.Ser18_Trp19insTyrENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->CTA,ENST00000003...

424 rows

Annovar doesn't match for nonsynonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136292 ENSG00000001626 117267828 A G . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Gly/c.544A>G nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183GlyENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136297 ENSG00000001626 117267829 G A . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Lys/c.545G>A nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183LysENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136298 ENSG00000001626 117267829 G C . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Thr/c.545G>C nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183ThrENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136307 ENSG00000001626 117267829 G T . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Ile/c.545G>T nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183IleENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN
136319 ENSG00000001626 117267830 A C . NON_SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Ser/c.546A>C nonsynonymous_variant . missense_variant ENSP00000419254.1:p.Arg183SerENST00000468795.1... nonsynonymous_variant ignored unknown UNKNOWN

277 rows

Annovar doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20939 ENSG00000001626 117120154 G GT . STOP_GAINED CFTR ENST00000454343 p.Arg3*/c.7*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Arg3TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.6_7ins...
20940 ENSG00000001626 117120154 G GTA . STOP_GAINED CFTR ENST00000454343 p.Arg3*/c.7*>+TA stop_gained . frameshift_variant ENSP00000389119.1:p.Arg3TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.6_7ins...
21109 ENSG00000001626 117120166 G GT . STOP_GAINED CFTR ENST00000454343 p.Glu7*/c.19*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Glu7TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.18_19i...
21148 ENSG00000001626 117120169 A AT . STOP_GAINED CFTR ENST00000454343 p.Lys8*/c.22*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Lys8TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.21_22i...
21149 ENSG00000001626 117120169 A ATA . STOP_GAINED CFTR ENST00000454343 p.Lys8*/c.22*>+TA stop_gained . frameshift_variant ENSP00000389119.1:p.Lys8TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.21_22i...

797 rows

Annovar doesn't match for synonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136269 ENSG00000001626 117267827 G A . SYNONYMOUS_CODING CFTR ENST00000468795 p.Val181Val/c.543G>A synonymous_variant . synonymous_variant ENST00000468795.1:c.545G>A(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136270 ENSG00000001626 117267827 G C . SYNONYMOUS_CODING CFTR ENST00000468795 p.Val181Val/c.543G>C synonymous_variant . synonymous_variant ENST00000468795.1:c.545G>C(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136279 ENSG00000001626 117267827 G T . SYNONYMOUS_CODING CFTR ENST00000468795 p.Val181Val/c.543G>T synonymous_variant . synonymous_variant ENST00000468795.1:c.545G>T(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136291 ENSG00000001626 117267828 A C . SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Arg/c.544A>C synonymous_variant . synonymous_variant ENST00000468795.1:c.546A>C(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN
136320 ENSG00000001626 117267830 A G . SYNONYMOUS_CODING CFTR ENST00000468795 p.Arg182Arg/c.546A>G synonymous_variant . synonymous_variant ENST00000468795.1:c.548A>G(p.%3D)ENST000004687... synonymous_variant ignored unknown UNKNOWN

88 rows

Annovar doesn't match for downstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
25976 ENSG00000001626 117144419 T TA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->A,ENST000000030...
25977 ENSG00000001626 117144419 T TATG . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->ATG,ENST0000000...
25978 ENSG00000001626 117144419 T TC . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->C,ENST000000030...
25979 ENSG00000001626 117144419 T TCGA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->CGA,ENST0000000...
25980 ENSG00000001626 117144419 T TG . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->G,ENST000000030...

42041 rows

Annovar doesn't match for stop_lost

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136603 ENSG00000001626 117267850 GTT G . FRAME_SHIFT CFTR ENST00000468795 p.X189X/c.567*>-TT frameshift_variant . stop_lost ENSP00000419254.1:p.Cys190TerENST00000468795.1... stop_lost ignored unknown UNKNOWN
136604 ENSG00000001626 117267850 GTTA G . STOP_LOST CFTR ENST00000468795 p.X189Trpext*?/c.567*>-TTA stop_lost . stop_lost ENSP00000419254.1:p.CysTer190TrpENST0000046879... stop_lost ignored unknown UNKNOWN
136608 ENSG00000001626 117267851 T TA . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+A stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191IlefsTer6ENST0000046... frameshift_variant ignored unknown UNKNOWN
136609 ENSG00000001626 117267851 T TC . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+C stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191LeufsTer6ENST0000046... frameshift_variant ignored unknown UNKNOWN
136610 ENSG00000001626 117267851 T TCT . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+CT stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191LeufsTer11ENST000004... frameshift_variant ignored unknown UNKNOWN

98 rows

Annovar doesn't match for 3_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136632 ENSG00000001626 117267852 TAGA T . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.569*>-AGA stop_lost . 3_prime_UTR_variant ENST00000468795.1:c.*1_571delAGA 3_prime_UTR_variant ignored unknown UNKNOWN
136645 ENSG00000001626 117267853 AGA A . FRAME_SHIFT CFTR ENST00000468795 p.X190X/c.570*>-GA frameshift_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572delGA 3_prime_UTR_variant ignored unknown UNKNOWN
136646 ENSG00000001626 117267853 AGAC A . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.570*>-GAC stop_lost . 3_prime_UTR_variant ENST00000468795.1:c.*2_572delGAC 3_prime_UTR_variant ignored unknown UNKNOWN
136649 ENSG00000001626 117267854 G GA . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572insA 3_prime_UTR_variant ignored unknown UNKNOWN
136650 ENSG00000001626 117267854 G GACG . UTR_3_PRIME CFTR ENST00000468795 3_prime_UTR_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572insACG 3_prime_UTR_variant ignored unknown UNKNOWN

1036 rows

Annovar doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 51, "text": [ "" ] } ], "prompt_number": 51 }, { "cell_type": "code", "collapsed": false, "input": [ "sampletables =''\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

Snpeff doesn't match for \" + str(effect) + \"

\"\n", " query = master_df.loc[(master_df[\"normalized_so_annovar\"]==effect) & \n", " (master_df[\"normalized_so_snpeff\"]!=effect) & \n", " (master_df[\"normalized_so_vep\"]==effect)]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.tail(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

Snpeff doesn't match for intergenic_variant

0 rows

Snpeff doesn't match for upstream_gene_variant

0 rows

Snpeff doesn't match for ignored

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
259692 ENSG00000232661 117204728 GAAC G . UPSTREAM AC000111.3 ENST00000441019 upstream_gene_variant . non_coding_exon_variant ignored ignored ncRNA_exonic NaN
259705 ENSG00000232661 117204729 AAC A . UPSTREAM AC000111.3 ENST00000441019 upstream_gene_variant . non_coding_exon_variant ignored ignored ncRNA_exonic NaN
259706 ENSG00000232661 117204729 AACT A . UPSTREAM AC000111.3 ENST00000441019 upstream_gene_variant . non_coding_exon_variant ignored ignored ncRNA_exonic NaN

3 rows

Snpeff doesn't match for splicing_variant

0 rows

Snpeff doesn't match for intron_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
187471 ENSG00000001626 117355809 C CCG . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insCG intron_variant intron_variant intronic NaN
187472 ENSG00000001626 117355809 C CG . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insG intron_variant intron_variant intronic NaN
187473 ENSG00000001626 117355809 C CGCA . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insGCA intron_variant intron_variant intronic NaN
187474 ENSG00000001626 117355809 C CGT . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insGT intron_variant intron_variant intronic NaN
187475 ENSG00000001626 117355809 C CT . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insT intron_variant intron_variant intronic NaN

208 rows

Snpeff doesn't match for 5_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20853 ENSG00000001626 117120148 C CG . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+G frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insG 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20854 ENSG00000001626 117120148 C CGC . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+GC frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20855 ENSG00000001626 117120148 C CT . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+T frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insT 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20856 ENSG00000001626 117120148 C CTG . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+TG frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insTG 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20857 ENSG00000001626 117120148 C CTGC . CODON_INSERTION CFTR ENST00000454343 p.Met1X/c.1*>+TGC inframe_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insTGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN

24 rows

Snpeff doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
160354 ENSG00000001626 117307159 T TC . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+C stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420LeufsTer74ENST00000... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160356 ENSG00000001626 117307159 T TG . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+G stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420ValfsTer74ENST00000... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160357 ENSG00000001626 117307159 T TGA . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+GA stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420AspfsTer8ENST000004... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160358 ENSG00000001626 117307159 T TT . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+T stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420LeufsTer74ENST00000... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257d...
160359 ENSG00000001626 117307159 T TTC . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+TC stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420SerfsTer8ENST000004... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...

776 rows

Snpeff doesn't match for inframe_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
150498 ENSG00000001626 117304914 T TCGA . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Val1349_Thr1350insGluENST0... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000426809:exon24:c.4046_...
154782 ENSG00000001626 117305618 G GCGT . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Leu1384_Val1385insArgENST0... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000426809:exon25:c.4152_...
154785 ENSG00000001626 117305618 G GGTA . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Leu1384_Val1385insValENST0... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000426809:exon25:c.4152_...
159858 ENSG00000001626 117307123 AGAG A . FRAME_SHIFT CFTR ENST00000426809 p.X1439X/c.4315*>-GAG frameshift_variant . inframe_deletion ENSP00000403677.1:p.Glu1408delENST00000454343.... inframe_variant inframe_variant nonframeshift deletion ENSG00000001626:ENST00000454343:exon26:c.4222_...
159872 ENSG00000001626 117307124 GAGG G . FRAME_SHIFT CFTR ENST00000426809 p.X1439X/c.4316*>-AGG frameshift_variant . inframe_deletion ENSP00000403677.1:p.Glu1409delENST00000454343.... inframe_variant inframe_variant nonframeshift deletion ENSG00000001626:ENST00000454343:exon26:c.4223_...

53 rows

Snpeff doesn't match for nonsynonymous_variant

0 rows

Snpeff doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
25948 ENSG00000001626 117144417 G GATG . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . stop_gained ENSP00000389119.1:p.Arg55_Glu56insTerENST00000... stop_gained stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.164_16...
49816 ENSG00000001626 117176727 A AATG . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . stop_gained ENSP00000389119.1:p.Gln260_Thr261insTerENST000... stop_gained stop_gained stopgain SNV ENSG00000001626:ENST00000426809:exon6:c.779_78...
150499 ENSG00000001626 117304914 T TCTA . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . stop_gained ENSP00000389119.1:p.Val1349_Thr1350insTerENST0... stop_gained stop_gained stopgain SNV ENSG00000001626:ENST00000426809:exon24:c.4046_...

3 rows

Snpeff doesn't match for synonymous_variant

0 rows

Snpeff doesn't match for downstream_gene_variant

0 rows

Snpeff doesn't match for stop_lost

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
160360 ENSG00000001626 117307159 TT T . FRAME_SHIFT CFTR ENST00000454343 p.X1420X/c.4258*>-T frameshift_variant . stop_lost ENSP00000403677.1:p.Ter1420ArgENST00000454343.... stop_lost stop_lost stoploss SNV ENSG00000001626:ENST00000454343:exon26:c.4258d...

1 rows

Snpeff doesn't match for 3_prime_UTR_variant

0 rows

Snpeff doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 10, "text": [ "" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "sampletables = '

Other algo\\'s agree, but...

'\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

Snpeff doesn't match for \" + str(effect) + \"

\"\n", " query = master_df.loc[(master_df[\"normalized_so_annovar\"]==effect) & \n", " (master_df[\"normalized_so_snpeff\"]!=effect) & \n", " (master_df[\"normalized_so_vep\"]==effect)]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.tail(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

Other algo's agree, but...

Snpeff doesn't match for intergenic_variant

0 rows

Snpeff doesn't match for upstream_gene_variant

0 rows

Snpeff doesn't match for ignored

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
259692 ENSG00000232661 117204728 GAAC G . UPSTREAM AC000111.3 ENST00000441019 upstream_gene_variant . non_coding_exon_variant ignored ignored ncRNA_exonic NaN
259705 ENSG00000232661 117204729 AAC A . UPSTREAM AC000111.3 ENST00000441019 upstream_gene_variant . non_coding_exon_variant ignored ignored ncRNA_exonic NaN
259706 ENSG00000232661 117204729 AACT A . UPSTREAM AC000111.3 ENST00000441019 upstream_gene_variant . non_coding_exon_variant ignored ignored ncRNA_exonic NaN

3 rows

Snpeff doesn't match for splicing_variant

0 rows

Snpeff doesn't match for intron_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
187471 ENSG00000001626 117355809 C CCG . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insCG intron_variant intron_variant intronic NaN
187472 ENSG00000001626 117355809 C CG . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insG intron_variant intron_variant intronic NaN
187473 ENSG00000001626 117355809 C CGCA . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insGCA intron_variant intron_variant intronic NaN
187474 ENSG00000001626 117355809 C CGT . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insGT intron_variant intron_variant intronic NaN
187475 ENSG00000001626 117355809 C CT . SPLICE_SITE_ACCEPTOR CFTR ENST00000600166 splicing_variant . intron_variant ENST00000610149.1:n.450-3_450-2insT intron_variant intron_variant intronic NaN

208 rows

Snpeff doesn't match for 5_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20853 ENSG00000001626 117120148 C CG . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+G frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insG 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20854 ENSG00000001626 117120148 C CGC . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+GC frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20855 ENSG00000001626 117120148 C CT . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+T frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insT 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20856 ENSG00000001626 117120148 C CTG . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+TG frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insTG 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20857 ENSG00000001626 117120148 C CTGC . CODON_INSERTION CFTR ENST00000454343 p.Met1X/c.1*>+TGC inframe_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insTGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN

24 rows

Snpeff doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
160354 ENSG00000001626 117307159 T TC . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+C stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420LeufsTer74ENST00000... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160356 ENSG00000001626 117307159 T TG . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+G stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420ValfsTer74ENST00000... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160357 ENSG00000001626 117307159 T TGA . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+GA stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420AspfsTer8ENST000004... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160358 ENSG00000001626 117307159 T TT . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+T stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420LeufsTer74ENST00000... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257d...
160359 ENSG00000001626 117307159 T TTC . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4258*>+TC stop_lost . frameshift_variant ENSP00000403677.1:p.Ter1420SerfsTer8ENST000004... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000454343:exon26:c.4257_...

776 rows

Snpeff doesn't match for inframe_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
150498 ENSG00000001626 117304914 T TCGA . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Val1349_Thr1350insGluENST0... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000426809:exon24:c.4046_...
154782 ENSG00000001626 117305618 G GCGT . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Leu1384_Val1385insArgENST0... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000426809:exon25:c.4152_...
154785 ENSG00000001626 117305618 G GGTA . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Leu1384_Val1385insValENST0... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000426809:exon25:c.4152_...
159858 ENSG00000001626 117307123 AGAG A . FRAME_SHIFT CFTR ENST00000426809 p.X1439X/c.4315*>-GAG frameshift_variant . inframe_deletion ENSP00000403677.1:p.Glu1408delENST00000454343.... inframe_variant inframe_variant nonframeshift deletion ENSG00000001626:ENST00000454343:exon26:c.4222_...
159872 ENSG00000001626 117307124 GAGG G . FRAME_SHIFT CFTR ENST00000426809 p.X1439X/c.4316*>-AGG frameshift_variant . inframe_deletion ENSP00000403677.1:p.Glu1409delENST00000454343.... inframe_variant inframe_variant nonframeshift deletion ENSG00000001626:ENST00000454343:exon26:c.4223_...

53 rows

Snpeff doesn't match for nonsynonymous_variant

0 rows

Snpeff doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
25948 ENSG00000001626 117144417 G GATG . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . stop_gained ENSP00000389119.1:p.Arg55_Glu56insTerENST00000... stop_gained stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.164_16...
49816 ENSG00000001626 117176727 A AATG . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . stop_gained ENSP00000389119.1:p.Gln260_Thr261insTerENST000... stop_gained stop_gained stopgain SNV ENSG00000001626:ENST00000426809:exon6:c.779_78...
150499 ENSG00000001626 117304914 T TCTA . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . stop_gained ENSP00000389119.1:p.Val1349_Thr1350insTerENST0... stop_gained stop_gained stopgain SNV ENSG00000001626:ENST00000426809:exon24:c.4046_...

3 rows

Snpeff doesn't match for synonymous_variant

0 rows

Snpeff doesn't match for downstream_gene_variant

0 rows

Snpeff doesn't match for stop_lost

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
160360 ENSG00000001626 117307159 TT T . FRAME_SHIFT CFTR ENST00000454343 p.X1420X/c.4258*>-T frameshift_variant . stop_lost ENSP00000403677.1:p.Ter1420ArgENST00000454343.... stop_lost stop_lost stoploss SNV ENSG00000001626:ENST00000454343:exon26:c.4258d...

1 rows

Snpeff doesn't match for 3_prime_UTR_variant

0 rows

Snpeff doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ "" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": true, "input": [ "sampletables = '

At least 1 column doesn\\'t match

'\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

Snpeff doesn't match for \" + str(effect) + \"

\"\n", " query = num_rows = master_df.loc[(master_df[\"normalized_so_snpeff\"]!=effect) & \n", " ((master_df[\"normalized_so_annovar\"]==effect) | (master_df[\"normalized_so_vep\"]==effect))]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.head(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

At least 1 column doesn't match

Snpeff doesn't match for intergenic_variant

0 rows

Snpeff doesn't match for upstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
2806 ENSG00000001626 117105837 T TA . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2807 ENSG00000001626 117105837 T TAC . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2808 ENSG00000001626 117105837 T TC . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2809 ENSG00000001626 117105837 T TG . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2810 ENSG00000001626 117105837 T TGAT . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN

1424 rows

Snpeff doesn't match for ignored

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
2788 ENSG00000001626 117105835 TTTT T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2801 ENSG00000001626 117105836 TTT T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2802 ENSG00000001626 117105836 TTTG T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
3477 ENSG00000001626 117105885 G GA . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insA ignored NaN NaN NaN
3478 ENSG00000001626 117105885 G GC . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insC ignored NaN NaN NaN

17901 rows

Snpeff doesn't match for splicing_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
11346 ENSG00000001626 117119401 T TA . INTRON CFTR ENST00000446805 c.-424+3*>+A intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insA intron_variant splicing_variant splicing NaN
11347 ENSG00000001626 117119401 T TAT . INTRON CFTR ENST00000446805 c.-424+3*>+AT intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insAT intron_variant splicing_variant splicing NaN
11348 ENSG00000001626 117119401 T TC . INTRON CFTR ENST00000446805 c.-424+3*>+C intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insC intron_variant splicing_variant splicing NaN
11349 ENSG00000001626 117119401 T TCGT . INTRON CFTR ENST00000446805 c.-424+3*>+CGT intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insCGT intron_variant splicing_variant splicing NaN
11350 ENSG00000001626 117119401 T TG . INTRON CFTR ENST00000446805 c.-424+3*>+G intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insG intron_variant splicing_variant splicing NaN

489 rows

Snpeff doesn't match for intron_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
6250 ENSG00000001626 117115742 T TA . SPLICE_SITE_ACCEPTOR CFTR ENST00000546407 splicing_variant . intron_variant ENST00000546407.1:n.49-3_49-2insA intron_variant NaN NaN NaN
6251 ENSG00000001626 117115742 T TC . SPLICE_SITE_ACCEPTOR CFTR ENST00000546407 splicing_variant . intron_variant ENST00000546407.1:n.49-3_49-2insC intron_variant NaN NaN NaN
6252 ENSG00000001626 117115742 T TCA . SPLICE_SITE_ACCEPTOR CFTR ENST00000546407 splicing_variant . intron_variant ENST00000546407.1:n.49-3_49-2insCA intron_variant NaN NaN NaN
6253 ENSG00000001626 117115742 T TCAG . SPLICE_SITE_ACCEPTOR CFTR ENST00000546407 splicing_variant . intron_variant ENST00000546407.1:n.49-3_49-2insCAG intron_variant NaN NaN NaN
6254 ENSG00000001626 117115742 T TCAT . SPLICE_SITE_ACCEPTOR CFTR ENST00000546407 splicing_variant . intron_variant ENST00000546407.1:n.49-3_49-2insCAT intron_variant NaN NaN NaN

18177 rows

Snpeff doesn't match for 5_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
11300 ENSG00000001626 117119397 AAGG A . SPLICE_SITE_DONOR CFTR ENST00000446805 splicing_variant . splice_donor_variant ENST00000446805.1:c.-425_-424+1delAGG splicing_variant 5_prime_UTR_variant UTR5 NaN
11313 ENSG00000001626 117119398 AGG A . SPLICE_SITE_DONOR CFTR ENST00000446805 splicing_variant . splice_donor_variant ENST00000446805.1:c.-424_-424+1delGG splicing_variant 5_prime_UTR_variant UTR5 NaN
11314 ENSG00000001626 117119398 AGGT A . SPLICE_SITE_DONOR CFTR ENST00000446805 splicing_variant . splice_donor_variant ENST00000446805.1:c.-424_-424+2delGGT splicing_variant 5_prime_UTR_variant UTR5 NaN
11317 ENSG00000001626 117119399 G GA . SPLICE_SITE_DONOR CFTR ENST00000446805 splicing_variant . 5_prime_UTR_variant ENST00000446805.1:c.-424_-424+1insA 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
11318 ENSG00000001626 117119399 G GAT . SPLICE_SITE_DONOR CFTR ENST00000446805 splicing_variant . 5_prime_UTR_variant ENST00000446805.1:c.-424_-424+1insAT 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN

36 rows

Snpeff doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20862 ENSG00000001626 117120148 CATG C . CODON_DELETION CFTR ENST00000454343 p.Met1X/c.1*>-ATG inframe_variant . inframe_deletion ENSP00000389119.1:p.Met1?ENST00000426809.1:c.1... inframe_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
20939 ENSG00000001626 117120154 G GT . STOP_GAINED CFTR ENST00000454343 p.Arg3*/c.7*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Arg3TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.6_7ins...
20940 ENSG00000001626 117120154 G GTA . STOP_GAINED CFTR ENST00000454343 p.Arg3*/c.7*>+TA stop_gained . frameshift_variant ENSP00000389119.1:p.Arg3TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.6_7ins...
21109 ENSG00000001626 117120166 G GT . STOP_GAINED CFTR ENST00000454343 p.Glu7*/c.19*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Glu7TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.18_19i...
21148 ENSG00000001626 117120169 A AT . STOP_GAINED CFTR ENST00000454343 p.Lys8*/c.22*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Lys8TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.21_22i...

1393 rows

Snpeff doesn't match for inframe_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
21380 ENSG00000001626 117120185 TCCA T . STOP_GAINED CFTR ENST00000454343 p.X13*/c.38*>-CCA stop_gained . stop_gained ENSP00000389119.1:p.Ser13_Lys14delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon1:c.38_40d...
21594 ENSG00000001626 117120201 G GACT . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Ser18delinsArgLeuENST00000... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000003084:exon1:c.53_54i...
21597 ENSG00000001626 117120201 G GCAG . SPLICE_SITE_DONOR CFTR ENST00000454343 splicing_variant . inframe_insertion ENSP00000389119.1:p.Ser18dupENST00000426809.1:... inframe_variant inframe_variant nonframeshift insertion ENSG00000001626:ENST00000003084:exon1:c.53_54i...
24810 ENSG00000001626 117144335 TACA T . STOP_GAINED CFTR ENST00000454343 p.X28*/c.83*>-ACA stop_gained . stop_gained ENSP00000389119.1:p.Tyr28_Arg29delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.83_85d...
24824 ENSG00000001626 117144336 ACAG A . STOP_GAINED CFTR ENST00000454343 p.X28*/c.84*>-CAG stop_gained . stop_gained ENSP00000389119.1:p.Tyr28_Arg29delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.84_86d...

175 rows

Snpeff doesn't match for nonsynonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136647 ENSG00000001626 117267854 G A . SYNONYMOUS_STOP CFTR ENST00000468795 p.*190*/c.570G>A synonymous_variant . stop_retained_variant ENST00000468795.1:c.572G>A(p.%3D)ENST000004687... nonsynonymous_variant ignored unknown UNKNOWN
160391 ENSG00000001626 117307162 G A . SYNONYMOUS_STOP CFTR ENST00000454343 p.*1420*/c.4260G>A synonymous_variant . stop_retained_variant ENST00000454343.1:c.4260G>A(p.%3D)ENST00000454... nonsynonymous_variant synonymous_variant synonymous SNV ENSG00000001626:ENST00000454343:exon26:c.G4260...
188918 ENSG00000001626 117355912 A G . SYNONYMOUS_STOP CFTR ENST00000600166 p.*156*/c.467A>G synonymous_variant . stop_retained_variant ENST00000600166.1:c.469A>G(p.%3D)ENST000006001... nonsynonymous_variant ignored unknown UNKNOWN
188932 ENSG00000001626 117355913 A G . SYNONYMOUS_STOP CFTR ENST00000600166 p.*156*/c.468A>G synonymous_variant . stop_retained_variant ENST00000600166.1:c.470A>G(p.%3D)ENST000006001... nonsynonymous_variant ignored unknown UNKNOWN

4 rows

Snpeff doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
24430 ENSG00000001626 117144308 TG T . FRAME_SHIFT CFTR ENST00000454343 p.X19X/c.56*>-G frameshift_variant . frameshift_variant ENSP00000389119.1:p.Trp19TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.56delG...
24444 ENSG00000001626 117144309 GG G . FRAME_SHIFT CFTR ENST00000454343 p.X19X/c.57*>-G frameshift_variant . frameshift_variant ENSP00000389119.1:p.Trp19TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.57delG...
24626 ENSG00000001626 117144322 TT T . FRAME_SHIFT CFTR ENST00000454343 p.X24X/c.70*>-T frameshift_variant . frameshift_variant ENSP00000389119.1:p.Leu24TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.70delT...
24640 ENSG00000001626 117144323 TT T . FRAME_SHIFT CFTR ENST00000454343 p.X24X/c.71*>-T frameshift_variant . frameshift_variant ENSP00000389119.1:p.Leu24TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.71delT...
24822 ENSG00000001626 117144336 AC A . FRAME_SHIFT CFTR ENST00000454343 p.X28X/c.84*>-C frameshift_variant . frameshift_variant ENSP00000389119.1:p.Tyr28TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.84delC...

214 rows

Snpeff doesn't match for synonymous_variant

0 rows

Snpeff doesn't match for downstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
28718 ENSG00000001626 117149085 C CA . SPLICE_SITE_ACCEPTOR CFTR ENST00000454343 splicing_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
28719 ENSG00000001626 117149085 C CAC . SPLICE_SITE_ACCEPTOR CFTR ENST00000454343 splicing_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
28720 ENSG00000001626 117149085 C CATG . SPLICE_SITE_ACCEPTOR CFTR ENST00000454343 splicing_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
28721 ENSG00000001626 117149085 C CC . SPLICE_SITE_ACCEPTOR CFTR ENST00000454343 splicing_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
28722 ENSG00000001626 117149085 C CG . SPLICE_SITE_ACCEPTOR CFTR ENST00000454343 splicing_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN

48 rows

Snpeff doesn't match for stop_lost

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136603 ENSG00000001626 117267850 GTT G . FRAME_SHIFT CFTR ENST00000468795 p.X189X/c.567*>-TT frameshift_variant . stop_lost ENSP00000419254.1:p.Cys190TerENST00000468795.1... stop_lost ignored unknown UNKNOWN
136616 ENSG00000001626 117267851 TT T . FRAME_SHIFT CFTR ENST00000468795 p.X190X/c.568*>-T frameshift_variant . stop_lost ENSP00000419254.1:p.Ter191ArgENST00000468795.1... stop_lost ignored unknown UNKNOWN
136617 ENSG00000001626 117267851 TTA T . FRAME_SHIFT CFTR ENST00000468795 p.X190X/c.568*>-TA frameshift_variant . stop_lost ENSP00000419254.1:p.Ter191AspENST00000468795.1... stop_lost ignored unknown UNKNOWN
136622 ENSG00000001626 117267852 T TA . FRAME_SHIFT CFTR ENST00000468795 p.*190X/c.569*>+A frameshift_variant . stop_lost ENSP00000419254.1:p.Ter191TerENST00000468795.1... stop_lost ignored unknown UNKNOWN
136626 ENSG00000001626 117267852 T TG . FRAME_SHIFT CFTR ENST00000468795 p.*190X/c.569*>+G frameshift_variant . stop_lost ENSP00000419254.1:p.Ter191TerENST00000468795.1... stop_lost ignored unknown UNKNOWN

33 rows

Snpeff doesn't match for 3_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136632 ENSG00000001626 117267852 TAGA T . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.569*>-AGA stop_lost . 3_prime_UTR_variant ENST00000468795.1:c.*1_571delAGA 3_prime_UTR_variant ignored unknown UNKNOWN
136645 ENSG00000001626 117267853 AGA A . FRAME_SHIFT CFTR ENST00000468795 p.X190X/c.570*>-GA frameshift_variant . 3_prime_UTR_variant ENST00000468795.1:c.*1_572delGA 3_prime_UTR_variant ignored unknown UNKNOWN
136646 ENSG00000001626 117267853 AGAC A . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.570*>-GAC stop_lost . 3_prime_UTR_variant ENST00000468795.1:c.*2_572delGAC 3_prime_UTR_variant ignored unknown UNKNOWN
160376 ENSG00000001626 117307160 TAGA T . STOP_LOST CFTR ENST00000454343 p.*1420Xext*?/c.4259*>-AGA stop_lost . 3_prime_UTR_variant ENST00000454343.1:c.*1_4259delAGA 3_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000454343:exon26:c.4259_...
160389 ENSG00000001626 117307161 AGA A . FRAME_SHIFT CFTR ENST00000454343 p.X1420X/c.4260*>-GA frameshift_variant . 3_prime_UTR_variant ENST00000454343.1:c.*1_4260delGA 3_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000454343:exon26:c.4260_...

35 rows

Snpeff doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 54, "text": [ "" ] } ], "prompt_number": 54 }, { "cell_type": "code", "collapsed": false, "input": [ "sampletables = '

Other algo\\'s agree, but...

'\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

VEP doesn't match for \" + str(effect) + \"

\"\n", " query = master_df.loc[(master_df[\"normalized_so_annovar\"]==effect) & \n", " (master_df[\"normalized_so_snpeff\"]==effect) & \n", " (master_df[\"normalized_so_vep\"]!=effect)]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.head(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

Other algo's agree, but...

VEP doesn't match for intergenic_variant

0 rows

VEP doesn't match for upstream_gene_variant

0 rows

VEP doesn't match for ignored

0 rows

VEP doesn't match for splicing_variant

0 rows

VEP doesn't match for intron_variant

0 rows

VEP doesn't match for 5_prime_UTR_variant

0 rows

VEP doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20834 ENSG00000001626 117120146 ACCA A . FRAME_SHIFT CFTR ENST00000426809 p.X1X/c.1*>-CCA frameshift_variant . 5_prime_UTR_variant 5_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
20847 ENSG00000001626 117120147 CCA C . FRAME_SHIFT CFTR ENST00000454343 p.X1X/c.1*>-CA frameshift_variant . 5_prime_UTR_variant 5_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
20848 ENSG00000001626 117120147 CCAT C . FRAME_SHIFT CFTR ENST00000426809 p.X1X/c.1*>-CAT frameshift_variant . 5_prime_UTR_variant 5_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
160347 ENSG00000001626 117307158 TTT T . FRAME_SHIFT CFTR ENST00000454343 p.X1419X/c.4257*>-TT frameshift_variant . stop_lost ENSP00000403677.1:p.Ter1420GluENST00000454343.... stop_lost frameshift_variant frameshift deletion ENSG00000001626:ENST00000454343:exon26:c.4257_...
160361 ENSG00000001626 117307159 TTA T . FRAME_SHIFT CFTR ENST00000454343 p.X1420X/c.4258*>-TA frameshift_variant . stop_lost ENSP00000403677.1:p.Ter1420GluENST00000454343.... stop_lost frameshift_variant frameshift deletion ENSG00000001626:ENST00000454343:exon26:c.4258_...

12 rows

VEP doesn't match for inframe_variant

0 rows

VEP doesn't match for nonsynonymous_variant

0 rows

VEP doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
24422 ENSG00000001626 117144308 T TA . STOP_GAINED CFTR ENST00000454343 p.Trp19*/c.56*>+A stop_gained . frameshift_variant ENSP00000389119.1:p.Trp19TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.55_56i...
24423 ENSG00000001626 117144308 T TAG . STOP_GAINED CFTR ENST00000454343 p.Trp19*/c.56*>+AG stop_gained . frameshift_variant ENSP00000389119.1:p.Trp19TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.55_56i...
24435 ENSG00000001626 117144309 G GA . STOP_GAINED CFTR ENST00000454343 p.Trp19*/c.57*>+A stop_gained . frameshift_variant ENSP00000389119.1:p.Trp19TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.56_57i...
24800 ENSG00000001626 117144335 T TA . STOP_GAINED CFTR ENST00000454343 p.Tyr28*/c.83*>+A stop_gained . frameshift_variant ENSP00000389119.1:p.Tyr28TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.83dupA...
24805 ENSG00000001626 117144335 T TG . STOP_GAINED CFTR ENST00000454343 p.Tyr28*/c.83*>+G stop_gained . frameshift_variant ENSP00000389119.1:p.Tyr28TerENST00000426809.1:... frameshift_variant stop_gained stopgain SNV ENSG00000001626:ENST00000003084:exon2:c.82_83i...

399 rows

VEP doesn't match for synonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
160391 ENSG00000001626 117307162 G A . SYNONYMOUS_STOP CFTR ENST00000454343 p.*1420*/c.4260G>A synonymous_variant . stop_retained_variant ENST00000454343.1:c.4260G>A(p.%3D)ENST00000454... nonsynonymous_variant synonymous_variant synonymous SNV ENSG00000001626:ENST00000454343:exon26:c.G4260...

1 rows

VEP doesn't match for downstream_gene_variant

0 rows

VEP doesn't match for stop_lost

0 rows

VEP doesn't match for 3_prime_UTR_variant

0 rows

VEP doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 55, "text": [ "" ] } ], "prompt_number": 55 }, { "cell_type": "code", "collapsed": true, "input": [ "sampletables = '

At least 1 column doesn\\'t match

'\n", "for effect in master_df[\"normalized_so_snpeff\"].unique():\n", " sampletables += \"

VEP doesn't match for \" + str(effect) + \"

\"\n", " query = master_df.loc[(master_df[\"normalized_so_vep\"] != effect) &\n", " ((master_df[\"normalized_so_annovar\"]==effect) | (master_df[\"normalized_so_snpeff\"]==effect))]\n", " num_rows = query.count()[0]\n", " if num_rows > 0:\n", " sampletables += query.head(5).to_html()\n", " sampletables += \"

\" + str(num_rows) + \" rows

\"\n", "HTML(sampletables)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "

At least 1 column doesn't match

VEP doesn't match for intergenic_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
0 117105737 C A . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
1 117105737 C CA . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
2 117105737 C CAG . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
3 117105737 C CC . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN
4 117105737 C CCTG . INTERGENIC intergenic_variant NaN NaN NaN NaN NaN NaN NaN

1403 rows

VEP doesn't match for upstream_gene_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
2788 ENSG00000001626 117105835 TTTT T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2801 ENSG00000001626 117105836 TTT T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
2802 ENSG00000001626 117105836 TTTG T . UPSTREAM CFTR ENST00000546407 upstream_gene_variant . non_coding_exon_variant ignored NaN NaN NaN
9327 ENSG00000001626 117119257 A AA . INTRON CFTR ENST00000546407 n.166+3396*>+A intron_variant . intron_variant ENST00000546407.1:n.166+3395dupA intron_variant upstream_gene_variant upstream NaN
9328 ENSG00000001626 117119257 A AAC . INTRON CFTR ENST00000546407 n.166+3396*>+AC intron_variant . intron_variant ENST00000546407.1:n.166+3395_166+3396insAC intron_variant upstream_gene_variant upstream NaN

1414 rows

VEP doesn't match for ignored

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
2806 ENSG00000001626 117105837 T TA . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2807 ENSG00000001626 117105837 T TAC . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2808 ENSG00000001626 117105837 T TC . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2809 ENSG00000001626 117105837 T TG . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN
2810 ENSG00000001626 117105837 T TGAT . EXON CFTR ENST00000546407 ignored . upstream_gene_variant upstream_gene_variant NaN NaN NaN

17895 rows

VEP doesn't match for splicing_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
3477 ENSG00000001626 117105885 G GA . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insA ignored NaN NaN NaN
3478 ENSG00000001626 117105885 G GC . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insC ignored NaN NaN NaN
3479 ENSG00000001626 117105885 G GCGA . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insCGA ignored NaN NaN NaN
3480 ENSG00000001626 117105885 G GG . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48dupG ignored NaN NaN NaN
3481 ENSG00000001626 117105885 G GGA . SPLICE_SITE_DONOR CFTR ENST00000546407 splicing_variant . splice_region_variant ENST00000546407.1:n.48_48+1insGA ignored NaN NaN NaN

1041 rows

VEP doesn't match for intron_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
25984 ENSG00000001626 117144419 TA T . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
25985 ENSG00000001626 117144419 TAT T . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
25986 ENSG00000001626 117144419 TATG T . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
25987 ENSG00000001626 117144420 A AA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN
25988 ENSG00000001626 117144420 A AC . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant intron_variant intronic NaN

17889 rows

VEP doesn't match for 5_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
10730 ENSG00000001626 117119357 T TA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insA intron_variant upstream_gene_variant upstream NaN
10731 ENSG00000001626 117119357 T TAG . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insAG intron_variant upstream_gene_variant upstream NaN
10732 ENSG00000001626 117119357 T TC . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insC intron_variant upstream_gene_variant upstream NaN
10733 ENSG00000001626 117119357 T TG . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insG intron_variant upstream_gene_variant upstream NaN
10734 ENSG00000001626 117119357 T TGC . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . intron_variant ENST00000546407.1:n.166+3495_166+3496insGC intron_variant upstream_gene_variant upstream NaN

25 rows

VEP doesn't match for frameshift_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20834 ENSG00000001626 117120146 ACCA A . FRAME_SHIFT CFTR ENST00000426809 p.X1X/c.1*>-CCA frameshift_variant . 5_prime_UTR_variant 5_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
20847 ENSG00000001626 117120147 CCA C . FRAME_SHIFT CFTR ENST00000454343 p.X1X/c.1*>-CA frameshift_variant . 5_prime_UTR_variant 5_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
20848 ENSG00000001626 117120147 CCAT C . FRAME_SHIFT CFTR ENST00000426809 p.X1X/c.1*>-CAT frameshift_variant . 5_prime_UTR_variant 5_prime_UTR_variant frameshift_variant frameshift deletion ENSG00000001626:ENST00000426809:wholegene,ENSG...
20850 ENSG00000001626 117120148 C CA . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+A frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insA 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20852 ENSG00000001626 117120148 C CC . FRAME_SHIFT CFTR ENST00000454343 p.Met1X/c.1*>+C frameshift_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1dupC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN

217 rows

VEP doesn't match for inframe_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20851 ENSG00000001626 117120148 C CACT . CODON_INSERTION CFTR ENST00000454343 p.Met1X/c.1*>+ACT inframe_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insACT 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
20857 ENSG00000001626 117120148 C CTGC . CODON_INSERTION CFTR ENST00000454343 p.Met1X/c.1*>+TGC inframe_variant . 5_prime_UTR_variant ENST00000454343.1:c.-1_1insTGC 5_prime_UTR_variant 5_prime_UTR_variant UTR5 NaN
21380 ENSG00000001626 117120185 TCCA T . STOP_GAINED CFTR ENST00000454343 p.X13*/c.38*>-CCA stop_gained . stop_gained ENSP00000389119.1:p.Ser13_Lys14delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon1:c.38_40d...
24810 ENSG00000001626 117144335 TACA T . STOP_GAINED CFTR ENST00000454343 p.X28*/c.83*>-ACA stop_gained . stop_gained ENSP00000389119.1:p.Tyr28_Arg29delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.83_85d...
24824 ENSG00000001626 117144336 ACAG A . STOP_GAINED CFTR ENST00000454343 p.X28*/c.84*>-CAG stop_gained . stop_gained ENSP00000389119.1:p.Tyr28_Arg29delinsTerENST00... stop_gained inframe_variant nonframeshift deletion ENSG00000001626:ENST00000003084:exon2:c.84_86d...

124 rows

VEP doesn't match for nonsynonymous_variant

0 rows

VEP doesn't match for stop_gained

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
20939 ENSG00000001626 117120154 G GT . STOP_GAINED CFTR ENST00000454343 p.Arg3*/c.7*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Arg3TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.6_7ins...
20940 ENSG00000001626 117120154 G GTA . STOP_GAINED CFTR ENST00000454343 p.Arg3*/c.7*>+TA stop_gained . frameshift_variant ENSP00000389119.1:p.Arg3TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.6_7ins...
21109 ENSG00000001626 117120166 G GT . STOP_GAINED CFTR ENST00000454343 p.Glu7*/c.19*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Glu7TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.18_19i...
21148 ENSG00000001626 117120169 A AT . STOP_GAINED CFTR ENST00000454343 p.Lys8*/c.22*>+T stop_gained . frameshift_variant ENSP00000389119.1:p.Lys8TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.21_22i...
21149 ENSG00000001626 117120169 A ATA . STOP_GAINED CFTR ENST00000454343 p.Lys8*/c.22*>+TA stop_gained . frameshift_variant ENSP00000389119.1:p.Lys8TerENST00000426809.1:c... frameshift_variant frameshift_variant frameshift insertion ENSG00000001626:ENST00000003084:exon1:c.21_22i...

1262 rows

VEP doesn't match for synonymous_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136647 ENSG00000001626 117267854 G A . SYNONYMOUS_STOP CFTR ENST00000468795 p.*190*/c.570G>A synonymous_variant . stop_retained_variant ENST00000468795.1:c.572G>A(p.%3D)ENST000004687... nonsynonymous_variant ignored unknown UNKNOWN
160391 ENSG00000001626 117307162 G A . SYNONYMOUS_STOP CFTR ENST00000454343 p.*1420*/c.4260G>A synonymous_variant . stop_retained_variant ENST00000454343.1:c.4260G>A(p.%3D)ENST00000454... nonsynonymous_variant synonymous_variant synonymous SNV ENSG00000001626:ENST00000454343:exon26:c.G4260...
188918 ENSG00000001626 117355912 A G . SYNONYMOUS_STOP CFTR ENST00000600166 p.*156*/c.467A>G synonymous_variant . stop_retained_variant ENST00000600166.1:c.469A>G(p.%3D)ENST000006001... nonsynonymous_variant ignored unknown UNKNOWN
188932 ENSG00000001626 117355913 A G . SYNONYMOUS_STOP CFTR ENST00000600166 p.*156*/c.468A>G synonymous_variant . stop_retained_variant ENST00000600166.1:c.470A>G(p.%3D)ENST000006001... nonsynonymous_variant ignored unknown UNKNOWN

4 rows

VEP doesn't match for downstream_gene_variant

0 rows

VEP doesn't match for stop_lost

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
136608 ENSG00000001626 117267851 T TA . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+A stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191IlefsTer6ENST0000046... frameshift_variant ignored unknown UNKNOWN
136609 ENSG00000001626 117267851 T TC . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+C stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191LeufsTer6ENST0000046... frameshift_variant ignored unknown UNKNOWN
136610 ENSG00000001626 117267851 T TCT . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+CT stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191LeufsTer11ENST000004... frameshift_variant ignored unknown UNKNOWN
136611 ENSG00000001626 117267851 T TG . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+G stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191ValfsTer6ENST0000046... frameshift_variant ignored unknown UNKNOWN
136612 ENSG00000001626 117267851 T TGA . STOP_LOST CFTR ENST00000468795 p.*190Xext*?/c.568*>+GA stop_lost . frameshift_variant ENSP00000419254.1:p.Ter191AspfsTer11ENST000004... frameshift_variant ignored unknown UNKNOWN

24 rows

VEP doesn't match for 3_prime_UTR_variant

\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
176140 ENSG00000001626 117350702 TGAT T . INTRON CFTR ENST00000600166 c.367-5109*>-GAT intron_variant . intron_variant ENST00000429014.1:n.210-5109_210-5107delGAT intron_variant 3_prime_UTR_variant UTR3 NaN
176153 ENSG00000001626 117350703 GAT G . INTRON CFTR ENST00000600166 c.367-5108*>-AT intron_variant . intron_variant ENST00000429014.1:n.210-5108_210-5107delAT intron_variant 3_prime_UTR_variant UTR3 NaN
176154 ENSG00000001626 117350703 GATT G . INTRON CFTR ENST00000600166 c.367-5108*>-ATT intron_variant . intron_variant ENST00000429014.1:n.210-5108_210-5106delATT intron_variant 3_prime_UTR_variant UTR3 NaN
176167 ENSG00000001626 117350704 ATT A . INTRON CFTR ENST00000600166 c.367-5107*>-TT intron_variant . intron_variant ENST00000429014.1:n.210-5107_210-5106delTT intron_variant 3_prime_UTR_variant UTR3 NaN
176168 ENSG00000001626 117350704 ATTT A . INTRON CFTR ENST00000600166 c.367-5107*>-TTT intron_variant . intron_variant ENST00000429014.1:n.210-5107_210-5105delTTT intron_variant 3_prime_UTR_variant UTR3 NaN

34 rows

VEP doesn't match for nan

0 rows

" ], "metadata": {}, "output_type": "pyout", "prompt_number": 56, "text": [ "" ] } ], "prompt_number": 56 }, { "cell_type": "code", "collapsed": false, "input": [ "master_df.loc[(master_df[\"normalized_so_annovar\"]==\"splicing_variant\") &\n", " (master_df[\"normalized_so_snpeff\"]!=\"splicing_variant\") &\n", " (master_df[\"normalized_so_vep\"]!=\"splicing_variant\")].head(50)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "
\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
11346 ENSG00000001626 117119401 T TA . INTRON CFTR ENST00000446805 c.-424+3*>+A intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insA intron_variant splicing_variant splicing NaN
11347 ENSG00000001626 117119401 T TAT . INTRON CFTR ENST00000446805 c.-424+3*>+AT intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insAT intron_variant splicing_variant splicing NaN
11348 ENSG00000001626 117119401 T TC . INTRON CFTR ENST00000446805 c.-424+3*>+C intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insC intron_variant splicing_variant splicing NaN
11349 ENSG00000001626 117119401 T TCGT . INTRON CFTR ENST00000446805 c.-424+3*>+CGT intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insCGT intron_variant splicing_variant splicing NaN
11350 ENSG00000001626 117119401 T TG . INTRON CFTR ENST00000446805 c.-424+3*>+G intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insG intron_variant splicing_variant splicing NaN
11351 ENSG00000001626 117119401 T TGCT . INTRON CFTR ENST00000446805 c.-424+3*>+GCT intron_variant . intron_variant ENST00000446805.1:c.-424+2_-424+3insGCT intron_variant splicing_variant splicing NaN
11352 ENSG00000001626 117119401 T TGT . INTRON CFTR ENST00000446805 c.-424+3*>+GT intron_variant . intron_variant ENST00000446805.1:c.-424+1_-424+2dupGT intron_variant splicing_variant splicing NaN
11353 ENSG00000001626 117119401 T TT . INTRON CFTR ENST00000446805 c.-424+3*>+T intron_variant . intron_variant ENST00000446805.1:c.-424+2dupT intron_variant splicing_variant splicing NaN
12941 ENSG00000001626 117119515 G GA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insA 5_prime_UTR_variant splicing_variant splicing NaN
12942 ENSG00000001626 117119515 G GAT . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insAT 5_prime_UTR_variant splicing_variant splicing NaN
12943 ENSG00000001626 117119515 G GC . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insC 5_prime_UTR_variant splicing_variant splicing NaN
12944 ENSG00000001626 117119515 G GCAT . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insCAT 5_prime_UTR_variant splicing_variant splicing NaN
12945 ENSG00000001626 117119515 G GCGA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insCGA 5_prime_UTR_variant splicing_variant splicing NaN
12946 ENSG00000001626 117119515 G GG . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1dupG 5_prime_UTR_variant splicing_variant splicing NaN
12947 ENSG00000001626 117119515 G GGA . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insGA 5_prime_UTR_variant splicing_variant splicing NaN
12948 ENSG00000001626 117119515 G GT . UTR_5_PRIME CFTR ENST00000446805 5_prime_UTR_variant . 5_prime_UTR_variant ENST00000446805.1:c.-423-1_-423insT 5_prime_UTR_variant splicing_variant splicing NaN
16232 ENSG00000001626 117119750 T TA . INTRON CFTR ENST00000446805 c.-191+3*>+A intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insA intron_variant splicing_variant splicing NaN
16233 ENSG00000001626 117119750 T TC . INTRON CFTR ENST00000446805 c.-191+3*>+C intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insC intron_variant splicing_variant splicing NaN
16234 ENSG00000001626 117119750 T TCA . INTRON CFTR ENST00000446805 c.-191+3*>+CA intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insCA intron_variant splicing_variant splicing NaN
16235 ENSG00000001626 117119750 T TCTA . INTRON CFTR ENST00000446805 c.-191+3*>+CTA intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insCTA intron_variant splicing_variant splicing NaN
16236 ENSG00000001626 117119750 T TG . INTRON CFTR ENST00000446805 c.-191+3*>+G intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insG intron_variant splicing_variant splicing NaN
16237 ENSG00000001626 117119750 T TGC . INTRON CFTR ENST00000446805 c.-191+3*>+GC intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insGC intron_variant splicing_variant splicing NaN
16238 ENSG00000001626 117119750 T TT . INTRON CFTR ENST00000446805 c.-191+3*>+T intron_variant . intron_variant ENST00000446805.1:c.-191+2dupT intron_variant splicing_variant splicing NaN
16239 ENSG00000001626 117119750 T TTCG . INTRON CFTR ENST00000446805 c.-191+3*>+TCG intron_variant . intron_variant ENST00000446805.1:c.-191+2_-191+3insTCG intron_variant splicing_variant splicing NaN
21622 ENSG00000001626 117120203 T TA . INTRON CFTR ENST00000446805 c.-191+456*>+A intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insA intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->A,ENST0000000308...
21623 ENSG00000001626 117120203 T TAC . INTRON CFTR ENST00000446805 c.-191+456*>+AC intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insAC intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->AC,ENST000000030...
21624 ENSG00000001626 117120203 T TAGC . INTRON CFTR ENST00000446805 c.-191+456*>+AGC intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insAGC intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->AGC,ENST00000003...
21625 ENSG00000001626 117120203 T TC . INTRON CFTR ENST00000446805 c.-191+456*>+C intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insC intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->C,ENST0000000308...
21626 ENSG00000001626 117120203 T TG . INTRON CFTR ENST00000446805 c.-191+456*>+G intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insG intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->G,ENST0000000308...
21627 ENSG00000001626 117120203 T TGC . INTRON CFTR ENST00000446805 c.-191+456*>+GC intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insGC intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->GC,ENST000000030...
21628 ENSG00000001626 117120203 T TGCT . INTRON CFTR ENST00000446805 c.-191+456*>+GCT intron_variant . intron_variant ENST00000446805.1:c.-191+455_-191+456insGCT intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->GCT,ENST00000003...
21629 ENSG00000001626 117120203 T TT . INTRON CFTR ENST00000446805 c.-191+456*>+T intron_variant . intron_variant ENST00000446805.1:c.-191+455dupT intron_variant splicing_variant splicing ENST00000454343:exon1:c.53+2->T,ENST0000000308...
24393 ENSG00000001626 117144306 G GA . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+A frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer27ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->A,ENST0000000308...
24394 ENSG00000001626 117144306 G GAG . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+AG frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer8ENST00000426... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->AG,ENST000000030...
24395 ENSG00000001626 117144306 G GAT . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+AT frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer8ENST00000426... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->AT,ENST000000030...
24396 ENSG00000001626 117144306 G GC . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+C frameshift_variant . frameshift_variant ENSP00000389119.1:p.Trp19LeufsTer26ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->C,ENST0000000308...
24397 ENSG00000001626 117144306 G GCGA . CODON_INSERTION CFTR ENST00000454343 p.Ser18X/c.54*>+CGA inframe_variant . inframe_insertion ENSP00000389119.1:p.Ser18_Trp19insAspENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->CGA,ENST00000003...
24398 ENSG00000001626 117144306 G GCTA . CODON_INSERTION CFTR ENST00000454343 p.Ser18X/c.54*>+CTA inframe_variant . inframe_insertion ENSP00000389119.1:p.Ser18_Trp19insTyrENST00000... inframe_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->CTA,ENST00000003...
24399 ENSG00000001626 117144306 G GG . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+G frameshift_variant . frameshift_variant ENSP00000389119.1:p.Ser18ArgfsTer27ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->G,ENST0000000308...
24400 ENSG00000001626 117144306 G GT . FRAME_SHIFT CFTR ENST00000454343 p.Ser18X/c.54*>+T frameshift_variant . frameshift_variant ENSP00000389119.1:p.Trp19LeufsTer26ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon2:c.54-1->T,ENST0000000308...
25976 ENSG00000001626 117144419 T TA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->A,ENST000000030...
25977 ENSG00000001626 117144419 T TATG . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->ATG,ENST0000000...
25978 ENSG00000001626 117144419 T TC . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->C,ENST000000030...
25979 ENSG00000001626 117144419 T TCGA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->CGA,ENST0000000...
25980 ENSG00000001626 117144419 T TG . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->G,ENST000000030...
25981 ENSG00000001626 117144419 T TGC . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->GC,ENST00000003...
25982 ENSG00000001626 117144419 T TT . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->T,ENST000000030...
25983 ENSG00000001626 117144419 T TTA . DOWNSTREAM CFTR ENST00000546407 downstream_gene_variant . downstream_gene_variant downstream_gene_variant splicing_variant splicing ENST00000454343:exon2:c.164+2->TA,ENST00000003...
28747 ENSG00000001626 117149087 G GA . FRAME_SHIFT CFTR ENST00000454343 p.Arg55X/c.165*>+A frameshift_variant . frameshift_variant ENSP00000389119.1:p.Glu56ArgfsTer4ENST00000426... frameshift_variant splicing_variant splicing ENST00000454343:exon3:c.165-1->A,ENST000000030...
28748 ENSG00000001626 117149087 G GAG . FRAME_SHIFT CFTR ENST00000454343 p.Arg55X/c.165*>+AG frameshift_variant . frameshift_variant ENSP00000389119.1:p.Trp57AsnfsTer35ENST0000042... frameshift_variant splicing_variant splicing ENST00000454343:exon3:c.165-1->AG,ENST00000003...
\n", "

50 rows \u00d7 17 columns

\n", "
" ], "metadata": {}, "output_type": "pyout", "prompt_number": 57, "text": [ " Gene POS REF ALT ID_x Effect Gene_Name \\\n", "11346 ENSG00000001626 117119401 T TA . INTRON CFTR \n", "11347 ENSG00000001626 117119401 T TAT . INTRON CFTR \n", "11348 ENSG00000001626 117119401 T TC . INTRON CFTR \n", "11349 ENSG00000001626 117119401 T TCGT . INTRON CFTR \n", "11350 ENSG00000001626 117119401 T TG . INTRON CFTR \n", "11351 ENSG00000001626 117119401 T TGCT . INTRON CFTR \n", "11352 ENSG00000001626 117119401 T TGT . INTRON CFTR \n", "11353 ENSG00000001626 117119401 T TT . INTRON CFTR \n", "12941 ENSG00000001626 117119515 G GA . UTR_5_PRIME CFTR \n", "12942 ENSG00000001626 117119515 G GAT . UTR_5_PRIME CFTR \n", "12943 ENSG00000001626 117119515 G GC . UTR_5_PRIME CFTR \n", "12944 ENSG00000001626 117119515 G GCAT . UTR_5_PRIME CFTR \n", "12945 ENSG00000001626 117119515 G GCGA . UTR_5_PRIME CFTR \n", "12946 ENSG00000001626 117119515 G GG . UTR_5_PRIME CFTR \n", "12947 ENSG00000001626 117119515 G GGA . UTR_5_PRIME CFTR \n", "12948 ENSG00000001626 117119515 G GT . UTR_5_PRIME CFTR \n", "16232 ENSG00000001626 117119750 T TA . INTRON CFTR \n", "16233 ENSG00000001626 117119750 T TC . INTRON CFTR \n", "16234 ENSG00000001626 117119750 T TCA . INTRON CFTR \n", "16235 ENSG00000001626 117119750 T TCTA . INTRON CFTR \n", "16236 ENSG00000001626 117119750 T TG . INTRON CFTR \n", "16237 ENSG00000001626 117119750 T TGC . INTRON CFTR \n", "16238 ENSG00000001626 117119750 T TT . INTRON CFTR \n", "16239 ENSG00000001626 117119750 T TTCG . INTRON CFTR \n", "21622 ENSG00000001626 117120203 T TA . INTRON CFTR \n", "21623 ENSG00000001626 117120203 T TAC . INTRON CFTR \n", "21624 ENSG00000001626 117120203 T TAGC . INTRON CFTR \n", "21625 ENSG00000001626 117120203 T TC . INTRON CFTR \n", "21626 ENSG00000001626 117120203 T TG . INTRON CFTR \n", "21627 ENSG00000001626 117120203 T TGC . INTRON CFTR \n", "21628 ENSG00000001626 117120203 T TGCT . INTRON CFTR \n", "21629 ENSG00000001626 117120203 T TT . INTRON CFTR \n", "24393 ENSG00000001626 117144306 G GA . FRAME_SHIFT CFTR \n", "24394 ENSG00000001626 117144306 G GAG . FRAME_SHIFT CFTR \n", "24395 ENSG00000001626 117144306 G GAT . FRAME_SHIFT CFTR \n", "24396 ENSG00000001626 117144306 G GC . FRAME_SHIFT CFTR \n", "24397 ENSG00000001626 117144306 G GCGA . CODON_INSERTION CFTR \n", "24398 ENSG00000001626 117144306 G GCTA . CODON_INSERTION CFTR \n", "24399 ENSG00000001626 117144306 G GG . FRAME_SHIFT CFTR \n", "24400 ENSG00000001626 117144306 G GT . FRAME_SHIFT CFTR \n", "25976 ENSG00000001626 117144419 T TA . DOWNSTREAM CFTR \n", "25977 ENSG00000001626 117144419 T TATG . DOWNSTREAM CFTR \n", "25978 ENSG00000001626 117144419 T TC . DOWNSTREAM CFTR \n", "25979 ENSG00000001626 117144419 T TCGA . DOWNSTREAM CFTR \n", "25980 ENSG00000001626 117144419 T TG . DOWNSTREAM CFTR \n", "25981 ENSG00000001626 117144419 T TGC . DOWNSTREAM CFTR \n", "25982 ENSG00000001626 117144419 T TT . DOWNSTREAM CFTR \n", "25983 ENSG00000001626 117144419 T TTA . DOWNSTREAM CFTR \n", "28747 ENSG00000001626 117149087 G GA . FRAME_SHIFT CFTR \n", "28748 ENSG00000001626 117149087 G GAG . FRAME_SHIFT CFTR \n", "\n", " Transcript_ID hgvs_snpeff normalized_so_snpeff ID_y \\\n", "11346 ENST00000446805 c.-424+3*>+A intron_variant . \n", "11347 ENST00000446805 c.-424+3*>+AT intron_variant . \n", "11348 ENST00000446805 c.-424+3*>+C intron_variant . \n", "11349 ENST00000446805 c.-424+3*>+CGT intron_variant . \n", "11350 ENST00000446805 c.-424+3*>+G intron_variant . \n", "11351 ENST00000446805 c.-424+3*>+GCT intron_variant . \n", "11352 ENST00000446805 c.-424+3*>+GT intron_variant . \n", "11353 ENST00000446805 c.-424+3*>+T intron_variant . \n", "12941 ENST00000446805 5_prime_UTR_variant . \n", "12942 ENST00000446805 5_prime_UTR_variant . \n", "12943 ENST00000446805 5_prime_UTR_variant . \n", "12944 ENST00000446805 5_prime_UTR_variant . \n", "12945 ENST00000446805 5_prime_UTR_variant . \n", "12946 ENST00000446805 5_prime_UTR_variant . \n", "12947 ENST00000446805 5_prime_UTR_variant . \n", "12948 ENST00000446805 5_prime_UTR_variant . \n", "16232 ENST00000446805 c.-191+3*>+A intron_variant . \n", "16233 ENST00000446805 c.-191+3*>+C intron_variant . \n", "16234 ENST00000446805 c.-191+3*>+CA intron_variant . \n", "16235 ENST00000446805 c.-191+3*>+CTA intron_variant . \n", "16236 ENST00000446805 c.-191+3*>+G intron_variant . \n", "16237 ENST00000446805 c.-191+3*>+GC intron_variant . \n", "16238 ENST00000446805 c.-191+3*>+T intron_variant . \n", "16239 ENST00000446805 c.-191+3*>+TCG intron_variant . \n", "21622 ENST00000446805 c.-191+456*>+A intron_variant . \n", "21623 ENST00000446805 c.-191+456*>+AC intron_variant . \n", "21624 ENST00000446805 c.-191+456*>+AGC intron_variant . \n", "21625 ENST00000446805 c.-191+456*>+C intron_variant . \n", "21626 ENST00000446805 c.-191+456*>+G intron_variant . \n", "21627 ENST00000446805 c.-191+456*>+GC intron_variant . \n", "21628 ENST00000446805 c.-191+456*>+GCT intron_variant . \n", "21629 ENST00000446805 c.-191+456*>+T intron_variant . \n", "24393 ENST00000454343 p.Ser18X/c.54*>+A frameshift_variant . \n", "24394 ENST00000454343 p.Ser18X/c.54*>+AG frameshift_variant . \n", "24395 ENST00000454343 p.Ser18X/c.54*>+AT frameshift_variant . \n", "24396 ENST00000454343 p.Ser18X/c.54*>+C frameshift_variant . \n", "24397 ENST00000454343 p.Ser18X/c.54*>+CGA inframe_variant . \n", "24398 ENST00000454343 p.Ser18X/c.54*>+CTA inframe_variant . \n", "24399 ENST00000454343 p.Ser18X/c.54*>+G frameshift_variant . \n", "24400 ENST00000454343 p.Ser18X/c.54*>+T frameshift_variant . \n", "25976 ENST00000546407 downstream_gene_variant . \n", "25977 ENST00000546407 downstream_gene_variant . \n", "25978 ENST00000546407 downstream_gene_variant . \n", "25979 ENST00000546407 downstream_gene_variant . \n", "25980 ENST00000546407 downstream_gene_variant . \n", "25981 ENST00000546407 downstream_gene_variant . \n", "25982 ENST00000546407 downstream_gene_variant . \n", "25983 ENST00000546407 downstream_gene_variant . \n", "28747 ENST00000454343 p.Arg55X/c.165*>+A frameshift_variant . \n", "28748 ENST00000454343 p.Arg55X/c.165*>+AG frameshift_variant . \n", "\n", " Consequence \\\n", "11346 intron_variant \n", "11347 intron_variant \n", "11348 intron_variant \n", "11349 intron_variant \n", "11350 intron_variant \n", "11351 intron_variant \n", "11352 intron_variant \n", "11353 intron_variant \n", "12941 5_prime_UTR_variant \n", "12942 5_prime_UTR_variant \n", "12943 5_prime_UTR_variant \n", "12944 5_prime_UTR_variant \n", "12945 5_prime_UTR_variant \n", "12946 5_prime_UTR_variant \n", "12947 5_prime_UTR_variant \n", "12948 5_prime_UTR_variant \n", "16232 intron_variant \n", "16233 intron_variant \n", "16234 intron_variant \n", "16235 intron_variant \n", "16236 intron_variant \n", "16237 intron_variant \n", "16238 intron_variant \n", "16239 intron_variant \n", "21622 intron_variant \n", "21623 intron_variant \n", "21624 intron_variant \n", "21625 intron_variant \n", "21626 intron_variant \n", "21627 intron_variant \n", "21628 intron_variant \n", "21629 intron_variant \n", "24393 frameshift_variant \n", "24394 frameshift_variant \n", "24395 frameshift_variant \n", "24396 frameshift_variant \n", "24397 inframe_insertion \n", "24398 inframe_insertion \n", "24399 frameshift_variant \n", "24400 frameshift_variant \n", "25976 downstream_gene_variant \n", "25977 downstream_gene_variant \n", "25978 downstream_gene_variant \n", "25979 downstream_gene_variant \n", "25980 downstream_gene_variant \n", "25981 downstream_gene_variant \n", "25982 downstream_gene_variant \n", "25983 downstream_gene_variant \n", "28747 frameshift_variant \n", "28748 frameshift_variant \n", "\n", " hgvs_vep \\\n", "11346 ENST00000446805.1:c.-424+2_-424+3insA \n", "11347 ENST00000446805.1:c.-424+2_-424+3insAT \n", "11348 ENST00000446805.1:c.-424+2_-424+3insC \n", "11349 ENST00000446805.1:c.-424+2_-424+3insCGT \n", "11350 ENST00000446805.1:c.-424+2_-424+3insG \n", "11351 ENST00000446805.1:c.-424+2_-424+3insGCT \n", "11352 ENST00000446805.1:c.-424+1_-424+2dupGT \n", "11353 ENST00000446805.1:c.-424+2dupT \n", "12941 ENST00000446805.1:c.-423-1_-423insA \n", "12942 ENST00000446805.1:c.-423-1_-423insAT \n", "12943 ENST00000446805.1:c.-423-1_-423insC \n", "12944 ENST00000446805.1:c.-423-1_-423insCAT \n", "12945 ENST00000446805.1:c.-423-1_-423insCGA \n", "12946 ENST00000446805.1:c.-423-1dupG \n", "12947 ENST00000446805.1:c.-423-1_-423insGA \n", "12948 ENST00000446805.1:c.-423-1_-423insT \n", "16232 ENST00000446805.1:c.-191+2_-191+3insA \n", "16233 ENST00000446805.1:c.-191+2_-191+3insC \n", "16234 ENST00000446805.1:c.-191+2_-191+3insCA \n", "16235 ENST00000446805.1:c.-191+2_-191+3insCTA \n", "16236 ENST00000446805.1:c.-191+2_-191+3insG \n", "16237 ENST00000446805.1:c.-191+2_-191+3insGC \n", "16238 ENST00000446805.1:c.-191+2dupT \n", "16239 ENST00000446805.1:c.-191+2_-191+3insTCG \n", "21622 ENST00000446805.1:c.-191+455_-191+456insA \n", "21623 ENST00000446805.1:c.-191+455_-191+456insAC \n", "21624 ENST00000446805.1:c.-191+455_-191+456insAGC \n", "21625 ENST00000446805.1:c.-191+455_-191+456insC \n", "21626 ENST00000446805.1:c.-191+455_-191+456insG \n", "21627 ENST00000446805.1:c.-191+455_-191+456insGC \n", "21628 ENST00000446805.1:c.-191+455_-191+456insGCT \n", "21629 ENST00000446805.1:c.-191+455dupT \n", "24393 ENSP00000389119.1:p.Ser18ArgfsTer27ENST0000042... \n", "24394 ENSP00000389119.1:p.Ser18ArgfsTer8ENST00000426... \n", "24395 ENSP00000389119.1:p.Ser18ArgfsTer8ENST00000426... \n", "24396 ENSP00000389119.1:p.Trp19LeufsTer26ENST0000042... \n", "24397 ENSP00000389119.1:p.Ser18_Trp19insAspENST00000... \n", "24398 ENSP00000389119.1:p.Ser18_Trp19insTyrENST00000... \n", "24399 ENSP00000389119.1:p.Ser18ArgfsTer27ENST0000042... \n", "24400 ENSP00000389119.1:p.Trp19LeufsTer26ENST0000042... \n", "25976 \n", "25977 \n", "25978 \n", "25979 \n", "25980 \n", "25981 \n", "25982 \n", "25983 \n", "28747 ENSP00000389119.1:p.Glu56ArgfsTer4ENST00000426... \n", "28748 ENSP00000389119.1:p.Trp57AsnfsTer35ENST0000042... \n", "\n", " normalized_so_vep normalized_so_annovar combined_effect \\\n", "11346 intron_variant splicing_variant splicing \n", "11347 intron_variant splicing_variant splicing \n", "11348 intron_variant splicing_variant splicing \n", "11349 intron_variant splicing_variant splicing \n", "11350 intron_variant splicing_variant splicing \n", "11351 intron_variant splicing_variant splicing \n", "11352 intron_variant splicing_variant splicing \n", "11353 intron_variant splicing_variant splicing \n", "12941 5_prime_UTR_variant splicing_variant splicing \n", "12942 5_prime_UTR_variant splicing_variant splicing \n", "12943 5_prime_UTR_variant splicing_variant splicing \n", "12944 5_prime_UTR_variant splicing_variant splicing \n", "12945 5_prime_UTR_variant splicing_variant splicing \n", "12946 5_prime_UTR_variant splicing_variant splicing \n", "12947 5_prime_UTR_variant splicing_variant splicing \n", "12948 5_prime_UTR_variant splicing_variant splicing \n", "16232 intron_variant splicing_variant splicing \n", "16233 intron_variant splicing_variant splicing \n", "16234 intron_variant splicing_variant splicing \n", "16235 intron_variant splicing_variant splicing \n", "16236 intron_variant splicing_variant splicing \n", "16237 intron_variant splicing_variant splicing \n", "16238 intron_variant splicing_variant splicing \n", "16239 intron_variant splicing_variant splicing \n", "21622 intron_variant splicing_variant splicing \n", "21623 intron_variant splicing_variant splicing \n", "21624 intron_variant splicing_variant splicing \n", "21625 intron_variant splicing_variant splicing \n", "21626 intron_variant splicing_variant splicing \n", "21627 intron_variant splicing_variant splicing \n", "21628 intron_variant splicing_variant splicing \n", "21629 intron_variant splicing_variant splicing \n", "24393 frameshift_variant splicing_variant splicing \n", "24394 frameshift_variant splicing_variant splicing \n", "24395 frameshift_variant splicing_variant splicing \n", "24396 frameshift_variant splicing_variant splicing \n", "24397 inframe_variant splicing_variant splicing \n", "24398 inframe_variant splicing_variant splicing \n", "24399 frameshift_variant splicing_variant splicing \n", "24400 frameshift_variant splicing_variant splicing \n", "25976 downstream_gene_variant splicing_variant splicing \n", "25977 downstream_gene_variant splicing_variant splicing \n", "25978 downstream_gene_variant splicing_variant splicing \n", "25979 downstream_gene_variant splicing_variant splicing \n", "25980 downstream_gene_variant splicing_variant splicing \n", "25981 downstream_gene_variant splicing_variant splicing \n", "25982 downstream_gene_variant splicing_variant splicing \n", "25983 downstream_gene_variant splicing_variant splicing \n", "28747 frameshift_variant splicing_variant splicing \n", "28748 frameshift_variant splicing_variant splicing \n", "\n", " hgvs \n", "11346 NaN \n", "11347 NaN \n", "11348 NaN \n", "11349 NaN \n", "11350 NaN \n", "11351 NaN \n", "11352 NaN \n", "11353 NaN \n", "12941 NaN \n", "12942 NaN \n", "12943 NaN \n", "12944 NaN \n", "12945 NaN \n", "12946 NaN \n", "12947 NaN \n", "12948 NaN \n", "16232 NaN \n", "16233 NaN \n", "16234 NaN \n", "16235 NaN \n", "16236 NaN \n", "16237 NaN \n", "16238 NaN \n", "16239 NaN \n", "21622 ENST00000454343:exon1:c.53+2->A,ENST0000000308... \n", "21623 ENST00000454343:exon1:c.53+2->AC,ENST000000030... \n", "21624 ENST00000454343:exon1:c.53+2->AGC,ENST00000003... \n", "21625 ENST00000454343:exon1:c.53+2->C,ENST0000000308... \n", "21626 ENST00000454343:exon1:c.53+2->G,ENST0000000308... \n", "21627 ENST00000454343:exon1:c.53+2->GC,ENST000000030... \n", "21628 ENST00000454343:exon1:c.53+2->GCT,ENST00000003... \n", "21629 ENST00000454343:exon1:c.53+2->T,ENST0000000308... \n", "24393 ENST00000454343:exon2:c.54-1->A,ENST0000000308... \n", "24394 ENST00000454343:exon2:c.54-1->AG,ENST000000030... \n", "24395 ENST00000454343:exon2:c.54-1->AT,ENST000000030... \n", "24396 ENST00000454343:exon2:c.54-1->C,ENST0000000308... \n", "24397 ENST00000454343:exon2:c.54-1->CGA,ENST00000003... \n", "24398 ENST00000454343:exon2:c.54-1->CTA,ENST00000003... \n", "24399 ENST00000454343:exon2:c.54-1->G,ENST0000000308... \n", "24400 ENST00000454343:exon2:c.54-1->T,ENST0000000308... \n", "25976 ENST00000454343:exon2:c.164+2->A,ENST000000030... \n", "25977 ENST00000454343:exon2:c.164+2->ATG,ENST0000000... \n", "25978 ENST00000454343:exon2:c.164+2->C,ENST000000030... \n", "25979 ENST00000454343:exon2:c.164+2->CGA,ENST0000000... \n", "25980 ENST00000454343:exon2:c.164+2->G,ENST000000030... \n", "25981 ENST00000454343:exon2:c.164+2->GC,ENST00000003... \n", "25982 ENST00000454343:exon2:c.164+2->T,ENST000000030... \n", "25983 ENST00000454343:exon2:c.164+2->TA,ENST00000003... \n", "28747 ENST00000454343:exon3:c.165-1->A,ENST000000030... \n", "28748 ENST00000454343:exon3:c.165-1->AG,ENST00000003... \n", "\n", "[50 rows x 17 columns]" ] } ], "prompt_number": 57 }, { "cell_type": "code", "collapsed": false, "input": [ "master_df.loc[(master_df[\"normalized_so_annovar\"]==\"splicing_variant\") &\n", " (master_df[\"normalized_so_snpeff\"]!=\"splicing_variant\")].tail()" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "
\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
GenePOSREFALTID_xEffectGene_NameTranscript_IDhgvs_snpeffnormalized_so_snpeffID_yConsequencehgvs_vepnormalized_so_vepnormalized_so_annovarcombined_effecthgvs
249128 ENSG00000083622 117282491 G GG . INTRON AC000111.6 ENST00000456270 n.65+4913*>+C intron_variant . intron_variant ENST00000456270.1:n.65+4913dupC intron_variant splicing_variant splicing ENST00000454343:exon22:c.3535-1->G,ENST0000000...
249129 ENSG00000083622 117282491 G GGA . INTRON AC000111.6 ENST00000456270 n.65+4913*>+CT intron_variant . intron_variant ENST00000456270.1:n.65+4913_65+4914insTC intron_variant splicing_variant splicing ENST00000454343:exon22:c.3535-1->GA,ENST000000...
249131 ENSG00000083622 117282491 G GGT . INTRON AC000111.6 ENST00000456270 n.65+4913*>+CA intron_variant . intron_variant ENST00000456270.1:n.65+4912_65+4913dupAC intron_variant splicing_variant splicing ENST00000454343:exon22:c.3535-1->GT,ENST000000...
249132 ENSG00000083622 117282491 G GT . INTRON AC000111.6 ENST00000456270 n.65+4913*>+A intron_variant . intron_variant ENST00000456270.1:n.65+4913_65+4914insA intron_variant splicing_variant splicing ENST00000454343:exon22:c.3535-1->T,ENST0000000...
249133 ENSG00000083622 117282491 G T . INTRON AC000111.6 ENST00000456270 n.65+4914C>A intron_variant . intron_variant ENST00000456270.1:n.65+4914C>A intron_variant splicing_variant splicing ENST00000454343:exon22:c.3535-1G>T,ENST0000000...
\n", "

5 rows \u00d7 17 columns

\n", "
" ], "metadata": {}, "output_type": "pyout", "prompt_number": 58, "text": [ " Gene POS REF ALT ID_x Effect Gene_Name \\\n", "249128 ENSG00000083622 117282491 G GG . INTRON AC000111.6 \n", "249129 ENSG00000083622 117282491 G GGA . INTRON AC000111.6 \n", "249131 ENSG00000083622 117282491 G GGT . INTRON AC000111.6 \n", "249132 ENSG00000083622 117282491 G GT . INTRON AC000111.6 \n", "249133 ENSG00000083622 117282491 G T . INTRON AC000111.6 \n", "\n", " Transcript_ID hgvs_snpeff normalized_so_snpeff ID_y \\\n", "249128 ENST00000456270 n.65+4913*>+C intron_variant . \n", "249129 ENST00000456270 n.65+4913*>+CT intron_variant . \n", "249131 ENST00000456270 n.65+4913*>+CA intron_variant . \n", "249132 ENST00000456270 n.65+4913*>+A intron_variant . \n", "249133 ENST00000456270 n.65+4914C>A intron_variant . \n", "\n", " Consequence hgvs_vep \\\n", "249128 intron_variant ENST00000456270.1:n.65+4913dupC \n", "249129 intron_variant ENST00000456270.1:n.65+4913_65+4914insTC \n", "249131 intron_variant ENST00000456270.1:n.65+4912_65+4913dupAC \n", "249132 intron_variant ENST00000456270.1:n.65+4913_65+4914insA \n", "249133 intron_variant ENST00000456270.1:n.65+4914C>A \n", "\n", " normalized_so_vep normalized_so_annovar combined_effect \\\n", "249128 intron_variant splicing_variant splicing \n", "249129 intron_variant splicing_variant splicing \n", "249131 intron_variant splicing_variant splicing \n", "249132 intron_variant splicing_variant splicing \n", "249133 intron_variant splicing_variant splicing \n", "\n", " hgvs \n", "249128 ENST00000454343:exon22:c.3535-1->G,ENST0000000... \n", "249129 ENST00000454343:exon22:c.3535-1->GA,ENST000000... \n", "249131 ENST00000454343:exon22:c.3535-1->GT,ENST000000... \n", "249132 ENST00000454343:exon22:c.3535-1->T,ENST0000000... \n", "249133 ENST00000454343:exon22:c.3535-1G>T,ENST0000000... \n", "\n", "[5 rows x 17 columns]" ] } ], "prompt_number": 58 } ], "metadata": {} } ] }