{ "metadata": { "name": "", "signature": "sha256:e09fc5f52d6da4bf8912c02bad944d6aaf308398e6f0085ff09573d28cd057d1" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Cepstrum" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Having a quick look a the cepstrum. \n", "\n", "Reproducing [this paper](http://library.seg.org/doi/abs/10.1190/1.2172313) of mine." ] }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Prelims" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np\n", "from scipy.fftpack import fft, rfft, irfft, fftfreq, rfftfreq\n", "import scipy.signal\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "# Create RC series\n", "dt = 0.001 # s, equiv. 1 ms\n", "T = 1.0 # s\n", "thick = 0.040 # s, equiv. 40 ms\n", "rc = 0.3\n", "\n", "t = np.linspace(0, T, T/dt)\n", "rcs = np.zeros_like(t)\n", "rcs[1000*(T-thick)/2] = rc\n", "rcs[-1000*(T-thick)/2] = -rc\n", "\n", "ax1 = plt.subplot(111)\n", "ax1.plot(t, rcs)\n", "ax1.set_ylim(-0.4, 0.4)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAAD9CAYAAAC7iRw+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "RCS = fft(rcs)\n", "freq = fftfreq(rcs.size, d=dt)\n", "\n", "keep = freq>=0 # only positive frequencies\n", "RCS = RCS[keep]\n", "freq = freq[keep]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib.gridspec as gridspec\n", "\n", "gs = gridspec.GridSpec(1, 2,width_ratios=[1,2])\n", "\n", "plt.figure(figsize=(15,5))\n", "\n", "ax1 = plt.subplot(gs[0])\n", "ax1.plot(t, rcs)\n", "ax1.set_ylim(-0.4, 0.4)\n", "\n", "ax2 = plt.subplot(gs[1])\n", "ax2.plot(freq, np.abs(RCS))\n", "ax2.set_xlim(0,200)\n", "\n", "plt.savefig(\"/home/matt/images/cepstrum.png\")\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA3YAAAE1CAYAAACr/nJmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAF/d/GMUfiFwGJEEAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 11 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Basic signal and plot" ] }, { "cell_type": "code", "collapsed": false, "input": [ "def ricker(f, duration=0.512, dt=0.001):\n", " t = np.linspace(-duration/2, (duration-dt)/2, duration/dt)\n", " y = (1.0 - 2.0*(np.pi**2)*(f**2)*(t**2)) * np.exp(-(np.pi**2)*(f**2)*(t**2))\n", " return t, y" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "dt = 0.001 # s, equiv. 1 ms\n", "T = 0.256 # s\n", "thick = 0.023 # s, equiv. 23 ms\n", "rc = 0.3\n", "\n", "t = np.linspace(0, T, T/dt)\n", "rcs = np.zeros_like(t)\n", "rcs[1000*(T-thick)/2] = rc\n", "rcs[-1000*(T-thick)/2] = -rc\n", "\n", "tw, w = ricker(f=43., length=0.064, dt=dt)\n", "s = np.convolve(rcs, w, mode='same')\n", "\n", "ax1 = plt.subplot(111)\n", "ax1.plot(t, s)\n", "ax1.set_ylim(-0.4, 0.4)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAEACAYAAAC+gnFaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text": [ "" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.plot(tw,w)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ "[]" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAEACAYAAAC+gnFaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niIiIiIiIiIiIiEhC/D9cIfWqA+WWNgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "S = fft(s)\n", "freq = fftfreq(s.size, d=dt)\n", "\n", "keep = freq>=0 # only positive frequencies\n", "Sk = S[keep]\n", "freqk = freq[keep]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.figure(figsize=(15,5))\n", "ax1 = plt.subplot(111)\n", "ax1.plot(freqk, np.abs(Sk))\n", "ax1.set_xlim(0, 250)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA28AAAE4CAYAAADM28uaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJEmSJEmSJEmSJEmSJEmqZP8fa6YRMHW/ELoAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "freqk = freqk[:]\n", "Sk = np.abs(Sk)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "Q = fft(Sk)\n", "quef = fftfreq(Sk.size, d=freqk[1]-freqk[0])\n", "\n", "keep = quef>=0 # only positive frequencies\n", "Qk = Q[keep]\n", "quefk = quef[keep]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "quefk" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 12, "text": [ "array([ 0. , 0.002, 0.004, 0.006, 0.008, 0.01 , 0.012, 0.014,\n", " 0.016, 0.018, 0.02 , 0.022, 0.024, 0.026, 0.028, 0.03 ,\n", " 0.032, 0.034, 0.036, 0.038, 0.04 , 0.042, 0.044, 0.046,\n", " 0.048, 0.05 , 0.052, 0.054, 0.056, 0.058, 0.06 , 0.062,\n", " 0.064, 0.066, 0.068, 0.07 , 0.072, 0.074, 0.076, 0.078,\n", " 0.08 , 0.082, 0.084, 0.086, 0.088, 0.09 , 0.092, 0.094,\n", " 0.096, 0.098, 0.1 , 0.102, 0.104, 0.106, 0.108, 0.11 ,\n", " 0.112, 0.114, 0.116, 0.118, 0.12 , 0.122, 0.124, 0.126])" ] } ], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "Qk[:15]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "array([ 49.02079808 +0.j , 38.79322071-26.98139188j,\n", " 14.95831306-39.5664094j , -7.97162925-34.15940996j,\n", " -19.34154651-18.49851932j, -18.10010185 -3.46717219j,\n", " -9.86946890 +4.37154908j, -1.26472664 +4.19896514j,\n", " 3.14791396 -1.28008305j, 1.43459047 -7.77417801j,\n", " -5.30839023-10.69441329j, -12.90552489 -7.27058113j,\n", " -16.20291978 +1.23488393j, -12.78345190 +9.9574107j ,\n", " -4.84207512+14.07714464j])" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "plt.figure(figsize=(15,5))\n", "ax1 = plt.subplot(111)\n", "ax1.plot(quefk, np.abs(Qk))\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA20AAAE4CAYAAADILhunAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSZIkKVn+P2Ted2VsV2jfAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 14 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "The big diagram" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import agilegeo.wavelet as ag" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 29 }, { "cell_type": "code", "collapsed": false, "input": [ "dt = 0.001 # s, equiv. 1 ms\n", "T = 0.256 # s\n", "thick = 0.021 # s, equiv. 21 ms\n", "rc = 0.3\n", "\n", "# RC SERIES\n", "t = np.linspace(0, T, T/dt)\n", "rcs = np.zeros_like(t)\n", "rcs[1000*(T-3*thick)/2] = rc\n", "rcs[-1000*(T-3*thick)/2] = -rc\n", "rcs[1000*(T-2*thick)/2] = -rc\n", "rcs[-1000*(T-2*thick)/2] = rc\n", "rcs[1000*(T-thick)/2] = rc\n", "rcs[-1000*(T-thick)/2] = -rc\n", "\n", "# WAVELET\n", "duration = 0.256\n", "f = f=[10,16,64,80]\n", "#tw, w = ricker(f=32., duration=0.128, dt=dt)\n", "w = ag.ormsby(f=f, duration=duration, dt=dt)\n", "tw = np.linspace(-duration/2, (duration-dt)/2, duration/dt)\n", "\n", "# CONVOLVE\n", "s = np.convolve(rcs, w, mode='same')\n", "\n", "# Plot it\n", "plt.figure(figsize=(20,3))\n", "\n", "ax1 = plt.subplot(131)\n", "ax1.plot(tw, w)\n", "ax1.set_ylim(-0.5, 1.1)\n", "\n", "ax1 = plt.subplot(132)\n", "ax1.plot(t, rcs)\n", "ax1.set_xlim(0, 0.256)\n", "ax1.set_ylim(-0.4, 0.4)\n", "\n", "ax1 = plt.subplot(133)\n", "ax1.plot(t, s)\n", "ax1.set_xlim(0, 0.256)\n", "ax1.set_ylim(-0.4, 0.4)\n", "\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABIcAAADICAYAAAByMIExAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngAAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 76 }, { "cell_type": "code", "collapsed": false, "input": [ "W = fft(w)\n", "freqw = fftfreq(w.size, d=dt)\n", "\n", "RCS = fft(rcs)\n", "S = fft(s)\n", "freq = fftfreq(s.size, d=dt)\n", "\n", "keep = freq>=0\n", "keepw = freqw>=0\n", "\n", "Wk = W[keepw]\n", "freqwk = freqw[keepw]\n", "RCSk = RCS[keep]\n", "Sk = S[keep]\n", "freqk = freq[keep]\n", "\n", "plt.figure(figsize=(20,3))\n", "\n", "ax1 = plt.subplot(131)\n", "ax1.plot(freqwk, np.abs(Wk))\n", "ax1.set_xlim(0,200)\n", "\n", "ax2 = plt.subplot(132)\n", "ax2.plot(freqk, np.abs(RCSk))\n", "ax2.set_xlim(0,200)\n", "\n", "ax3 = plt.subplot(133)\n", "ax3.plot(freqk, np.abs(Sk))\n", "ax3.set_xlim(0, 200)\n", "\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAABHwAAADICAYAAACanPZfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/MAAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 70 }, { "cell_type": "code", "collapsed": false, "input": [ "Wk = np.abs(Wk)\n", "RCSk = np.abs(RCSk)\n", "Sk = np.abs(Sk)\n", "\n", "Qw = fft(Wk)\n", "Qr = fft(RCSk)\n", "Qs = fft(Sk)\n", "\n", "quefw = fftfreq(Wk.size, d=freqwk[1]-freqwk[0])\n", "quefr = fftfreq(RCSk.size, d=freqk[1]-freqk[0])\n", "quefs = fftfreq(Sk.size, d=freqk[1]-freqk[0])\n", "\n", "keepw = quefw>=0 # only positive frequencies\n", "Qwk = Qw[keepw]\n", "quefwk = quefw[keepw]\n", "keep = quefr>=0 # only positive frequencies\n", "Qrk = Qr[keep]\n", "Qsk = Qs[keep]\n", "quefk = quef[keep]\n", "\n", "plt.figure(figsize=(15,3))\n", "\n", "ax1 = plt.subplot(131)\n", "ax1.plot(quefwk, np.abs(Qwk))\n", "\n", "ax2 = plt.subplot(132)\n", "ax2.plot(quefk, np.abs(Qrk))\n", "\n", "ax3 = plt.subplot(133)\n", "ax3.plot(quefk, np.abs(Qsk))\n", "\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA3MAAADICAYAAABCrLhRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERERERGROP0/S4dH6H01HRkAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 71 }, { "cell_type": "markdown", "metadata": {}, "source": [ "This does not quite look right... I wonder if I went wrong somewhere. It looks much cleaner than my effort in Excel. But the first cepstral peak should be at about 21 ms (given this thickness) \u2014\u00a0and it's actually appearing at double that, roughly. " ] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }