{ "nbformat": 4, "nbformat_minor": 0, "metadata": { "colab": { "provenance": [] }, "kernelspec": { "name": "python3", "display_name": "Python 3" }, "language_info": { "name": "python" } }, "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "id": "GZUkp-9enLWN" }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "id": "aVA3b6UgqaXE" }, "source": [ "### Importing packages" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "i-xo9kTUqaXE" }, "outputs": [], "source": [ "# import packages\n", "import pandas as pd\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "%matplotlib inline\n", "\n", "from sklearn.ensemble import RandomForestClassifier\n", "from sklearn.mixture import GaussianMixture\n", "from sklearn.metrics import precision_score" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "HEwLIC9CqaXE", "colab": { "base_uri": "https://localhost:8080/" }, "outputId": "01995da9-cb1c-4534-eaeb-7b03eb29e7b3" }, "outputs": [ { "output_type": "stream", "name": "stdout", "text": [ "Collecting astroquery\n", " Downloading astroquery-0.4.6-py3-none-any.whl (4.5 MB)\n", "\u001b[K |████████████████████████████████| 4.5 MB 13.5 MB/s \n", "\u001b[?25hRequirement already satisfied: beautifulsoup4>=4.3.2 in /usr/local/lib/python3.7/dist-packages (from astroquery) (4.6.3)\n", "Requirement already satisfied: astropy>=4.0 in /usr/local/lib/python3.7/dist-packages (from astroquery) (4.3.1)\n", "Collecting pyvo>=1.1\n", " Downloading pyvo-1.2.1-py3-none-any.whl (832 kB)\n", "\u001b[K |████████████████████████████████| 832 kB 65.4 MB/s \n", "\u001b[?25hCollecting keyring>=4.0\n", " Downloading keyring-23.5.0-py3-none-any.whl (33 kB)\n", "Requirement already satisfied: requests>=2.4.3 in /usr/local/lib/python3.7/dist-packages (from astroquery) (2.23.0)\n", "Requirement already satisfied: html5lib>=0.999 in /usr/local/lib/python3.7/dist-packages (from astroquery) (1.0.1)\n", "Requirement already satisfied: numpy>=1.16 in /usr/local/lib/python3.7/dist-packages (from astroquery) (1.21.6)\n", "Requirement already satisfied: importlib-metadata in /usr/local/lib/python3.7/dist-packages (from astropy>=4.0->astroquery) (4.11.3)\n", "Requirement already satisfied: pyerfa>=1.7.3 in /usr/local/lib/python3.7/dist-packages (from astropy>=4.0->astroquery) (2.0.0.1)\n", "Requirement already satisfied: six>=1.9 in /usr/local/lib/python3.7/dist-packages (from html5lib>=0.999->astroquery) (1.15.0)\n", "Requirement already satisfied: webencodings in /usr/local/lib/python3.7/dist-packages (from html5lib>=0.999->astroquery) (0.5.1)\n", "Collecting jeepney>=0.4.2\n", " Downloading jeepney-0.8.0-py3-none-any.whl (48 kB)\n", "\u001b[K |████████████████████████████████| 48 kB 4.6 MB/s \n", "\u001b[?25hCollecting SecretStorage>=3.2\n", " Downloading SecretStorage-3.3.2-py3-none-any.whl (15 kB)\n", "Requirement already satisfied: zipp>=0.5 in /usr/local/lib/python3.7/dist-packages (from importlib-metadata->astropy>=4.0->astroquery) (3.8.0)\n", "Requirement already satisfied: typing-extensions>=3.6.4 in /usr/local/lib/python3.7/dist-packages (from importlib-metadata->astropy>=4.0->astroquery) (4.2.0)\n", "Requirement already satisfied: idna<3,>=2.5 in /usr/local/lib/python3.7/dist-packages (from requests>=2.4.3->astroquery) (2.10)\n", "Requirement already satisfied: urllib3!=1.25.0,!=1.25.1,<1.26,>=1.21.1 in /usr/local/lib/python3.7/dist-packages (from requests>=2.4.3->astroquery) (1.24.3)\n", "Requirement already satisfied: certifi>=2017.4.17 in /usr/local/lib/python3.7/dist-packages (from requests>=2.4.3->astroquery) (2021.10.8)\n", "Requirement already satisfied: chardet<4,>=3.0.2 in /usr/local/lib/python3.7/dist-packages (from requests>=2.4.3->astroquery) (3.0.4)\n", "Collecting cryptography>=2.0\n", " Downloading cryptography-37.0.0-cp36-abi3-manylinux_2_24_x86_64.whl (4.0 MB)\n", "\u001b[K |████████████████████████████████| 4.0 MB 29.5 MB/s \n", "\u001b[?25hRequirement already satisfied: cffi>=1.12 in /usr/local/lib/python3.7/dist-packages (from cryptography>=2.0->SecretStorage>=3.2->keyring>=4.0->astroquery) (1.15.0)\n", "Requirement already satisfied: pycparser in /usr/local/lib/python3.7/dist-packages (from cffi>=1.12->cryptography>=2.0->SecretStorage>=3.2->keyring>=4.0->astroquery) (2.21)\n", "Installing collected packages: jeepney, cryptography, SecretStorage, pyvo, keyring, astroquery\n", "Successfully installed SecretStorage-3.3.2 astroquery-0.4.6 cryptography-37.0.0 jeepney-0.8.0 keyring-23.5.0 pyvo-1.2.1\n" ] } ], "source": [ "# uncomment for colab or for first time installment\n", "!pip install astroquery" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "hsDRSMUMqaXF" }, "outputs": [], "source": [ "# import astroquery\n", "import astropy.units as u\n", "import astropy.coordinates as coord\n", "from astroquery.gaia import Gaia\n", "from astroquery.gaia import TapPlus, GaiaClass \n", "from astroquery.vizier import Vizier\n", "import warnings\n", "warnings.filterwarnings('ignore')" ] }, { "cell_type": "code", "source": [], "metadata": { "id": "ttDwAp0HnPtR" }, "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "9wijOWmJiuTs" }, "source": [ "## Comparing with Cantat Benchmark" ] }, { "cell_type": "code", "source": [ "#### Finding Cantat catalogue\n", "\n", "catalog_list = Vizier.find_catalogs('Cantat')\n", "{k:v.description for k,v in catalog_list.items()}" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "q4Xou_ua2Qkt", "outputId": "3f84d3b8-a3a6-4bd8-b573-ed353d50680e" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "{'I/349': 'StarHorse, Gaia DR2 photo-astrometric distances (Anders+, 2019)',\n", " 'I/354': 'StarHorse2, Gaia EDR3 photo-astrometric distances (Anders+, 2022)',\n", " 'J/A+A/561/A94': 'Velocities and photometry in Trumpler 20 (Donati+, 2014)',\n", " 'J/A+A/564/A133': 'Gaia FGK benchmark stars: metallicity (Jofre+, 2014)',\n", " 'J/A+A/569/A17': 'Gaia-ESO Survey: NGC6705 (Cantat-Gaudin+, 2014)',\n", " 'J/A+A/582/A81': 'Gaia FGK benchmark stars: abundances (Jofre+, 2015)',\n", " 'J/A+A/588/A120': 'Equivalent widths in 10 open clusters (Cantat-Gaudin+, 2016)',\n", " 'J/A+A/591/A37': 'Gaia-ESO Survey. Parameters for cluster members (Jacobson+, 2016)',\n", " 'J/A+A/597/A10': 'South Ecliptic Pole stars radial velocities (Fremat+, 2017)',\n", " 'J/A+A/598/A68': 'Gaia-ESO Survey. Trumpler 23 (Overbeek+, 2017)',\n", " 'J/A+A/601/A19': 'Gaia DR1 open cluster members (Gaia Collaboration+, 2017)',\n", " 'J/A+A/603/A2': 'Gaia-ESO Survey abundances radial distribution (Magrini+, 2017)',\n", " 'J/A+A/605/A79': 'TGAS Cepheids and RR Lyrae stars (Gaia Collaboration+, 2017)',\n", " 'J/A+A/615/A49': 'TGAS stars membership in 128 open clusters (Cantat-Gaudin+, 2018)',\n", " 'J/A+A/616/A10': '46 open clusters GaiaDR2 HR diagrams (Gaia Collaboration, 2018)',\n", " 'J/A+A/616/A12': 'Gaia DR2 sources in GC and dSph (Gaia Collaboration+, 2018)',\n", " 'J/A+A/618/A59': 'Gaia DR2 confirmed new nearby open clusters (Castro-Ginard+, 2018)',\n", " 'J/A+A/618/A93': 'Gaia DR2 open clusters in the Milky Way (Cantat-Gaudin+, 2018)',\n", " 'J/A+A/619/A155': 'Open cluster kinematics with Gaia DR2 (Soubiran+, 2018)',\n", " 'J/A+A/621/A115': 'Vela OB2 members (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/623/A108': 'Age of 269 GDR2 open clusters (Bossini+, 2019)',\n", " 'J/A+A/623/A110': 'Gaia DR2. Variable stars in CMD (Gaia Collaboration+, 2019)',\n", " 'J/A+A/623/A80': 'Open clusters in APOGEE and GALAH surveys (Carrera+, 2019)',\n", " 'J/A+A/624/A126': 'New open clusters in Perseus direction (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/626/A17': 'Young population in Vela-Puppis region (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/627/A119': 'Extended halo of NGC 2682 (M 67) (Carrera+ 2019)',\n", " 'J/A+A/627/A35': 'New open clusters in Galactic anti-centre (Castro-Ginard+, 2019)',\n", " 'J/A+A/633/A99': 'Gaia DR2 open clusters in the Milky Way. II (Cantat-Gaudin+, 2020)',\n", " 'J/A+A/635/A45': '570 new open clusters in the Galactic disc (Castro-Ginard+, 2020)',\n", " 'J/A+A/640/A1': 'Portrait Galactic disc (Cantat-Gaudin+, 2020)',\n", " 'J/A+A/647/A19': '3D Kinematics and age of OCs (Tarricq+, 2021)',\n", " 'J/A+A/649/A6': 'Gaia Catalogue of Nearby Stars - GCNS (Gaia collaboration, 2021)',\n", " 'J/A+A/649/A7': 'MC structure and properties (Gaia Collaboration+, 2021)',\n", " 'J/A+A/651/A104': 'Spiral arms Gaia EDR3 (Poggio+, 2021)',\n", " 'J/A+A/658/A14': 'OCCASO IV. open cluster stars radial velocities (Carrera+, 2022)',\n", " 'J/MNRAS/446/1411': 'Trumpler 5 photometric BV catalog (Donati+, 2015)',\n", " 'J/MNRAS/503/3279': 'GALAH survey. Galactic disc with open clusters (Spina+, 2021)'}" ] }, "metadata": {}, "execution_count": 4 } ] }, { "cell_type": "code", "source": [ "\n", "catalog_list = Vizier.find_catalogs('open clusters Gaia DR2')\n", "{k:v.description for k,v in catalog_list.items()}" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "Bj0cKEMhPFYm", "outputId": "28ea2eac-3638-49e4-95ec-b61cacadf1fa" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "{'J/A+A/618/A59': 'Gaia DR2 confirmed new nearby open clusters (Castro-Ginard+, 2018)',\n", " 'J/A+A/618/A93': 'Gaia DR2 open clusters in the Milky Way (Cantat-Gaudin+, 2018)',\n", " 'J/A+A/619/A155': 'Open cluster kinematics with Gaia DR2 (Soubiran+, 2018)',\n", " 'J/A+A/623/A108': 'Age of 269 GDR2 open clusters (Bossini+, 2019)',\n", " 'J/A+A/623/A22': 'IC 4996 Vilnius phot. and Gaia DR2 astrometry (Straizys+, 2019)',\n", " 'J/A+A/624/A126': 'New open clusters in Perseus direction (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/627/A35': 'New open clusters in Galactic anti-centre (Castro-Ginard+, 2019)',\n", " 'J/A+A/633/A99': 'Gaia DR2 open clusters in the Milky Way. II (Cantat-Gaudin+, 2020)',\n", " 'J/A+A/635/A45': '570 new open clusters in the Galactic disc (Castro-Ginard+, 2020)',\n", " 'J/A+A/637/A95': '16 open clusters UBVI and Gaia DR2 photometry (Perren+, 2020)',\n", " 'J/A+A/646/A104': 'Improving the open cluster census. I. (Hunt+, 2021)',\n", " 'J/AJ/156/94': 'APOGEE and Gaia DR2 analysis of IC 166 (Schiappacasse-Ulloa+, 2018)',\n", " 'J/AJ/159/95': 'IC 1369 Vilnius photometry and Gaia DR2 astrometry (Straizys+, 2020)',\n", " 'J/MNRAS/483/5508': 'Three new Galactic star clusters (Ferreira+, 2019)',\n", " 'J/MNRAS/496/2021': 'New Galactic open clusters (Ferreira+, 2020)',\n", " 'J/MNRAS/504/356': 'Updated parameters of 1743 open clusters (Dias+, 2021)',\n", " 'J/PASP/130/L4101': 'NGC 2112, 2477, 7789 and Col 261 members (Gao+ 2018)',\n", " 'J/other/RAA/21.93': '74 new open clusters found in Gaia DR2 (He+, 2021)'}" ] }, "metadata": {}, "execution_count": 5 } ] }, { "cell_type": "code", "source": [ "\n", "\n", "dias_data = Vizier(catalog = 'J/MNRAS/504/356/table12', row_limit = -1).query_constraints()\n", "dias_data = dias_data[0].to_pandas()\n", "dias_data" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 574 }, "id": "oeSloJcmQIdt", "outputId": "71887b9d-06b9-47b8-d9b9-fdae51d72672" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ " Cluster RA_ICRS DE_ICRS r50 N pmRA e_pmRA pmDE \\\n", "0 ASCC_10 51.8737 35.0113 0.498 31 -1.704 0.168 -1.348 \n", "1 ASCC_101 288.4190 36.3653 0.357 72 0.924 0.272 1.364 \n", "2 ASCC_105 295.6291 27.3637 0.678 126 1.461 0.240 -1.611 \n", "3 ASCC_107 297.1623 22.0071 0.156 59 -0.144 0.135 -5.158 \n", "4 ASCC_108 298.3162 39.3132 0.526 228 -0.509 0.101 -1.691 \n", "... ... ... ... ... ... ... ... ... \n", "1738 vdBergh_1 99.2807 3.0744 0.035 71 0.343 0.269 -0.786 \n", "1739 vdBergh_130 304.4624 39.3404 0.049 62 -3.609 0.308 -5.075 \n", "1740 vdBergh_80 97.7471 -9.6215 0.151 60 -3.285 0.430 0.481 \n", "1741 vdBergh_85 101.7288 1.3329 0.045 29 -0.973 0.147 0.345 \n", "1742 vdBergh_92 106.0426 -11.4884 0.114 154 -4.539 0.219 1.607 \n", "\n", " e_pmDE Plx ... logage e_logage __Fe_H_ e__Fe_H_ Av e_Av \\\n", "0 0.141 1.465 ... 8.178 0.155 0.109 0.100 0.820 0.110 \n", "1 0.289 2.502 ... 8.521 0.162 0.004 0.064 0.152 0.071 \n", "2 0.199 1.786 ... 8.328 0.138 0.051 0.055 0.450 0.039 \n", "3 0.141 1.118 ... 7.440 0.121 0.353 0.103 1.372 0.129 \n", "4 0.129 0.837 ... 8.334 0.108 0.093 0.093 0.464 0.071 \n", "... ... ... ... ... ... ... ... ... ... \n", "1738 0.181 0.521 ... 7.713 0.467 0.318 0.179 2.299 0.189 \n", "1739 0.292 0.521 ... 6.974 0.091 -0.029 0.163 2.356 0.042 \n", "1740 0.361 1.026 ... 6.790 0.046 -0.148 0.091 1.726 0.219 \n", "1741 0.164 0.550 ... 7.104 0.125 -0.055 0.124 1.206 0.270 \n", "1742 0.211 0.834 ... 6.749 0.074 0.025 0.087 0.984 0.062 \n", "\n", " FileName SimbadName _RA.icrs _DE.icrs \n", "0 clusters1/ASCC_10.dat [KPR2005] 10 51.8737 35.0113 \n", "1 clusters1/ASCC_101.dat [KPR2005] 101 288.4190 36.3653 \n", "2 clusters1/ASCC_105.dat [KPR2005] 105 295.6291 27.3637 \n", "3 clusters1/ASCC_107.dat [KPR2005] 107 297.1623 22.0071 \n", "4 clusters1/ASCC_108.dat [KPR2005] 108 298.3162 39.3132 \n", "... ... ... ... ... \n", "1738 clusters1/vdBergh_1.dat Cl VDB 1 99.2807 3.0744 \n", "1739 clusters2/vdBergh_130.dat Cl VDB 130 304.4624 39.3404 \n", "1740 clusters1/vdBergh_80.dat Cl VDB 80 97.7471 -9.6215 \n", "1741 clusters1/vdBergh_85.dat Cl VDB 85 101.7288 1.3329 \n", "1742 clusters1/vdBergh_92.dat Cl VDB 92 106.0426 -11.4884 \n", "\n", "[1743 rows x 26 columns]" ], "text/html": [ "\n", "
\n", "
\n", "
\n", "\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
ClusterRA_ICRSDE_ICRSr50NpmRAe_pmRApmDEe_pmDEPlx...logagee_logage__Fe_H_e__Fe_H_Ave_AvFileNameSimbadName_RA.icrs_DE.icrs
0ASCC_1051.873735.01130.49831-1.7040.168-1.3480.1411.465...8.1780.1550.1090.1000.8200.110clusters1/ASCC_10.dat[KPR2005] 1051.873735.0113
1ASCC_101288.419036.36530.357720.9240.2721.3640.2892.502...8.5210.1620.0040.0640.1520.071clusters1/ASCC_101.dat[KPR2005] 101288.419036.3653
2ASCC_105295.629127.36370.6781261.4610.240-1.6110.1991.786...8.3280.1380.0510.0550.4500.039clusters1/ASCC_105.dat[KPR2005] 105295.629127.3637
3ASCC_107297.162322.00710.15659-0.1440.135-5.1580.1411.118...7.4400.1210.3530.1031.3720.129clusters1/ASCC_107.dat[KPR2005] 107297.162322.0071
4ASCC_108298.316239.31320.526228-0.5090.101-1.6910.1290.837...8.3340.1080.0930.0930.4640.071clusters1/ASCC_108.dat[KPR2005] 108298.316239.3132
..................................................................
1738vdBergh_199.28073.07440.035710.3430.269-0.7860.1810.521...7.7130.4670.3180.1792.2990.189clusters1/vdBergh_1.datCl VDB 199.28073.0744
1739vdBergh_130304.462439.34040.04962-3.6090.308-5.0750.2920.521...6.9740.091-0.0290.1632.3560.042clusters2/vdBergh_130.datCl VDB 130304.462439.3404
1740vdBergh_8097.7471-9.62150.15160-3.2850.4300.4810.3611.026...6.7900.046-0.1480.0911.7260.219clusters1/vdBergh_80.datCl VDB 8097.7471-9.6215
1741vdBergh_85101.72881.33290.04529-0.9730.1470.3450.1640.550...7.1040.125-0.0550.1241.2060.270clusters1/vdBergh_85.datCl VDB 85101.72881.3329
1742vdBergh_92106.0426-11.48840.114154-4.5390.2191.6070.2110.834...6.7490.0740.0250.0870.9840.062clusters1/vdBergh_92.datCl VDB 92106.0426-11.4884
\n", "

1743 rows × 26 columns

\n", "
\n", " \n", " \n", " \n", "\n", " \n", "
\n", "
\n", " " ] }, "metadata": {}, "execution_count": 6 } ] }, { "cell_type": "code", "source": [ "dias_data.columns" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "3rwmTCouniQ9", "outputId": "99b9b9b7-b2e4-4184-d714-e08a30906c4d" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "Index(['Cluster', 'RA_ICRS', 'DE_ICRS', 'r50', 'N', 'pmRA', 'e_pmRA', 'pmDE',\n", " 'e_pmDE', 'Plx', 'e_Plx', 'RV', 'e_RV', 'NRV', 'Dist', 'e_Dist',\n", " 'logage', 'e_logage', '__Fe_H_', 'e__Fe_H_', 'Av', 'e_Av', 'FileName',\n", " 'SimbadName', '_RA.icrs', '_DE.icrs'],\n", " dtype='object')" ] }, "metadata": {}, "execution_count": 7 } ] }, { "cell_type": "code", "source": [ "#get_galactic_coord\n", "ra, dec = dias_data.RA_ICRS, dias_data.DE_ICRS\n", "gal_coord = coord.SkyCoord(ra, dec, unit='deg').galactic\n", "dias_data['GLON'] = gal_coord.l.deg\n", "dias_data['GLAT'] = gal_coord.b.deg" ], "metadata": { "id": "L9gThZnjSAXK" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "gal_coord.l.deg" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "PP-_wvKqcXzz", "outputId": "d630e2ef-1d07-4815-8767-8e642c0f7ed9" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "array([155.70738807, 68.03169342, 62.8581623 , ..., 219.24780597,\n", " 211.23007796, 224.60064216])" ] }, "metadata": {}, "execution_count": 9 } ] }, { "cell_type": "code", "source": [ "sns.heatmap(dias_data.corr())" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 321 }, "id": "SDBfRjd7UgfS", "outputId": "c4ee57b8-b2fb-4234-c6c3-a95affdee18d" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "" ] }, "metadata": {}, "execution_count": 10 }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "code", "source": [ "sns.scatterplot(x = 'logage', y = 'Dist', \n", " data = dias_data[dias_data.logage < 7.5])\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 296 }, "id": "hW3-rB1xXB3N", "outputId": "b98bde9e-e080-4e01-c8c0-c325aa0fee81" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "" ] }, "metadata": {}, "execution_count": 13 }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": { "needs_background": "light" } } ] }, { "cell_type": "code", "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "outputId": "462ba57c-4fb5-4ade-87fa-eafed0c0e8f8", "id": "hUJnUlVNnbm4" }, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "{'I/349': 'StarHorse, Gaia DR2 photo-astrometric distances (Anders+, 2019)',\n", " 'I/354': 'StarHorse2, Gaia EDR3 photo-astrometric distances (Anders+, 2022)',\n", " 'J/A+A/561/A94': 'Velocities and photometry in Trumpler 20 (Donati+, 2014)',\n", " 'J/A+A/564/A133': 'Gaia FGK benchmark stars: metallicity (Jofre+, 2014)',\n", " 'J/A+A/569/A17': 'Gaia-ESO Survey: NGC6705 (Cantat-Gaudin+, 2014)',\n", " 'J/A+A/582/A81': 'Gaia FGK benchmark stars: abundances (Jofre+, 2015)',\n", " 'J/A+A/588/A120': 'Equivalent widths in 10 open clusters (Cantat-Gaudin+, 2016)',\n", " 'J/A+A/591/A37': 'Gaia-ESO Survey. Parameters for cluster members (Jacobson+, 2016)',\n", " 'J/A+A/597/A10': 'South Ecliptic Pole stars radial velocities (Fremat+, 2017)',\n", " 'J/A+A/598/A68': 'Gaia-ESO Survey. Trumpler 23 (Overbeek+, 2017)',\n", " 'J/A+A/601/A19': 'Gaia DR1 open cluster members (Gaia Collaboration+, 2017)',\n", " 'J/A+A/603/A2': 'Gaia-ESO Survey abundances radial distribution (Magrini+, 2017)',\n", " 'J/A+A/605/A79': 'TGAS Cepheids and RR Lyrae stars (Gaia Collaboration+, 2017)',\n", " 'J/A+A/615/A49': 'TGAS stars membership in 128 open clusters (Cantat-Gaudin+, 2018)',\n", " 'J/A+A/616/A10': '46 open clusters GaiaDR2 HR diagrams (Gaia Collaboration, 2018)',\n", " 'J/A+A/616/A12': 'Gaia DR2 sources in GC and dSph (Gaia Collaboration+, 2018)',\n", " 'J/A+A/618/A59': 'Gaia DR2 confirmed new nearby open clusters (Castro-Ginard+, 2018)',\n", " 'J/A+A/618/A93': 'Gaia DR2 open clusters in the Milky Way (Cantat-Gaudin+, 2018)',\n", " 'J/A+A/619/A155': 'Open cluster kinematics with Gaia DR2 (Soubiran+, 2018)',\n", " 'J/A+A/621/A115': 'Vela OB2 members (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/623/A108': 'Age of 269 GDR2 open clusters (Bossini+, 2019)',\n", " 'J/A+A/623/A110': 'Gaia DR2. Variable stars in CMD (Gaia Collaboration+, 2019)',\n", " 'J/A+A/623/A80': 'Open clusters in APOGEE and GALAH surveys (Carrera+, 2019)',\n", " 'J/A+A/624/A126': 'New open clusters in Perseus direction (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/626/A17': 'Young population in Vela-Puppis region (Cantat-Gaudin+, 2019)',\n", " 'J/A+A/627/A119': 'Extended halo of NGC 2682 (M 67) (Carrera+ 2019)',\n", " 'J/A+A/627/A35': 'New open clusters in Galactic anti-centre (Castro-Ginard+, 2019)',\n", " 'J/A+A/633/A99': 'Gaia DR2 open clusters in the Milky Way. II (Cantat-Gaudin+, 2020)',\n", " 'J/A+A/635/A45': '570 new open clusters in the Galactic disc (Castro-Ginard+, 2020)',\n", " 'J/A+A/640/A1': 'Portrait Galactic disc (Cantat-Gaudin+, 2020)',\n", " 'J/A+A/647/A19': '3D Kinematics and age of OCs (Tarricq+, 2021)',\n", " 'J/A+A/649/A6': 'Gaia Catalogue of Nearby Stars - GCNS (Gaia collaboration, 2021)',\n", " 'J/A+A/649/A7': 'MC structure and properties (Gaia Collaboration+, 2021)',\n", " 'J/A+A/651/A104': 'Spiral arms Gaia EDR3 (Poggio+, 2021)',\n", " 'J/A+A/658/A14': 'OCCASO IV. open cluster stars radial velocities (Carrera+, 2022)',\n", " 'J/MNRAS/446/1411': 'Trumpler 5 photometric BV catalog (Donati+, 2015)',\n", " 'J/MNRAS/503/3279': 'GALAH survey. Galactic disc with open clusters (Spina+, 2021)'}" ] }, "metadata": {}, "execution_count": 4 } ], "source": [ "#### Finding Cantat catalogue\n", "\n", "catalog_list = Vizier.find_catalogs('Cantat')\n", "{k:v.description for k,v in catalog_list.items()}" ] }, { "cell_type": "markdown", "source": [], "metadata": { "id": "LB84nrohOkgk" } }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "bejvFj-Cnbm4", "outputId": "bf7e8ed7-c2cd-4778-bdc7-522eb0e22816", "colab": { "base_uri": "https://localhost:8080/", "height": 574 } }, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ " Cluster RA_ICRS DE_ICRS GLON GLAT r50 N \\\n", "0 ASCC_10 51.869999 34.980999 155.723007 -17.770 0.558 71 \n", "1 ASCC_101 288.398987 36.368999 68.028000 11.608 0.372 75 \n", "2 ASCC_105 295.548004 27.365999 62.825001 2.063 0.648 127 \n", "3 ASCC_107 297.164001 21.987000 58.903999 -1.901 0.174 59 \n", "4 ASCC_108 298.306000 39.348999 74.377998 6.074 0.537 230 \n", "... ... ... ... ... ... ... ... \n", "1476 vdBergh_1 99.275002 3.078000 208.556000 -1.781 0.030 73 \n", "1477 vdBergh_80 97.738998 -9.625000 219.246994 -8.891 0.143 79 \n", "1478 vdBergh_83 100.025002 -27.188999 236.447998 -14.329 0.151 52 \n", "1479 vdBergh_85 101.718002 1.320000 211.237000 -0.414 0.040 34 \n", "1480 vdBergh_92 106.038002 -11.475000 224.587006 -2.427 0.112 193 \n", "\n", " pmRA pmDE Plx dmode dmode_01 dmode-01 X \\\n", "0 -1.737 -1.368 1.459 672.000000 629.599976 720.400024 -583.3 \n", "1 0.934 1.288 2.488 397.299988 382.200012 413.799988 145.6 \n", "2 1.464 -1.635 1.783 551.799988 522.900024 584.000000 251.8 \n", "3 -0.155 -5.156 1.109 878.500000 807.599976 963.099976 453.5 \n", "4 -0.519 -1.690 0.838 1154.000000 1034.599976 1304.500000 309.0 \n", "... ... ... ... ... ... ... ... \n", "1476 0.396 -0.771 0.523 1811.400024 1533.599976 2212.100098 -1590.2 \n", "1477 -3.298 0.418 1.025 948.299988 866.200012 1047.699951 -725.6 \n", "1478 -2.896 3.199 1.034 940.299988 859.500000 1038.099976 -503.5 \n", "1479 -1.000 0.328 0.552 1719.599976 1467.300049 2076.800049 -1470.3 \n", "1480 -4.540 1.618 0.842 1148.599976 1030.199951 1297.599976 -817.2 \n", "\n", " Y Z Rgc SimbadName _RA.icrs _DE.icrs \n", "0 263.1 -205.100006 8927.200195 [KPR2005] 10 51.870 34.981 \n", "1 360.9 79.900002 8202.299805 [KPR2005] 101 288.399 36.369 \n", "2 490.5 19.900000 8103.000000 [KPR2005] 105 295.548 27.366 \n", "3 751.9 -29.200001 7922.299805 [KPR2005] 107 297.164 21.987 \n", "4 1105.1 122.099998 8106.700195 [KPR2005] 108 298.306 39.349 \n", "... ... ... ... ... ... ... \n", "1476 -865.5 -56.299999 9967.900391 Cl VDB 1 99.275 3.078 \n", "1477 -592.8 -146.600006 9085.000000 Cl VDB 80 97.739 -9.625 \n", "1478 -759.3 -232.699997 8876.099609 Cl VDB 83 100.025 -27.189 \n", "1479 -891.7 -12.400000 9850.799805 Cl VDB 85 101.718 1.320 \n", "1480 -805.6 -48.599998 9192.599609 Cl VDB 92 106.038 -11.475 \n", "\n", "[1481 rows x 20 columns]" ], "text/html": [ "\n", "
\n", "
\n", "
\n", "\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
ClusterRA_ICRSDE_ICRSGLONGLATr50NpmRApmDEPlxdmodedmode_01dmode-01XYZRgcSimbadName_RA.icrs_DE.icrs
0ASCC_1051.86999934.980999155.723007-17.7700.55871-1.737-1.3681.459672.000000629.599976720.400024-583.3263.1-205.1000068927.200195[KPR2005] 1051.87034.981
1ASCC_101288.39898736.36899968.02800011.6080.372750.9341.2882.488397.299988382.200012413.799988145.6360.979.9000028202.299805[KPR2005] 101288.39936.369
2ASCC_105295.54800427.36599962.8250012.0630.6481271.464-1.6351.783551.799988522.900024584.000000251.8490.519.9000008103.000000[KPR2005] 105295.54827.366
3ASCC_107297.16400121.98700058.903999-1.9010.17459-0.155-5.1561.109878.500000807.599976963.099976453.5751.9-29.2000017922.299805[KPR2005] 107297.16421.987
4ASCC_108298.30600039.34899974.3779986.0740.537230-0.519-1.6900.8381154.0000001034.5999761304.500000309.01105.1122.0999988106.700195[KPR2005] 108298.30639.349
...............................................................
1476vdBergh_199.2750023.078000208.556000-1.7810.030730.396-0.7710.5231811.4000241533.5999762212.100098-1590.2-865.5-56.2999999967.900391Cl VDB 199.2753.078
1477vdBergh_8097.738998-9.625000219.246994-8.8910.14379-3.2980.4181.025948.299988866.2000121047.699951-725.6-592.8-146.6000069085.000000Cl VDB 8097.739-9.625
1478vdBergh_83100.025002-27.188999236.447998-14.3290.15152-2.8963.1991.034940.299988859.5000001038.099976-503.5-759.3-232.6999978876.099609Cl VDB 83100.025-27.189
1479vdBergh_85101.7180021.320000211.237000-0.4140.04034-1.0000.3280.5521719.5999761467.3000492076.800049-1470.3-891.7-12.4000009850.799805Cl VDB 85101.7181.320
1480vdBergh_92106.038002-11.475000224.587006-2.4270.112193-4.5401.6180.8421148.5999761030.1999511297.599976-817.2-805.6-48.5999989192.599609Cl VDB 92106.038-11.475
\n", "

1481 rows × 20 columns

\n", "
\n", " \n", " \n", " \n", "\n", " \n", "
\n", "
\n", " " ] }, "metadata": {}, "execution_count": 20 } ], "source": [ "Vizier.ROW_LIMIT = -1\n", "\n", "cantat_data = Vizier(catalog = 'J/A+A/633/A99/table1', row_limit = -1).query_constraints()\n", "cantat_data = cantat_data[0].to_pandas()\n", "cantat_data" ] }, { "cell_type": "code", "source": [ "joined_data = dias_data.join(cantat_data.set_index('Cluster').loc[:, ['X', 'Y', 'Z','Rgc']], on = 'Cluster')\n", "joined_data = joined_data.dropna()" ], "metadata": { "id": "Dmi1qmEsBkJo" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "n_mask = joined_data.N > 200\n", "age_mask_old = joined_data.logage > 8\n", "age_mask_young = joined_data.logage < 7.5\n", "sns.scatterplot(x = 'logage', y = 'N', \n", " data = joined_data[n_mask & age_mask_old])\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 296 }, "id": "SK83TMraYR1i", "outputId": "d00de691-b7b2-4a9d-ca1a-c244703b8ee5" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "" ] }, "metadata": {}, "execution_count": 425 }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "old_clusters = joined_data[n_mask & age_mask_old].sample(3, random_state = 42).reset_index()\n", "young_clusters = joined_data[n_mask & age_mask_young].sample(3, random_state = 42).reset_index()\n" ], "metadata": { "id": "dBbQIHcSXgYS" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "joined_data['pm'] = np.sqrt(joined_data.pmRA**2 \\\n", " + joined_data.pmDE**2)\n", "\n", "joined_data['pm_kms'] = joined_data.pm * 365 * 24 * 3600 / 1.5 / 1e8 / joined_data.Plx\n", "joined_data['true_vel'] = np.sqrt(joined_data.pm_kms**2 + joined_data.RV**2) " ], "metadata": { "id": "NOcb5lTSZeyF" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "sns.scatterplot(x='X', y=joined_data.Y + 8300, data=joined_data, hue = 'logage',\n", " marker = 'o', palette = 'Greens')\n", "plt.xlim(-8000,8000)\n", "plt.ylim(0,16000)\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 300 }, "id": "604T5xxH31B3", "outputId": "36c2d958-b904-4d90-c82c-3ba42b7cfc3f" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "(0.0, 16000.0)" ] }, "metadata": {}, "execution_count": 352 }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "def get_cantat_members(cluster):\n", " cluster_name = cluster.Cluster\n", " cantat_members = Vizier(catalog = 'J/A+A/633/A99/members', row_limit = -1).query_constraints(Cluster=cluster_name)\n", " cantat_members = cantat_members[0].to_pandas()\n", "\n", " center_ra, center_dec = cluster.RA_ICRS, cluster.DE_ICRS\n", " distance = np.sqrt( ((cantat_members['RA_ICRS'] - center_ra)*np.cos(np.radians(cantat_members['RA_ICRS'])))**2 \\\n", " + (cantat_members['DE_ICRS'] - center_dec)**2 )\n", " cantat_members['dist_center'] = distance\n", " return cantat_members" ], "metadata": { "id": "IFGEuWcba1sR" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "member_list_old = []\n", "for i in range(len(old_clusters)):\n", " members = get_cantat_members(old_clusters.iloc[i])\n", " member_list_old.append(members)\n", "\n", "member_list_young = []\n", "for i in range(len(young_clusters)):\n", " members = get_cantat_members(young_clusters.iloc[i])\n", " member_list_young.append(members)" ], "metadata": { "id": "Tl69lbE5iVBd" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "member_list_old[2].head()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 206 }, "id": "Oa634aWkbrFo", "outputId": "63a12c78-f50d-4252-e73f-edb6083388f8" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ " RA_ICRS DE_ICRS Source Plx pmRA pmDE \\\n", "0 308.088569 27.463555 1857034392972072320 0.9911 -1.914 -9.616 \n", "1 307.941994 27.425627 1857036145318573952 0.8499 -2.254 -9.243 \n", "2 307.276426 27.722211 1857024050698161408 1.0763 -1.914 -9.560 \n", "3 308.145424 27.590227 1857042020834160768 0.9866 -2.206 -9.331 \n", "4 308.175724 27.505839 1857040577725029248 1.0280 -1.717 -9.650 \n", "\n", " RV Gmag BP-RP Proba Cluster _RA.icrs _DE.icrs \\\n", "0 NaN 13.102900 0.7210 1.0 NGC_6940 308.088578 27.463596 \n", "1 NaN 16.612600 1.3973 0.7 NGC_6940 307.942005 27.425667 \n", "2 NaN 17.852501 1.7640 0.7 NGC_6940 307.276435 27.722252 \n", "3 13.640333 11.341200 1.0948 0.9 NGC_6940 308.145434 27.590267 \n", "4 NaN 16.416901 1.5198 1.0 NGC_6940 308.175732 27.505881 \n", "\n", " dist_center \n", "0 0.872529 \n", "1 0.943392 \n", "2 0.980491 \n", "3 0.742196 \n", "4 0.814154 " ], "text/html": [ "\n", "
\n", "
\n", "
\n", "\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
RA_ICRSDE_ICRSSourcePlxpmRApmDERVGmagBP-RPProbaCluster_RA.icrs_DE.icrsdist_center
0308.08856927.46355518570343929720723200.9911-1.914-9.616NaN13.1029000.72101.0NGC_6940308.08857827.4635960.872529
1307.94199427.42562718570361453185739520.8499-2.254-9.243NaN16.6126001.39730.7NGC_6940307.94200527.4256670.943392
2307.27642627.72221118570240506981614081.0763-1.914-9.560NaN17.8525011.76400.7NGC_6940307.27643527.7222520.980491
3308.14542427.59022718570420208341607680.9866-2.206-9.33113.64033311.3412001.09480.9NGC_6940308.14543427.5902670.742196
4308.17572427.50583918570405777250292481.0280-1.717-9.650NaN16.4169011.51981.0NGC_6940308.17573227.5058810.814154
\n", "
\n", " \n", " \n", " \n", "\n", " \n", "
\n", "
\n", " " ] }, "metadata": {}, "execution_count": 428 } ] }, { "cell_type": "code", "source": [ "import seaborn as sns\n", "sns.set_style('darkgrid')" ], "metadata": { "id": "xlnmgJjsb6fN" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "def plot_cmd(member_data):\n", " cmd = sns.scatterplot(x = 'BP-RP', y = 'Gmag', data = member_data)\n", " cmd.invert_yaxis()\n", " plt.xlabel('BP Magnitude - RP Magnitude')\n", " plt.ylabel('G Band Absolute Magnitude')\n", " plt.title(f'CMD of {member_data.Cluster[0]}')\n", " plt.show()" ], "metadata": { "id": "Hdw1LIEJb1hW" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "for i in range(3):\n", " plot_cmd(member_list_old[i])" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 851 }, "id": "4YOitLSRi0Fg", "outputId": "8d2c4bcc-b3a6-4f16-c9a1-2a31852162a4" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "for i in range(3):\n", " plot_cmd(member_list_young[i])" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 851 }, "id": "u0IhWWSxBfy5", "outputId": "f43abef9-274b-4d94-b3f9-e3b4b2dac254" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "np.linspace(7,18,12)\n", "max_radius = max(member_list_old[1].dist_center)\n", "outer_mask = member_list_old[1].dist_center >= max_radius / 2\n", "counts, edges = np.histogram(member_list_old[1].Gmag[outer_mask], density = True, bins = np.linspace(7,18,12))\n", "bin_means = np.array([np.mean(edges[i:i+2]) for i in range(len(edges)-1)])\n", "counts = np.clip(counts, 1e-3, None)" ], "metadata": { "id": "8LKn2bVJ7g3q" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "import statsmodels.api as sm\n", "X = sm.add_constant(bin_means)\n", "ols = sm.OLS(np.log(counts), X).fit()\n", "print(ols.summary())\n", "# Now you have at your disposition several error estimates, e.g.\n", "#ols_result.HC0_se\n", "# and covariance estimates\n", "#ols_result.cov_HC0" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "NG3QhHKhIAmw", "outputId": "c7053bae-a755-4d54-c35b-2501e57ee26c" }, "execution_count": null, "outputs": [ { "output_type": "stream", "name": "stdout", "text": [ " OLS Regression Results \n", "==============================================================================\n", "Dep. Variable: y R-squared: 0.896\n", "Model: OLS Adj. R-squared: 0.884\n", "Method: Least Squares F-statistic: 77.41\n", "Date: Tue, 26 Apr 2022 Prob (F-statistic): 1.03e-05\n", "Time: 23:17:12 Log-Likelihood: -12.534\n", "No. Observations: 11 AIC: 29.07\n", "Df Residuals: 9 BIC: 29.86\n", "Df Model: 1 \n", "Covariance Type: nonrobust \n", "==============================================================================\n", " coef std err t P>|t| [0.025 0.975]\n", "------------------------------------------------------------------------------\n", "const -12.9529 1.028 -12.603 0.000 -15.278 -10.628\n", "x1 0.7013 0.080 8.799 0.000 0.521 0.882\n", "==============================================================================\n", "Omnibus: 0.922 Durbin-Watson: 1.376\n", "Prob(Omnibus): 0.630 Jarque-Bera (JB): 0.697\n", "Skew: -0.274 Prob(JB): 0.706\n", "Kurtosis: 1.894 Cond. No. 52.9\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n" ] } ] }, { "cell_type": "code", "source": [ "import statsmodels.api as sm\n", "\n", "def get_slope(member_data, age=None):\n", " max_radius = max(member_data.dist_center)\n", " inner_mask = member_data.dist_center <= max_radius / 2\n", " outer_mask = member_data.dist_center >= max_radius / 2\n", "\n", " counts_inner, edges_inner = np.histogram(member_data.Gmag[inner_mask], density = True,\n", " bins = np.linspace(min(member_data.Gmag),max(member_data.Gmag),10))\n", " bin_means_inner = np.array([np.mean(edges_inner[i:i+2]) for i in range(len(edges_inner)-1)])\n", " counts_inner = np.clip(counts_inner, 1e-3, None)\n", "\n", " X = sm.add_constant(bin_means_inner)\n", " reg_inner = sm.OLS(np.log(counts_inner), X).fit()\n", " slope_inner, error_inner = reg_inner.params[1], reg_inner.bse[1]\n", " \n", " counts_outer, edges_outer = np.histogram(member_data.Gmag[outer_mask], density = True,\n", " bins = np.linspace(min(member_data.Gmag),max(member_data.Gmag),10))\n", " bin_means_outer = np.array([np.mean(edges_outer[i:i+2]) for i in range(len(edges_outer)-1)])\n", " counts_outer = np.clip(counts_outer, 1e-3, None)\n", " \n", " X = sm.add_constant(bin_means_outer)\n", " reg_outer = sm.OLS(np.log(counts_outer), X).fit()\n", " slope_outer, error_outer = reg_outer.params[1], reg_outer.bse[1]\n", "\n", " sns.regplot(bin_means_outer.reshape(-1,1), np.log(counts_outer), ci = 95, \n", " label = f'outer region, slope: {slope_outer:.2f} $\\pm$ {error_outer:.2f}')\n", " sns.regplot(bin_means_inner.reshape(-1,1), np.log(counts_inner), \n", " ci = 95, label = f'inner region, slope: {slope_inner:.2f} $\\pm$ {error_inner:.2f}')\n", " plt.legend()\n", " plt.ylabel('log (Number Density)')\n", " plt.xlabel('Absolute G Magnitude')\n", " plt.title(f'{member_data.Cluster[0]}, '+ '$\\log_{10}$'+ f'(Age): {age:.2f}')\n", " plt.show()\n", "\n", " slope_diff = slope_outer - slope_inner\n", " error_slope_diff = np.sqrt( (error_inner**2 + error_outer**2) )\n", "\n", " return reg_inner, reg_outer, slope_diff, error_slope_diff" ], "metadata": { "id": "JJdyFUqMKJv-" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "slope_diff_old = []\n", "error_slope_diff_old = []\n", "for i in range(len(member_list_old)):\n", " reg_inner, reg_outer, slope_diff, error_slope_diff = get_slope(member_list_old[i],\n", " old_clusters.logage[i])\n", " slope_diff_old.append(slope_diff)\n", " error_slope_diff_old.append(error_slope_diff)\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 857 }, "id": "agKtj_uNLcOM", "outputId": "d981cb84-fdf6-4d22-df96-3ac25de55ab0" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "slope_diff_young = []\n", "error_slope_diff_young = []\n", "for i in range(len(member_list_young)):\n", " reg_inner, reg_outer, slope_diff, error_slope_diff = get_slope(member_list_young[i],\n", " young_clusters.logage[i])\n", " slope_diff_young.append(slope_diff)\n", " error_slope_diff_young.append(error_slope_diff)\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 857 }, "id": "axXfjkkyLhri", "outputId": "005d59ba-0c0c-459d-cc1d-d7c035c9138f" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "plt.errorbar(old_clusters.logage, slope_diff_old, yerr = error_slope_diff_old, \n", " label='old', ls = \"\", marker = 'o', capsize = 5)\n", "plt.errorbar(young_clusters.logage, slope_diff_young, yerr = error_slope_diff_young, \n", " label='young', ls = \"\", marker = 'o', capsize = 5)\n", "plt.legend(loc=\"upper left\")\n", "plt.xlabel('$log_{10}$ (Age)')\n", "plt.ylabel('Difference of Slope \\n (Outer slope - Inner slope)')\n", "plt.show()" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 283 }, "id": "Eq8P4Q4YNBBN", "outputId": "6710c6c8-7be5-4bc3-e81c-efac88d23e6e" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "from sklearn.linear_model import LinearRegression\n", "reg = LinearRegression()" ], "metadata": { "id": "E74_inmfD3zO" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "def get_slope(member_data):\n", " max_radius = max(member_data.dist_center)\n", " inner_mask = member_data.dist_center <= max_radius / 2\n", " outer_mask = member_data.dist_center >= max_radius / 2\n", "\n", " counts_inner, edges_inner = np.histogram(member_data.Gmag[inner_mask], density = True,\n", " bins = np.linspace(min(member_data.Gmag),max(member_data.Gmag),10))\n", " bin_means_inner = np.array([np.mean(edges_inner[i:i+2]) for i in range(len(edges_inner)-1)])\n", " counts_inner = np.clip(counts_inner, 1e-3, None)\n", " \n", " reg_inner = LinearRegression()\n", " reg_inner.fit(bin_means_inner.reshape(-1,1), np.log(counts_inner))\n", " r2_outer = reg_inner.score(bin_means_inner.reshape(-1,1), np.log(counts_inner))\n", "\n", " counts_outer, edges_outer = np.histogram(member_data.Gmag[outer_mask], density = True,\n", " bins = np.linspace(min(member_data.Gmag),max(member_data.Gmag),10))\n", " bin_means_outer = np.array([np.mean(edges_outer[i:i+2]) for i in range(len(edges_outer)-1)])\n", " counts_outer = np.clip(counts_outer, 1e-3, None)\n", " \n", " reg_outer = LinearRegression()\n", " reg_outer.fit(bin_means_outer.reshape(-1,1), np.log(counts_outer))\n", " r2_inner = reg_inner.score(bin_means_outer.reshape(-1,1), np.log(counts_outer))\n", "\n", " sns.regplot(bin_means_outer.reshape(-1,1), np.log(counts_outer), ci = 95, \n", " label = f'outer region, slope: {reg_outer.coef_[0]:.2f}')\n", " sns.regplot(bin_means_inner.reshape(-1,1), np.log(counts_inner), \n", " ci = 95, label = f'inner region, slope: {reg_inner.coef_[0]:.2f}')\n", " plt.legend()\n", " plt.ylabel('log (Fraction)')\n", " plt.xlabel('Absolute G Magnitude')\n", " plt.title(f'{member_data.Cluster[0]}')\n", " plt.show()\n", "\n", " error_slope_diff = np.sqrt( ((1-r2_inner)**2 + (1-r2_outer)**2) /2 )\n", "\n", " return reg_inner, reg_outer, r2_inner, r2_outer, error_slope_diff" ], "metadata": { "id": "LHVMHWpYfsH_" }, "execution_count": null, "outputs": [] }, { "cell_type": "code", "source": [ "slope_diff_old = []\n", "error_slope_diff_old = []\n", "for i in range(len(member_list_old)):\n", " reg_inner, reg_outer, r2_inner, r2_outer, error_slope_diff = get_slope(member_list_old[i])\n", " slope_diff_old.append(reg_outer.coef_[0] - reg_inner.coef_[0])\n", " error_slope_diff_old.append(error_slope_diff)\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 851 }, "id": "7hPTZsKahZjq", "outputId": "8f6cd42e-5ccd-4f43-8c69-5e6e26d9c7aa" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "slope_diff_young = []\n", "error_slope_diff_young = []\n", "for i in range(len(member_list_young)):\n", " reg_inner, reg_outer, r2_inner, r2_outer, error_slope_diff = get_slope(member_list_young[i])\n", " slope_diff_young.append(reg_outer.coef_[0] - reg_inner.coef_[0])\n", " error_slope_diff_young.append(error_slope_diff)\n" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 851 }, "id": "Rvch_uu4BqIO", "outputId": "14ab7bd5-2bed-4c13-cada-76ddc07b03a1" }, "execution_count": null, "outputs": [ { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "sns.scatterplot(old_clusters.logage, slope_diff_old, label='old')\n", "sns.scatterplot(young_clusters.logage, slope_diff_young, label='young')\n", "plt.legend()\n", "plt.xlabel('$log_{10}$ (Age)')\n", "plt.ylabel('Difference of Slope')" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 300 }, "id": "rlscQEqZ4ejB", "outputId": "9eeff844-dc1f-4f64-a8b7-db290bf5b2eb" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "Text(0, 0.5, 'Difference of Slope')" ] }, "metadata": {}, "execution_count": 449 }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [ "sns.scatterplot(slope_diff_old, error_slope_diff_old, label='old')\n", "sns.scatterplot(slope_diff_young, error_slope_diff_young, label='young')" ], "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 282 }, "id": "-ubfrn1kwwNW", "outputId": "7a744472-3dc2-48b9-9cca-c0d70d364f79" }, "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "" ] }, "metadata": {}, "execution_count": 450 }, { "output_type": "display_data", "data": { "text/plain": [ "
" ], "image/png": "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\n" }, "metadata": {} } ] }, { "cell_type": "code", "source": [], "metadata": { "id": "sBNBLuggH-cB" }, "execution_count": null, "outputs": [] } ] }