{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Fig2b, left, I-V curve, simple model" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from neuron import h\n", "import numpy as np\n", "import os.path\n", "import sys\n", "sys.path.append('../../libs/')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# compile neuron channels\n", "!cd ../../data/morphology && nrnivmodl && cd -" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0.0" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# load model\n", "# works only on linux\n", "model = '../../data/morphology/soma_axon.hoc'\n", "dllpath = '../../data/morphology/x86_64/.libs/libnrnmech.so'\n", "h.nrn_load_dll(dllpath)\n", "h.load_file(model)\n", "h.init_cell()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# parameters\n", "# I-V related\n", "volt_range = [-65, -50]\n", "no_pts = 15\n", "keep_soma_Na = True\n", "\n", "# simulation\n", "h.tstart = 0.\n", "h.tstop = 20.\n", "h.dt = 0.001\n", "rm = 30000.\n", "st_dur1 = 2.\n", "st_dur2 = 3.\n", "v_init = -70\n", "\n", "# morphology \n", "h.soma.L = 30.\n", "h.soma.diam = 20.\n", "h.soma.nseg = 6\n", "h.soma.g_pas = 1./rm\n", "h.soma.cm = 0.75\n", "\n", "h.axon.L = 50.\n", "h.axon.diam =1.\n", "h.axon.nseg = 10\n", "h.axon.g_pas = 1./rm\n", "h.axon.cm = 0.75 * 0.75\n", "\n", "# general\n", "h.rm = rm\n", "h.v_init = v_init\n", "h.ra = 150 \n", "h.c_m = 0.75\n", "h.xn = 1 \n", "\n", "# potassium\n", "h.Ek = -80. # mV # Fig2: = 80; Fig4b:\n", "h.gk = 1600.\n", "h.gkm = 0.3\n", "h.soma.gbar_kv = 1600/5.\n", "h.axon.gbar_kv = 1600.\n", "\n", "# sodium\n", "h.Ena = 60.\n", "h.gna = 8000.\n", "h.gkm_soma = 0.3 \n", "if keep_soma_Na:\n", " h.soma.gbar_na = 0.1*8000\n", "else:\n", " h.soma.gbar_na = 0.\n", "h.axon.gbar_na = 8000.\n", " \n", "# calcium (set no calcium in the model)\n", "h.gca = 0.\n", "h.gkca = 0.\n", "h.gca_soma = 0.\n", "h.gkca_soma = 0." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# calculate current using p/n protocol\n", "\n", "from apkink import protocols as pp\n", "i_soma_pn = pp.pn_protocol(v_memb=v_init)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/maja/anaconda/lib/python2.7/site-packages/ipykernel/__main__.py:14: DeprecationWarning: using a non-integer number instead of an integer will result in an error in the future\n" ] } ], "source": [ "# calculate I-V for different holding volt\n", "\n", "volt_space = np.linspace(volt_range[0], volt_range[1], no_pts)\n", "i_space = np.zeros([no_pts])\n", "m_axon_space = np.zeros([no_pts])\n", "\n", "for idx,volt in enumerate(volt_space): \n", " # create stimulation\n", " i_val, m_axon_val = pp.i_v_protocol(volt,v_memb=v_init,st_dur1=st_dur1,st_dur2=st_dur2)\n", " \n", " p_v_value = i_soma_pn*((volt-(v_init))/5.) \n", " i_soma = i_val - p_v_value\n", " peak = min(i_soma[(st_dur1+st_dur2+0.2)/h.dt:(st_dur1+st_dur2+3.0)/h.dt])\n", " \n", " i_space[idx] = peak\n", " m_axon_space[idx] = np.max(m_axon_val)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAakAAAEbCAYAAABgLnslAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# plot the figure\n", "import matplotlib.pylab as plt\n", "%matplotlib inline\n", "plt.subplot(1,2,1)\n", "\n", "plt.plot(volt_space, i_space)\n", "plt.ylabel('current (nA)')\n", "plt.xlabel('voltage (mV)')\n", "plt.subplot(1,2,2)\n", "plt.plot(volt_space, m_axon_space)\n", "plt.ylim([-.1,1.1])\n", "plt.ylabel('fraction of open Na+ channels')\n", "plt.xlabel('voltage (mV)')\n", "plt.tight_layout()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }