{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "#Generate Additional Images#\n", "\n", "There are three sets of results that were not included in the paper for length considerations and for minimal impact\n", "\n", "These are :\n", "\n", "* An extended attempt on 30mm measurements with DOI\n", "* 20mm LYSO:Ce results with DOI\n", "* Threshold measurements with DOI" ] }, { "cell_type": "code", "collapsed": false, "input": [ "##Preamble\n", "from __future__ import division,print_function\n", "import pandas as pds\n", "\n", "rc('figure',figsize=(12,4))\n", "rc('legend',loc='best')\n", "rc('font',size = 14.0)\n", "rc('font', family='serif')\n", "rc('text', usetex=False)\n", "rc('lines', markersize=10)\n", "\n", "import os\n", "from os.path import expanduser\n", "\n", "%load_ext autoreload\n", "%autoreload 2\n", "\n", "import scipy.optimize as opt\n", "from scipy import stats\n", "import uncertainties as unc\n", "\n", "import ProcessingCTRData as pc\n", "\n", "print(\"Complete\")" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Complete\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "#Global Properties\n", "\n", "aloc = '/home/mbrown/Desktop/doipaper/figures/' #files saved here!\n", "\n", "wrapdict = {'wrapped':'r','unwrapped':'b','partialwrap':cm.gist_rainbow(0.4)}\n", "errwrapdict = {'wrapped':'r','unwrapped':'b','partialwrap':'g'}\n", "savefigures = True" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "markdown", "metadata": {}, "source": [ "##Extended CTR for 30mm##" ] }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\"} \n", "\n", "df = df[df.length == 30]\n", "\n", "df = df[df.specialkey == 'extended']\n", "\n", "pp = lambda aval : '{:1.2f}'.format(aval)\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " print(config,key)\n", " ax.errorbar(grp.DOI,grp.CTR,yerr=grp.CTRerr,fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " m,b = polyfit(grp.DOI,grp.CTR,1,w=grp.CTRerr)\n", " \n", " #ax.hlines(b,0,30,color='k',linestyles='dashed')\n", " \n", " print(\"covariance\",pp(m))\n", " print(\"intercept\",pp(b))\n", " m,c,b = polyfit(grp.DOI,grp.CTR,2,w=grp.CTRerr)\n", " print(\"covariance\",pp(m))\n", " print(\"Gradient\",pp(c),\"intercept\",pp(b))\n", " \n", " \n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Coincidence Time Resolution (ps)\")\n", " ax.set_xlim(-2,32)\n", " \n", " ax.set_ylim(160,300)\n", "\n", " \n", "ax.legend(ncol=2)\n", "fig.tight_layout()\n", "\n", "show() " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "wrapped 30B\n", "covariance 0.35\n", "intercept 240.91\n", "covariance -0.05\n", "Gradient 1.84 intercept 233.76\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAEXCAYAAABMG87yAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "rc('text', usetex=True)\n", "rc('font', family='serif')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\"} \n", "\n", "df = df[df.length == 30]\n", "df = df[df.specialkey == 'extended']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.numofsamples,yerr=sqrt(grp.numofsamples),fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " \n", " ax.set_xlim(-2,32)\n", "\n", "step = lambda x, xmin, xmax : 1/(xmax-xmin)*((x>=xmin) & (x<=xmax))\n", "\n", "x = linspace(-5,40,500)\n", "xs = linspace(-5,5)\n", "y = convolve(step(x,0,30),stats.norm(scale=1/2.355).pdf(xs),mode='same')\n", "y*=3000/max(y)\n", "\n", "ax.plot(x,y,'k--')\n", "ax.set_xlabel(\"Depth of Interaction (mm)\")\n", "ax.set_ylabel(\"Number of $\\gamma\\gamma$ events detected\")\n", " \n", "ax.legend(ncol=2)\n", "fig.tight_layout()\n", "\n", "show()\n", "rc('text', usetex=False)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1AAAAEQCAYAAAC+3JV0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nC5qU4U7l/qfCAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\"} \n", "\n", "df = df[df.length == 30]\n", "\n", "df = df[df.specialkey == 'extended']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.location,yerr=grp.locationerr,fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " \n", " vals = [unc.ufloat(a,b) for a,b in zip(grp.location, grp.locationerr)]\n", " print(ptp(vals),\"ps\")\n", " \n", " linear = lambda xdata, m,c: m*xdata+c\n", " \n", " for reducelim in [30,25,20,15]:\n", " fgrp = grp[grp.DOI < reducelim]\n", " (m,c), err = opt.curve_fit(linear,array(fgrp['DOI']),array(fgrp['location']),sigma=fgrp['locationerr'])\n", " merr,cerr = err.diagonal()\n", " \n", " #X = linspace(0,30)\n", " #Y = linear(X,m,c)\n", " #ax.plot(X,Y,'k--')\n", " \n", " factor = 3E-1\n", " print(config,\"<\",reducelim,\"n\", unc.ufloat(m,merr)*factor)\n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Delay Peak Centroid (ps)\")\n", " ax.set_xlim(-2,32)\n", " \n", " ax.set_ylim(-150,100)\n", "\n", " \n", "ax.legend(ncol=2)\n", "fig.tight_layout()\n", "\n", "show()\n", " " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "186.5+/-2.7 ps\n", "wrapped < 30 n 2.0+/-0.6\n", "wrapped < 25 n 2.7+/-0.5\n", "wrapped < 20 n 3.2+/-0.4\n", "wrapped < 15 n 3.7+/-0.4\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAETCAYAAADXiozkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\"} \n", "\n", "df = df[df.length == 30]\n", "df = df[df.specialkey == 'extended']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.ERright,yerr=grp.ERrighterr,fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " \n", " \n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Energy Resolution (%)\")\n", " ax.set_xlim(-2,32)\n", " \n", "ax.legend(ncol=2)\n", "\n", "DOI = linspace(2,30,1000)\n", "Y = lambda xdata, m, c,A : c+A/(xdata-m)**2\n", "\n", "fdf = df[df.configuration == 'unwrapped']\n", "#param, err = opt.curve_fit(Y,fdf.DOI,fdf.ERright,sigma=fdf.ERrighterr)\n", "#for p,e in zip(param,err.diagonal()):\n", "# val = unc.ufloat(p,e)\n", "# print(val)\n", "#ax.plot(DOI,Y(DOI,*param),'k--')\n", "\n", "fig.tight_layout()\n", "\n", "show() " ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAESCAYAAAAc1l9BAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niCgAFlFEREREREQBsIgiIiIiIiIK4De1WSI3bePQtwAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\"} \n", "\n", "df = df[df.length == 30]\n", "df = df[df.specialkey == 'extended']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.RPloc,yerr=grp.RPlocerr,fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " \n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Right Photopeak Centroid (Arb.)\")\n", " ax.set_xlim(-2,32)\n", " ax.set_ylim(0.3,0.65)\n", " \n", "ax.legend(ncol=2)\n", "fig.tight_layout()\n", "show() " ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAETCAYAAADXiozkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3XlcVdX6P/DPAQsFUgE1h0KcB0IBvYqAAqbiCJrhD2dQ\n6htqqGhdrdTKKdEs0/JmFg6ZJaiJQ2AqIAKWBQiRXk1zwFkwERQkWL8/jHM5AocNngOcxef9ep1X\n7LXX3vs5PGDnYa+1tkoIIUBERERERESKGNV0AERERERERIaERRQREREREVElsIgiIiIiIiKqBBZR\nRERERERElcAiioiIiIiIqBJYRBEREREREVVCvZq4aExMDN577z0UFBTAzMwMa9euRceOHbUes337\ndmzZsgV//fUXrly5goEDB+LLL79U72/cuDEcHBw0jgkMDMSYMWP08h6IiIiIiKhuqlQRVVRUhN9/\n/x1nz55F586d0blzZ6hUqkpdMDU1FaNGjcLhw4fh6OiIsLAwuLm5IT09HZaWlmUe8+mnn2Lbtm34\n8ccfYWZmhtjYWPj4+Gj0cXBwQHR0dKViISIiIiIiqqwKh/PduXMHQUFB6NOnD8zNzdGtWzeMHj0a\ntra2eOaZZ+Di4oLg4GDk5uYquuCaNWvg4uICR0dHAICPjw8aNGiA0NDQMvvfv38fixYtwvLly2Fm\nZgYAcHNzQ3h4uNL3SEREREREpDNai6g7d+5gyJAhMDU1xZIlS3D27FncvXsXBQUF+Ouvv3D69Gks\nXLgQQggMGzZMUSEVEREBZ2dnjTYXFxdERESU2//evXtwc3PTaO/Xr1+F1yIiIiIiItK1cofzZWVl\n4fXXX8dnn32mvmtUUsOGDdGwYUM899xz8PT0RGJiIgIDA/HZZ5/B3Ny8zHPm5+cjMzMTTZs21Wi3\nsrJCQkJCmcecO3cOTZo0wd69e7F+/XoUFhZi/Pjx6jtYxa5fvw5fX19kZGTAwcEB48aNQ58+fRR9\nE4iIiIiIiJQqt4iytLTEtm3bFJ+oT58+FRYtmZmZAFCqyDI3N1fve9yVK1dw+/ZtfPrpp/j888+h\nUqnw2muvYdOmTThy5Ii6X/v27bF06VK0a9cOkZGRGDt2LIKCghAcHKz4PRAREREREVWkWpc4t7Ky\nAgDk5ORotOfk5KBJkyZlHlO/fn0UFBQgMDAQrVu3hrW1NWbNmoWjR4/i2rVr6n579+5Fu3btAACD\nBw/GuHHjsHHjRj29EyIiIiIiqqueeInzb7/9Fg8fPsSkSZMq7GtiYoImTZrg9u3bGu23b9/Gc889\nV+YxrVq1AgCN5cv/9a9/oaioCD/++GO513VxccEHH3yAP/74A+3bt1e3t2/fHufOnaswViIiIiIi\nqru6d++OlJSUMvc98Z2o9957DwsXLlTc38vLC/Hx8RptCQkJ8PLyKrN///79AUDjDaSlpcHIyEi9\n7/Dhw/jmm280jjtx4gRsbGw0Cijg0RwrIYTBvRYtWlTjMfDFXPLFXMr6Yi7leTGX8ryYS3lehprL\nkydPllvTPHERdezYMfz888+K+8+cORMJCQlISkoCAISHhyMvLw/+/v4AgJCQEDg5OaGwsBDAoztQ\nAwcOxJYtW5CdnY3c3Fx8+eWXGDBggPru1eXLl7FkyRL1Ha7Tp09jx44dmDx58pO+PSIiIiIiIg1P\nPJyveJ6TUnZ2dti9ezeCg4NRWFiofniuhYUFgEcr+D148EDjmN27d6ufVdWqVSsMHz4c48ePV+8f\nMGAAkpOT0bdvXzRq1AgtWrTAggULMHLkyCd9e7XGhQsXajoE0hHmUh7MpTyYS3kwl/JgLuUhYy4r\nXUSlpqbiwIEDAIBhw4bBzs6u0hd1c3NDTExMmfsWLFiABQsWaLSZmppqXSTiueeew5o1ayodhyGx\nt7ev6RBIR5hLeTCX8mAu5cFcyoO5lIeMuVQJIYSSjmlpaRg+fDguX76MRo0aAQDu3r0La2tr7Nu3\nDy+88IJeA9UVlUoFhW+ZiIiIiIjqKG11g+I5Ua+++iq8vb1x+vRpZGVlISsrC6dOnYKXlxdeffVV\nnQVLRERERERUmykuou7evYuVK1eiY8eOUKlUUKlU6NSpE0JCQpCVlaXPGAkod/gjGR7mUh7MpTyY\nS3kwl/JgLuUhYy4Vz4lq27Ytrl27BhsbG432GzduwNraWtdxEREREZGBsLS0xJ07d2o6DKJKsbCw\nqPLNIK1zomJjY6FSqQAAV65cwaeffooBAwbAyckJAJCYmIgDBw4gMDAQU6ZMqVIA1Y1zooiIiIh0\ni5+vyBBV9HOrbb/WIsrISNloP5VKpX6uU23HX3IiIiIi3eLnKzJET1JEaa2S+vXrh6Kiogpfffv2\nfbJ3QBWScSxpXcVcyoO5lAdzKQ/mkoiqg9YiasOGDeqvt2zZgu3bt1fYj4iIiIiISGaKnxNVr149\nLFq0qNSDcA0NbzcTERER6Zaiz1cxMY9exV+7uz/62t39f19XlT7PTdLS25yokl588UUcPny4zH13\n7tyBhYWFktPUOBZRRERERLpV6c9XKhWgr89j+jw3SUVvc6JKcnNzw969e8vcN3r0aKWnoSriGG95\nMJfyYC7lwVzKg7kkqtt8fX3RunVrGBkZ4dKlS3q7juLnRP3555/4+OOP0bhxY/Tu3Rv169dXV2en\nTp3SW4BEREREJBcBQGUg57506RL+85//4Mcff0RWVhbq16+PXr16wdPTE76+vqX637t3D3PnzsXx\n48dRWFiI9u3bY8WKFejUqZO6z6pVq7Bt2zacPHkSFhYWsLa2RlFRES5fvoyePXti4MCBmDhxIpo3\nb67Dd1I3fPvtt9i8eTP8/f31eh3Fd6IiIyPh7e2Nfv36wcTEBAAghODQuGrizvG80mAu5cFcyoO5\nlAdzWfsJIRD8z38N4dxHjhzBihUrsGjRIpw9exbJycn417/+hXHjxiE2Nlaj7/3799GvXz9cunQJ\nP//8M9LS0tCtWze4uLggPT1d3W/u3LlITk4GAHh7eyM5ORknT57EtWvXMGXKFPz73//G22+/rbP3\nUNdUR32iuIgaPHgwQkNDsWnTJmzatAmhoaHqbR8fH33GSERERESSiNq5EwBwcNcugzh3ixYtMHv2\nbAwfPhxGRkZ4+umnERgYiFatWuGDDz7Q6Ltp0yacPHkSn376KUxMTKBSqfD+++/DxMQEixcvrvBa\nJiYm8PX1hb29PbZv346srCydvQ/SLcVFVGhoaLn7jI2NdRIMlY9jvOXBXMqDuZQHcykP5rJ2E0Ig\natUqrAYQuXKlTu8Y6Ovcnp6eWLVqlUbb3bt3cefOHbRv316j/YsvvkC7du3Qtm1bjfZBgwZh586d\nuHPnjqJr5uXlAQCMjMr/qL5x40a0bNkSRkZGsLOzw6ZNmwA8+j60aNECK1asUPcNCAhA8+bNYW1t\njZ07d8LX1xfW1tYwMjLCyZMnMW7cODg6OsLIyEg9DG7jxo1wc3NDx44d0aNHD8yZMwfHjx/XiMHH\nx0c9/yguLg5eXl6wtbVF69atERQUhPz8fPX3y8HBAVZWVmjTpg2io6PRr18/tGnTBnZ2dvjss89K\nvb/s7GxMmzYNnTp1Qps2beDi4oKd/xTJJV26dAne3t5o2rQpnJ2dMX/+fBQVFSn6Pj8R8QQuXLgg\nli5dKpo0afIkp6lWT/iWa0x0dHRNh0A6wlzKg7mUB3MpD+ayZij9fPVDWJiINDUVAhA/mJqKyPBw\nncWgz3MXKyoqEsnJySIgIEB07dpV/Pe//9XYb2pqKgYMGFDquHfffVeoVCrxyy+/aLSrVCrh7++v\n3r5y5Yr46KOPhLGxsQgODq4wnh9++EGoVCpx8OBBdduxY8eESqUSvXr10ug7fPhw8fPPP6u3N23a\nJFQqlfD09BRHjhwRQgjx1ltvqePp3Lmz2LBhg7r/kSNHRL169cT58+c1zlt8nm7duokffvhBCCHE\n9u3bRbNmzcTw4cM1+vr5+YkGDRqIIUOGiPT0dJGbmyuWLFkijIyMxKpVq9T98vLyRJcuXYSjo6P4\n9ddfRUFBgdiwYYN46qmnxOeff67ud+fOHfHss8+KXr16iRMnToj8/Hyxfv160a5dO2FkZCQuXryo\n9ftX0c+ttv2VrigyMzPF+vXrhaurqzAyMhLGxsaiQYMGlT1NjTHUIoqIiIiotlLy+aqoqEjM6t1b\nFD1agFwUAY+2i4qe+Pr6PHdJ7dq1EyqVSgwcOFBkZ2dr7MvMzBQqlUr4+PiUOu6TTz4RKpVKfP/9\n9xrtKpVKWFpaCnt7e9GtWzdhYmIizMzMRFhYmKJ48vPzRaNGjURgYKC6LTg4WPTq1UsYGRmJjIwM\nIYQQ2dnZol27dhrHhoaGCpVKJRYvXqxuy83NFdeuXRNCCHHq1KlS1xs+fLh4//33yzzPggULNNqn\nT58uVCqViI2NVbdNnjxZqFQqcfjwYY2+tra2onHjxuLevXtCCCE++OADoVKpxNdff63Rr1evXqJ5\n8+YiLy9PCCHEwoULhUqlErt379bo5+zsLFQqlV6LKEXD+fLy8rBjxw54e3ujRYsWmDZtGpKTk7F8\n+XJcuHABrq6u+rpRRkREREQSiNq5E4PT0tQr56kAeKal6WT+kj7PXdIff/yB06dPw9XVFa1bt8YX\nX3zxxOcsubBEVlYWtm3bhqVLl6JXr164ceOG1mOffvppDBkyBHv27FG3RUZGYv369RBCYPfu3QCA\nAwcOYMiQIWWeo+RiLKampuoVARs2bIj169dj5MiR6NatGxwcHJCQkFDmkLrHzwMAffr0AQAcO3ZM\no93ExATOzs4abU5OTrh79y7S0tIAPFrMw9jYuFTMbm5uuHHjhnpl8GPHjkGlUsHJyUlrLPqgtYg6\ndOgQ/P398eyzz8LX1xdpaWmYO3cu0tLSYGdnhzfffBPPPfccDh48qPdA6zqO8ZYHcykP5lIezKU8\nmMvaSfwzX2nQ/fsa7Z737z/x/CV9nrssHTt2xMKFC9GhQwe8/fbbKCgoAABYWlqiQYMGZc57Kl4g\n4rnnntN6blNTU3h7e2POnDn45ZdftK5JUGzUqFG4du0ajh8/jpSUFLRr1w6Ojo7o2rUrdv1TRO7a\ntQujRo0q83hra+sy2728vBAWFob3338fqampSE5OhpeXF+7evVtm/8fnh3Xp0gUAcPXqVY325s2b\no379+hptXbt2BQBcuXIFAHD58mWoVCq8+OKLcHBwUL8iIiLQvHlzdXF59epVNGzYsNRS8B06dCgz\nRl3SWkQNGjQIX3/9NXx9fXHs2DGcP38eS5cuha2t7RNdNCYmBh4eHnB1dYWnpyfOnDlT4THbt2/H\nkCFD0KdPH1hbW2Pq1Kka+7OzszF+/Hi4uLjAxcVFJ38ZICIiIqIn9/idomK6uGOkz3MDj0ZklbVQ\nwciRI3H79m38+OOP6rZOnTrhwoULpfpevHgR9erVK7XgRHkGDRoEAOoiSJshQ4bAxMQEu3fvxu7d\nu9XF0qhRoxAXF4crV67gp59+qtTdmejoaCQlJWHWrFno1q2bul1bQXr27FmN7d9++w0A0KpVK432\na9euqRfOKK+vtbU1VCoVjh07huTkZPXr9OnTuHr1Kjw9PQEALVu2xN27d3Ht2jWtseiD1iIqPj4e\n//d//4eEhATs2LEDP//88xNfMDU1FaNGjcKHH36IY8eOISAgAG5ublqXcPz000+xdu1ahIeHIzEx\nEVu3bsXevXs1+gwdOhQtWrRAfHw89u7diyVLlmDbtm1PHG9twedeyIO5lAdzKQ/mUh7MZe1T3p2i\nYk9yx0if5y42ePBgjZXuihUPU2vatKm67ZVXXsG5c+fw559/asYYFYXRo0fDwsJC0TXj4uIAlC5A\nyvLMM8+gf//+2L17NyIiIuDl5QXgURFVWFiIoKAguLu7a13p73F//fUXgEfDBUv6+eefoVKV/Sjj\nx+8CF6/k9/i0n4cPHyI+Pr5U38aNG8POzg4AMGDAABQUFODIkSMa/S5duoRx48bh/j/57tevn8a1\nSsZSXpw6o3U21T8KCgrE/v37xbhx40T37t3FwoULRffu3dX7ExMTlZxGCCHElClTxLBhwzTa2rRp\no7EiR0m5ubnCyspKxMTEaLSXnKR29OhRoVKpxK1bt9Rt7733nrCzsyt1PoVvmYiIiIgU0vb5quSq\neeW9qrqanj7PXczd3V08//zz4vjx46KwsFDk5OSIbdu2iXr16okXX3xRFBYWqvvev39fODg4iMGD\nB4u8vDxRWFgoFi5cKJo0aSLS09NLnVulUgk/Pz/1dlFRkThx4oTo16+feOqppxSvNvnFF18IlUol\n3N3dNdqtra3LXNBCiP8tCHHhwoVS+/7++2/RokUL4enpKa5duyYKCgrEV199JVQqlbCxsSnzPB4e\nHiIqKkoUFhaK7du3i2effVaMGDFCo+/kyZNFw4YNxZgxY8Tvv/8ucnJy1Kvzffjhh+p++fn54oUX\nXhCurq4iPj5eCCHEtWvXhKenpwgJCVH3++uvv0Tz5s1Fr169xM8//yzy8vLE559/Lpo1a1bueyup\norpA237VPx0Uy83Nxffff49t27YhMzMTY8eOxbZt23DixAlFxzdt2hSzZ8/GW2+9pW6bOHEiLl26\nVOqpzwDw7bffYvLkyep15svyxhtvYM+ePRrDAg8dOoRBgwbh/PnzsLGxUberVKpqeYqxrsXExPCv\na5JgLuXBXMqDuZQHc1kztH2+mufvD5Pz5zXvDMTGAm5u6k0hBPLbtsUHCuYAVde5iyUmJiI0NBRx\ncXF48OAB/v77b1hZWcHX1xdBQUEwMzPT6J+Tk6N+plJhYSE6dOiAFStWoGPHjuo+q1atwrZt25Ca\nmgoLCws8//zzAIA7d+6gXr166NGjB2bNmqVenKEiN2/eRMuWLbF69WoEBQWp22fNmoWNGzfi9u3b\nGvOQXnvtNfzwww/IyMhA586dYW1tjR9++EHjnL/88gs2btyIvXv3onnz5ujRoweuXr2KH3/8Eba2\ntti6dStsbW2xadMmTJkyBb/++iuWL1+O33//HdnZ2Rg1ahRWrlypcTfLz88PsbGx2LlzJ958802c\nP38eZmZmmDZtGgIDAzWun5ubi7fffhuRkZGoV68e2rVrhwEDBmD69Okad9UuX76MGTNmID4+HjY2\nNujduze6d++O1157DV26dMGUKVMwZ86cMr9vFdUFWvdrLb8qcPPmTbF27Vrx7LPPKuqfl5cnVCqV\nxprzQggxc+ZM0bZt2zKPWbJkiWjZsqWIiIgQQ4YMEYMGDRKbN28W9+/fV/fx9fUVzs7OGsclJycL\nlUol4uLiNNqf8C3XGD73Qh7MpTyYS3kwl/JgLmtGpT9f6fPzmIF+1jNUxXeiKlpOXIhHd6Iev5NV\nkyr6udW2v165pZcCTZs2xYwZM1BYWKiof2ZmJgDA3Nxco93c3Fy973FXrlzB7du38emnn+Lzzz+H\nSqXCa6+9hk2bNqnHSWZmZpZ5zpLXNHT8q5o8mEt5MJfyYC7lwVwS1W56n6tUTcotorKysnDkyBG8\n/PLLFZ5k5syZAB4NvRs+fHipgqaYlZUVgEe3OUvKyclBkyZNyjymfv36KCgoQGBgIFq3bg3g0a3J\noUOH4vr162jevDmsrKxw8eLFUucsec2S/Pz81EP8GjduDHt7e/U/usWT4rjNbW5zm9vc5ja3ua1s\nW5GYmEcv4NFwu3ffxT8nefR6Evo8NykiFE6XUdqvOhX/PBd/XdYKi6Vou4W1YcMG4ePjI7KysrTe\n6rpx44bw9vYW27dv19pPCCGaNm0qli1bptE2fvx44ebmVmb/VatWlbpFmJWVJVQqldi8ebMQQoi5\nc+eKDh06aBz3448/CpVKJf7880+N9grecq3F4QnyYC7lwVzKg7mUB3NZMwz18xU9mZdffllYW1sL\nIyMj0bVr13IXivvrr7+Evb29sLS0FCYmJsLe3r5W/K5W9HOrbb/W4XyvvPIKgEdrtTs6OqJz585o\n1KgRGjRogNzcXNy9exe///470tLS8J///Ae+vr4VFm1eXl6lljVMSEjAjBkzyuzfv39/AEBKSor6\nYWBpaWkwMjJS7/P29sbq1atx69Yt9TKTCQkJsLOz01hUgoiIiIiIdCMsLExRv0aNGiE5OVnP0VQv\nRavzXbt2Db/++iuSkpKQlJSE8+fPo2PHjnB0dISDgwMcHR3x7LPPKrpgWloa3NzccOjQITg6OiI8\nPBxBQUFIT0+HhYUFQkJCsGvXLsTHx8PY2BgA4OnpiWeeeQZfffUVjI2NMW3aNNy4cQORkZHq8/bt\n2xdOTk5YuXIlsrKy4OjoiGXLlmHcuHGab9hAV+cjIiIiqq34+YoM0ZOszlfpJc51ITY2FosWLUJh\nYSHMzMywdu1adOjQAQCwePFihIeHIykpSV1E3b9/H0FBQUhMTESrVq0wfPhwjB8/XmO+U3Z2NgID\nA9VjGP39/REQEFDq2vwlJyIiItItfr4iQ2RwRVRNMtRf8piYmMpN3qRai7mUB3MpD+ZSHsxlzTDU\nz1dUtz1JEWWkr6CIiIiIiIhkxDtRRERERPRE+PmKDNGT3Il6ooftEhERERFZWFhI8xBVqjssLCyq\nfKxOhvNlZ2fr4jSkRcmHgJFhYy7lwVzKg7mUB3NZM7KysiCE0OkrOjpa5+fkq2ZetTWXWVlZVf6Z\n10kRNXLkSF2choiIiIiIqNbTOieqTZs2mp0fGxdYvH39+nU8ePBAf1HqEMfsEhERERFRRao8J8rE\nxATz58+HEAI5OTlYu3Ytevfujd69ewMAjh8/jmPHjmHx4sW6j5qIiIiIiKgW0jqcb8aMGZg8eTL8\n/PwQFxeHsLAwbNmyBdOnT8f06dOxdetW7N27F0ePHq2ueOssjvGWB3MpD+ZSHsylPJhLeTCX8pAx\nlxUWUcX++9//ws7OrlSfrl274sKFCzoPjIiIiIiIqDZS/Jyo7t27Y9KkSXj99dfx9NNPAwDy8/Ox\nbt06bNmyBSdPntRroLrCOVFERERERFQRbXWD4iLqyJEjGDZsGAoLC2FrawshBH7//XfUq1cP+/fv\nh4eHh06D1hcWUUREREREVBFtdYPiJc779++PW7duYceOHejZsyd69eqFsLAw3Lx502AKKEMm41jS\nuoq5lAdzKQ/mUh7MpTyYS3nImEutq/M9ztzcHCNHjiz1XKhz586hXbt2Og2MiIiIiIioNlI8nE+b\n/v3748iRI7qIR+84nI+IiIiIiCpS5edE+fr6om3btli2bBmMjMof+adSqZ4sQiIiIiIiIgOhdU6U\nq6srHB0dAQDdunVDdHQ0jhw5UurVrVu3agm2LpNxLGldxVzKg7mUB3MpD+ZSHsylPGTMpdY7USWf\nEzV37ly4ubmV2W/OnDm6jYqIiIiIiKiWqtKcqJs3bwIAmjVrpvOA9I1zooiIiIiIqCI6WeJcCIFP\nP/0Ubm5uaNmyJVq2bAl3d3d89tlnOguUiIiIiIiotlNcRC1fvhxr166Fk5MTvvvuO3z33Xfo1asX\n1q5di+XLl1fqojExMfDw8ICrqys8PT1x5swZrf07d+4MDw8Pjdcnn3yi0adx48al+uzYsaNScdVm\nMo4lrauYS3kwl/JgLuXBXMqDuZSHjLlU/Jyor7/+Gjt37oStra26bfTo0fDz88Po0aMxf/58RedJ\nTU3FqFGjcPjwYTg6OiIsLAxubm5IT0+HpaVlmce0aNEC0dHRWs/r4OBQYR8iIiIiIqInpXhOlK2t\nLVJTU2FsbKzR/vfff6N79+5IT09XdMGpU6fixo0b2Ldvn7qtbdu2mD59erkLVHh4eFRYICnpA3BO\nFBERERERVUwnc6JatmyJZcuW4f79++q2+/fvY8WKFWjVqpXiYCIiIuDs7KzR5uLigoiICMXnICIi\nIiIiqimKi6hPPvkE69atQ8OGDdG9e3d069YNjRo1wtq1a7FmzRpF58jPz0dmZiaaNm2q0W5lZYWM\njIxyj8vNzcXUqVPRt29fTJkyBYcOHUJRUZFGn+vXr8PX1xeurq54/fXXkZiYqPStGQQZx5LWVcyl\nPJhLeTCX8mAu5cFcykPGXCouorp06YJr164hKioKLi4u6Nu3L6KionDlyhV06dJF0TkyMzMBAObm\n5hrt5ubm6n1l6dSpE6ZNm4a4uDgEBATgjTfewKxZszT6tG/fHkuXLsWxY8cwbNgwjB07FqtXr1b6\n9oiIiIiIiBRRvLDEe++9BxMTE8ybNw8vvvhilS5mZWUFAMjJydFoz8nJQZMmTco9buvWreqvnZ2d\nERgYiHnz5mHlypUwMTEBAOzdu1fdZ/DgwRg3bhw2btyI4ODgUufz8/ODjY0NgEer+tnb28Pd3R3A\n/yplbnNbn9vFaks83K7adnFbbYmH21Xfdnd3r1XxcJvb3H60Xay2xMPtqm0Xt9WWeLT9vMXExODC\nhQuoiOKFJerXr48NGzZg0qRJSrqXq1mzZpg9e7bGan4TJkxARkZGqV+Y8qSnp8POzg4HDx7EgAED\nyuyzf/9+jBgxAmfOnEH79u3V7VxYgoiIiIiIKqKThSXc3d3LLaBOnTqlOBgvLy/Ex8drtCUkJMDL\ny6vM/mlpafjoo4802k6cOAFTU1P06dMHAHD48GF88803pfrY2NhoFFCGTGmBSbUfcykP5lIezKU8\nmEt5MJfykDGXiouol156CevXr0dBQUGpfdOmTVN8wZkzZyIhIQFJSUkAgPDwcOTl5cHf3x8AEBIS\nAicnJ/XCEZmZmViyZAkuX74MALh8+TJCQ0Ph4+MDMzMzdduSJUtw+/ZtAMDp06exY8cOTJ48WXFc\nRERERERESigezufh4YGUlBTk5eXB3t4e9evXV+87efIksrKyFF80NjYWixYtQmFhIczMzLB27Vp0\n6NABALB48WKEh4cjKSkJxsbGuHPnDlatWoU9e/bA1NQUVlZWGD16NHx8fNCoUSMAQEZGBlauXImD\nBw+iUaNGaNGiBcaMGYORI0eiQYMGmm+Yw/mIiIiIiKgC2uoGxUVUmzZt4OfnV+aJNm/ejD///PPJ\noqwmLKLD3MnEAAAgAElEQVSIiIiIiKgi2uoGxavzjR07FosWLSpz31NPPVW1yEixkiuakGFjLuXB\nXMqDuZQHcykP5lIeMuZScRFV1sIPDx8+xLp169TzmYiIiIiIiGRXqTlR0dHRGm1FRUXYvHkz9u7d\ni127duklQF3jcD4iIiIiIqqITpY4L/NgIyNMnjwZt27depLTEBERERERGQytRdSaNWvQpk0btGnT\nBsePH1d/XfyysbGBqakpOnfuXF3x1lkyrq9fVzGX8mAu5cFcyoO5lAdzKQ8Zc6l1TpSbm5t6GfEV\nK1Zg3rx5Gre0jI2N4eDggBdeeEG/URIREREREdUSiudEff3115gwYYK+49E7zokiIiIiIqKK6GRO\nlLYCKjg4uPJRERERERERGSDFRVRBQQHi4uKwdOlSTJkyBf7+/ur/fvvtt/qMkSDnWNK6irmUB3Mp\nD+ZSHsylPJhLeciYS8VF1IoVKzBy5EhERUWhsLAQQgiNFxERERERUV2geE5U165dERsbi6ZNm5ba\nN3/+fCxfvlznwekD50QREREREVFFdDInqmPHjjA1NS1z36RJk6oWGRERERERkYFRXES9+uqrmD59\nOr799lucOXMGly5dwqVLl3Dx4kVMnTpVnzES5BxLWlcxl/JgLuXBXMqDuZQHcykPGXOp9TlRJQ0f\nPhwAsGXLllL7VCqV7iIiIiIiIiKqxRTPiXJycsJ3331X5rjAsWPHIjExUefB6QPnRBERERERUUW0\n1Q2Ki6ijR4+iX79+Ze5LSkqCo6Nj1SOsRiyiiIiIiIioIjpZWKK4gCosLMSvv/4KALhz5w4AGEwB\nZchkHEtaVzGX8mAu5cFcyoO5lAdzKQ8Zc6m4iLp//z4mTZqEZs2awcfHB8Cjpc2nTp2KzMxMvQVI\nRERERERUmygezvfVV18hJiYGEydOxOLFi3H06FEIIfDll1/i3LlzfE4UERERERFJQydzooYOHYpd\nu3ahfv368PDwQHR0tHqfp6cnoqKiFAcUExOD9957DwUFBTAzM8PatWvRsWPHcvt37twZLVq00Ggb\nNWoUgoKC1NvZ2dkIDAzEhQsXAAB+fn545ZVXSp2LRRQREREREVVEJ3OiLl++DCOj0t2vXLmCs2fP\nKg4mNTUVo0aNwocffohjx44hICAAbm5uyMrKKveYFi1aIDo6WuNVsoACHhV5LVq0QHx8PPbu3Ysl\nS5Zg27ZtiuOq7WQcS1pXMZfyYC7lwVzKg7mUB3MpDxlzqbiI6tmzJ6ZNm4bU1FQAwK1btxAREYFX\nXnkF/fv3V3zBNWvWwMXFRb0YhY+PDxo0aIDQ0NBKhv4/cXFxSEhIwLx58wAAlpaWmDp1KlasWFHl\ncxIREREREZVF8XC+u3fvIiAgAAcOHEBeXh6EEDA1NcXw4cOxYcMGNGzYUNEFmzZtitmzZ+Ott95S\nt02cOBGXLl1CbGxsmcc8PnzwcW+88Qb27NmDM2fOqNsOHTqEQYMG4fz587CxsVG3czgfERERERFV\nRFvdUE/pSRo1aoSwsDDk5uZi//79UKlUGDZsGExNTRUHkp+fj8zMTDRt2lSj3crKCgkJCeUel5ub\ni6lTp+LMmTPo0KEDxo0bh/79+6uHF2ZkZJQ6Z5MmTdT7ShZRRERERERET0LxcL5iZmZmGDNmDHx8\nfCpVQAFQL4Vubm6u0W5ubq51mfROnTph2rRpiIuLQ0BAAN544w3MmjVL47xlnbPkNQ2djGNJ6yrm\nUh7MpTyYS3kwl/JgLuUhYy613ok6d+4c5s6dC5VKhTlz5sDFxUVjv5+fHz755BPFQ/msrKwAADk5\nORrtOTk56jtHZdm6dav6a2dnZwQGBmLevHlYtWoVnn76aVhZWeHixYulzlnymo/HXXx3qnHjxrC3\nt4e7uzuA/yW5tm0Xqy3xcLvq2ykpKbUqHm5XfTslJaVWxcNtbnP7f2pLPNyu+jb/fynPtqH8/7L4\n6+LVvrXROidq6dKlWLFiBWbOnIk333wTzzzzjMb+//u//0NkZCQOHjyITp06VXgxAGjWrBlmz56N\n+fPnq9smTJiAjIwMjTegTXp6Ouzs7HDw4EEMGDCAc6KIiIiIiEinqrzE+YEDB7Bz504sXry4VAEF\nAJ9//jlCQkIqtZS4l5cX4uPjNdoSEhLg5eVVZv+0tDR89NFHGm0nTpyAqakp+vTpAwDw9vbGuXPn\ncOvWLY1z2tnZcT4UERERERHplNYi6tatWxUuX/7SSy8hMTFR8QVnzpyJhIQEJCUlAQDCw8ORl5cH\nf39/AEBISAicnJxQVFQE4NGcpiVLluDy5csAHj2vKjQ0FD4+PjAzMwMAuLq6wtnZGSEhIQCArKws\nfPXVV/j3v/+tOK7aTuldOqr9mEt5MJfyYC7lwVzKg7mUh4y51DonqlWrVjA2NtZ6gqeeegoPHz5U\nfEE7Ozvs3r0bwcHBKCwshJmZGWJjY2FhYQHg0Qp+Dx48UN866969O1577TUMGTIEpqamsLKywsSJ\nE+Hj46Nx3v379yMwMFA9b+udd97BuHHjFMdFRERERESkhNY5UZ6enti2bZvWRR9u374NX19fHDp0\nSC8B6hrnRBERERERUUWqPCdq/Pjx8Pb2RkZGRpn7r1y5gpEjR6qH4hE9jgUrEREREclGaxE1adIk\ntG/fHm3atIGnpycCAwPxzjvvIDAwEIMGDYKNjQ1sbW0xfvz46oq3zjLEsaRCCAQHBLCQeowh5pLK\nxlzKg7mUB3MpD+ZSHjLmUuucKADYvHkzRowYgeXLlyMuLg55eXkwMzODra0tvv/+ewwbNqw64iQD\nFLVzJxAWhoNDh8Jz9OiaDoeIiIiISCe0zol6XFFREW7fvo2mTZtCpVLpMy694Zyo6iGEQHCfPlj9\n008I7t0bqxMTDfZnxpAIIfh9JiIiItKBKs+JKtXZyAjNmjXjhzSqUNTOnRiclgYVAM+0NBzctaum\nQ5Ieh08SERERVY9KFVFUcwxpLKkQAlGrVmHQ/fsAAM/79xG5ciU/3P9DX7lUD59kwVptDOn3krRj\nLuXBXMqDuZSHjLlkEUU6FzVlCgafOIHi+5UqAJ4nTuDglCk1GZbUigvX1ffusWAlIiIi0rNKzYmS\nAedE6VfJuVAlB30KgHOj9CgyPByqyZMf3fUzNYVqyxYu5kFERET0BHQyJyo+Pr7cfW+//XbloyIp\nlZwLVRLnRukPh08SERERVS/FRdQ777xTZntqaiq2bNmis4CobIYwlvTxD/OP44f7R3SdSw6frDmG\n8HtJyjCX8mAu5cFcykPGXFbqTtR3332n3s7Pz8fbb7+Nnj174tatW3oJjgxLeXehivFulO4JIRB1\n6hQGFRVptHsWFSHy1Kk6X7ASERER6YPiOVFubm54/vnnMXDgQLRr1w4BAQG4d+8e1q1bhx07dmD7\n9u36jlUnOCdKf+b5+8Pk/Hmtc56EEMhv2xYfhIZWY2TyKjkXqtQ+zo0iIiIiqjJtdUOlFpYoLCxE\nQEAAtm7dismTJ2P16tVo1KgRrl69ipYtW+osYH1iEUWyKG8RD/V+cDEPIiIioqrS2cN2jY2NERoa\nildeeQU9evRAo0aNAAATJkx48ihJKxnHktZVusolh0/WPEP9veQfkkoz1FxSacylPJhLeciYy3ra\ndhoZaa+xZsyYAQD8KzdRDYjZvx8mPXsisaLhk/v2cUgfqQkhEBwQgNUbN/LfbiIioirSOpzP3t4e\na9asqfCvlrNnz0ZycrLOg9MHDucjorosMjz80YqOoaEsrkkrIQQLbSKq06o8J2rHjh0YM2ZMhRc4\ncOAAhg4dWvUIqxGLKCKqq0rOo+N8OdKGdyyrH4tWotqnynOilBRQAHDo0KHKR0WVIuNY0rqKuZSH\noeWy5Dw6zpfTZGi51LeonTuBsDCD/BkxxFwWF638I68mQ8wllU3GXFZqYYnbt29jw4YNmDp1Kvz9\n/TFlyhT4+/sbzPLmRER11eMPw+bDr6k8xT8rq+/d489INTHkopWorlK8xPkPP/yAMWPGYMCAATh+\n/DgGDx6M7OxsREVFwcnJqVJ3o2JiYvDee++hoKAAZmZmWLt2LTp27Fjhcbm5ubC1tUWbNm0QHR2t\nsa9x48ZwcHDQaAsMDCx1N43D+YioLor093/03LASD2aONDKCatIkeBrIc9s43Kl6lHz+HJ83p38c\nZktUe+lkifMtW7YgLS0Nu3fvRufOnREaGoqdO3fizJkzMDc3VxxMamoqRo0ahQ8//BDHjh1DQEAA\n3NzckJWVVeGxCxcuRHZ2dpn/uDg4OCA6OlrjpXQ4IhGRzIQQiDp1CoNKFFAA4FlUhMhTpwziD0sc\n7lQ9eMey+nGYLZFhUlxEXbx4ETY2NgCAgoICFBYWAgBatmyJq1evKr7gmjVr4OLiAkdHRwCAj48P\nGjRogNAK/hKanJyMX375BV5eXnXyH3MZx5LWVcylPAwll+U9U8yQPrTpe7iToeRS36KmTMHgEyfU\nPysqAJ4nTuDglCk1GValGFIuRXQ0ombN0ixaZ86EeGy0TV1lSLkk7WTMpeIiKjs7G3/88QcAoGfP\nnvjkk0+QnZ2Nbdu2wdjYWPEFIyIi4OzsrNHm4uKCiIiIco8pKirCjBkz8Nlnnym+DhERlb6z8DhD\nuNPAOTrVQ4Y7loYm6uhRDL55U7NovXkTB+PiajIsqqP4O145iouoMWPG4MUXX8Tly5cxevRoLFiw\nAI0bN8akSZPg5+en6Bz5+fnIzMxE06ZNNdqtrKyQkZFR7nHr1q1Dv379YGtrW26f69evw9fXF66u\nrnj99deRmJioKCZD4e7uXtMhkI4wl/IwhFyWdxeqmCHcjaqO4U6GkEt9k+GOJWA4uRRCIOqHHzCo\noECj3bOgAJEHDvADLQwnlzLQ95BpGXNZT2nHhQsXYuHChQCA559/HpcvX8bOnTvx4osvok2bNorO\nkZmZCQCl5lCZm5ur9z0uIyMDX375JX766Sd1W1lzotq3b4+lS5eiXbt2iIyMxNixYxEUFITg4GBF\nsRERyShm/36Y9OyJRC0T1YUQyN+3r1YuHqC+C1ViuFPwypUY9NJLnHyvQ49/nx/H77vuKSlaa+Pv\nJMlJPWR66FD+3CmkuIh6nIWFBQICAip1jJWVFQAgJydHoz0nJwdNmjQp85igoCAsX74c9evXV7eV\nVSXv3btX/fXgwYMxbtw4bNy4scwiys/PTz2/q3HjxrC3t1dXyMVjNmvbdnFbbYmH21XfTklJwaxZ\ns2pNPNyu+vbHH39c6//9GDx5cq2Kp7LbP3/wgXqOzqO9/5ujYzJ5ss6uV/x1Tb/fmtqO2rkTLVJS\nEAvA/Z/vQ8w//3XHow/2LVJSsPL99/HmokU1Hq+27eK22hJPWdtCCGxcuBDTSxStxdG741HR6rNg\nAZ62tISHh0eNx1tT2/z/ZTX/PN67h4h//lgSGxur0+sZwv8vi8XExODChQuoiOIlzgHgyJEj2LNn\nD1JTUxEdHY1ly5ahb9++6Nu3r9JToFmzZpg9ezbmz5+vbpswYQIyMjI03gAA3Lt3D/b29rC2tla3\nnT59Gnl5ebC3t0efPn2wbNmyMq+zf/9+jBgxAmfOnEH79u3V7Ya6xHlMTIw60WTYmEt5MJf6VXLp\n55J/rReAzpeCruu5nOfvD5Pz57V+P4UQyG/bFh/U8iXxDSGXJZeRL7cPl5c3iFzKoDoea2CoudRW\nNyguoo4dO4aXXnoJgwYNwu+//46kpCSkpKRg3rx58PX1VTwvKiAgANevX8e+ffvUbW3btsWMGTMU\nDb3z9/fHxYsXceTIEXXb4cOHcePGDYwbN07d9u6772LLli04f/68xvGGWkQREdU12j5o8gMmGTKZ\nilYybCI6GsETJ2L1lStQ4Z8/UrVqhdVbt0L1z13Qukxb3aB4OF/xvKQ2bdqoby3b29tj586dGD9+\nvOIiaubMmXBzc0NSUhIcHR0RHh6OvLw8+Pv7AwBCQkKwa9cuJCQkwMjIqNTxQohSb+by5csICQnB\noEGD0KRJE5w+fRo7duzA5H+GehARkWHhHB2SGQsjqi20rRDpySJKq9JVSjl+//33MheQMDExwdmz\nZxVf0M7ODrt370ZwcDD69u2LjRs3IjY2FhYWFgAereD34MGDUoVScnIy+vTpgwMHDqi/Lp4HNWDA\nAAwcOBB9+/aFk5MT5s+fjwULFuDNN99UHFdt9/hQRzJczKU8mEv9qe5VBZlLeTCX8mAu9as6V4iU\nMZeK70QZGxvjxx9/xMCBAzXa169fD0tLy0pd1M3Nrdxv5oIFC7BgwYJS7Q4ODuUuW/7cc89hzZo1\nlYqBiIhqL0NfVZCIqLbjCpFPRvGcqISEBPTv3x9du3bFjRs3YG9vj+PHj+P+/fuIjo6Gk5OTvmPV\nCc6JIiIiIqK6rLyFe9T7ofsFfAyRtrpB8XA+Z2dnXL16FTNmzED37t2hUqmwevVqXLt2zWAKKCIi\nIiKiuk6GB7HXtEotcS4DQ70TZahLQ1JpzKU8mEt5MJfyYC7lwVzqT3WvEGmoudTJ6nzFUlNTceDA\nAQDAsGHDYGdn92TRERERERFRteEKkU9O8Z2otLQ0DB8+HJcvX0ajRo0AAHfv3oW1tTX27duHF154\nQa+B6oqh3okiIiIiIqLqo5M5Ua+++iq8vb1x+vRpZGVlISsrC6dOnYKXlxdeffVVnQVLRERERERU\nmykuov766y+sXLkSHTt2hEqlgkqlQqdOnRASEoI7d+7oM0aCnOvr11XMpTyYS3kwl/JgLuXBXMpD\nxlwqLqKef/55XLt2rVT7jRs30K5dO/X2zp07dRMZERERERFRLaR4TtTGjRuxfv16jBgxQr2keWJi\nIqKjozFt2jS0bNkSQgjMnj0bSUlJeg36SXBOFBERERERVURb3aC4iDIyUnbTSqVSobCwUHl01YxF\nFBERERERVUQnC0v069cPRUVFFb769euns8Dpf2QcS1pXMZfyYC7lwVzKg7mUB3MpDxlzqbiI2rBh\ng6J+n3/+eZWDISIiIiIiqu0UD+cr9ueffyI8PBwqlQovv/wybGxs9BSafnA4HxERERERVUQnc6Ku\nXr0Kb29v/Prrr2jYsCEAIDs7Gz179sSePXvQokUL3UWsRyyiiIiIiIioIjqZEzVjxgz06NED6enp\n6oft/vbbb+jRowdmzJihs2CpbDKOJa2rmEt5MJfyYC7lwVzKg7mUh4y5rKe04+nTp7F161aYmZmp\n27p27YpVq1bhX//6l16CIyIiIiIiqm0UD+fr0qULDh48iOeff16jPSMjAwMGDMDp06f1EqCucTgf\nERERERFVRFvdoPhO1JAhQ+Dr64tJkyahV69eAICffvoJ27Ztw7Bhw3QTKRERERERUS2neE7UsmXL\n4OTkhGXLlqFHjx7o0aMHli9fjt69e2PZsmX6jJEg51jSuoq5lAdzKQ/mUh7MpTyYS3nImEvFRVT9\n+vXx4Ycf4uLFizh+/DiOHz+OixcvYtWqVTAxManURWNiYuDh4QFXV1d4enrizJkzio7Lzc2FjY0N\nPDw8Su3Lzs7G+PHj4eLiAhcXF3zxxReViomIiIiIiEgJxXOivv/+e4wcOVKjLT8/H2+88QZeffVV\nvPDCC4oumJqaCjc3Nxw+fBiOjo4ICwtDUFAQ0tPTYWlpqfXYOXPmIDQ0FPb29jhy5IjGPldXVzg5\nOWHVqlXIysqCg4MDli1bhvHjx2v045woIiIiIiKqiE6WOF+zZk2pNhMTE4waNQpvvfWW4mDWrFkD\nFxcXODo6AgB8fHzQoEEDhIaGaj0uOTkZv/zyC7y8vEq9mbi4OCQkJGDevHkAAEtLS0ydOhUrVqxQ\nHBcREREREZESiouo8nTo0AGZmZmK+0dERMDZ2VmjzcXFBREREeUeU1RUhBkzZuCzzz4r95zt27dH\nkyZN1G3Ozs747bffcOHCBcWx1WYyjiWtq5hLeTCX8mAu5cFcyoO5lIeMudRaRL377rswMjKCkZER\nYmNj1V+XfLVp06bMOUplyc/PR2ZmJpo2barRbmVlhYyMjHKPW7duHfr16wdbW9sy92dkZJQ6Z3FB\npe28RERERERElaV1iXM/Pz+4u7sDAGbPno2PP/5YYyidsbExunXrhkaNGim6WPEdK3Nzc412c3Pz\ncu9mZWRk4Msvv8RPP/2kblOpVKXOW9Y5S17T0BXngQwfcykP5lIezKU8mEt5MJfykDGXWosoGxsb\n2NjYAABWrlwJNze3J7qYlZUVACAnJ0ejPScnR2MoXklBQUFYvnw56tevr257fE6UlZUVLl68WOqc\nJa9Zkp+fn/p9NW7cGPb29urkFt9u5Da3uc1tbnOb29zmNre5XXe2i79WMh1I8ep8xe7fv48DBw4A\nAIYNG4YGDRpU5nA0a9YMs2fPxvz589VtEyZMQEZGhsYbAIB79+7B3t4e1tbW6rbTp08jLy8P9vb2\ncHZ2xtKlS/HGG29gz549GkulHzp0CIMGDcL58+fVBRNguKvzxcTEqBNNho25lAdzKQ/mUh7MpTyY\nS3kYai611Q1a70SVdPfuXQQEBODAgQN48OABAKBBgwYYNmwYNm7ciIYNGyo6j5eXF+Lj4zXaEhIS\nMGPGjFJ9n3nmGZw7d06jzd/fHxcvXtRY4tzb2xurV6/GrVu31HOjEhISYGdnp1FAERERERERPSkj\npR1nz56NgoIChIeH4+bNm7h58ybCwsLw999/Y9asWYovOHPmTCQkJCApKQkAEB4ejry8PPj7+wMA\nQkJC4OTkhKKiojKPF0KUqghdXV3h7OyMkJAQAEBWVha++uor/Pvf/1YcV21niNU7lY25lAdzKQ/m\nUh7MpTyYS3nImEvFd6ISExNx7NgxjTlGQ4cORe/eveHi4qL4gnZ2dti9ezeCg4NRWFgIMzMzxMbG\nwsLCAsCjFfwePHhQqlBKTk7GtGnTcO7cOTx8+BB9+vTBW2+9hREjRgAA9u/fj8DAQHUs77zzDsaN\nG6c4LiIiIiIiIiUUz4nq0qUL4uPjYWlpqdGelZUFFxcXnDp1Si8B6hrnRFFNYy7lwVzKg7mUB3Mp\nD+ZSHoaaS211g+LhfE5OTggICEBUVBTu3LmDO3fuIDIyEq+88gr69Omjs2CJiIiIiIhqM8V3ov76\n6y9MnToVkZGR6oUl6tevj6FDh+LLL79U/Kyommaod6KIiIiIiKj6aKsbKr3EeU5OjnqJ86FDh5Z6\nyG1txyKKiIiIiIgqopPhfMXMzc0xZswYjBkzRl1ARUVFPVmEVKHHn6FFhou5lAdzKQ/mUh7MpTyY\nS3nImEvFq/MVu3r1Ks6dO6euyoQQmD9/Pjw9PXUeHBERERERUW2jeDjfwYMHERgYiD///LP0SVQq\nFBYW6jw4feBwPiIiIiIiqohOhvMtW7YMCxYswB9//IG///4bRUVF6lffvn11FiwREREREVFtVqk5\nUX5+fmjbti2MjDQPi4iI0GlQVJqMY0nrKuZSHsylPJhLeTCX8mAu5SFjLhUXUS+//DK+/vprFBUV\nldoXFBSk06CIiIiIiIhqK61zojw8PDS2k5OTUVhYCDs7O5iYmKjbT548iaysLP1FqUOcE0VERERE\nRBXRVjdoXZ3vwoUL8PPzUx/s5uZWbj8iIiIiIqK6QGsR5evri0WLFlV4kqeeekpnAVHZYmJi4O7u\nXtNhkA4wl/JgLuXBXMqDuZQHcykPGXOpdU7U8uXLAQC3b99GTk5Ouf3efvtt3UZFRERERERUS2md\nE3Xjxg3MnTsXYWFhMDExwcSJE7FixQqYmZlVZ4w6xTlRRERERERUkSrPifrqq6/w008/Ye7cucjN\nzUV4eDhcXFwwduxYvQRKRERERERU22kdzrdr1y7ExMRgyZIl+OijjxAWFoawsLDqio1KkHF9/bqK\nuZQHcykP5lIezKU8mEt5yJhLrUWUsbExWrZsqd52cnLCtWvXSvU7evSo7iMjIiIiIiKqhSp8TlR0\ndHSV2morzokiIiIiIqKKaKsbtBZRFhYWcHBwgBBCfZKUlJRSbXzYLhERERERyURb3aB1OF/9+vXR\nunVrtG7dGtbW1mjdujW8vb3VXxf/18TEpFIBxcTEwMPDA66urvD09MSZM2fK7fvw4UMsWLAAPXr0\nQJ8+feDs7IyZM2fi6tWrGv0aN24MDw8PjdeOHTsqFVdtJuNY0rqKuZQHcykP5lIezKU8mEt5yJhL\nravz9erVC6GhoRWexNvbW/EFU1NTMWrUKBw+fBiOjo4ICwuDm5sb0tPTYWlpWap/VlYWPvroI6Sn\np6N169bIz8/H4MGDsXDhQmzcuFHdz8HBwWCGFBIRERERkeHSOpxPH6ZOnYobN25g37596ra2bdti\n+vTpmDNnTqn+BQUF+O233+Dg4KBue//997F582acO3dO3aZ0XhaH8xERERERUUWqPJxPHyIiIuDs\n7KzR5uLigoiIiDL7P/XUU+oCqqioCCkpKdiyZQuCg4P1HisREREREdHjqrWIys/PR2ZmJpo2barR\nbmVlhYyMDK3HXrlyBZ06dYKTkxM+/vhjTJ8+XWP/9evX4evrC1dXV7z++utITEzUefw1ScaxpHUV\ncykP5lIezKU8mEt5MJfykDGX1VpEZWZmAgDMzc012s3NzdX7ytOqVSucPXsWBw4cwPvvv4+goCCN\n/e3bt8fSpUtx7NgxDBs2DGPHjsXq1at1+waIiIiIiKjO07qwhK5ZWVkBAHJycjTac3Jy0KRJE0Xn\n6N+/P2bOnIlJkybhrbfeQvPmzQEAe/fuVfcZPHgwxo0bh40bN5Y57M/Pzw82NjYAHq3qZ29vD3d3\ndwD/q5S5zW19bherLfFwu2rbxW21JR5uV33b3d29VsXDbW5z+9F2sdoSD7ertl3cVlvi0fbzFhMT\ngwsXLqAiiheWOH78OJycnDTaHj58iHXr1sHX1xctW7ZUcho0a9YMs2fPxvz589VtEyZMQEZGRqlf\nGDmpIQEAABt7SURBVODRPCgAMDIyUrfdvHkTzZs3x6ZNmzBp0qQyr7N//36MGDECZ86cQfv27dXt\nXFiCiIiIiIgqopOFJUoWPcXq1asHCwsLzJgxQ3EwXl5eiI+P12hLSEiAl5dXmf23bNlSatW+5ORk\nqFQq9O3bFwBw+PBhfPPNNxp9Tpw4ARsbG40CypCVVWCSYWIu5cFcyoO5lAdzKQ/mUh4y5lJxEVXm\nwUZGmDx5Mm7duqX4mJkzZyIhIQFJSUkAgPDwcOTl5cHf3x8AEBISAicnJ/UdKAD49ttv1Q/kvX79\nOj755BO4u7ujTZs2AIDLly9jyZIluH37NgDg9OnT2LFjByZPnvwkb4+IiIiIiKgUrcP51qxZg48/\n/hjAo+KleP5RMSEErl+/jokTJ+KLL75QfNHY2FgsWrQIhYWFMDMzw9q1a9GhQwcAwOLFixEeHo6k\npCQYGxsjIyMDK1euRGRkJMzMzGBpaYlhw4bh//2//6ceQljc5+DBg2jUqBFatGiBMWPGYOTIkWjQ\noIHmG+ZwPiIiIiIiqoC2ukFrEZWSkoKUlBQAwIoVKzBv3jyNExkbG8PBwQEvvPCCjkPWHxZRRERE\nRERUkSoXUSV9/fXXmDBhgk4DqwmGWkSVXNGEDBtzKQ/mUh7MpTyYS3kwl/Iw1FzqZGEJbQVUWcuI\nExERERERyUjxnaiCggIcP34cR48exblz5yCEUFdnUVFRuHr1qr5j1QlDvRNFRERERETVRyd3olas\nWIFRo0bh4MGDKCwshBBC40VERERERFQXKC6ivvnmG/z3v/9FbGwsNm/ejE2bNiE0NBSbNm2Cn5+f\nHkMkQM719esq5lIezKU8mEt5MJfyYC7lIWMuFRdRHTt2RP369cvcN2nSJJ0FREREREREVJtpnRN1\n6dIl9de//fYbduzYgcGDB8PR0VFdUAkhMHbsWCQkJOg/Wh3gnCgiIiIiIqpIlZc4NzJSdqNKpVKh\nsLCwatFVMxZRRERERERUkSovLNGrVy/8+eefOH/+vNZXr1699BI4/Y+MY0nrKuZSHsylPJhLeTCX\n8mAu5SFjLutp2xkSEoLWrVtXeJJ169bpLCAiIiIiIqLaTPFzot5++20sXbpU3/HoHYfzERERERFR\nRbTVDVrvRJX0zTffwMTEpMx9pqamGDJkCGxtbasWIRERERERkYFQvMR569atsWTJEixduhT79u3D\n3r17sWzZMnz44YfYs2cPnJycYGtri3Pnzukz3jpLxrGkdRVzKQ/mUh7MpTyYS3kwl/KQMZeK70QN\nHDgQXl5emDZtmnp58wcPHmDDhg0wNjbGkSNHsHXrVnz11VdSDPsjIiIiIiIqi+I5UW5uboiOji61\n7HlRURFefPFFREdHo6ioCH379kV8fLxegtUFzokiIiIiIqKKVHmJ85LOnTuH1NTUUu0nT57E2bNn\nH53MyAh3796tYphERERERES1n+IiauLEiRg+fDgmT56MNWvWYM2aNZg0aRJGjBiBSZMmIT8/H9On\nT0fz5s31GW+dJeNY0rqKuZQHcykP5lIezKU8mEt5yJhLxXOili1bhnbt2mHfvn145513AAADBgzA\ne++9hylTpiA3NxddunSBv7+/3oIlIiIiIiKqaYrnRJX08OFDAMDTTz+t84D0jXOiiIiIiIioIjqZ\nE1XS008/rVFAvfrqq5U6PiYmBh4eHnB1dYWnpyfOnDlTbt+HDx9iwYIF6NGjB/r06QNnZ2fMnDkT\nV69e1eiXnZ2N8ePHw8XFBS4uLvjiiy8q96aIiIiIiIgU0DqcLyIiAk2aNIGzszP8/f1LVWPF21FR\nUYovmJqailGjRuHw4cNwdHREWFgY3NzckJ6eDktLy1L9s7Ky8NFHHyE9PR2tW7dGfn4+Bg8ejIUL\nF2Ljxo3qfkOHDoWTkxO2bduGrKwsODg4wNTUFOPHj1ccW20WExMDd3f3mg6DdIC5lAdzKQ/mUh7M\npTyYS3nImEutd6I++OAD9R2dyMhICCE0iqji7coMj1uzZg1cXFzg6OgIAPDx8UGDBg0QGhpaZn8r\nKyvExcWhdevWAAATExN4eHggOjpa3ScuLg4JCQmYN28eAMDS0hJTp07FihUrFMdV26WkpNR0CKQj\nzKU8mEt5MJfyYC7lwVzKQ8Zcar0TlZCQoP66f//+5RY6EyZMUHzBiIgIzJ49W6PNxcUFERERmDNn\nTqn+Tz31FBwcHAA8eiZVamrq/2/v3oOiOs8/gH93gyiwMXLHYsKiCaCEAmIqt8guYEJwxNaoiaaN\nobmRgjq5FCeMt5gMU5kpAY1tYhgrjiIVpglEDJrRSqJIvCHVpk0igkECVUAkJIhcnt8fGc7P5bpr\n1GU338/MmeG855z3fc77+k72yTn7LrZv345XXnnFoM77778fLi4uSll4eDjWrVuH2tpaaLVao+Mb\nrVpbW80dAt0iHEvrwbG0HhxL68GxtB4cS+thjWNp9Heidu7cOeQxY38bqrOzE83NzXB1dTUod3Z2\nxsWLF4e9tr6+Hr6+vggNDUVWVhaSk5OVYxcvXhxQZ19CNVK9REREREREpjAqierq6hr0lb2enh7k\n5eXh+PHjRjXW3NwMANBoNAblGo1GOTYUT09PfP3119i7dy/Wr1+P5cuXG9Q7WJ03tmnpamtrzR0C\n3SIcS+vBsbQeHEvrwbG0HhxL62GVYynDaGxslOTkZHFychJ3d3dJS0sTEZFr167Jxo0bRavVikql\nkvj4+OGqUVy7dk1UKpVs2bLFoHzFihUyZcoUo+oQEdmxY4eo1WppbGwUEZEnn3xSwsLCDM6prKwU\nlUoln332mUF5YGCgAODGjRs3bty4cePGjRu3IbfAwMAh85FhvxO1ZcsWFBYWIiEhAe3t7fjLX/6C\n2NhYLFu2DOfOncOSJUvw2muvYdq0acNVoxg7dixcXFzQ1NRkUN7U1IRJkyYNek1vby8AQK3+/4dm\ns2fPVlYFfPrppzFp0iScPHlyQJ0ABtRrjV9sIyIiIiKiO2fYJKqgoAAnT56Ep6cnAODo0aOYPXs2\noqKi8PHHH+Pee+81ucGEhAQcOXLEoKy8vBwpKSmDnr99+3ZUVVXh7bffVsoqKyuhUqnw8MMPAwDm\nzZuHzMxMXL58WfluVHl5OQICAqxiUQkiIiIiIho9hv1O1Lhx45QECgDCwsLg7OyMwsLCm0qgAGDF\nihUoLy/HqVOnAACFhYW4du0aEhMTAQAZGRkIDQ1VnkABQH5+vvKDvI2Njdi4cSN0Oh28vb0BAJGR\nkQgPD0dGRgaAH39bauvWrVi5cuVNxUhERERERDSUYZ9EOTg4DCibPHky7OzsDMoSEhJQXFxsVIMB\nAQH44IMP8Morr6CnpwcODg4oKyuDo6MjgB9X8Ovo6FAWsoiNjUVlZSXmzp0LBwcHODk5Yc6cOXji\niScM6i0pKcFLL72EiIgIAMCqVauwZMkSo2IiIiIiIiIylkpk6F/K9fb2Vp4QAYCIYNu2bYOW1dTU\n3N5If8YOHTqEN954A11dXXBwcMCmTZvg4+Nj7rDIBNu2bcOGDRvg4eFhUF5UVITx48ebKSoy1vXr\n17FmzRr8+c9/RnV1Ne677z6D4wUFBdi4cSN6e3vh5uaG9957D25ubmaKloYz3FiuW7cORUVFmDBh\nglJmb2+PkpISc4RKQ9izZw82b96MhoYGaDQaxMTE4Omnn8aUKVMMzuO8tAzGjCfnpmX49NNPkZWV\nhXPnzsHe3h7u7u5YtGgRnnrqKeUcq5qXw62CN3bsWNFqtQabl5fXgH07OzujV9Yj01RVVcmECRPk\n5MmTIiKye/du8fDwkObmZjNHRqbYtm2b5ObmmjsMugk1NTUSFhYmS5cuFZVKJRcuXDA4XlpaKi4u\nLvLNN9+IiEhGRoZMnTpVurq6zBEuDWOksVy3bp2UlZWZKToylrOzs2RmZoqIyA8//CDPPfecBAcH\nG5zDeWk5jBlPzk3LkJSUJMuWLVP2S0tLZcyYMXL+/Hll35rm5bDfiQoLC0NNTY3BVltbO2B/5syZ\ndyrn+9nJzs5GREQEpk+fDgBYuHAh7Ozs8Le//c3MkZGpZOiHvjSKff/999ixY4fBE/gbZWRk4Ikn\nnlC+J7pixQrU1taiqKjoToZJRhhpLAHOU0sQHh6O5ORkAICdnR1SUlJw+vRpg0WrOC8thzHjCXBu\nWoLly5fjrbfeUvZ1Oh3GjBmjjKW1zcthkyhjP6jzA/3tU1xcjPDwcIOyiIgIo7+DRkQ/jb+/PyZP\nnjzof8Db29tx6NAhgzlqa2uLkJAQztFRaLixJMtRXFwMW1tbZb/vVaD//e9/ADgvLc1I40mWY+rU\nqcpXFFpaWpCeng5PT0/Mnz/fKuflsEmUscuDcxnx26OzsxPNzc3Ksu19nJ2dcfHiRTNFRTdrz549\niImJQUxMDN5++200NDSYOyT6ierr6yEinKNWZOvWrdDr9YiLi8OWLVtw5coVc4dEIzhy5Ajs7e3x\n6KOPAuC8tHT9x7MP56blePPNN+Hp6Yny8nJUVVXB3t7eKuflsEkUmVdzczMAQKPRGJRrNBrlGFkG\nd3d3PPDAA/j444/xj3/8Ax0dHfDy8uL/abNww83RlpYWc4REP8F9992HoKAgHDhwALm5uTh79ix8\nfHxw/fp1c4dGQ+js7ER6ejo2b96srCjMeWm5BhtPgHPT0qxevRrffPMN9Ho9pk2bhtOnT1vlvGQS\nNYo5OzsD+PHVhBu1t7fDxcXFHCHRTYqLi0N6ejpsbW1xzz33IC0tDa6ursjNzTV3aPQTDDdH+46R\n5UhMTMTLL78MtVoNd3d3pKeno62tDR9++KG5Q6MhvPrqq5gxYwaWLl2qlHFeWq7BxhPg3LRErq6u\nSEtLg42NDd577z3lc6s1zUsmUaPY2LFj4eLigqamJoPypqYmTJo0yUxR0a0SHh5usV+mpB95enpC\npVJxjlopjUaDwMBAi31f39plZWWhvr4e7777rkE556VlGmo8B8O5OToN9mRw9uzZ2Ldvn1XOSyZR\no1xCQsKAFWrKy8uRkJBgpojoZrz++usDXt07ceIEZs+ebaaI6FbQaDTQ6/UGc7SzsxOVlZWcoxYo\nKSkJXV1dyn5HRwfOnj2L2NhYM0ZFg8nJyUFpaSl2794NtVqNmpoaHDhwAADnpSUabjwBzk1L4e/v\nP+DrJpWVlZg1axYcHBysbl4yiRrlVqxYgfLycpw6dQoAUFhYiGvXrg27RC+NPhUVFcjKykJPTw96\nenqwY8cO1NXV4ZlnnjF3aGSi/iu7paamYvfu3airqwMAbNq0CVqtFvPmzTNHeGSC/mO5b98+5OTk\nQETQ2dmJ7Oxs2NjYYNGiRWaKkAaTn5+P9PR0pKWloaqqCidOnMD+/ftx+PBh5RzOS8thzHhyblqG\n7u5uZGZmorOzEyKCjz76CMeOHcOzzz4LwPrmpUq41uuoV1ZWhrVr16KnpwcODg7YtGkTHnjgAXOH\nRSbYt28fNm3ahOrqakyYMAGhoaF48skn+RtrFqCrqwuzZs1CW1sb/vvf/yIwMBCenp746KOPlHMK\nCwuRnZ0NEYGbmxveffddy/0Fdis20lju2rUL77//Pi5duoTx48cjOjoaixcvhr+/v5kjpxvZ2tqi\np6fHIAlWqVRYu3Yt1qxZo5RxXloGY8aTc9My7Nq1Czk5Obhw4QJcXV0REBCAX//614iPj1fOsaZ5\nySSKiIiIiIjIBHydj4iIiIiIyARMooiIiIiIiEzAJIqIiIiIiMgETKKIiIiIiIhMwCSKiIiIiIjI\nBEyiiIiIiIiITMAkioiI6GdGRNDa2npH27x69eqAHzgmIrJUTKKIiEaZ2tpa6PV6jBs3Dt7e3tDp\ndAgNDUV0dDQyMjLQ1dV1W9rNyspCVVWVsv/VV19Bp9NBrVajrKzslrd39OhRzJs3DxEREQgICMCJ\nEycMjvfvh5deesmk+vvfz2gwWEwnTpyAn58frl+/fkdi6O3txR/+8AdcvXr1jrTXp7W1FSkpKUyk\niMgqMIkiIhpltFot/vnPf2LixIlITEzEoUOHUFFRge3bt2P//v0IDAxEQ0PDLW83Ozvb4AO+j48P\nDh06BABQqVS3vL1Vq1YhNjYWR44cwYYNGzBmzBiD4/374a9//atJ9fe/n9FgsJjGjx8PX1/fAfd/\nO/T29iIxMREPPvggvLy8bnt7N/Ly8oKfnx+ee+45JlJEZPGYRBERWYhJkyZh79696OjoQHJy8m1p\n405+uD106BACAgIAAPHx8QgMDLzlbYzGD+v9Y/Lx8UFRUdFtSVT7KygowFdffXXb/v2MZNmyZfji\niy/wwQcfmKV9IqJbhUkUEZEFsbW1xWuvvYYPP/wQX3zxhVJeXFyMkJAQREREICUlxeBpx2OPPQZH\nR0ekpqbid7/7HUJCQuDn54f8/HzlnJiYGDQ2NuJPf/oT9Ho9kpKSDNr99ttv8fjjj+PBBx9Eamoq\n2traho2ztbUViYmJmDp1KqZOnYrf//73yutjfa8Jighefvll6PV6HD9+3Og+SExMVJ5OrVq1CuHh\n4Zg7dy6OHTtm1P0M11c31r1+/XrExMTAxsYGubm5qKurw6JFi+Dv74+oqCikpaWhtrZ2yPueNm0a\noqKilIRhsJjOnj076CuTxvSfWq1Gfn6+SeNy/PhxPPLII8r+jXXl5eVhwYIFuP/++7F06VJ0d3dj\n5cqVCAsLw+LFi/H1118r1/X9m1q9ejWSkpIQFBSEmJgYXLhwATt37sQjjzyChx9+GJ988smAGGJj\nY00abyKiUUmIiGhU0mq18sYbbwwoP3jwoKhUKikoKBARkTNnzoidnZ3861//EhGRyspKsbGxkerq\nauUanU4nd999t3z22WciIrJz505Rq9Vy7tw5g/Zyc3MHtKdSqSQuLk4uX74s7e3t4u/vL9u3bx82\n9vnz58tvfvMbaW5ulqamJpk3b54sWLBgQL1lZWU31Q/PPPOMODo6KtevXr1aoqOjB1zX/36M6au+\nuouLi0VEZP369ZKXlyelpaUSGxsrvb29IiKyYcMGSUhIGHDfjz/+uDQ3N0tvb6+kpaWJTqcbNqbB\n+sLY/usbl++++06mTZs24rhER0fLrl27Bm0/Pj5eWlpapLW1VVxdXeWhhx6S8vJyERF5/vnn5dln\nnzW4RqfTiVarlf/85z/S3d0tc+bMkV/+8peSmZkpIiI7duyQKVOmDGhr586dMnv27GHjJCIa7fgk\niojIwkycOBEAcOHCBQDA7t27ERcXp7waFxQUhJkzZ6KoqEi5RkQwffp0REZGAgCWLFkCd3d3lJSU\nGNVmVFQUXFxc4ODggJCQEHz66adDntve3o7S0lK8+OKLcHJygrOzM1588UXs3bsXP/zww03dc38i\nAjc3N8yaNUuJr6KiAt3d3cNeZ2xfTZgwAXPnzgUArF69GosXL0ZkZCT+/ve/K6/dvfDCC9i/fz/a\n29sN7vv555+Hk5MTVCoVXn31VcTHx5t0b6b0X9+4aDSaEccFACorKzF+/PhBj0VFRcHR0RH33HMP\nQkJC0N7ejrCwMACAXq8fsLiIiGDq1Knw8/PDXXfdhcjISJw5cwZPPfWUcs358+fx7bffGlx39913\n49SpUyb1CRHRaGNj7gCIiMg0fR9KtVotAKCwsBCdnZ3Q6/XKOW1tbQYfVFUqFWbOnGlQz0MPPYSS\nkhIsX758xDanT5+u/O3l5YXDhw8PeW7f97Z+9atfGbTV0dGBkpISLFy4cMT2jHFjTN7e3ujo6EBD\nQwPuvffeIa8xpq8ADOgrABgzZgzy8/Oxb98+NDQ0wMbGBp2dnTh9+jQiIyMHvW8nJyf88Y9/NOm+\nTOm/G/tAq9UOOy7Nzc1obW2FnZ3doMeDg4OVvz08PODk5KTsT5w4EdXV1Qbnq1SqAdd4eHjAzc0N\nAPCLX/wCAFBdXa38DQD29vZoaWnBlStX4OjoOGS8RESjGZMoIiILc+bMGQCAv78/AECtViMuLg6b\nN28e9jrpt6CBiBi9mMG4ceOUv9Vq9U0v2HCrFk9QqVQYO3asQUzAyAtJGNNXKpXK4H775ObmIjU1\nFZ9//jl8fHyU+np7e2/mFm5K//4zZVycnZ3h7Ow85NPAG/tTRGBraztiPDdeM9h+X103+v777+Hi\n4sIEiogsGl/nIyKyIJ2dncjMzMT8+fPh5+cHAFi4cCGOHj1qcN4nn3yCnJwcZV9EUFFRYXDO8ePH\nMWfOHGX/rrvuUj7wdnR03HSM8fHxGDduHD7//HOl7NixY7CzszNo76caKSEb7H6M6auh6s7NzUV0\ndLSSQH333XcGxwe775aWFoOEzZg+vp39FxwcbNSP7KpUqtu2WmBbWxtCQkJuS91ERHcKkygiolFK\nRAz+L35dXR3i4+Oh0WjwzjvvKOWLFi3C2bNnUVBQAAC4cuUKXn/9dYNX1oAfV2IrLy8HAOTl5eHS\npUsGH8qDg4NRV1cHAIiIiDB4wmLKkyeNRoP4+Hi8//77aGlpQXNzM3JycjBnzpwBr5IZU2//fhiq\nrH99g93PwoULR+yroeqOjY3F4cOHlSRk69atBm32v+/e3l68+eabI8bUP/Zb3X83Cg4ONljVcai6\nhuqD/ucbO343+ve//80kiogs3+1dt4KIiExVU1MjOp1O7OzsxNvbW6KiomTmzJmi1+slIyNDurq6\nBlyzZ88eCQkJkaCgIFmwYIEUFRUZHNfpdLJq1Sp54YUXZMaMGeLr6yv5+fkG5xw4cEB0Op3ExsbK\nO++8I/X19RIVFSVqtVqCg4Pl4MGDkp2dLVqtVhwdHWXx4sVD3kNra6skJiaKn5+f+Pr6SmJioly9\nelVERL788kul3qCgoCHrubEftFqtJCUliYhISkqKeHh4yMSJE2XlypXy5ZdfSmhoqKjVagkLC5PT\np08Pej/G9FVf3R4eHqLX66WlpUU5dunSJVm+fLlMnjxZZs2aJWvWrBGVSiXBwcFSWlpqcN++vr4S\nHR0ta9eule7u7iH7+MyZMwZ9XFhYOGL//ZRxKSwslBkzZigrDA5WV2pqqkH/Hjx4UIKCgkStVote\nr5f6+npZsGCBTJgwQby9vSUzM1Py8vLEz89P7Ozs5NFHH5WWlhaDektKSkREpKenR2bMmDHg3ycR\nkaVRiYzCXyIkIqJbSq/XQ6/XY82aNeYOhcwsOTkZWq3W5AUvboWMjAzU19cjOzv7jrdNRHQr8XU+\nIqKfATHy1Suyfps3b0ZjYyPOnz9/R9s9f/48Ll++zASKiKwCn0QREVm5xx57DBUVFXB0dMRvf/tb\nrF+/3twh0SjQ3t4OjUZjte0REd1OTKKIiIiIiIhMwNf5iIiIiIiITMAkioiIiIiIyARMooiIiIiI\niEzAJIqIiIiIiMgETKKIiIiIiIhMwCSKiIiIiIjIBP8H9sOtXFTPx3wAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 15 }, { "cell_type": "markdown", "metadata": {}, "source": [ "##20mm LYSO:Ce Measurements##" ] }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "\n", "df = df[df.length == 20]\n", "df = df[df.SampleB != '2396']\n", "df = df[df.specialkey == 'normal']\n", "df = df[df.CTR < 300]\n", "\n", "crystaldict = {\"20A\":\"*\",\"20B\":\"^\"} \n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", "\n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.CTR,yerr=grp.CTRerr, fmt='.', marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " print(config,key,grp.CTR.mean(),grp.CTRerr.mean())\n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Coincidence Time Resolution (ps)\")\n", " ax.set_xlim(-2,20)\n", " \n", " ax.set_ylim(160,280)\n", "\n", " \n", "leg = ax.legend(ncol=3)\n", "fig.tight_layout()\n", "show() " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "wrapped 20A 227.709801414 13.3390817338\n", "wrapped 20B 230.448855016 11.262800561\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAEXCAYAAABMG87yAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 26 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "rc('text', usetex=True)\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "df = df[df.length == 20]\n", "df = df[df.SampleB != '2396']\n", "df = df[df.specialkey == 'normal']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.numofsamples,yerr=sqrt(grp.numofsamples),fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " \n", " ax.set_xlim(-2,20)\n", " ax.set_ylim(0,7000)\n", "\n", "step = lambda x, xmin, xmax : 1/(xmax-xmin)*((x>=xmin) & (x<=xmax))\n", "\n", "x = linspace(-5,40,500)\n", "xs = linspace(-5,5)\n", "y = convolve(step(x,-0.5,19.5),stats.norm(scale=2/2.355).pdf(xs),mode='same')\n", "y*=3000/max(y)\n", "\n", "ax.plot(x,y,'k--')\n", "ax.set_xlabel(\"Depth of Interaction (mm)\")\n", "ax.set_ylabel(\"Number of $\\gamma\\gamma$ events detected\")\n", " \n", "ax.legend(ncol=3)\n", "fig.tight_layout()\n", "\n", "show()\n", "rc('text', usetex=False)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1AAAAEQCAYAAAC+3JV0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 33 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "\n", "df = df[df.length == 20]\n", "\n", "df = df[df.SampleB != '2396']\n", "\n", "df = df[df.specialkey == 'normal']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.location,yerr=grp.locationerr,fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " vals = [unc.ufloat(a,b) for a,b in zip(grp.location, grp.locationerr)]\n", " print(ptp(vals),\"ps\")\n", " \n", " for reducelim in [20,15,10,8]:\n", " fgrp = grp[grp.DOI < reducelim]\n", " (m,c), err = opt.curve_fit(linear,array(fgrp['DOI']),array(fgrp['location']),sigma=fgrp['locationerr'])\n", " merr,cerr = err.diagonal()\n", " \n", " #X = linspace(0,30)\n", " #Y = linear(X,m,c)\n", " #ax.plot(X,Y,'k--')\n", " \n", " factor = 3E-1\n", " print(config,\"<\",reducelim,\"n\", unc.ufloat(m,merr)*factor)\n", " \n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Delay Peak Centroid (ps)\")\n", " ax.set_xlim(-2,20)\n", " \n", " ax.set_ylim(100,300)\n", "\n", " \n", "ax.legend(ncol=3)\n", "fig.tight_layout()\n", "\n", "show() " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "140+/-6 ps\n", "149+/-5 ps\n", "wrapped < 20 n 3.0+/-0.4\n", "wrapped < 15 n 3.47+/-0.32\n", "wrapped < 10 n 4.22+/-0.22\n", "wrapped < 8 n 4.612+/-0.022\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAETCAYAAADXiozkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 37 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "df = df[df.length == 20]\n", "df = df[df.SampleB != '2396']\n", "df = df[df.specialkey == 'normal']\n", "\n", "for config, grp in df.groupby('configuration'):\n", " \n", " for key, grp in grp.groupby('SampleB'):\n", " ax.errorbar(grp.DOI,grp.ERright,yerr=grp.ERrighterr,fmt='.',marker=crystaldict[key],color=wrapdict[config],ecolor=errwrapdict[config],label=key+' '+config)\n", " \n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Energy Resolution (%)\")\n", " ax.set_xlim(-2,20)\n", " ax.set_ylim(10,20)\n", " \n", "ax.legend(ncol=3)\n", "fig.tight_layout()\n", "\n", "show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAETCAYAAADXiozkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 39 }, { "cell_type": "markdown", "metadata": {}, "source": [ "##Threshold Measurements#" ] }, { "cell_type": "code", "collapsed": false, "input": [ "data = \"1.640\t1.560\t1.640\t1.560\t72.4\t72.7\t5 1.640\t1.560\t1.700\t1.500\t72.4\t72.7\t20 1.640\t1.560\t1.900\t1.300\t72.4\t72.7\t20 1.640\t1.560\t2.100\t1.100\t72.4\t72.7\t20\"\n", "data = array([row.split('\\t') for row in data.split(' ')],dtype=float)\n", "Voltages = (data[:,2]-data[:,3])*1e3\n", "Voltages\n", "\n", "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\",\"2396\":\".\"} \n", "wrapdict = {'wrapped':'g','unwrapped':'r','partialwrap':'b'}\n", "\n", "\n", "fdf = df[(df.specialkey == 'normal') & (df.SampleB == '2396') & (df.configuration == 'wrapped')]\n", "firstvalue = fdf.location.min()\n", "#ax.errorbar(fdf.DOI,fdf.location/firstvalue,yerr=fdf.locationerr/firstvalue,fmt='.',label=str(80)+'mV')\n", "\n", "df = df[df.length == 30]\n", "\n", "#df = df[df.SampleB == '2396']\n", "\n", "\n", "df = df[df.specialkey == 'threshold']\n", "\n", "df['threshold'] = df.uniquename.apply(lambda astr : Voltages[int(astr.split('_')[-1])])\n", " \n", "for config, grp in df.groupby('configuration'):\n", " \n", " linear = lambda xdata, m,c: m*xdata+c\n", " \n", " Y = array(grp[grp.threshold < 100].DOI)\n", " \n", " for key, grp in grp.groupby('threshold'):\n", " #if key < 100: #skips 5 minute measurement\n", " # continue\n", " #print(list(grp.DOI))\n", " firstvalue = grp.location.min()\n", " \n", " (m,c), err = opt.curve_fit(linear,array(grp['DOI']),array(grp['location']),sigma=grp['locationerr'])\n", " merr,cerr = err.diagonal()\n", " \n", " factor = 3E-1\n", " print(str(int(key+0.6))+'mV',\"<\",reducelim,\"n\", unc.ufloat(m,merr)*factor) \n", " \n", " ax.errorbar(grp.DOI,grp.location,yerr=grp.locationerr,fmt='.',label=str(int(key+0.6))+'mV')\n", " \n", " \n", " \n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_ylabel(\"Delay Peak Centroid (ps)\")\n", " ax.set_xlim(-1,21)\n", " \n", " ax.set_ylim(100,1200)\n", "\n", " \n", "ax.legend(ncol=4)\n", "fig.tight_layout()\n", "\n", "show()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "80mV < 8 n 3.5+/-1.2\n", "200mV < 8 n 3.7+/-1.6\n", "600mV < 8 n 3.9+/-2.2\n", "1000mV < 8 n 3.9+/-2.1\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1EAAAETCAYAAADXiozkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "df = pds.read_csv('alldata.csv')\n", "\n", "fig, ax = subplots()\n", "ax.grid()\n", "\n", "crystaldict = {\"30A\":\"*\",\"30B\":\"^\",\"2396\":\".\"} \n", "wrapdict = {'wrapped':'g','unwrapped':'r','partialwrap':'b'}\n", "\n", "df = df[df.length == 30]\n", "\n", "#df = df[df.SampleB == '2396']\n", "\n", "df = df[df.specialkey == 'threshold']\n", "\n", "df['threshold'] = df.uniquename.apply(lambda astr : Voltages[int(astr.split('_')[-1])])\n", " \n", "for config, grp in df.groupby('configuration'):\n", " \n", " linear = lambda xdata, m,c: m*xdata+c\n", " \n", " \n", " \n", " for key, grp in grp.groupby('threshold'):\n", " if key < 100:\n", " continue\n", " ax.errorbar(grp.DOI,grp.numofsamples,yerr=sqrt(grp.numofsamples),fmt='.',label=str(int(key+0.6))+'mV')\n", " \n", " ax.set_xlabel(\"Depth of Interaction (mm)\")\n", " ax.set_xlim(-1,21)\n", " \n", " #ax.set_ylim(0.9,2.1)\n", "\n", " \n", "ax.legend(ncol=3)\n", "fig.tight_layout()\n", "\n", "show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA1AAAAETCAYAAAA4SOfaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nUERERERERAYxgSIiIiIiIjLoHzWxI0l81RLrAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }