{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# carat - microtiming patterns demo\n", "
\n",
    " _  _  __ _ _|_\n",
    "(_ (_| | (_| |_   computer-aided rhythm analysis toolbox\n",
    "
\n", "\n", "This notebook shows how to extract microtiming patterns from a recording using [carat](https://github.com/mrocamora/carat) library.\n", "\n", "The procedure is based on the tools proposed in:\n", "\n", "* *Microtiming in the rhythmic structure of candombe drumming patterns.* Jure, Rocamora. 4th International Conference on Analytical Approaches to World Music (AAWM), New York, USA. 2016. [AAWM2016](https://iie.fing.edu.uy/publicaciones/2016/JR16/)\n", "\n", "**Note:** At this point it is assumed that there are beat and onset annotations for the recording.\n", "\n", "The following steps shows how to:\n", "\n", "* Load audio input from file\n", "* Load beat annotations from file\n", "* Load onset annotations from file\n", "* Align beats to closest onsets\n", "* Normalize onsets to the beat duration\n", "* Assign onsets to positions in the metrical grid\n", "* Compute the microtiming pattern of the onsets\n", "* Compare two different microtiming patterns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### How to run the notebook\n", "You can download the notebook and run it locally in your computer.\n", "\n", "You can also run it in Google Colab by using the following link. \n", "\n", "\n", " \n", "
\n", " Run in Google Colab\n", "
" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Or you can run them using Binder directly in your browser: [![Binder](https://mybinder.org/badge_logo.svg)](https://notebooks.gesis.org/binder/v2/gh/mrocamora/carat/blob/master/examples/carat_microtiming_patterns_demo.ipynb)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Install required packages\n", "\n", "You should install the following packages by running the next two cells." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip install carat" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import os, sys\n", "import numpy as np\n", "import IPython.display as ipd\n", "\n", "from carat import annotations, audio, display, microtiming, util\n", "\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 1) Load audio and beat annotations\n", "This first step loads the audio file and the corresponding beat/downbeat annotations from a text file." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# use an example audio file provided\n", "audio_path = util.example(\"chico_audio\")\n", "\n", "# load audio file (only 30 seconds)\n", "y, sr = audio.load(audio_path, duration=30.0)\n", "\n", "# time corresponding to the audio signal\n", "time = np.arange(0, y.size)/sr\n", "\n", "plt.figure(figsize=(12,6))\n", "ax1 = plt.subplot(211)\n", "display.wave_plot(y, sr, ax=ax1)\n", "plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can listen to the first 30 seconds of the audio file. \n", "\n", "**Note:** This is a separate track from a performance comprising three drums. The track corresponds to the **chico** drum, which is the timekeeper of the ensemble. The performance starts by playing the **clave** pattern (timeline pattern). After a few rhythmic cycles the **chico** drum starts playing an ostinato pattern that articulates the four subdivisions of the beat." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", " \n", " " ], "text/plain": [ "" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ipd.Audio(y, rate=sr)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# use beat annotations provided for the example audio file\n", "beat_annotations_path = util.example(\"chico_beats\")\n", "\n", "# load beats and beat labels\n", "beats, beat_labs = annotations.load_beats(beat_annotations_path)\n", "\n", "# plot waveform and beats for the first 30 seconds\n", "plt.figure(figsize=(12,6))\n", "ax1 = plt.subplot(211)\n", "display.wave_plot(y, sr, ax=ax1, beats=beats)\n", "plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 2) Load onsets and align beats to closest onsets\n", "This second step loads the onsets from an annotations file. Then, beats are aligned to the closest onsets." ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# use onset annotations provided for the example audio file\n", "onset_annotations_file = util.example(\"chico_onsets\")\n", "\n", "# load onset annotations\n", "onsets, _ = annotations.load_onsets(onset_annotations_file)\n", "\n", "# compute beats from onsets\n", "beat_ons = microtiming.beats_from_onsets(beats, onsets)\n", "\n", "\n", "# plot waveform and beats\n", "plt.figure(figsize=(12,6))\n", "ax1 = plt.subplot(211)\n", "display.wave_plot(y, sr, ax=ax1, beats=beats)\n", "# plot aligned beats\n", "ax2 = plt.subplot(212, sharex=ax1)\n", "display.wave_plot(y, sr, ax=ax2, beats=beat_ons)\n", "ax1.set_xlim([26.1, 29.5])\n", "plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Note:** The alignment is applied in order to use the onsets as the reference for defining the beat starting point. Note that the first and last beats of the plot are clearly better aligned to the onsets." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3) Normalize onsets to the beat duration\n", "\n", "Because of the variations in tempo that the recordings can exhibit, it is not possible to analyse timing data in absolute durations (e.g. in milliseconds). Therefore, it is necesary to normalize the durations, and in this case the beat duration is choosen as a reference. The onsets are then converted to their relative position with regards to the beats and are asigned to a position in an isochronous metrical grid (equally distributed subdivisions within the beat). The temporal reference for the beats that we consider is the estimation based on the closest onsets to the annotated beats, as previously computed. Anyway, in the following the two options are compared, i.e. normalizing with the annotated beats or with the beats aligned to the onsets." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "# normalization of onsets to the annotated beats\n", "ons1_norm = microtiming.normalize_onsets(beats, onsets)\n", "# normalization of onsets to the beats aligned to the onsets\n", "ons2_norm = microtiming.normalize_onsets(beat_ons, onsets)\n", "\n", "# create default metrical grid (isochronous, 4 subdivisions)\n", "metrical_grid = microtiming.define_metrical_grid()\n", "\n", "# assign onsets to metrical grid normalized according to annotated beats\n", "ons1_in_grid = microtiming.onsets_to_metrical_grid(ons1_norm, metrical_grid)\n", "# assign onsets to metrical grid normalized according to the beats aligned to onsets\n", "ons2_in_grid = microtiming.onsets_to_metrical_grid(ons2_norm, metrical_grid)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# plot the obtained microtiming patterns\n", "plt.figure(figsize=(8,8))\n", "ax1 = plt.subplot(211)\n", "display.onsets_in_grid_plot(ons1_in_grid[0], ax=ax1, hist_ons=True)\n", "ax2 = plt.subplot(212)\n", "display.onsets_in_grid_plot(ons2_in_grid[0], ax=ax2, hist_ons=True)\n", "plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Note:** At the bottom of the figure an histogram of the onset locations is depicted. We fit a normal distribution to the onsets in each subdivision, and compute the mean and stdev values, which are shown in the figure. The mean values of the location of the subdivisions remains quite the same, but the stdev is clearly reduced when using the closest onset to the annotate beat as temporal reference. Interestingly enough, the third and fourth subdivisions are clearly ahead compared to an isochronous subdivision of the beat in four." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Compare two different microtiming patterns\n", "\n", "In the end, we compare the microtiming pattern of two timekeeper instruments from different music styles: the **chico** drum from a **candombe** recording, and the **tamborim** from a **samba enredo** recording. " ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAADnCAYAAAAD6/eVAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjQuMiwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8rg+JYAAAACXBIWXMAAAsTAAALEwEAmpwYAABll0lEQVR4nO29d5Rc13Xm++2uzt3oAAIEkQiAABjATIJJDCJFUiIpRplikEeWZiRzZJmWbM28Z72n9/y8PMvraTwztGVb0hta8lDBFElRIgnmAOYIggBBJCIDJHLoAHSs7qrz/qi63bdO3XSq7q26VfX91sJCV/Xpe88994S9z95nb1FKgRBCCCGEEEJI8dSVuwKEEEIIIYQQUi1QwSKEEEIIIYSQkKCCRQghhBBCCCEhQQWLEEIIIYQQQkKCChYhhBBCCCGEhAQVLEIIIYQQQggJCSpYBAAgIvdE+TdRX5/lwy9fintUevlS3IPlwy1finvUWvlS3IPly38Plq/u8iRklFL8x38AsDLKv4n6+izPd8A2Yvm43KPWysexTrVWPo51YvnKKs9/4f6jBYsQQgghhBBCQkKyWm7sqKurUy0tLeWuRk2QSqWQSqXQ2NgYyd9EfX2WD798HOsUt/JxrBPLl/8etVY+jnWqtfJxrBPLV1Z5UjhDQ0NKKZVnsKovR2WC0NLSgsHBwXJXoyZ48sknAQA33XRTJH8T9fVZPvzycaxT3MrHsU4sX/571Fr5ONap1srHsU4sX1nlSeGIyLDT93QRJIQQQgghhJCQoIJFCCGEEEIIISFBBYsQQgghhBBCQoIKFiGEEEIIIYSEBBUsQgghhBBCCAkJKliEEEIIIYTUKKm0wkgyXe5qVBVUsAghhBBCCKlRnnpjAD95tK/c1agqqGARQgghhBBSo2zbM1buKlQdVLAIIYQQQgghJCSoYBFCCCGEEEJISFDBIoQQQgghhJCQCEXBEpHrRGSTiGwVke87/P5EEXlFRFaLyEcickMY9yWEEEIIIYSQOFG0giUiCQA/BnA9gCUA7haRJVqx/wvAI0qpcwHcBeAnxd6XEEIIIYQQQuJGGBasCwFsVUptV0olATwE4BatjALQkf25E8DeEO5LCCGEEEIIIbGiPoRrzAbwqe3zbgAXaWX+GsALIvJnANoAXBPCfQkhhBBCCCEkVpQqyMXdAB5QSs0BcAOAX4lI3r1F5B4RWSkiK8fHx0tUNUIIIYQQQggJhzAUrD0A5to+z8l+Z+cbAB4BAKXUOwCaAUzTL6SUul8ptVQptbS+PgzjGiGEEEIIKSVjY2kMDKfKXQ1CykYYCtb7ABaLyAIRaUQmiMUyrcwnAK4GABE5DRkF61AI9yaEEEIIITHitdVDeGnFULmrQUjZKFrBUkqNA7gXwPMANiITLXC9iPyNiNycLfafAPyxiKwB8BsAX1dKqWLvTQghhBB3BodT2LhjFFxySSkZGGZ/I7VNKH54SqlnADyjffdXtp83ALg0jHsRQgghJBjvrR/B0cE0+gbS6J6SKHd1SACGR9N4/t1BnHvRGOYc31Du6hBCCqBUQS4IIYQQUmJS6YwlIU4GrNGxNFKpGFUoZhzpz5xd+nDzaJlrQggpFCpYhBBCCCkZz749iHfXDZe7GsSAsXGFsXEqxYQEhQoWIYQQQkrKoT5GmPMjTufmnnt3AE+/NVDuahBSMTAWOiGEEBISh/vG0Vgv5a4GqWAkht0nRX2YECNowSKEEEJC4s01w3j5g/iEp46hrE4IIVUPLViEEEIIITXE4HAKgzUUSj2VUqidpyVxgBYsQgiJgOHRNH7+RB8O9Y2XuyqEEJLDSyuG8Pba+AQaGRlN48CRscDlU2mFdDq4yvT02wN46k2eISOlgwoWIYREwK59Y+gfTOO9dSPlrgohiHL7ftvuJI4N8pBOJRE3a86ba4bwjsFc+eQbA0YKYjpdSK0IKRwqWASptMLoGGcfQqJEKYW3PxrGvsPBd2lrjVRK4XDMLH4vrRjA2x/FZ6c/asbGFdZtG8W4QZ6qtdtG8cqq+Jw7qxZiFEQwcgYKcFc8HGEkyuHRNPoHuGlACocKFsGqj0fw7NuD5a4GIVXPwd5xrFhPi5Yb67aP4s01wzjU66xkPf/uAO57sGfi8+hYGuu2jRq5CpkyMKxw0KU+1ciWT5PYujuJDzeb9VNaCEg18fy7g3glRsFqSOVBBYtgz6HaER4IKRVxDLUcd44NZaT04VFnhWn99mTu522j2Lo7iS2fJh3LE3MsRYkKEykHccr9RUgxUMGqQVIpheQYJzFC7Bw4MobHXzs2IeST+JPKvqpKlskGh1MYGQ3e5/oHUhgYNnddMm2iODXpnkNjOHKU7lqEkMohFAVLRK4TkU0islVEvu9S5g4R2SAi60XkwTDuSwrjwReO4p9/21vuauSwYccoo63FjH2Hx5A0OJv39kfDWLOlct3fdu7L9L99h6Pth3ESXGuRddtGYyWsv7hiCM+9G9xF+5UPhvDSiuCuS6aW1DhaXt/fMII3VkfnrvXeumEsfz94hLnxlMLHO0eRisg1NYavgBBiSNEKlogkAPwYwPUAlgC4W0SWaGUWA/g/AFyqlDodwJ8Xe19SOId64yNcWDz3ziB+9czRclejptl7eHzCsjk4nMZ760ewYkNwhelg7zh27K2dAA6Dw2k8/toxHOzhxkAlsXV3MlJhnVQe+46M49hQcGVp864kPt6VxLptoxHWipsxpHD6jqWwbtsoXS7LSBgWrAsBbFVKbVdKJQE8BOAWrcwfA/ixUqoXAJRSB0O4LyGB2bBjlBGBPBhJpvHQC0fxzNuZXVxrZ3ZgmO5ybuw/klGstoeoVA6PpiPbFSckCLSe+GON0RSXFFIgg8Mp7NoX3Ybka6uGsHV3kmcpy0gYCtZsAJ/aPu/OfmfnZAAni8hbIvKuiFzndCERuUdEVorIyvFx7gqT8HjunUH85oXqsZAd7hsP1c1pPHspS2kg5eGnv+tjRE9CSM1Ra4r9a6uHsdogUmcqpTBscFaTlJ9SBbmoB7AYwJUA7gbwLyLSpRdSSt2vlFqqlFpaX19foqoRi6hMycOjaTzx2rGyC+9DI9VjGXhzzTDdnCoE03G1+ZPJiHjbdiex51DtuF1aVIpXi1IKL60YjNU7Wr99FC+8F1xJV0phx95kXj8N8x3sOTiGkWT1CYeRd9MKGQfEHNNAY6s2jeD5dwfp4VBBhKFg7QEw1/Z5TvY7O7sBLFNKjSmldgDYjIzCRWqAgz0pKMA4rwopHxLHk+4VRhhtuHbbKN43OAdHSs9HW0fxyIvHyl2NCZ5/d9DobNCqTaN47NUBbPk0GiUxlVZ4+KVj+P3L8WmjUpNOK+w5NMbzMKRgrOBL7EKVQxgK1vsAFovIAhFpBHAXgGVamceRsV5BRKYh4zK4PYR7kzKQSius2zaKMYMIc1GSSim8vHLQNTlpIRzuG8erq4YCL4hDI2m89VHw8oREwYYdo9i5lzmhSk0lj3rrbGpk5y2zjXMwhsGVSsXW3Um8v2EkxzpNnOESGg7cIy0/RStYSqlxAPcCeB7ARgCPKKXWi8jfiMjN2WLPAzgiIhsAvALgf1NKHSn23qQ8bNyRxNbdSWzYWb7F4r4He/D8u5mADP2DaRwdTOPDEEOEP/ryMaz6eCSwW+EL7w3ivXUj+PRglZ1h4mJXUWz+JIkPt0Qb2YxUJr6bP9avKZgVjVIKozaXSCtxtlsCbUJI9RHKQSel1DMAntG++yvbzwrA97L/SIVjLdTplNliEfbSsn57El+4uLC/TaVUqDu2Y+OZp1PxMOqVhJFkGg0JQSJRmRLZSysGsXV3Et/6Une5q0JI2SjV6C2larHq42F0T0mU8I65vL12GO+tG8GffKkLLc3m+9i0PpA8BAUNIqr05aNUQS5IDRPHxWLVphG88sFQaFF54viMdgaHU+gNObnqTx7tw6MxPlcxOJxCj8czf7R1tOIDnxzuG/d8xrBJpaONZBXzYVRbhDU0yvBSPzkwjjVbI7Tk+kz4W7KugEMltFh9emAMozFx2yfxRymFlOEmOTGDChaZIE6+z4f6xjESUJArRLmxQpxblic3TJskRk2Yw4srhvBaBFEH9xyKr0vkiyuG8HoMIi1GOa5++cxRPPBUf3Q30Phoyyief3cwx/2p2rjvwR489eZAuatRMqz5M05z13PvDGDlxuFyV6PsBH0n/QMpfPDxSFkD4vQcTeFwX3zXg2ohrPXkrTXD+NHDvcbRDElwqGAR3w1GpRT6jk3ukpciwtxba4ZjIRxHxUdbR/DKSuY7IpXFgZ6MAJWscjmKwQjKq3Bt2JHE66vjo2Ct3TqCny/rM/67UgU9svIYlnPj44Gn+vHLZ4LnmkylFAaGqmejJp1Wvhu2cWLd9oyFN1lBda40qGARX1ZvGsW/PtlfeB6rAvUxU/eKQtaysNe/oAvqSyuGsHpzfIMRxMFVSymFdIxyfiilqjKXjxcDQ2ls2116ZSM+b92bykhnUAl1jDcvrhhC/0D+2GfU2MJ5YcUg7n+8D+MV6qb2yf4xPPBU34Sb3Qcfj+Dpt2Jk+eawLztUsIgve7P5F6xwvhaVOS1mCDz3ZB9yZDTteYaJc1n4LHt9AP/wUG+5qzHB+u1J/OTRPhzpr51w079+rh9PvF640LDv8Bgef+0Yeo+Vp82UyqaUqOBd2jWbRybm4EiIWEnk3Fg6Kknf2/ZpZuNGPwdUKY/w8spB9BxNoy+reJfKXb6S3nGtQwWLlI4Kmhh0oeDlldGcYbJ4ccUAlr/v7jLY05/CjnLkN4rwnfUcTeW4nups25Ob+LTvWAoDw+VTbrbvybR/UAWrEowbB3vGPS1UVhCQiV1mw2fanU1bULD1u0h27R/HC+8N4rVVletuvHzlEB56IbjrVaHQGlM+Vm0awX0P9hRsIa+AqSb27Dk0hjUFpHop1bgp9B1zWJcPKlikeohwIvHzUy7WVWhwWOGYhz/6A0/347FXY+R+EAKvrx7CqwaC76urhvDSisoVlOPI22uHsXabv6tq0dGmyrTIWwe4h0Zqy7XTiaCvIDJhvQoFvbCE14+ygv3gcBU2kg9Bn3jH3qRREI0de5M42BO8/MMvHsPy97m+kPCggkUmqNSpvRCBgDt+pFRU6rjyIuiubeG7rtXYahmGR9KxPHeiN3k1v4Ni8evXhW646W1e1a/ArY1cnvmxVweMgmg89uoAfv1cdJZf6x3H5RWt2TyCHz3cw3EbI6hgEeJAse5dcQvQQEgpSKUUjg4W7sZZCxsfP/19H35TApe/oNRCm5P4UQku1OVkYCiN3Qcn3eRTWSO82+bMyx8MIZVy2iiJqobEDypYJHJqcR59ddUQlr1R+S59nJtDoIYa8dl3BvGzJ/onXAopRDlzqLdyA6UMDqfx8yf6yha4xImjg6lY5vMJ7JbpMlACC8cVPM4qXgEIWH+llJF16YGn+/HIS8fyvh9JRus9QMKDChapeKIU4gqd+51C+paST/aP4a01RfiTc3YummpuQjc5wQrEktK6f6XLUFWBy0swnT83f5JE/2AaqzeVL6mtzs+e6MfDL1Z+IBDr6tyYiD+m7+jptwbx978JHhXXdcPApwtyro0PoShYInKdiGwSka0i8n2Pcn8gIkpEloZxXxIyhiPTdCBX/E5VAOLyjI++fAzvrY+PAFSLVKOQFPYjJceU0cF1Yo5pP6zUfnuoLz4WtXIRk+UnEBXazSaw6h90zWfy8tqjaAVLRBIAfgzgegBLANwtIkscyk0B8F0A7xV7T0LiSlwUrGKZWDzKWgtS7fz+lWO5B9crVbqPMQUHGvH7PScHf4x3ITP/1dQosJ65ph46QibMoGWtBUE4FqwLAWxVSm1XSiUBPATgFody/wXAfwXAbXVSdXBxIG5Ugxxa8DP4jItIE+iSWFEV4yDgQ9SK1TAMqqFflBK39qrhLhRbwlCwZgP41PZ5d/a7CUTkPABzlVJPh3A/EnPSaYWn3xrISy5aURNpja14pXjaj3eOYsMO/5xLJDrWbBnBWx8VfjbPNzy1y/e0dpQf43fAd1YySjH//vR3vXh33XAJ7hQMwyjt8SNb/4qpLyk5kQe5EJE6APcB+E8Byt4jIitFZOX4OHc244qf7nFsKI1Nu5J46s34R9ELS/CrMX2sIJ55exDPvTNY7mrUNMvfH8J766J3ImAulsojKusMCU7QdzCSTOPF9wYxNh58nA2PKrz9UXwUrGohLlMdx2X8CEPB2gNgru3znOx3FlMAnAHgVRHZCeBiAMucAl0ope5XSi1VSi2tr68PoWqknMRl4imGWhUUOVmTMPEbRrU6zkhlYRo1Papu/e7aYazdNoq1WyvfI6BSh37cl8i4168WCEPBeh/AYhFZICKNAO4CsMz6pVKqXyk1TSk1Xyk1H8C7AG5WSq0M4d4kRCp0niOExAzOJZUH31nl4JZMdnA4vLe45+AYfvfyUaTTJeoZNaoRhL25xHEcH4pWsJRS4wDuBfA8gI0AHlFKrReRvxGRm4u9PomeqA7klnqgF3K/sCJl1ejaQEguNH1WHHmvzDpb4mt1jKQ68SaqZ3Y5zxNU+B5Jhp938Zm3B7Fr/ziODUWT09H1DFaF9KtKmeroHVA+QvHDU0o9A+AZ7bu/cil7ZRj3JPFFn3hiOQ/5VKrg0Macy8rGwFAaqbRCZ3ui3FWpOgrt10HHkVKVI7BUHD7taiWFHhkN9pJr8TUJ4mUZKMVYKdXzxqldK4GoFab+gRTX0JCIPMgFqR5qSXkodAGroSaKHfc/3oefL+sv+O8Lfuc1uNPv9kwS0PpB4sOG7ZlzPB98nAl+QkU3BCKaS/LKF3YbIyLvDxU6V8RumIRUodWbK/9cX1yggkUKx1XIch7pUQtdZZ2nYzfbEhIdYY01DpvS8ZZLBDnjd1nDOZ7yXfjCua5reoNwLh9LqqVbxG0zKe98XnmqQUAFi0RJiWaeQhbwalr0I4WzM7ET0biJSnAlk+zYO+b4valw3z+Q8Snc7nK9qiRij4b8c3AxWKCyg9BtwzTi21YMpa5uU2NQsT0GfajGoYJFoificV7qBcCxDuWuQMhU2/OUk0oTGMIkcD+qgDbiYfEMVgL5gYiCHxBz4rAGmjLhTmx9LltNvBkacennJa5wQ33mhvU+x6OYUDw+UMEiE1S8/FBA/cN+5opvQxIaFSjzuJKoC/YwrtYQ10NbhdWnHBzpT5W7CiWFc1k+sWmTappcYs77G5wTs1eiUmunsmtfGVDBIuY+9eFejhASUyy9qi67UgSWKeIiiFYQ5bIImUZ9rXC5siCscWB6vqXQNB/W50/2j/tcP7qBZl06stddJR0pLpbtOHqZ1jpUsEhkxGTecSRsJbHqJrNqe54QcHvHfu9+z6HaOauiu/1Y+Aqi+ucYzx0mPPfOAN74cChQ2d5j8bCQHenPKHqrPnbeua8GhkfSGE8V3sn8xnyh02epcvraiSKHlgkTiYwrbM2ZqG6VzFUkfKhgkQmMQ8Qal49mJipqXubkSCLCEsLGxq3PFSZBeBB4KPs8c7Xvum7YkXR1MYorQyMZRa8cwn6p+Onv+/CbF46WuxqTaP1+rAjlz5SXVjhvABRag+RYcfnUUiV89jCIS20LTdxcyr5Wa1DBIpExcXi1goWmPJeQatlaJ6ERVKCoZfzyZkVFJc89oRF1HPaY09Ofwp6D+VbkQ70GFkOXJhkOmJzZj7RmRAqa9DkMN77BYR8LluHFrSAoFscCur6msq/jhRWDZjeMGNc3UeHDZHAk82Qvvqe1N2Wc0KCCRcKnXBNPDOaFTbuqK0lfIU1aa0poz9F4uHZVMhOCYoFzxztrnXM8VRJRKYP9g7Ud7e+Bp/vx8EvHQr2m9arc3ChN3+XBrLLnpAh63T8M9hzyPuelc3TQbL4bdonC59ZGew6a1afSKMY11YtC+0StBe8pJVSwSMEDc7fLxGxdr5bk7MN9mUlqy6e5C+TugAtm3ChG2Os9WtsCXTmIm1Ib3IUwt7ylrG7bnYRSKvD46T1Wm31uYDiNTw9U5hxD8rGsCqZEoZy7Wcc27Ur6VKa4+44kzdtg9aYRPPvOQHE3zuLkoniodxw/ergnxxq3Nyv/HOgxU1DeW1fYZlDg+EJFrgVBLY7EHypYpOJN3cUQ1uF7NwHPSsoZFX0+B+PLIXjHS9QPTsx0FCP2HSnNLmTUwV8sd8t125P44OMRPPLSMezY6y7Qme6+5//9WKyCkBzqG8ehvuDPdP9jffjt8uKsM17vaGgkjX97rh/9A5P964Tj6gEAzY3lWTj++ZEePPlGuBYpP0r2pAEnoTi7vgatWpiP8MoHQ9i4w0fxC8jabfleKB9uHkUqBWzfk38PU4vUqINL+di4wjtrh5EK8eBjoe3bV6ObVVEQioIlIteJyCYR2Soi33f4/fdEZIOIfCQiy0VkXhj3JeFg+T47DXxHYjS5p20TksnU5LtAhfSMUQvtg25JELM4zde9x1J44d2BnLazGNJ2UAupf9TdYzTkqFeHeqvbJSUMAoebdk2E5fx1ykMv3PxJRpjZuTc6BejhF4/h4RdLK6y7cbgvhV89cxS/esY8+EKYgpn9Wh/vTOJATyrHFa57SibT6aI5DaHd04TkeL6nQNSMZ/upJXz7tbZVft/h0swtpdwcMu1qYYWyLxX6eTi3M7azp2c2GmZOq8/5vpBNzffWDeOdtcNY56Dc5RFxezHoRXgUrWCJSALAjwFcD2AJgLtFZIlWbDWApUqpswA8CuDvir0vCR/fw65Zxse9B2Apd9dEUJBEb82BcXOtChsnAfaZtwawbntywu+/0hgYDvedbduTEdaODmXaoxJdrqIacpYwpbu1BFVKLYW9z8eSa7eQWOzPWuW27K6891EIftboUjFue7VW/rNqjihowobtGQF4yGdjqyd7ruWVDzIR+gazblcf7wzHyqJTX+9fplD09dxvyTQtX2zXctooLAqt/qm0mnwm263ClHMsK9h4CFNAsa1BC1Z4hGHBuhDAVqXUdqVUEsBDAG6xF1BKvaKUsmKBvgtgTgj3JSETdJ4ynQRS2fEaxa5joaGvLSH9w83VFZQiCJHrlJKJJBX0wHZcGMz2ia2fegtBh7MuXHHSzU2HgenGgqWEWru7T7/lHenLuvrBrCK2YYf3OEt5relxaugIKddjnjq/yfV3Vr+y7+pX0qZU2DmeLI8BU6XBCjSy18eiVWjTNtaXcFczrNdfIV4iOfeK4Jp+fcIimd3YHnHzNHKN0+593bAiYZJ8wlCwZgP41PZ5d/Y7N74B4FmnX4jIPSKyUkRWjo/TbafUbPQRggrdsRkdje+OiJvVzu1ZPQVBB7bt9hbWg06uhVKsMFRoxKMHnz8aOHLXQEDLqYVpN/Q7Y2Par99dl3GXqmRXiu17ctvE65xTQZShaZJjCvc92IM1m6PLO7X/yDg+2V9ZGwd+5J2lsn10SxxdKQyFbO0e8/HecCNGXvWBcVs60j5riv6sUVtmw/aYya9/OlKvnF37xiYeIsh6HciNEJMK2eGsNbXcCaVrkZIGuRCRfwdgKYD/5vR7pdT9SqmlSqml9VHavIkjfsJ01ImIC8Wa+wKfISsCU+HKzzVq3dZcYdDPTVOfgEUEnx4Yw3vrnSMT6Ra+Y0PpQMJSlAKBHqXoaAFhpJNjKnB49G0FuJglxxR+9Ww/DvYUrgDrvvxxIqkJimu2eC/aVr8b8tnttPqNfm6hFHOBFT56tU3B0qMTFsuDzx/Foy9Hc2YrLkpMIqBUEMb+QuTWsJAnsjHD6UDyfvDGsnSVOojF5k+S+PGjvY4R9PSqKACvrx7CfQ/2OF9M+4Pn9TxLWSxltVi3yajbyi4XBemuqzeZecUc7kt5Rl522yDUXSOtOVffVHhzzTC27U7iJ4/2VWxU40olDAVrD4C5ts9zst/lICLXAPgBgJuVUrXnl1UBfLI/2OphH75H+lO478GeHEtNJe7WuRF0/Z81zXlDwFSAOOhztsVJaP/t8mN4a42zgqULS/YAEVEtTH7XdTpvY3YD4HevHMMDT/UHKl6IcL3n0BgO9abwxodDE9+ZyoKxdr3QqhZWsmQrzPQLLkJVIRQjgw9nrec9TB0QmNnTgwWvCCtqW5SEbt3Q0goE/8NgxXTLsh9h6adPvTmA0aQK5E2QSgErNwa3ErsFsrHOZ75bYNjyYli9aQT/+mRfoLLpNPBxNjT9pk/8+/yrq4Z8y9ixv0KnYxp6WHylMuvTPzzUi08czgvrMsTAUHoi2mqpgq6QDGEoWO8DWCwiC0SkEcBdAJbZC4jIuQD+JzLK1cEQ7kkiwC3/gTXpOgmqz7ydyT3xxOseOSjirHG51M066Dng0CbjKYUt2jmdKW2FDaUxrUkLPVMWFPv14/Ja/OrhpKSaLBSFuvXk1cOwvN+rXL99tCgLmcm9dPRn8ft7U0HO1O3T9PpO79+6RM4YirGOW1EYvKAde5OxSbg+ZNgPfVE5//kjOf/FHrsLvJWPar82R5koV3HllQ+GXIM56Hm4jvSnMJr9rtdgs27H3mTgABzrs8FT3BJX21m7bRS7s8mYd+3LV7CswCoWCkBdtgOaHnEgxVG0gqWUGgdwL4DnAWwE8IhSar2I/I2I3Jwt9t8AtAP4rYh8KCLLXC5HYoiVUG8iIITfnOHkU1AE/QOpiZ3osPFzH9t3JF+Qe331EJ58YyAniMOBAgVlv2hUOrprlyl9x1IFvY+fPNqLR182Dx8dBl5uOWGEp9a7qz3Zp11Y9+sr/QOpHBcbP6Xl+XcH8evnwmlTp1ut3jSCl1c6W5JMFZpiE/n6JQ9dscF9F9vpLz/a6iCIZAvGOUdQmORsPDg0ktPGRP9ACj95tBe9Hudi7O1XSFM+9uqAbxAUO2PjytE1LQxM50s/jwPrt0HPpta5WLzWbBkp3pLvwa59YxPBeIxweCzTQFAjppb7Il992EEERwxlDeVQfOe+MTz26kAw65yanB+DeD2MJhX2ZzeYnM5jOV1DspJ+BcWnqQpCOYOllHpGKXWyUmqhUupvs9/9lVJqWfbna5RSM5RS52T/3ex9RVIKnJQWpZSr0OokJHktwG5j+b4He/Db5cEFy58v6w/sDhY2ThOStfNlX7zddkpNJzQ/gebllWbuBzpOFjnHemhS6khSBXYhjToZbY6BopwLhu3eyTGFny/rD9U1Tuf11UNY/n7w67/ywZCrcBR1uxkrcCGckbLuWSP6FQ70eLfZRw7n6jbtSmIkqbB2q7vQXOr2+6dHekPbaCiW1ZtGsW13Ek+/5eGRYYKD5WA8pbD8/SE8/OJRvZgxbsrF7145hl8WkE/N6XKmY3mXwznlHXuT+KdHekJxRdaV4KDpZQJf3/Pekz9bVqQPHKxO1sZpv88ZbIug5x4tLG+iIArZwFC6ZubEuFHSIBckXji5Tr21Zhg/eqg38KTlJRxbZnWn0f3pAbPdNbeJxMkCtGbLCPY7WJ6iROqcG+IEl7NZbjhZzOwEVZAs1m3PFaQ27kzaXKmMLhUpT705gH97zlmJ9tqFDuMRnNrBVKiwNiV22JLihtm8yTGFlRtHXINR7NRcRUyjP356YBwDw+mJ5L5BGBxO48Hn+h37pJu7sRttLe5LUdB3YQmbh/rikU8qavyst1b0MDvmmxn5fxCFG/MRh7qGQZ1hXY8OpfHE6wN55178GBhKe1q/xOFne1J3PaZXu8d4ACbf49sfhXt+KaqgI+98NIyxcec+6azUqcABpUyrHLblUJ97AVudAnS/bXvGcPZi91QJYVJJKRaqASpYNYyTv++KDZnvdMHcjXLL6Ol0vtCw/P0hPPh88N27kWQa9z/eNxGFzIvhUWU0SbU1mw0xP8Uw7+yMz/X0s2IHesejt14U8DebP0m67si/8G50ViE3jC2PE/mCbH8Y4uDwC3Wsh4z3i2Do1Id/9/IxPPXmQOAza2u3jWJ/TwofeoRFTyQCXSoUwjprFxZhuK964nN5pz480U9tvzumR/Es96Ruo1iLh5RAwjnSn8L9j/dhlUP0uIlx6KBhec0xer0HhtM5bXEooiTxTnUqyNVQI5HIPHRQV9DVm0bx6MvHHNOc6HU0Hfd+UWu98nzWBexPXu/W6Xd1Lhu0QK4ibhGnzVHiDhWsGsZrIikmxHQxg9905z0MEebJNwYwMJTGQy/mh1/evid3gn933fDEPesCBIw40DOOVFq5nnnQLXlKZSxwbmdnin3gnIhOEU3SditOGOg7hHkCYQB6jqYKyjkWtC9bfSEqmdr3uiEce7CsCKM+56X0i3i10RTbTnxyTOHptwZCcekJ3HU9Cka+mxvx5f3OtTn1GXEIg+eX16icFHvmNCo51H5da/PDyeJiNa2ToGV/Mr/w7/c/1odfPjNp4Y/q/JZTVxgwzCUWRney1ssglnDT+1mufW44nWuyLEznnNxsdC+n/mcaXTaMjaOog2cRZ6hg1TCDHgEWvISPKJdj02kgaJQeLw5mdwOdXJ32H3FwaTA4TP/pgXEsXzGI//WksyuV07WXv+9xdkb7XMi8ablVukVRKpaoDqxbFOL++cBT/XjoBfMzCaauS/YnD3NJ87OGhJmjLmgEQNO3vHHnKDbtSobu1lRofYzbzPD6UbPVJ4m50xxu9UnTabNc0cf0zRRTq6Dp/FjM3OXZn0KQtArJF2hKGBa/oN4vXnidpyxWgSskIJW1ZprOXc7u52YP4CTjUGGqDKhg1TBeUdE83RdcP7h+VTUo5exf7TXfrdueEYT6Qth11IMBmJ51ASZ30J58I6SD3CUmbEHXaYfQaldjocbuIRjiQPBbk43bJMxGDPicE8YTh995ncl0fHZHySVYPQosbpyjKOpQ9XY+djgz5LhTHmC+dnqdGwMmg43aKujkLuWF6Rj0S7htkbOREuAeTmew4oipS7sTbnmvTPA6w6RH5rN3uSAupYV00TCVW6f7e9XJKSXF7OmZQ3tdUyjCxxm+HeKIpw+x7ecgOylR7raE4ZJlWrsJtw/bHwbZ+Qxi6vcTUHS3IN2FsRawN9Eeh8XHdBf63XX5Z4jiJgT5KlghKhcdAXO6WX01aFhmy43SVAj3c4UrGMPLmgaYWVbCDQwnt9zACmGI87O9L5i2lxPFvnnTIBeFEGReN46s6vf7kB+rpSluM547uoufvfWDHDHIyW4QcC4qtHWcIu/2OyhrenJgO0mHX03tyBxuXTAzWFJw6zmDbiCQcKCCRRyZ1hXsdLrTxFOMQuWVnyWVys+XUsxZMQvjJK0Oc7LTJKgTpK7FCBRO0YxMd3xLgVc7+AVzAJDTSO855BkJJSJZgaHjc2JcFDEOivW799vJdbJumApZ1jVMF+2gmyILZrkLD07nJEyJ2qXQNBCBcTvaxlEhwUSsUM95FizfpNPuDWFPRu80Dk3P2A5rbuymf18KT6pic8T5YZorMY5YynbQcbvnUGYtW/5+flqSPYfyzy07/RyEwOujYT+yPCCcPEzCCFBiBTVaHTBHmeXia3r+ixQHFSySw5zjM6bn5qY6vPrBoG+CX6v81I5wupJX3oj/77E+/PNve3O+Gxx2z/FwsGc8lF1UO8eG0pNhzpHJJRZ0FyyIZcB08rXfeu+hfAXrcAEhq8M8Q+XUNqs35VqM7IuuCnB/+29Nw/0HxS6Y9R5LBRbslMocdjd1D9PRFSzTN7L7oLf1wknQsRSfoMpdIGXYxkQEroAP012E+0v/QMrXfdauoAQ5y2nvyqZjJDmmsGNvrrXZ9DyRPpbsbnt7fA7uW1jd+sPNo3jgqX58eiDcgDR2Vyqnp9NdrXxzeWn5ujbsmPw8mkzntanOthJY+K2x5rTBFQaljI4ZlYenZbVxcmV1oudoYfNnkP5ciNJtullmaqX3CCLoSOBARFnKdYay1qGCRRzZvGsUqzaN4vXV3oltLcGz52ga/QMpo+hG728YxksrcqPleS0mo0mVN1E0N7rPTL9+7ijuf7wv57swzghYPtGrN4/ip7/rw1trhj0n7c72zDCrr/efRU13mOyld+0fx30P9uATA6Hp3XXDeWF4RzXrh1+bOSVanPzb/O+2aa5LdiFqzZZR/Ojh3qIUFF0oK0QJs7+p//VkP55+08Hdy+V1PvryMaM0AUHosVkDgoyx3GTMCht3jOYoBU7Kh7Vov/HhMLZ+mjQaK8+8NRB6LqN9DgFmvLDrKz9f1o9/0ca+F87nInK/XGtTSj85MBYorYPFiysG8dirAzkWeq/mHU3mvx+v3fagZ0T0K/QeS3nOXXYlcNvupO+G27PvTM7nTv1HFyTtmy1HB/PXD/0S47ah/PRbmTa1b6LpGyGmgmhgbJcNmoC9UJz0cK++Yw8CNDicDqSgWXOD6fJokjcPiN71Wk9LAgCHNPc7u8txVPnXTHtd1EErog48RZyhgkVysBLdbs0GwHCacO27vYO2Rf/ny/rx82XOyWItRmyCwxsfDucJw8tX5ip0fkLewd6U0azt5M5R6NxmLS5bPk16Z2KPcG6z78Jbit/KDe4Kj87bHw3jN1p0PV2JtZ/l0F12AOC1VbnvbMOOyUXuuXcG8dPf9ep/4oqVm01fFHPKaBawB57qy+knep+ykxxTWLVpxLdf6QvezoBJLy0GhtKe/cp+/wee6ss7uK2HMn/ZNi527hvDGx/mtrkuKNj7+c59Y3j2ncG8v3Fj8ydJLHtjwPcMj70FP96VxHPv5Cuh9iawHnnjziTue7DHURiyYxoh1EThAXJDgD/91kCeBWKthzvTY68O4GdPeM91dqzgNHaB30v4f2T5Mbz4Xvj533SLvmMXtX1pT+XxxOsDWPZ68HNlj706kGdh0l3A7XmOfvZE/vqhvxP7Zo7VpvYccDu1s2j2SKlPvHYM9z3YE7j+b344hI93mrlt3v94n9EmYzrtn1fxiM0L4XDfeAD338ln/p+P9eG3L+XO705/b7m4r9o0gl892x/4GZ56cwBPvJaf3sSNtJp0/wuKn1uhPaXJlk/H8E+P5L5jfUPPHjTi0ZeD9Qn7OLnvwR7fjQb7PdYbRlUMErjKVGaxywnvbxhmwuESQQWL5GBFALJ2efx2AMcND/j6CW36/V5e6S0U6pOXn7+6HoUPyFUodh8cyzlHEITeY2lPE7/lHvHUmwN57nFeBJkENzm4XJi6quj50HTh3h7O/6e/78Nba7zfiV3Y/3hXsiC/75Ub3dtJ7yM9R9O+eWQs/u25frz6wVCOEhiEYiNj7dLeybNvTwoFPUfTeeF/f/WsuwVs+ftDeF9TovUgB/br7c2eWXBKhOqFVxoHwPz8o25d8LJ86tz3YI+v+8+4oSHhgG2nf+vuMfz+lVxB0dTy6bVLbM2nduHWS8E81JvKU/D8Atrc92CPcWJeEfEU1vR5rfdYyijoyGOv5ipkg1pOpSBnV92w5lV7YA39WexzoSVoB1UeVmwYwTNvmym5A0NprPXY4AFyLSj/8FCv51wH5D7TL585mtdPdfTr7dfcMHUPBTvrt4/iUG/KaGzqCowfDzvkm/TiBZ+NBj2Vir4WBJFRTPE7dzetc/JQ5PPvDhp5lQSxvNu7+cMvHjUa9298OFywCyYxgwoW8cR08tTRFR7TM0F2n3sn9AOvfqHHnXbD7AL7Iy8dwwNPBd+ZniDAltKh3hRe+SCYFQHICMT3PdhTUN4nE3Q9Tk+YqbuBvLc++OI7eQ+zRS6qs1XWwuiXQ8iP8ZTKMeE4Kbr2HqErpUHPInjht4tqoY+RoLzxoXfOF13B8jtPo+dd2+tTL/16v13uLZi9usp7bOlud0/4WGNM3Z/6PM6PWi58a7ZMjh3TszVOOfl0gvYJC92akBxTOVOZvtlSbNAcvU3D8IyyrxG6JdgJU7fBgw55k7ymsyM+G3S6guplcQdyrXwAsPfwuKdThN/c6fQ8FtZmWBRKSSmxb3asCODRsdXHmq7jlyy9QTsOEPSMpMWIg4uwHfu42XNo3HfzRX+b+mYQLVrREIqCJSLXicgmEdkqIt93+H2TiDyc/f17IjI/jPuS0qPvQjspYGnbYNVDqjoJVV6uQE6WCX3y0ScbLwpRGIO4KpkICkEnM8v1LuzzPE7Y6//mmlwhJYwzBl7BS8LANGCAngNO/3u/M2D6RsFHW7wXcX0XOQz8FnkLfQwGRRdEdeH9UJ/3dfV+7meBMrW+KKV8vYPt1tlfPG22cWIqcwS5/pZPJ9vAT4EFcq0tXi6LFnq+Kr2P6IFJ1m9P5ox9PYiQk3BqHyqmgpluXQ/y535je6NNwfJT8oFc19AgPK4p4skx5ang6HOLvmbq48BvbrTyKNppbig86EKQqdLpnkGvX0h5L5fwQtjrkL7DC9OUCvpGrp+M8M5a77Guu3jrqUP0jWrdCvm6NpfofU4PgKXLSfoZThM3V+JO0QqWiCQA/BjA9QCWALhbRJZoxb4BoFcptQjA3wP4r8Xel5jz7NsDeOL13F3goOcyLP7x4V7fRfEnj/ZN/PyUFhzAaeL7h4dyF3W/ycp+/SAUG9L5uXf83UTswqifT7fu9rjHJ+Kbjr6j6Yff5A5kfPVN0F0e/BbYf30yV/g0XQD9duh++rs+o/ro/Ejrg7rLno6u9DopUPqi7XfQeMV6PYGmd/lfPpNbB9OIcKaWUb2N/dwy//43vbAv2wd9ImT+23NmCpD+zpywnw8cGPbvE3qb+L0D3WoWVOm18FMq9TNJfvXR+60+rrc6JJfXLZFpH9nqLdsGzN//xv8d6GX8zpj86OHc+dPvPetuhn5t9MhLk2tgkPM3+rk1XQn14x8fzi3vFHrcTpA62ecbP5dBIHeNLSTBvN8aor9jPxdD/Xyhl0s0kDkjaccvqqtu7TbdvNHfgd96pcswfl4ea7QNOX1MrNLaTz9a8KEWnl3vo/dr4153CdQ9afR5xu8sPQlGfQjXuBDAVqXUdgAQkYcA3AJgg63MLQD+OvvzowD+WUREVZBdcsfeJNZnd3V0a4X1Mef7IGXyi00UkPyvXD+7ltO+13c3AeSd5QiCbuHwI8jOqx19svLDT+HSfbhNDjoD4bhz2dHz3Tz8kplPup9rk04QBUsfiX5CxKPaAhZE0LLz0AtmVrnHXzN7ZtP6FMKzARRvOz962LtO+rgyfQY/FzqdUlhGTSyXpvmE0so8fozf2NfbxO8d6IKQ6UaFqbAeRb/WXdQ2GbpGms6nfmdMij3vaNpGpvUHYHxOd8jwHKrJGrtz35ivQKzP76bPHGQNsaMHPtIxtZDpLti60uqH6TiLGj8lW8fULT+M5Ox6HzlpdgPqE+VPSH3KvEYsnttY7moEIgwFazaAT22fdwO4yK2MUmpcRPoBHAfgsL2QiNwD4B4AaGyMVwMOj6ocM7befScmMLv7hEuZvK6vzX7KQXBwU0X17x3/rnL0WEIIIYQQEiN27h1DS5Og0dA9NWzmzghDbSkNsaqpUup+APcDQFtbW6y0giULmrBkQVO5q1EUP/1dbyiZvGdOq8d6g/Kzp9cbHbT/5i2d+ItVwa9/++emYL1B+W/f3oU/NSgfNV65vJw479Rmo+cthLs+34H/2+MeC2Y15ESu+/c3deI/G9Rp7gyzPmR6GP6eW7vw3YjbaNGcBqP38I2bO/E9g/JfusqsX3cZJuZdaFj/auBP/qAL93o889wZ9TlBAm68rN2ojT5zVotR+S9c3GZU/ltf6sKfxeyd/dkd3fiWQZ0uOdOsjUz5w+s68H8aXP8v7u7GN2PWpqef1DjhMROE264066d/ensXvh2zZzbhlivMnvfyc6Ltc9XIX9zdHXl+rmonjCAXewDMtX2ek/3OsYyI1APoBHAkhHsTA/7kD7rxva9Mzfnu3i93G13jW1/qQkuT96D7+o2dEz9fuKQZdT697Ctf6Mj53NGWcCmZ4abL23M+t7V43+DP78p9xuZGs27/F3ebtZFfef33377d7PpXntdqVF5/50780Q2576DV5x3fduWUnM/dU7zf2W1X5r6zL1/d4VLSmb+42/sZ9HDS7a1m7/i7Wh/xW1bu+nwHOtq9n/mSM1uM6qT30/kzGzzLX3xGc87n/3BTl2d5nVuumOJfyMa/v6nTv5AN/Xn8MB1n37nTrHxHWx2afHZf9X558onenhT6/HnxGS0uJZ05/STvTbvvas/Y2uzdhxbMyu0z3/pSl28d9H45tcO7X58wdfL399zW5ZmiAgD++NbcOujjQkfvB6b9YsZU733j6V2T9f/27V3GQuRXrzebu/Q+4vf83/qSWZ2+fXsXEj6uW/Y2POG4BJoM10C/NUSfP/3K6+9U7+c6f/IHXTmfF87xHpf33JZb/oIlZuPSdO76xs25c+PMabl9sKMtt7392ueys3Pr6zcG6rUhq485P+wyG5DZ+KFyVTxhKFjvA1gsIgtEpBHAXQCWaWWWAfha9ufbAbxcSeevqhlTc29rc51v/ht70t1zTs4VAq++IF85OOE4M0PqojmTQsSZC6O3KppONH7lg17PmqRNFapi+fqNnaGET7Yz53hvZUHnjJPMXISvOt+sjU6bn3v9hCYlzpru3SftQiaQsdJ6cc7J4ffTsxc3+xeCuWXLDV2JTnjL4ajT2lQX/nX8xsVZi3Lb0PQ8wB9+wUwwNlXSTcfpFy5u8y1jF5xnTPVpcOTPr7pC1t7i3WZfuspM6W5vqfPdjbBvyOnjzgm9H/j1i89f5N+OduzPGGSzTRe2p3d7j/XzTs0dl/oaa1+/AOD47tz3qr8zPw+HIM9gb8PPRrCe6POnH/o79VMQW5rMxmK7z6arjq7g6XOXznWX5Pa5Tp/Nthsva/f8vY7eh/T2atC6oP5Op2hzl66A6fXXN1VODTBOiT9Fr7xKqXEA9wJ4HsBGAI8opdaLyN+IyM3ZYj8HcJyIbAXwPQB5odxJPDmu039R90IXUvx25XUuXJIvRNonmznH5840d1zjLSD47UgD/sJyMZi4A956RTu+95WpeZNt2OiCYYtWR7vCfMNn2vCH13kLqk7KkZ4XxI8ZHkr32YvzlZWT55ktCH47oH7oC/CZi7wVqEs0y4bTgmtfQ4NsHPhZbi0s5dNpLHnhV95QpsorH0TYtuO3GTSty3uuatEEV7uCKMi3CM48zmzu8xqnTdkxZbfWd7Z7v79Obdd73gn+c6fpOPvc0tyxP/d477nPqc5ed9SVez/rkglW+8yzrSnTNWVFFywB+Hpg6PgJ23nlfYrb18TPLW3FrZ/1XrPsFq/TFjTiliu8hfWrtXeq18fP2yBTJrho6LRp6sW3b+8yKm+KqYwB+Ct4OrpFSsd+tfYW8bUK2/nGzZ2+m0fjWoyVIO/UztwZ3m1kqjATZ0KZ7ZRSzwB4Rvvur2w/jwD4chj3IqVl1rT6vBwNxWCPwD61o843o/hUm4J375e7c3JsOaErRyeekPv5otPzhaCWJpk4m/bnd3VDxCwS1XmnNGHVJv9IiVee1+qrLNnroguETsyf2ZCXW8bpOzcsV4XDHjmN/vC6jomQ4KfOzxf8P6O5vNhdPKd3JXDIJ7n0SbMbsD2bnyxRB6TS3oposyYgnb24KfAO5zUXtmJoRGHxXLNF2P5eLOy10BeshbMbcn6v91q7ov/5i9rylIPujuL2vs47tXkiwt2CWY24+fJ2LJjVECjppoW+C6rT1FiHsfHJ8XvBkmbPqKR69oWFcxodI5s60dggOOOkJqzc6H799pa6ifxk37ylE0rlhxu2K7G3fXYKHn05E3nxO3d25wlZp8xzV3KndydwzuKmwJbeRXMasH57Eq3Nk3/gZbn/zp3deUJWk8/mzO2fm+I7DlLadJuoy49Ya/88Y2piIrfU1UtbMX9WQ17qDTdOP6kRV52fu1Oub4j5MVUbB9b8AGRcVodHVc5Gg775csJx9RN54L54aRsaGySwwvTVGzp8vTUAYOlpzRP9cv7MBpx/arNnP7X3Gd3Dwwl7da+/xFm5cpuLvnp9R9464pUFpalRcMFpzTjn5OZAkffqE8Et6RamLvp+XHNhK15akYnKd+bCJlyrWTT1Iwh2Tj6xEacYbs5ddX6r7yasffPmntvM3A39rGFAbtyyr3yhw9cLyP7K77m1y9g6TwojVkEuSHxYNKcBw6MKF57e7BlmfXp3Aod88tvYsQttX72hM0/y1N1mTj6xcSIPVWYHO3dxbG4STUCY/PSdO7vzdu+c3DvsFhrT3crv3NmNRB0CKVh24cqNmdPqJ5SNINgn8oZ6/9xEbtjbrblJcpJxTuvyniaW6BYr22N+5boO3yCWZy5smnjmOcfXY5dPYuM6Taq9+oL8PuPGWYvMrYFTO+pw+TmteeHx7dWw1+ie27rQ0iiBUyCckbVW2b2mdZeQsxc3TYT3v/ny9jxhWxf092l5WxYZhrW98bJ2LJ7bgOUr3cMJT+tMTORf+doXO9E9pc7zme394LsOCo0XQc6K2s96+p3jBHLbzKkuXrvU557cjDMWNmEsYNLaqy9ow0Wnt+TUK50G4FJNpx1sv/NXJwawcDU25G4UtDbX5eTgqasTjNskcLvV8OysMhA0p/fl57SisUFychbpVshbP9s+kYLh8xe15czFQL7rkr0P1dUJ2jSXR73d7O949vENRq5jU1rrAikD9ucL4mKpz19+tBq6u9k3Z5zWuzaPdWjRnAZceHrw80qnOWy4hUFjg0zkrtLPX+nY36mTddLLUjxjasI45Pe5p/ivIcd35wbLiZJARyxs44bKVelgSxNH2lvrcOe1HY67KTmCoO37/3hbF/741i4MeCTbtC+AiTrJE2x0Nxi/pWj2dHehoj4RfLeyUOoTEvhMVZBiprW1P95V57ehvbXO9xC1bx0MBQAvYTZRJ77uDttsCuVnz2tF15S6HLefvPr51CeM806n2nY1v35jl79Loa1S7S11mX4trkV80c8r2V2fFsxqyLOY6Yu+n/XJi89f1IaTT2w06gfHdSZ8x5pdeDZ1yQmC6RX9BA1dcLni3Pxx5dVE9nFYnxB0Gbrx6Og77fNOMN8ftb+imy5vx0mz88fZ0Ihd0c+/hl/CbAtLIbRfol5zYbS38RkLm3CaT6ReP7fK6ZoluJjpP6giVKwbvRP2OzcGcPu0v5FizgCbnof2c8vVCdpWdtdOP6usPaCEk9eHV3OcNDuas0ZOrqnlhBEPygMVLOKI1xStXH5ua6nDlNY646zpOffVhdISuAJbSqSpb/6phq4FfpagQrAvljOmJnDPrV15EYziRp57hcq1lv2Hm7qKciMJIxmiqQLgV9q0TvpOvt2q6VQ3fVe6GKHP9GxUUKzAIVEFpnGaK7zmD9NzC3brywkBzmf5ufTp0VX95h+9T9j7QSE9fvFcMyXawmts+gUy0S1Ipne/61rv85/6huBZhu5r9nNvftFvLazzKkHHjWmTlzKYm6nCNN/nfetcEPAcqMm5PjtOa5+Xohz0HZvipdAECVYTNlE9J/GGzU5ysKLTBHE3AYCwN6LLoWBZ5wKC+MPbCVo3y5oQxa6WvQ5BzmzZcdq9LgX6ZG8s5OVYi/L/thwLmPUIbpH1ik3OGGQX2wSvZI1BlctCF+2oElU6WdDCNGDbhaZAmyU++0y60n2GoeJp/+s8N10XrB37YgLneA3XCx3OuHpfzPvXehh7vz7npbTqCqoTi23uxUE3RSbuGbCvmU53euAOx2uaXdIVU/fFjoCWcktxDbr5Z6XAcDqL+0c35IYUd3PVDkKXj0U0CoIGJ7JwkhsaDfdQo/AYIP5Qwaph9FCfADA9KzgEjXZnuXKdb1uwgxzSdCNfwYp+YrBuESRzQKJucmc6qBJqahkrFNPQtHYrh17DKJtdF7Bn+FgDvM7CXHp2fgSrcuTvsG7ZENJCpisLFxnmV/KrxaIioygCmHB5M23uUnqrhClY6AEiAO929lJinfCrqd6v7WeynARjJzdRSxmIak4Kq/9bmFp+veYx01DfxvcM2LFNW8ip3kGUxUIwHctBN1kkWy6oq5rl5XD+afkKu1efCGJZzqlXRGuF12Oabvo4RUW0NkpM5xhSWqhg1TCOUbIsZSPoRbLl7UJzMZaaguY7n7/xu6Y1yTpN/nm7hzJpIQm6E3XrZ6fgqvNbi1I8LXTF16+5nCZny8UiDCHbCb/2PkPblfZzZ/O6XNADyvfc2oX/YJAo18+9y42olAdjlz+f6gcNVOCFtXvtFDbfidPmN6G9tc6xvKk1daFDeT1iqFu5Qtm8K1jEQ4uo9fxFtkiY5ztYpJxesVWloIKuUzn9u2KeM+w20hVqU4tMMfUxHVPF3MtJ2Q8D07XbSUG5+XKznE9emCojTvWJW77cMFxErfOjhYSkJ6WDClYN45RM7srzWnFcZwLHO0QfsoR7+wI7uWB7rC4GC4/pgujE3Bn1uPyc4Dv+kxYsp/poZW3lgta0vbUuUOShIBfVBX+/6HB6yNrjOhMT7mY5TV1Cy2HRLoI2grqbtbfWuQYZ0BPYApMRH/UkoG549aFC8EsIq6Nb+fya1Mk15ouXtuUlUA6CyTi459Yux7NPF3tY6Jzawun5HAN7hNiN/RIrlxOnsP4nhrC73WW4KdRg6P7pV1pPyWCa56sI7+Po7jGxaOb/zsuyWIrACUGib/oRxtJhrbtBglT5zbl5XjEF1skEK1iIHnQlU5/wahB0vbGCh513SjTnX4kztC/WMNMcdsVPOK4eX/ui805/FNGSdNwWEZPDt1++2vsgtM6EcOz0O+3zKfMacXQwvO1D07wwOk5unn5Yz1munT092ahpNcKut5eSFtRFadJNqJgAL+L4s8VXr3cPea+fv/M7s+UUFfGUeU2euZ90StWPnFykgt4zTJe1U+Y1Rhp6uZjwyU795XNL2/K+WzinESs2jHgGo7BfyZp37VZHrx7uGDjE4xX4CZv6XBF1VNhC+rLlqumXfFbHqR3dAidZ+QotTjgugf1HJtOjFDsGvVJ8XH9Jfj8y5aRZDVi1aTTw0YMLT29BWgW3jnuR504pKNjVwFTJ7XBKzB2CVc4i6GM0Zde4boOEx6R4qGDVMKZzsiXc2YU8a8CaRuRyC6XttID+2R35+azC5NR5jXjno2Es8QkRDGTyilx4egPe/HCoaOUIcJ5sTz+pEdt2O+fCMs3Z4XgmwdACZ4rfu9Lz+STqMpbTo0PR+L10Ggo+AIwX4MYGwZIFjTjTwRoWFk45bdzwS4QZBqfNb8SGHaPBrbOGLJrTgK27xxzPYTgJHdYZRLulKczoWY7W9RAHkV8Ich0/Xd5p42DmtPo8YZ0Ex8l1+MQTGnDrZ9s9U0vY8RKwg047i+c2Yv+R4YClC6O1WTA0ovLc5K1xacIV57bi/NNafHO5WTQ2CK44N/98bSHoc0VdHZAKnrozh6Dvx8vLRV8fLzmzBSvWD7u6fTqlY/DqQ1edn9tucXORrCWoYNUyIRxOP3VeIzra6jDLFh3ImtDc3JxMF3hTtxBTOtsT+PO7neukP7Mgo0zedLl/QskgOLkvfOFidx/2qITZMCfhTI6m4Iv/9O4Ejp/qPhUVu+sm0etXEBFcd0m79p3/35mGRXajVXMtmlKIUml6z+Y6fPX64OfaTLE2W5wUdq+2nWrb7Anj3KMXXq846mAepRCcnO6hwjjAF1ckc65l5z5nBaLBpTuZ5FPyskgEfaVhB+zIdfXPfGpuzChYen2nd9d7KlhOj1dXJ5jSGk2HNR0H557cjA07RnPyvdkxjXJ6rsNmseVi7uSWrluPGxsE07oSONCTypGjLE7Vkjkf353AnOPr8f4GOJbXw9gf352gklUmqGCRCdzGYFuzYHBETbgU5pzBEvFM9lttmE6+pjmFTHNYHd9dj393XQd6jrpsyWnVbagXjI1nXqDJpNvaLMZWyqD4uQndeJmmuBhev9VHGPG0uNl+d+bCJqzdNmp4d3fu/XJ3aNHA9LDhLU11uOOaKYF3ahfPDXcML5pjdr0wzso4WXT08VTM+Ye6OuCPbujAaBF5/sIkrI0ny1LhxKTgPfmd8eaD1+8EuO6StsiiGrq97s62Oiw5KV8wPm1eEy46vQ6jyXDe8WkLnOf/2dPrHTfLrPr6B2YqtmZOF1SB3q1SCktPa8aaLSOBLj3V4XygnW6PvFZR0Nos+Or1nTjm4jHhtGZPWPMcjkk4RSqdc3zWqukQaVh3zxZkzmod6Enh9ADpFubMaMCCWY2498vdgeSRnL4Sj6mrZihKwRKRqQAeBjAfwE4AdyilerUy5wD4KYAOACkAf6uUeriY+5JocBt7py1owsqNI54J/jyvG+NB7XeoX5++/BJp6ufULj7TLLz2BUvMyre11KGtpc7VAqTXf1pnAnsPj+f90m+a/taXugPXKeozUo0N4rnLrLPQR9h3qu/x3fVobhR85qzJ96ErV8UKhVHlg7KYc3xwJcdP8TBVmOz5hALd36g0MDXgeVAr+E5Yybd1Rdar2doM89KVC8/5WQKU0ZgxNSMsBkEEgVyzdYKOPbdS37ily/H7hgZBfUJQbxhkxg23PnCnT8LkoISVFNwpSI/XO7/i3NbALnx+fecSwzWyWOpEJtbNoMyd0YBNu5JGHiQmVk2LQMMs26Bu64c+l593SjN2H4zu7Chxp9hV5/sAliulfigi389+/kutzBCAP1JKbRGRWQA+EJHnlVJ9Rd6bFEmhgrDfJFBKa3TRkZVC2Dm3o/vo+1l9TM9UFcs5pzShoR440p/KcTMxzTfjx/yZDegfKNDR3Yc5x9fjjIVNGBwOdmZrqcMZntzr5SsPjQ2Cb9/urFRaZ3xmGygwlY4uLPgePg9hU8Vrfgrj8LspxpbTiBWscm1c+d33K18IrjwUsgZ95QsdzhEjY0ydhJMaIY9SuIlqn5eeFq5CVGr3Nb+NLV15N3Xj9gsK4vi8ITaCfqWGesHCOQ34aOsoZpXgbC6ZpNjWvgXAldmffwHgVWgKllJqs+3nvSJyEMB0AH1F3puUixLm+/Cjrk6Kur5fWPg8H+qQn+XkIoNW+JbX/mB6Vz2uODeBc09pzklMrIdDLvYxv3RVOGfUnLCsCEHP1/hZZ6z8SX5C0HGdCRzpT2FuFSpWpvlU/KKB6c3oV97pFU3rSmDTLufoelb547vrcbBX2511cIkJY9g6RQSrdmZmw03Pt1nuu6YkcLA35TrvmrhhJgqIXmSFwC4HVzlEZgzC4rmN2PRJMnAUPT/8mvjOa6cYJZ6fuJyTK6h2Lz8FRd+wipsDi5vbpsUZC3M3b6Z3JYw2Mzp9NlX1aylk5t9120Yd0+PkYSjwKAUsmNXI4DZloNgVY4ZSal/25/0AZngVFpELATQC2FbkfUkI6CGMw5r8LayJpLGxcgWTOYa5ZExbUA+vXQrq6iTSw//Vep7WihppJcX1zP1WYZzs49JXqDukFaDEKdeYHxec1oy7Pt/h6epoHZwv9BxHQ33mjGkQnNJUhJ0v7vSTGo2sc07JlcPk+Kn1+M6d3TmWdmtH/wIfy3AcCDss9dQCr2dZvXXhvWgcIvsCwOzpDUZzvDX+nc4TmWLq+lzsGDLNnemn1Ou5wFJp4LxTm5FIZCJG+mH6NJs/SeLkEzNnqoJsHvhdX28Ot1XKkvdMk7yT4Pi+TRF5CcAJDr/6gf2DUkqJiKvEISIzAfwKwNeUUo6+PSJyD4B7AKCxsbSuU7WIPpl+4eJgu3OmE+iUkPzZC8VrAl/gM7no0ZoK2XENE9O8V8XWthCl2zTZaLmx+oefvmSqT+lKSSVHctJDNfthtVVbk6AXAXLouUQec4qSZS9uubaePK/RUZDwe2V/6uIG6kRzgRtFJvmtvCKI6jQ3Cm777BSMpYpT9P36ddjuw6XENBBIfcj7XcZ7MAVOEsUuS59b2orPnNUSyblQp2S7YdLYILjtyvZQ81PamT+zASccV4/v3hnMAmT6ClMp7zNVOmG5x05pq8NIMuWZ5J0Uh6+4ppS6xu13InJARGYqpfZlFaiDLuU6ADwN4AdKqXc97nU/gPsBoK2trXq2hysEN2uKvkiYBruoyy7Qfqb5YilkcXCL4tbSJBgeVRMuMkHvEbUQ3WQq5BVbnwJGYVTRBqNmetbdzK+fTrxjn7axkjuGHaEvCvzyRembFH6+/BN5YAL2P9Nuao3DvYczgU7WbB7FIofkyX43iDpx7b1f7g41F5cdKy9aGFYHO9W28N5yRTsGAp7XLIdHQRhY/dg0l5r971s1S+60zgT6jqV9k5b7YRq4qRAWzIpOtjC1OvrlXdTlKb/NK30OPcEwYI+fkl/JG39xp1j/gmUAvgbgh9n/n9ALiEgjgMcA/FIp9WiR9yMR4jbOrPFZtDAS8cpdSMALN/cjS/GqNmGjmrlgSTMO9hQeLckSMHSl2kJXGsRnPITtPhYlQa0UrU2CoVHl6ypl+ui+Fq6862duMDyaeSkjLmG1vRJ+lgKTTZ+oc3YFpSEBjFVR0DE9LHYpiWr9mHdCAxrqJ/MiTqzRBVxrhksk3esuaceBnnEjC6wTpmKD6VwQN0yVdL8Ip8bLiO4i6KZhlXlurAWKVbB+COAREfkGgF0A7gAAEVkK4FtKqW9mv7sCwHEi8vXs331dKfVhkfcmIeM2kK3EkqYDseTKicENLUExqvwrcSHqJM1x4vJzgoUN9sN1o8FloeqqgeAHQXtRfQIYT/lbuKKge0oCTY2Cy86uTJeXQs/3FEterzaNrOojAVbQPoMvhbpnBV2agjZVW0sd/uwOB5c1jwvc8Jk2x/pffYHz0YDGBskmjY8WvX+cd0rpI4SWE78zZLqC6nsGS/vsdq7L6pPVND7jRlGroFLqCICrHb5fCeCb2Z9/DeDXxdyHlAY3ZUMfiL6LTIkHbCS3yyZeDEpnWx36B9M4ZV68zg7G6fzEXZ/vwIBLcsdKQl8QuUBN0tZSh/6B/Hdcis2WxgbJO1NFISLTLkmT5Mh0KXLFskgumNWAHXvHcM7JwZQBa28mqDWn4Pg5Hn936nznunb4uLQVSy33FydMk8ubekJYrul1dUA6Ddd8XxN9jO8nMhgUn0zgNtHqrlFW4Id5hhH2qpnujgT6B9ORL1ZBufvzHdi6O1n0dcIUjN0CFsQON0tuaWsRCVed34r9R6Lz/zIVpty2MeYFjo7nsxFSDS+tSBrrgeQYcNuVwQJotLbUYWg05eveWMtNaylKvm6dBWpKacOkWcXIyE1RByXyubxuuXVTBOOK6Zynn5m0p0AI436mSdj1y1HfCo8KkXhIOSn3OQZizsxp9b6+3Z7U4Ms2jSKoAkZyiFM0d+vMhjGG/SHoM580uwHb9ozlfR/07FLgIBo12J91OtucBS/9XU2dksDhvpRvgm5iQMCgL5a7cVNTPDbqwsDtkZsaBaNJNXH21doqMbXwuPHFS9siiYpo0d4iGBhWOSkMvPj6jZ04ZMvZZ1mW3ayazY3ierbUiUQCSKUCF59At5DdcW10OSxrDSpYxJcJOVKb+NyGPmWZyoXvzp3JYC9lrUZV8cXL2jE4nJ5Y5Kd2JNBzNBU4ctf0rgT2Hh6PnWuuF3dcMyW0UMthMO+Eemz5dCwvF5qbMrBwdgPeWjMcWLCsZfLOMLm06fSuBA71pSY2xSwloyQRSKM2YIW0u3Hble1oMwggccq8aC1hLU11GBhOBVYIp3Ykcq11PrrTV2/oxOE+c2+D/I3AYOVEMt8FSnZMAsGWJL64mZJrgWpKJkuC4SoPsCv4MtF0AduqPpGb9NpSXoPmm7PytPlFfowTXomTy8GUtgSAscAW72ld9fjeV4LlBKpafJSG6d0JHOpNTUTg8/MCufHydnywcWQiiXM14Rbd11SeiDIUexyZ0lqHKa2FP/NENhGeqSwb8dlGI7Fl8qC4mdtOQ2LS9B8lnCDCh02aT1NWmNcjM9ZCWxX8jBE3Tgz1p6ohjspppXDhkox7ZdAIo91TErjmwrbI87I5EfUd42StjYJSj5Ow5J28anO8hw4tWMSXi89oQf9AOrC7QmtzHS4/pyXP5YRUHpxzJ7n8nFZ0T0lg0ZzsOIhB4xzXmcCoSYQ4Q+YcX4/dB8cD55i76IwWPPfOYNG5c4zxCUxiIpR8587uqty0iUF3rRlOmdeU46JmuklpShz669e+2IlUqnJ72W1XtuNwXwGHmIokrFfX0pg5ExYUtyPEcehL1QIVLOJLZ3sCd1zTYfQ3pcjeTkio+GxFNtRL4UEiIuJrX+yM9Pq3XNGOg70pNDXmKkxuTbVkQROWLCh9FDDT3GVexCm1QRgEFZjoDk2KwS1BsG//i0m3WzCrEQtmlbsW/rg1553XdmDH3rHguS8ZvCxyqtt2S0iRFCpzVGyC3wqtdpgEbQK/rlENO4FNjXWhJBs1HUdhy/pV8CoqlqisNnHAVCmNY1PEsU6VwOeWtmJ6VyJwWHRXQmr/zvYEzjk5+AaglfeUQZuigxYsUjIqaYO02DnvmgtbMT5eQQ9MSAXjJyRGKeRP7ajDWYujtWyWJJpchROXHISOlGgpiOOKU60K9uzjG/DVGwr3ICj0XYXVnDdfMQXbdifR4ZK+gRQPFSxS04St9FnXa2qoQ1MNyERubiGVSKHnJIKWrlZBo5zEYdPm6zd2RXr9UkXsi0NbFsq/v6lzYkc+jhRyFhCo7HfiR2tzNs9TfF9bZePTru2tdTjbwOJFzKGCRUgZuOfWLowk04HLn7mwCWu3jUZYo1yCCAL33NoVaSLHuFPNwk+lUbu9sHhK1XZnLYrubF73lHhv9MR5rijXxs/tn+vAzn1jaKmipMqloJntVTFQwSIkAM1NgpHR8FbJ9ta6nEhrfhEXr72oDdde1Bba/XUKWWJLHimOxAbTkRCVgDlxXbcogjEWbGNLBPL2d+/sNjrr8Y2bO6su2AgAlCEKe2xpb61zTChea0M2qH5bJ0BaAU01vKlZaRSlYInIVAAPA5gPYCeAO5RSvS5lOwBsAPC4UureYu5L4kEtTYRXndeKoZHonrgckddIaaiqcVJha/uMqZkl7txTOL7KScJQWbInn44zcVHgrRx9nXE+h0ZyMO07IijJYlJhU3ysKXY0fh/AcqXUYgDLs5/d+C8AXi/yfqSCiclaFAh9V6mpsQ7dHZWx6BdDnCbXE6bmtvdnz23F585vDe36117YitPmT1oOy33omBSO2ztoa6nD974yFQtm1U5OvvkzzQ5/nrW4Ca3NglPnUwl1Qw8yErch3z0lgcvPbok82AoJk0qSiEghFOsieAuAK7M//wLAqwD+Ui8kIucDmAHgOQBLi7wnIaER9hQXlx3NSsFLULnj2g4kbUl0w1Zwz1zUjDMXFf73fNX+1IlZKOC4Ca6VyK2fbTfqm91TEvjWl7ojq085OP/UZrSGGPTihs+0ZwIyFEgp5orjunjioxKJy5zH9Sx8ih2RM5RS+7I/70dGicpBROoA/A8A/w7ANV4XE5F7ANwDAI2NtbPjSMpAyLNatVgxSvkcX7y03fP39QmJ9zmMKliRujsS6D2aCl5+SgJDI+OB87ydflIj6usFp/icMSwY7mjkUceDPqHkbrOTSAjaWopv16Dvhm+QkMrHV8ESkZcAnODwqx/YPyillIg4rXbfBvCMUmq3X7QapdT9AO4HgLa2Nq6chJSIcgy2qJMxn7mwCUf6gysPFsZKZgVLQ5ed1YK0wcs/75RmLJqTRntLMJNUY0MdzlzYZHwGx5QKfgXxhStwaDRkJS0GKKheLj+3FQcOjxv/HdN3VC++CpZSytXqJCIHRGSmUmqfiMwEcNCh2CUALheRbwNoB9AoIgNKKa/zWoSU1XqxaG4jVn08MnF4mFQeC+c0YuEc878Lfb0rsaDa2hz8ARIJgYnjZSIhsTqLWGjuMkJKyeI5jWisFyxZQM+cauW4jgSOM5gbuX9R/RTrIrgMwNcA/DD7/xN6AaXUH1o/i8jXASylclXZzMgGH5jRHZ3P90WnN6MjYEQkSwmacZx3fU6ZF3xxu+KcFly0pBnNjcHqcFxnAjv2jqG1uTRRnC4/1yzYw7wTGrD70Jj5jWppFQj5WQsV+YvRFW66rJ3mHOKIojtlaEzvTmDrbmD28cFcERMJwUmzG+m+GQR2U2dK1XXYRUOjWAn5hwAeEZFvANgF4A4AEJGlAL6llPpmkdcnMWR6dz2+eGl7YBevrikZpWPx3OAKzsxpwX3omxvr8IWL2zzdL+79cjfqbZtLS09rwaZdSdewtnV1ghYDS8ClZ7dg/qwGzJxWmoPGJjtlAHDuKc0495TgEaY4x/oThbx69QWtmBNQaHMiale8UhG0aSmLkXIwY2o9brqsHbNKNN8TQiqPomYHpdQRAFc7fL8SQJ5ypZR6AMADxdyTFM83bu7EwFC6qGuYnJ+Z0prAzZe3+ybTNSFRB5y9eDKssF82+EZN+ZrakcAlZ7aEtqOYqBOcGPLB6rJQHfJ5xXI2wywTUhGUYjODGwikULiUlx9uv9Qgne2JkidyDNs14rt3TQ31erVOGNaY809tRmMVzChxW5imtMatRvGhozWzsRLUnZgQQoLQ2iQYGo1QxY2p9szVJjyqQBwihISNtTs7pTW44Bp2aORSU6iS6bYgNWfz8EyxCf/zZzZg9vHBp92bLm/ngufBormNmNqZwIknVHbfiyMxlf8IKQlXLW3DeIqjgBQOFSwCARfTWkdXLhobBJee1YLO9tqxDDRk3UjrA7q/zp1Rj3knNOCUec7C/ZzjG3DjZe04afbk78852cwFMMFD8Z6ICI7rrPxlLGgiZkJIaWiol0hTiZjKXCfOaMCOvWNIcK6oGCp/ZSKEhIZ9OZkeYZTIOLJkQRPaW+qwaE4wa0hdnfgGDgnz3CGpTm74TBvDzPvQ3ZGYcAcl1cn8WRkFotaGQtDnPXNhE5YsMM8pmIkeWmONGhNqS4IihBAXGuoFC+c0UtgtI5ZbZUN8Um1FTmND1IpD5fsofNYwLYUp557cjKGR4gI/keI4a1ETTj+piaHsXairEyNL9+XntOLTA+N5Ab5I6aCCRQiZgLoFKSdnLWrGtM5xzJoe3dK0YFZDpNcnlce8mTE9w1fZerERIpKTSiUIJsrDuSc3x+pMVX1CkEyryNZcK5gZNwzLB1cZQgghsaChXjBvZkOkQoFpKPyTZjVwFzgAJ/gkeickTL5wcZvReaS4KdFXnNuC/UdSqK+S3IUkH86IBGctasLabaPlrgYhhMSOs2KWm0wAz6TqxTK9K4HNnwCzDax811/SRkGRlBS/3Jdxp70lgUVz4ucLTYNXeFDBIlgwuxELZvPsCSGExJ0bL2uP9Mz69O56fPHSdqPQ902NlS3sEkJI2FDBIoSgoR44bUEjzlrUVO6qEEI8MI0iVghRhqcmhJBagNtOhBCICK6/pB2zp8fLT50QUhwLs3nY2hnmnBBCSkZRFiwRmQrgYQDzAewEcIdSqteh3IkAfgZgLjJxcW5QSu0s5t6k+pg7gwZVQggJk/mzGjF/ViOa6cZXMVjni6Z1RXdG53NLW9E/wND0hERFsTPu9wEsV0otBrA8+9mJXwL4b0qp0wBcCOBgkfclVca9X+7Gl66aUu5qEEJiTEsTXdeqgQWzGnDR6fEKHhInujsSuPycFlxyZktk9+hoS2DuDHosEBIVxZoMbgFwZfbnXwB4FcBf2guIyBIA9UqpFwFAKTVQ5D1JFcIwyIQQP/7ohk70D3LXvdIxDZVfixzXWR+rpLvXXtiKVKrctSBRw2Bn4VGsgjVDKbUv+/N+ADMcypwMoE9Efg9gAYCXAHxfKcWhSgghJDBtLXVoa6GrGyGlpq3FzF3xsrNbcLiPYh6pXXwVLBF5CcAJDr/6gf2DUkqJiFOa7HoAlwM4F8AnyJzZ+jqAnzvc6x4A9wBAY2OjX9UIIYSQWNHaJOhoj19+G0JKybSuekzr4rlqUrv49n6l1DVuvxORAyIyUym1T0Rmwvls1W4AHyqltmf/5nEAF8NBwVJK3Q/gfgBoa2tzUtYIIYSQ2PL5i9vLXQVCCCFlplhfi2UAvpb9+WsAnnAo8z6ALhGZnv38OQAbirwvIYQQQgghhMSOYhWsHwK4VkS2ALgm+xkislREfgYA2bNW/xnAchFZi0wO+n8p8r6EEEIIIYQQEjuKcpBVSh0BcLXD9ysBfNP2+UUAZxVzL0IIIYQQQgiJOwzHRAghhBBCCCEhQQWLEEIIIYQQQkKCChYhhBBCCCGEhAQVLEIIIYQQQggJCSpYhBBCCCGEEBISVLAIIYQQQgghJCSoYBFCCCGEEEJISFDBIoQQQgghhJCQoIJFCCGEEEJIjTJ/ZkO5q1B11Je7AoQQQgghhJDycPMV7RgZVeWuRlVBBYsQQgghhJAapT4haG+VclejqqCLICGEEEIIIYSERFEKlohMFZEXRWRL9v9ul3J/JyLrRWSjiPyjiFBNJoQQQgghhFQdxVqwvg9guVJqMYDl2c85iMhnAFwK4CwAZwC4AMBni7wvIYQQQgghhMSOYhWsWwD8IvvzLwDc6lBGAWgG0AigCUADgANF3pcQQgghhBBCYkexCtYMpdS+7M/7AczQCyil3gHwCoB92X/PK6U2Ol1MRO4RkZUisnJ8fLzIqhFCCCGEEEJIafGNIigiLwE4weFXP7B/UEopEcmL8SgiiwCcBmBO9qsXReRypdQbelml1P0A7geAtrY2xoskhBBCCCGEVBS+CpZS6hq334nIARGZqZTaJyIzARx0KHYbgHeVUgPZv3kWwCUA8hQsO0NDQ0pEhv3qV2LqAdC0RizYH4gF+wKxYF8gdtgfiAX7QnXS4vRlsXmwlgH4GoAfZv9/wqHMJwD+WET+XwCCTICLf/C7sFIqdiHkRWSlUmppuetB4gH7A7FgXyAW7AvEDvsDsWBfqC2KVWJ+COBaEdkC4JrsZ4jIUhH5WbbMowC2AVgLYA2ANUqpJ4u8LyGEEEIIIYTEjqIsWEqpIwCudvh+JYBvZn9OAfiPxdyHEEIIIYQQQiqB2LnhxZz7y10BEivYH4gF+wKxYF8gdtgfiAX7Qg0hSjFYHyGEEEIIIYSEAS1YhBBCCCGEEBISVLACIiLXicgmEdkqIt8vd31I+RCRnSKyVkQ+FJGV5a4PKS0i8q8iclBE1tm+myoiL4rIluz/3eWsIykNLn3hr0VkT3Z++FBEbihnHUlpEJG5IvKKiGwQkfUi8t3s95wbahCP/sD5oUagi2AARCQBYDOAawHsBvA+gLuVUhvKWjFSFkRkJ4ClSqnD5a4LKT0icgWAAQC/VEqdkf3u7wD0KKV+mN2A6VZK/WU560mix6Uv/DWAAaXUfy9n3UhpyeYCnamUWiUiUwB8AOBWAF8H54aaw6M/3AHODzUBLVjBuBDAVqXUdqVUEsBDAG4pc50IIWVAKfU6gB7t61sA/CL78y+QWUhJlePSF0gNopTap5Ralf35GICNAGaDc0NN4tEfSI1ABSsYswF8avu8GxwotYwC8IKIfCAi95S7MiQWzFBK7cv+vB/AjHJWhpSde0Xko6wLIV3CagwRmQ/gXADvgXNDzaP1B4DzQ01ABYsQcy5TSp0H4HoAf5p1EyIEAKAyftf0va5dfgpgIYBzAOwD8D/KWhtSUkSkHcDvAPy5Uuqo/XecG2oPh/7A+aFGoIIVjD0A5to+z8l+R2oQpdSe7P8HATyGjAspqW0OZH3uLd/7g2WuDykTSqkDSqmUUioN4F/A+aFmEJEGZITpf1NK/T77NeeGGsWpP3B+qB2oYAXjfQCLRWSBiDQCuAvAsjLXiZQBEWnLHliFiLQB+DyAdd5/RWqAZQC+lv35awCeKGNdSBmxhOkst4HzQ00gIgLg5wA2KqXus/2Kc0MN4tYfOD/UDowiGJBsKM1/AJAA8K9Kqb8tb41IORCRk5CxWgFAPYAH2RdqCxH5DYArAUwDcADA/wPgcQCPADgRwC4AdyilGPygynHpC1ci4/6jAOwE8B9tZ3BIlSIilwF4A8BaAOns1/8nMuduODfUGB794W5wfqgJqGARQgghhBBCSEjQRZAQQgghhBBCQoIKFiGEEEIIIYSEBBUsQgghhBBCCAkJKliEEEIIIYQQEhJUsAghhBBCCCEkJKhgEUIIIYQQQkhIUMEihBASS0TkOBH5MPtvv4jsyf48ICI/ieiefy4if+Tx+xtF5G+iuDchhJDqgHmwCCGExB4R+WsAA0qp/x7hPeoBrAJwnlJq3KWMZMtcqpQaiqouhBBCKhdasAghhFQUInKliDyV/fmvReQXIvKGiOwSkS+JyN+JyFoReU5EGrLlzheR10TkAxF5XkRmOlz6cwBWWcqViHxHRDaIyEci8hAAqMyu5KsAbizJwxJCCKk4qGARQgipdBYioxzdDODXAF5RSp0JYBjAF7NK1j8BuF0pdT6AfwXwtw7XuRTAB7bP3wdwrlLqLADfsn2/EsDloT8FIYSQqqC+3BUghBBCiuRZpdSYiKwFkADwXPb7tQDmAzgFwBkAXsx4+CEBYJ/DdWYC2Gj7/BGAfxORxwE8bvv+IIBZ4VWfEEJINUEFixBCSKUzCgBKqbSIjKnJw8VpZNY5AbBeKXWJz3WGATTbPn8RwBUAbgLwAxE5M+s+2JwtSwghhORBF0FCCCHVziYA00XkEgAQkQYROd2h3EYAi7Jl6gDMVUq9AuAvAXQCaM+WOxnAushrTQghpCKhgkUIIaSqUUolAdwO4L+KyBoAHwL4jEPRZ5GxWAEZN8JfZ90OVwP4R6VUX/Z3VwF4Oso6E0IIqVwYpp0QQgjJIiKPAfjflVJbXH4/A8CDSqmrS1szQgghlQIVLEIIISSLiJwCYIZS6nWX318AYEwp9WFJK0YIIaRioIJFCCGEEEIIISHBM1iEEEIIIYQQEhJUsAghhBBCCCEkJKhgEUIIIYQQQkhIUMEihBBCCCGEkJCggkUIIYQQQgghIfH/A26cNoVmX/v+AAAAAElFTkSuQmCC\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# use the example audio file of a taborim provided\n", "audio_path = util.example(\"tamborim_audio\")\n", "\n", "# load audio file\n", "y, sr = audio.load(audio_path)\n", "\n", "# time corresponding to the audio signal\n", "time = np.arange(0, y.size)/sr\n", "\n", "# beat annotations file\n", "beat_annotations_path = util.example(\"tamborim_beats\")\n", "\n", "# load beats\n", "beats, _ = annotations.load_beats(beat_annotations_path, delimiter=' ')\n", "\n", "# plot waveform and beats\n", "plt.figure(figsize=(12,6))\n", "ax1 = plt.subplot(211)\n", "display.wave_plot(y, sr, ax=ax1, beats=beats)\n", "plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can listen to the audio file." ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", " \n", " " ], "text/plain": [ "" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ipd.Audio(y, rate=sr)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We repeat all the steps for the **tamborim** audio file." ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [], "source": [ "# use onset annotations provided for the example audio file\n", "onset_annotations_file = util.example(\"tamborim_onsets\")\n", "\n", "# load onset annotations\n", "onsets, _ = annotations.load_onsets(onset_annotations_file, delimiter=' ')\n", "\n", "# compute beats from onsets\n", "beat_ons = microtiming.beats_from_onsets(beats, onsets)\n", "\n", "# normalization of onsets to the annotated beats\n", "ons3_norm = microtiming.normalize_onsets(beat_ons, onsets)\n", "\n", "# create default metrical grid (isochronous, 4 subdivisions)\n", "metrical_grid = microtiming.define_metrical_grid()\n", "\n", "# assign onsets to metrical grid normalized according to the beats aligned to onsets\n", "ons3_in_grid = microtiming.onsets_to_metrical_grid(ons3_norm, metrical_grid)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can now compare the microtiming patterns of each instrument." ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# plot the obtained microtiming patterns\n", "plt.figure(figsize=(8,8))\n", "ax1 = plt.subplot(211)\n", "display.onsets_in_grid_plot(ons2_in_grid[0], ax=ax1)\n", "ax2 = plt.subplot(212)\n", "display.onsets_in_grid_plot(ons3_in_grid[0], ax=ax2, color='crimson')\n", "plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Note:** The microtiming pattern of the **tamborim** shows an even more drastic compresion of the location of onsets. Is worth noting that the fourth stroke of the instrument is closer to a division in three of the beat than to a division in four (66% compared to 75%)." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.10" } }, "nbformat": 4, "nbformat_minor": 1 }