{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Introduction & Table of Contents\n", "\n", "I have written notes throughout this notebook to describe a little what I am doing since everything was done in R and not Python.\n", "\n", "The important files are the following:\n", "\"ets_notebook.ipynb\", \"submission.csv\", \"TSfeatures_train_val.csv\", \"TSfeatures_test.csv\", \"test.csv\" and \"train.csv\".\n", "\n", "\n", "\n", "Part 1 of the notebook:\n", "\n", "\n", "Part 2 of the notebook:\n", "\n", "\n", "\n", "Part 3 of the notebook:\n", "\n", "" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "text/html": [ "
    \n", "\t
  1. 'data.csv'
  2. \n", "\t
  3. 'ets_model_3.R'
  4. \n", "\t
  5. 'ETS_model.R'
  6. \n", "\t
  7. 'ets_notebook.ipynb'
  8. \n", "\t
  9. 'md5_hashes.docx'
  10. \n", "\t
  11. 'reto_data_science.pdf'
  12. \n", "\t
  13. 'sample_submission.csv'
  14. \n", "\t
  15. 'submission.csv'
  16. \n", "\t
  17. 'submission2.csv'
  18. \n", "\t
  19. 'test.7z'
  20. \n", "\t
  21. 'test.csv'
  22. \n", "\t
  23. 'train.7z'
  24. \n", "\t
  25. 'train.csv'
  26. \n", "\t
  27. 'TSfeatures_test.csv'
  28. \n", "\t
  29. 'TSfeatures_train_val.csv'
  30. \n", "\t
  31. 'TSfeatures.csv'
  32. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 'data.csv'\n", "\\item 'ets\\_model\\_3.R'\n", "\\item 'ETS\\_model.R'\n", "\\item 'ets\\_notebook.ipynb'\n", "\\item 'md5\\_hashes.docx'\n", "\\item 'reto\\_data\\_science.pdf'\n", "\\item 'sample\\_submission.csv'\n", "\\item 'submission.csv'\n", "\\item 'submission2.csv'\n", "\\item 'test.7z'\n", "\\item 'test.csv'\n", "\\item 'train.7z'\n", "\\item 'train.csv'\n", "\\item 'TSfeatures\\_test.csv'\n", "\\item 'TSfeatures\\_train\\_val.csv'\n", "\\item 'TSfeatures.csv'\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 'data.csv'\n", "2. 'ets_model_3.R'\n", "3. 'ETS_model.R'\n", "4. 'ets_notebook.ipynb'\n", "5. 'md5_hashes.docx'\n", "6. 'reto_data_science.pdf'\n", "7. 'sample_submission.csv'\n", "8. 'submission.csv'\n", "9. 'submission2.csv'\n", "10. 'test.7z'\n", "11. 'test.csv'\n", "12. 'train.7z'\n", "13. 'train.csv'\n", "14. 'TSfeatures_test.csv'\n", "15. 'TSfeatures_train_val.csv'\n", "16. 'TSfeatures.csv'\n", "\n", "\n" ], "text/plain": [ " [1] \"data.csv\" \"ets_model_3.R\" \n", " [3] \"ETS_model.R\" \"ets_notebook.ipynb\" \n", " [5] \"md5_hashes.docx\" \"reto_data_science.pdf\" \n", " [7] \"sample_submission.csv\" \"submission.csv\" \n", " [9] \"submission2.csv\" \"test.7z\" \n", "[11] \"test.csv\" \"train.7z\" \n", "[13] \"train.csv\" \"TSfeatures_test.csv\" \n", "[15] \"TSfeatures_train_val.csv\" \"TSfeatures.csv\" " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "list.files()" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Parsed with column specification:\n", "cols(\n", " .default = col_double()\n", ")\n", "See spec(...) for full column specifications.\n", "Parsed with column specification:\n", "cols(\n", " .default = col_double()\n", ")\n", "See spec(...) for full column specifications.\n" ] } ], "source": [ "rm(list = ls())\n", "options(scipen=999)\n", "setwd('C:/Users/Matt/Desktop/Data Science Challenge')\n", "shhh <- suppressPackageStartupMessages\n", "\n", "shhh(library(dplyr))\n", "library(readr)\n", "library(TSrepr)\n", "library(ggplot2)\n", "library(data.table)\n", "library(cluster)\n", "library(clusterCrit)\n", "library(fractalrock)\n", "library(cowplot)\n", "library(tidyr)\n", "library(tidyquant)\n", "library(lmtest)\n", "library(aTSA)\n", "library(tsoutliers)\n", "library(tsfeatures)\n", "library(xgboost)\n", "library(caret)\n", "\n", "train_val <- read_csv(\"train.csv\")\n", "test <- read_csv(\"test.csv\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# PART 1:" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "######################################################################\n", "################# Clean the data #####################################\n", "\n", "# Since the \"features\" are daily time series, I just choose a random year and rename the feautres into more meaningful names\n", "# Such as \"2010-01-01\", \"2010-01-02\", \"2010-01-03\" instead of \"feature1\", \"feature2\", \"feature3\" etc.\n", "# Theres a \"trading dates\" package in R to get only the dates which are trading dates.\n", "colnames(train_val) <- getTradingDates('2010-01-01', obs = 260)\n", "colnames(train_val)[ncol(train_val)] <- \"class\"\n", "colnames(test) <- getTradingDates('2010-01-01', obs = 260)\n", "test$dataset <- \"test\"\n", "train_val$dataset <- \"train\"" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
classdatasetrow_idvariablevalue
0 train 1 2009-01-05 0.006286455
0 train 1 2009-01-06 0.004407363
0 train 1 2009-01-07 -0.038088652
0 train 1 2009-01-08 0.025285012
0 train 1 2009-01-09 -0.006578773
0 train 1 2009-01-12 0.005713677
\n" ], "text/latex": [ "\\begin{tabular}{r|lllll}\n", " class & dataset & row\\_id & variable & value\\\\\n", "\\hline\n", "\t 0 & train & 1 & 2009-01-05 & 0.006286455\\\\\n", "\t 0 & train & 1 & 2009-01-06 & 0.004407363\\\\\n", "\t 0 & train & 1 & 2009-01-07 & -0.038088652\\\\\n", "\t 0 & train & 1 & 2009-01-08 & 0.025285012\\\\\n", "\t 0 & train & 1 & 2009-01-09 & -0.006578773\\\\\n", "\t 0 & train & 1 & 2009-01-12 & 0.005713677\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| class | dataset | row_id | variable | value |\n", "|---|---|---|---|---|\n", "| 0 | train | 1 | 2009-01-05 | 0.006286455 |\n", "| 0 | train | 1 | 2009-01-06 | 0.004407363 |\n", "| 0 | train | 1 | 2009-01-07 | -0.038088652 |\n", "| 0 | train | 1 | 2009-01-08 | 0.025285012 |\n", "| 0 | train | 1 | 2009-01-09 | -0.006578773 |\n", "| 0 | train | 1 | 2009-01-12 | 0.005713677 |\n", "\n" ], "text/plain": [ " class dataset row_id variable value \n", "1 0 train 1 2009-01-05 0.006286455\n", "2 0 train 1 2009-01-06 0.004407363\n", "3 0 train 1 2009-01-07 -0.038088652\n", "4 0 train 1 2009-01-08 0.025285012\n", "5 0 train 1 2009-01-09 -0.006578773\n", "6 0 train 1 2009-01-12 0.005713677" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "
    \n", "\t
  1. 3120000
  2. \n", "\t
  3. 5
  4. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 3120000\n", "\\item 5\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 3120000\n", "2. 5\n", "\n", "\n" ], "text/plain": [ "[1] 3120000 5" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "######################################################################\n", "################# Rearrange the data #################################\n", "\n", "# I melt the data for easier analysis, now the data is in a long format.\n", "\n", "# \"Class\" corresponds to whether the asset is Synthetic or Real\n", "# \"Dataset\" tells me where the data came from\n", "# \"row_id\" - corresponds to a unique ID assigned to each asset both \"(Synthetic & Real)\"\n", "# \"Variable\" is the column names of the original dataset (feature1, feature2, ... , featureN) converted to some date\n", "# \"Value\" is the daily returns\n", "\n", "df <- train_val %>%\n", " mutate(row_id = row_number()) %>%\n", " melt(., measure.vars = 1:260) %>%\n", " arrange(row_id)\n", "\n", "head(df)\n", "dim(df)" ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAMAAADKOT/pAAAAb1BMVEUAAAAAsPYAuuAAu04A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\nQmCC", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Plot some returns - I only plot a random sample of 20 assets for each Synthetic vs Real.\n", "\n", "ret_plot0 <- df %>%\n", " filter(class == 0) %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(20) %>%\n", " unnest() %>%\n", " ggplot(aes(x = variable, y = value)) +\n", " geom_line(aes(group = factor(row_id), color = factor(row_id))) +\n", " ggtitle(\"Synthetic Financial Time Series\") +\n", " theme_classic() +\n", " theme(axis.text.x = element_blank(), legend.position = \"bottom\", legend.title = element_blank())\n", "\n", "\n", "ret_plot1 <- df %>%\n", " filter(class == 1) %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(20) %>%\n", " unnest() %>%\n", " ggplot(aes(x = variable, y = value)) +\n", " geom_line(aes(group = factor(row_id), color = factor(row_id))) +\n", " ggtitle(\"Real Financial Time Series\") +\n", " theme_classic() +\n", " theme(axis.text.x = element_blank(), legend.position = \"bottom\", legend.title = element_blank())\n", "\n", "plot_grid(ret_plot0, ret_plot1)" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAMAAADKOT/pAAAAOVBMVEUAv8QsPlBseIWCjZeS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\nSUVORK5CYII=", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# I compute the average returns and standard deviations over the period for all asssets and compare each class.\n", "\n", "ave_box <- df %>%\n", " group_by(class, row_id) %>%\n", " summarise(mean = mean(value)) %>%\n", " ggplot(aes(x = factor(class), y = mean, color = factor(class))) +\n", " geom_boxplot(show.legend = FALSE) +\n", " ggtitle(\"Syn vs Real Average Returns\") +\n", " xlab(\"Class\") +\n", " ylab(\"Average Returns\") +\n", " theme_tq()\n", "\n", "sd_box <- df %>%\n", " group_by(class, row_id) %>%\n", " summarise(sd = sd(value)) %>%\n", " ggplot(aes(x = factor(class), y = sd, color = factor(class))) +\n", " geom_boxplot(show.legend = FALSE) +\n", " ggtitle(\"Syn vs Real Standard Deviations\") +\n", " xlab(\"Class\") +\n", " ylab(\"Standard Deviation\") +\n", " theme_tq()\n", "\n", "plot_grid(ave_box, sd_box)" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [], "source": [ "# I calculate the Durbin-Watson statistic and use the \"tidy()\" function to summarise the key information from the calculation.\n", "\n", "dw_test_class_zero <- df %>%\n", " dplyr::filter(class == 0) %>%\n", " nest(-row_id) %>%\n", " mutate(dw_res = map(data, ~ broom::tidy(lmtest::dwtest(value ~ 1, data = .x)))) %>%\n", " unnest(dw_res) %>%\n", " mutate(class = \"0\")" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [], "source": [ "# Here I do the exact same thing as above but this time for the class == 1 data.\n", "\n", "dw_test_class_one <- df %>%\n", " filter(class == 1) %>%\n", " nest(-row_id) %>%\n", " mutate(dw_res = map(data, ~ broom::tidy(lmtest::dwtest(value ~ 1, data = .x)))) %>%\n", " unnest(dw_res) %>%\n", " mutate(class = \"1\")" ] }, { "cell_type": "code", "execution_count": 41, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAMAAADKOT/pAAAAOVBMVEUAv8QsPlBseIWCjZeS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\nRK5CYII=", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# I bind the rows together and plot a box-plot.\n", "\n", "rbind(dw_test_class_zero, dw_test_class_one) %>%\n", " group_by(class) %>%\n", " ggplot(aes(x = factor(class), y = statistic, color = factor(class))) +\n", " geom_boxplot(show.legend = FALSE) +\n", " ggtitle(\"Durbin Watson Box Plot Statistics\") +\n", " xlab(\"Class\") +\n", " ylab(\"Durbin Watson\") +\n", " theme_tq()" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Warning message:\n", "“Removed 18 rows containing missing values (geom_path).”Warning message:\n", "“Removed 18 rows containing missing values (geom_path).”" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAIAAAByhViMAAAACXBIWXMAABJ0AAASdAHeZh94\nAAAgAElEQVR4nOydd4AU5f3/PzNbrhcOBI4qeIA0pSNiAWtQiBo0RkWjGKPhZyWx6zearyQk\nsSvxaxSxgaKgJEhREUHq0atHLwfcHQfXb/vMPL8/np3Z2Wk7uzt3uwyf1x+wO/fsM8/Mzjz7\nnk97GEIIIAiCIAiCIGc+bKoHgCAIgiAIglgDCjsEQRAEQRCbgMIOQRAEQRDEJqCwQxAEQRAE\nsQko7BAEQRAEQWwCCjsEQRAEQRCbgMIOQRAEQRDEJqCwQxAEQRAEsQlnhbBramp66aWXLrvs\nss6dO+fm5g4cOHDixIk//vhj6+z9lVdeYRhm9uzZlvf8+OOPMwyzaNGihHvgeZ4x5Oqrr7Zk\nRy1HAmN78cUXGYaZO3eu5l+ffvpp43NC8Xg8KT8tLX1ht9oB5uXlqc+w2+3u3bv3xIkTN27c\nGG+Hiq+YvrV61GcMlp9eMxjfZYCTjxY4+UjYbPKR3rbOcTlbtPd0YOfOnWPHjq2pqcnMzOzV\nq1e3bt2OHDmya9eur7766je/+c2cOXOsnfGPHj167rnnjh8/fuHChRZ226I9A0C/fv1YVkPl\nn3vuuZbvK83p0KHDgAEDpLfNzc1HjhzJyso677zz5M00T1dr0soXdivQp08fl8slvW1ubj56\n9Oj+/fu/+uqrOXPm3HbbbSkcmw1I29OLk48ETj6pIm3vjgQhtsbn8/Xq1QsA7rvvvpqaGmn7\n8uXLe/ToAQCvvvqqtXs8cuQIAIwfP17a8vLLLwPAp59+annPW7du/fzzz0+cOJFwnxzH0cvA\n7/cbNEt+Ry1HAmN74YUXAODzzz8303jZsmUAcNFFF1mya6tonQu71Q4wNzcXAKqqqhTb6+vr\n77jjDgAoKCjw+XzmO1R8xfStZcM907D89Joh5l2Gk09McPKxzeQjvW2d47K5K3bLli379+8f\nOHDgu+++W1RUJG0fO3bsF198AQAzZ85M3eiSZdCgQbfeemunTp1ss6MESOHYUrjr1rmwU/69\nFxQUvPfeexkZGQ0NDWVlZakahl05I05vyi9CA3DywcknXlrnuGwu7Hbu3AkAF1xwgdoyPGzY\nsH79+tXX1wcCAQB49913GYZ5/PHHFc0mTZrEMMyXX34JYrTcmjVrtm/ffv3117dp06aoqOiK\nK65YuXIlbXzTTTdR98E333zDMMwf/vAHeVfr16+/6qqrCgoKioqKxowZow5H2Lhx42233VZS\nUpKfnz906NA333wzGAwa9EwDMhTe+k8++WTcuHHt2rXr3bv3pEmTVq1aleC5k6HYUczzQOE4\n7pVXXrn88ss7dOiQl5fXr1+/p5566tSpU1IDk/0YH5T6JMTcr1XonZaFCxeOGDEiOzu7f//+\njzzySHNzcygUeuqpp4YMGZKbmztgwID3339f0ZXBV6+J+Qvb5C6mTZvGMExpaemGDRuGDBni\ncDgaGho0L7CYQ929e/ftt99eUlKSnZ3du3fv3//+98ePHzd1QrXIysrq1q0bAFRVVcm3z5s3\nb/z48cXFxZ06dRo/fjz9RUHiRe/0xvyWU36X4eSDk8+ZOPkkdj0DwJtvvjlmzJi8vLxevXr9\n4x//EAShTZs2l156qfZuWtQemHLmz58PAJ06dYpp+ayqqmJZ9rzzzpNv9Pl8eXl5eXl5Xq+X\niE7VN998Mycnp6SkZOLEiX379gUAl8u1adMmQsjs2bMffPBBACgpKXnuuef+85//SJ/67W9/\n63K5aDwmtWO7XK7NmzdL+3r//ffdbjfLsn379h06dKjb7QaAMWPGNDc36/X81FNPAcA333wj\ndfLAAw8AgNPpHDZs2Pnnnw8ADMMYeIFNekMUO4p5HgghwWDwoosuAoDc3NyLL7541KhROTk5\nADB48GBpX2b6iXlQirGZ2a9V3hDN03L//fezLHvJJZdMmDAhMzMTAH75y19eeeWV+fn548eP\np2MDgAULFkj9GH/1mpi/sE3u4qWXXgKAL774on379gDAsmx9fb36AovZT2lpaUZGBgD06tWL\n/rwBQPfu3evq6gyGp+cNIYT4/f6srCyGYQ4dOiRtfOSRR+hl0K9fv379+tEfmClTpkgN0BUr\nJ97TG/NbtuQuw8knJjj52Gbykd4mcD1zHPeb3/wGANxu9/Dhw4uLiwHg7rvvLigouOSSSzQP\nyuaTncfj6dKlCwBkZmbed999paWlHMfpNabid+fOndIWegXfdddd9C39DjIzM5955hlBEAgh\nPM/fe++9APD//t//o230YuwA4C9/+QvdwvP8PffcAwBTp06lWw4fPux2u7t06bJhwwa6pby8\nnN6HTzzxhF7Pikvk66+/BoABAwYcP36cbvn8888ZhjnnnHOoMFWTzNxqfB5oFvDw4cOlm6q+\nvn7o0KEAsGLFCvP9xDwoxdjM7LdF51aWZaUtpaWlNMy5pKSkvLxc/qk77riDvjXz1auJ68I2\nsws6txYVFV177bV79+7leV59gGb6GTt2LADMnDmTvg0EAhMmTKAzl+4p1p9b6+rq7rrrLvk9\nSMRvpFOnTlu3bqVbtm3bRs/Gt99+S7egsJMT1+k18y1bcpfh5BMTnHxsM/kYCzvj6/DDDz8E\ngCFDhkiDfPPNN6mgPEuFHSFk7969I0aMAJHCwsIbb7zxzTffPHjwoKLl66+/LpdfhJBf//rX\nALB06VL6ln4H/fv3p1ceZdu2bQDwi1/8gr7VE3ZDhgyR76u0tBQAbrzxRvr27rvvBoAlS5bI\n25SXl2dmZhYUFNDdxRR2F1xwAQDIHzcJIddffz0ArF27VvPkSHOrJtLwNK9F4/Pw73//+6KL\nLlq4cKF8d3//+98B4P333zffT8yDUozNzH5bdG69/fbb5W369esHAF988YW0Ze/evQBw6aWX\n0rdmvnpNzF/YZnZB59bu3bvX19frHaCZftq1a8eybCgUkhps2LDhwQcfnDt3rt6BEHFu7dOn\nzwAZ3bt3dzqdTqfzT3/6UzAYlBpfdtllADB//nx5DwsWLACA0aNH07co7OTEdXrNfMuW3GU4\n+cQEJx/bTD7Gws7gOhQEoaSkhGGYsrIy+U7Hjx8P+sLO5jF2ANC7d+/S0tKdO3dOnz796quv\nDgQCCxYsePjhh0tKSq6//vrKykqp5U033QQA9CkNALxe7zfffNO+ffurrrpK3uH48ePl2ebt\n2rUzMwz66CDRtm1b+duffvopLy+P1m2S6Nq169ChQxsaGvbt2xez/4aGhh07dgwYMIA+IEq8\n9dZbK1asKCkpMf54v379Bqig4QV6GJ+H++67b926dfTio3i93s2bN8fVTwIHZX6/LcTIkSPl\nb2lwsXwj/eoJIfRtwl+9+Qvb/C4mTJhQUFCgt0cz/XTp0kUQhEcfffTEiRO0wfDhw9966y36\njGTM3r17d8k4evQox3E8z9PMO9qGELJx48a2bdveeOON8s9OmDDhnHPOob/BMXd0dmLm9IK5\nb9nauwwnH6vAyecMnXwMrsOKiooDBw6MGjWKRgJIUGuiHvavY0ehk8WTTz4ZCATWrl07f/78\njz76aPHixRdffPHu3buzs7MBoFu3bsOGDdu0adPRo0e7d+++cOFCr9d77733OhwOeVfdu3dP\nYAAGnwqFQkePHuV53unU/jrq6upi9n/w4EEAUE83PXr0oCnoxmzZsoVGJ5gn5nkghJSVlW3b\ntm3r1q2bN29eu3atIqI2Zj+JHZTJ/bYQNKrGzEaw4quPeWHHtYs+ffro7chkP3//+99vuumm\nGTNm/N///d/FF1982WWX3XDDDcOHDzc+CkpVVRUNi6EEAoFt27bdf//9s2bN6tix41//+lcA\nqKys9Pl8AwYMUJTyYlm2Z8+epaWlFRUVnTt3NrO7sw0zp9f81WLhXYaTj1Xg5HOGTj4JXIc9\ne/Y06NDmws7j8UD0lZ2RkTF27NixY8c++eSTl1566ZEjR2bOnPnQQw/Rv06cOHHTpk0LFix4\n5JFHaKno22+/XdEnjdmMF4NP1dXV8Tzftm3bW265RbNBmzZtYvZ/+vRpACgsLExgbIlhfB4q\nKipuuOGGTZs2AUBxcfGoUaNeeumlAwcOvPvuu+b7SeCgzO83HUj4qzd/Yce1C4MrzWQ/11xz\nTVlZ2b/+9a8FCxasWrVq1apV06ZNGzFixJw5cxRFVmOSkZExcuTIf/3rX6NHj/7vf/9L59aG\nhgYAyMvLU7enj/u1tbUo7MygeXpNfsspv8tw8kkenHwMaOXJJ+Z1SP3F6j3qYXNhN3DgwMOH\nD9fX16vPQteuXR944IGnn36aJm9TfvWrXz399NNff/315MmTlyxZ0rNnTymTqOVo3759dnZ2\ndnb2O++8k3AnVPJXVFQotlMnPcuyrVwK/J577tm0adPNN988bdq03r17041vvPFGXJ0kcFCW\n7LfVSPirN39hW3J1xdVPt27dpk+fPn369BMnTixduvSNN97YsGHDnXfeuXbt2gT2O3jwYAA4\nefIkfUsvCc0SBseOHYOzcrmCZFCcXpPfcprfZTj5mAEnn5ikw+RD+5ScyxLqLXJsHmNHbebf\nf/+95l9pcRq5kbN37979+/dfvXr1zJkz/X6/2lzXQpSUlJw4cYKmR0gIgnD33XfT/NmY9OjR\nw+FwbNmyxe/3y7e/8MILTqfzo48+snC0MQmFQsuWLSsoKPjss8+kCQ4Ampub4+on3oOyar+t\nSWJffVwXdvJXl8l+Kisrp02bJn0vnTt3vvfee9esWZOXl7d+/Xqv12t+XxJZWVkAUFdXJwgC\nAGRnZxcXFx86dEgxvdJIFFo8LIG9nLUoTi+Y+JbT/C7Dycc8OPkYkw6TDz2TpaWliop969at\nM/iUzYXdb3/7WwB47LHHqHlcTllZ2ccffwwAV1xxhXz7xIkTeZ5/7rnnACDhFeKkWdIkd999\ntyAIDz74YCgUkja+9957H330kXyLQc9ut/vWW289ffr0W2+9JW30er2ffPIJAFxyySVxjSd5\nnE6nw+GQxyI0NTXRcgDmSeCgLNlva2L+q5cT14Wd2C4SGGpmZuZzzz13//33y0NnqG2jsLCQ\nzpLxQghhWZbneeqSoMPgOI7mmkn8+c9/DoVC9LQg5tE8vTGvljS/y3DyMQlOPsakw+STn5//\ny1/+sqqqSm6trK2tnTFjhsGnbC7sbr/99muvvfb48eOjRo2aNGnS9OnTZ8+e/fbbb//ud7+j\n1YaeeOKJYcOGyT/yq1/9CgA8Hs+gQYNounhc0EyLnTt3bt261XzN6ylTpvTo0WPRokVXXXXV\n+++///333z/xxBMPPfRQXl7es88+a7Lnv/zlLxkZGU888cSDDz64aNGiefPmXXnllYcPH540\naVLMrFhrcblco0ePrq2tnTJlyoEDBw4fPvzZZ59deOGFR48eBYCVK1dK90lM4jooC/fbapj5\n6tXEdWEntosEhtqmTZuxY8cGAoErrrjiww8/XLFixaeffjpmzJjGxsbbbrstsWAAhmFojhit\n1AAAjz/+eFFR0cyZM2+++eb58+fPmzdv4sSJ77//flFR0ZNPPpnALs5m1Kc35rec5ncZTj7m\nwcnHmDSZfKZPn+50Oh977LFHH3108eLFM2fOHD16NJWqNO9TA4P6LvbA5/M9/fTTasHevn37\nl156SbOyIg20/Oc//6nYTkvOSBWJKFRjSaWPeJ6X0lUeeOAB6VOK5R8OHDgAslpNhJCKioox\nY8bIR9ijR48ffvhBaqDuWV2be9OmTQo3/8SJE+XFgRQkUyPU+Dzs27dPnrbDMMyjjz66ceNG\n+jhLq++Y6SfmQSnGZma/LVpKSnE49Mn+9OnT0hY6v8vrD8X86jWJ68KOuQtaSkpxlaovsJj9\nHD16dMiQIfIGLMveeeedgUDA4FgMir8TQm644QYAGDx4sLRl3759F154oXwvgwYN2rdvn9QA\n69jJiff0xvyWLbnLcPKJCU4+tpl8jOvYxbwOly9fLk/aHTx4MF1zbNKkSZrDZsjZUfbJ4/Gs\nWLHi0KFDHo+nU6dO3bt3HzlyJF10Rc3AgQN3795dXl5OK0rHS3V19Zdffunz+S655JK4ci8E\nQdi5c+eWLVsaGhrOP//8sWPHKqoAmOnZ5/Nt2rRpy5YteXl5gwcPpuGfKSEQCCxZsuTAgQNd\nu3YdOXIknR9XrFhRWlo6ePDga665xnxXcR2UhfttNWJ+9XqYv7AT3kW8/RBCfvrpp3379tXW\n1nbr1m3EiBHxpqSZIRQKbdu2bcuWLQAwZMiQQYMGuVwuy/dy1hLzW07zuwwnH/Pg5BMvKZl8\nGhsbV61atXv37l69eo0fP37jxo2jR49+7LHHXn31VXXjs0XYmWfbtm2DBw++/PLLV6xYkeqx\nIAiCIAhy9vLQQw/5fL733ntP7lB++eWXH3/88TfeeOPhhx9Wf8TmMXbxIgjCiy++CLHKOiMI\ngiAIgrQ0lZWVM2fOnDlzprRlz549b775ptvtpstlqUGLXYSrrrpq27ZtNTU1PXr0KCsrS8xW\njCAIgiAIYgkHDhwYMWJEXV3dRRddNHDgwPLy8tWrV3s8npdffvmPf/yj5kfQYhehffv2NKfm\n22+/RVWHIAiCIEhqKSkp2bJly3333VdTU/Ppp58eOXJk3LhxixYt0lN1gBY7BEEQBEEQ24AW\nOwRBEARBEJuAwg5BEARBEMQmoLBDEARBEASxCSjsEARBEARBbAIKOwRBEARBEJuAwg5BEARB\nEMQmoLBDEARBEASxCSjsEARBEARBbIJthV1hYWG/fv1SPQoEQZDUgHMggpyd2FbYIQiCIAiC\nnG2gsEMQBEEQBLEJKOwQBEEQBEFsAgo7BEEQBEEQm4DCDkEQBEEQxCagsEMQBEEQBLEJKOwQ\nBEEQBEFsAgo7BEEQBEEQm4DCDkEQBEEQxCY4Uz0ABEEQe0IImTNnzo8//sjz/CWXXHL33Xc7\nHA6Tbb7++utZs2ZJzRwOx9dff22yTwRBzmZQ2CEIgrQIX3zxxeLFix988EGHwzFjxgyGYSZP\nnmyyTXV19aBBg375y18m0CeCIGczKOwQBEGsh+f5xYsXT5o0adSoUQAQCARmzJhx++23Z2Zm\nmmlz8uTJPn36DBs2LN4+EQQ5y8EYOwRBEOs5fvx4XV3d0KFD6duhQ4d6vd5Dhw6ZbFNdXd2+\nffsE+kQQ5CwHLXYIgiDWU1tbCwBt27alb7Ozs7Oysurq6ky2qa6u3rRp09y5c/1+f9++fSdP\nnlxcXByzz+XLl+/evZu+LioqatEDRBAkPUFhhyAIYj2NjY1ut1ue2ZCdnd3Y2GimTWNjo9/v\nD4VCDz30kCAIc+fOffbZZ99+++2Yfa5Zs+Y///kPfV1YWOj3+1vq8BAESVdQ2CEIglhPbm5u\nMBjkeV7SYV6vNzc310yb3Nzcjz/+uKCggGEYACgpKbnnnnvWr19fUFBg3Ofvfve7m2++mb4e\nM2aMZNtDEOTsAYUdgiCI9bRp0wYA6urq2rVrBwB+v9/n89GNMduwLFtYWCg1y8vL69Chw+nT\np88991zjPouLi4uLi+nrQCDQwoeIIEg6gskTCIIg1tO9e/fCwsJt27bRt9u2bcvOzu7Vq5eZ\nNtu3b3/88cebm5vpdp/Pd+rUqa5du5rpE0GQsxy02CEIgliPw+EYN27cJ5980rFjR5ZlZ86c\nec0112RkZADAd999FwgEJkyYoNemb9++VVVVL7/88oQJEzIyMr744ov27duPGDHCoE8EQRAK\nQwhJ9RhahMLCwk6dOv3888+pHgiCIGcphJBPP/105cqVgiDQVSJYlgWAF154obGx8dVXXzVo\nU11dPXPmzLKyMoZhLrzwwsmTJ1PnrF57NTgHIsjZCQo7BEEQG4JzIIKcnWCMHYIgCIIgiE1A\nYYcgCIIgCGITUNghCIIgCILYBBR2CIIgCIIgNgGFHYIgCIIgiE1AYYcgCIIgCGITUNghCIIg\nCILYBBR2CIIgCIIgNgGFHWIZfr8/1UNAEARBELMEOCHVQ7AeFHaINUybNq2wsHD37t2pHgiC\nIAiCxGZOafmFL35XXutN9UAsBoUdkiw+n+/555//n//5n0AgMG/evFQPB0EQBEFic6TG4w/x\nVQ128zWhsEOS4ocffujfv/9LL73Uvn17lmU/++yzBQsWpHpQCIIgCBIDQui/JNUDsRgUdkhS\nTJo0qby8/OGHH96zZ8/w4cP37t172223pXpQCIIgCGIKwW66DoUdkgR+v//kyZOjR49+4403\nCgoKnnvuuaKiIr/fHwwGUz00BEEQBDGCKjoBLXYIInHs2DFCSNeuXenb8ePHX3TRRQDg9dot\nFhVBEASxJWixQ5AIx48fBwBJ2AFATk4OADQ3N6dsTAiCIAhiAjG6zm7KDoUdkjhU2HXu3Fna\nQoWdx+NJ2ZgQBEEQxASiKzbFw7AcFHZI4hw7dgy0LHYo7BAEQZAzAoyxQ5Aw1dXVa9asgWiL\nXW5uLqArFkEQBEl/CACAYLu1J1DYIQny4osvLl68GAC6dOkibUSLHYIgCHIGgRY7BAlTV1cH\nAL179+7QoYO0Md2SJxp8oWe+2nnoFApNBEEQJApiu7QJCgo7JEFoTZNVq1YxDCNtpMIufcqd\nbDpSN2dD+ZJdlakeCIIgCJKOoMUOQcJQ9ZadnS3fmG6uWHrH8vbLekIQBEGSgyo6+/0+oLBD\nEoQKu6ysLPnGdEueoGWK7PdAhiAIgiQJrjyBIFH4fL7MzEyHwyHfmG4WO7uWKUIQBEEswXa6\nDoUdkiher1fhh4W0EXaLd1Y+89VO6XY1cMUSAgu3V9R6cHFbBEGQswvq0iG2U3Yo7JAESWdh\nN2/z8Tkbyuu8QTGEQve+3X68/qHPtn6w5nDrDQ5BEARJG+zn0kFhhySI1+tVBNhB2sTYhfjw\nnSqEH8h0WwZCPAAEOdtVqEQQBEEMwRg7BIkinS12kp6Led9iEB6CIMjZjO10HQo7JCEIIT6f\nL22FHScQACBi7IRxjB3Y8YkNQRAEMYZO/BhjhyAAAH6/nxCidsXm5OQwDFNTU3Po0KEjR46k\nYmgAAIIgeWBjuGIFmwbPIgiCIMbY9cEehR2SCJrViQGAZdns7OzNmzefd955PXr0WLJkSSpG\nB5wgAAAR71s+livWdvc1giAIYgr7heI4Uz0A5IxET9gBwD/+8Y/t27fv2bPnp59+qqioaPWh\nAQAIAgAAEQ1xRjF2WMEYQRDkrISGYdtv/kdhhyQCjaKjEXUKpkyZAgCzZs366aefeJ5v7ZEB\ngMxiR32ygn7Oq12XlEEQBEHMYL/pH12xSCIYWOwodEUKjuNab0wy+HBIrPmsWPvd2giCIIgh\nmDyBIBI+nw8MhZ3T6QSAVFnseJ7a6EjM2Njwn+x2XyMIgiCmMHDpnKGgsEMSIe0tdlIdOwJY\n7gRBEARRYVePDQo7JBGosNtZ5dO7JaiwS5XFjj6BSXLOMHkCQHTdIgiCIGcPRKqJZS9Q2CGJ\nQIXdioMNh09r1yJOrSs2nDxBSMzcCKxjhyAIcjaDFjsEARCFHePK4HREU4pdsbTciVTNJFbW\nq+X3tT+UGkWLIAiCmMSudUxR2CGJEBZ2TrfeLZHi5AlBAAAhRXXsaj3BYS8te/OH/Rb2iSAI\ngrQEaLFDEAAxK5ZxZug97FBhl/rkidiuWACrYyxqPcHmAHe01mtprwiCIIiV2LWOKQo7JBH8\nfj8AMA6n3h3BsiykMMZOzIaIabFriRi7qH0jCIIgaYz9YqxR2CGJEAqFgAq7NHXFhodFdZtR\nuZNwMyv3LmZa2W2yQBAEsRMYY4cgEaiwA4crTcudREfOxSx3EjO7Ii6sFYvVTYEfyqqt6QtB\nEAQRseta4SjskEQIBoMAwLC6rtjUxtjRXF1CwiLLsLC49XWMiKXL1Lz+/b57P9p4os5nSW8I\ngiCIHIyxQxAASdg5nHoNUmuxU7hiDWPsACyPsRNTNyzBz/EAEOBst+oNgiBIShFdsXZTdijs\nkESQhJ3eHZHaOnaCWE88dlasQIwbJIC1y8+Kvdlt6kEQBEkH0GKHIACisAOHM8DxnNaCXClM\nnuAFacEJE3XsYjVIAGufAu0a3osgCJJibFrCAIUdkgiixc5196yNt7+/Xt0gha5YyQ9LSFhd\nGWXFksi/VmGtK9auqxkiCIKkA2ixQxAAWfKEJ8Ad14rrT2HyRGSVM9FoZnDbtkRpEmuLHttv\n0kEQBEkH6MyPWbEIAiBzxQIAx2vE9afQYifdpZEYu5h17CzNTKCThWWu2BZI70AQBEFawmOT\nDqCwQxJBnhXLacmmFCZPRJadILEfyGKmzSaCxckT6IpFEARpKdBihyAAMlcs6Ai7FCZPRNUl\nJgCGMXZiSysH0CKrWaBHFkEQxFLsmpqGwg5JBGlJMUg/V6xcaAqx8hhEzWdtjJ2Vrlj7PU0i\nCIKkD/abY1HYIYkQDAYZhgXWAYYWu5S4YiNZsaJe42OXO7FyAC3g10VXLIIgiMWIS4qlehxW\ng8IOSYRgMOhwhped0KxjlzblTgCMH8hiNogfa8374toY1vSGIAiCyLFfahoKOyQRgsEg63TR\n1wIhamGUHhY7EtMgF9NXmwA0CdeqEir2m3QQBEHSAcyKRZAIwWDQIQo70DLapYPFDsQCxUbl\nTlrAYkexuoQKgiAIYiUtsfJQOoDCDkkEucUOAEIqFZNKYRfJihVj7GLVsWuRlScss9gB2HHq\nQRAESQfsN7uisEMSQWGx41UWu1S6YsUsXbGMXew6dta6O62OsbPbpIMgCJIOEEtrjqYPKOyQ\nRAgGg6zDKb1VJ8am1GIXfiGtFWuUOxFWflYOwNrJwq5RIAiCIOmAtWEz6QAKOyQRlDF2KmXE\nsizDMClaK1ay2MUOoRDT3Vuijp01vYUXKLPhUyWCIEhqsd5jkw6gsEMSQRFjp1ejONXlTsLr\nNcSsY9cilecsXSsWdR2CIEhLYL9wFxR2SCKEQiGpjh0AhLRK2TmdzlQLOykrVt0QFIwAACAA\nSURBVLexYGmig7RfsE6JCTaNAkEQBEktLRGKkw6gsDuzOVrjfXHh7npvqHV2d8MNN4wdOzYU\nChFComPsNKST0+lMiStWkFnswltiPZDFXEw2TlogIcN2Uw+CIEhqsas7BIXdmc23u6tmrTmy\n4XBN6+xu+/btK1eurK6uBgDWMMYOABwOR4pi7JRLihlmxYZbWoi1T4HWFk9BEARB5KArFkkv\nqKlJyxHaIlBb3bp16wDA4XJHhqGzqliKCxSbyI0QWmCtQGvFot2mHARBkPRALJtgt1kWhd2Z\nTUuUYTMgFAoBABV2rMOoQDGkUNiJZyOyVqxBNnsLZE8QS12xLbHoGYIgCELBGDskvWjl2E8q\n7NauXQsAxkuKQeqSJ6TBmHPFWm+xs3odCwC02yEIgliNtRUM0gcUdmc2omRppeuSxsxt2bIF\nAOTJE5rJBylLnpDlTNA71mhJsRZYsMvaksJ2nXoQBEFaAUIgwBnVINaq1nVmg8LuzEZIhcUu\nGAwCABtV7iSNXLGcvNyJ+EKPlqhjZ61/PObiGQiCIIge0xaXXfTXH3wh3R8j+z02o7A702nV\nX30q7CjGK09A6rJihchgwjesQYFi0RVr/Rm0LivWmn4QBEHOQsprvXXeYJNf48fIroEuKOzO\nbFrCk6iHIAiCLA0hqo5dWsXYySx2MXVbS6zEam2BEvs9TSIIgrQaBlOoXQNdUNid2VjuSazz\nBn/z7/Ur951S/4ma37p27UrfysudaBYoTpUrVrLYSTYzwch6Zr3FztovJWb+B4IgCKKHQWyM\nWA+rtYfU0qCwO7OxvNzJ/pPN6w/VrNp/Wv0n6oft3bv3OeecA8oYuzRyxUbKnYhWM4P79gxI\nnmgBmyKCIMhZAjU7GMyg9ntsRmF3ZmP5wgk0e0hTKVJh53Q6hwwZAtF17PSyYlNb7gRMhNCJ\na8VaibXrz9pv0kEQBGk1Yk7F9ptiUdid2VheOJvmt2r2R81vLpdr2LBhoIyx03bFpsZip8qK\nBX15FHZ0Wpru3hKuWNvNPAiCIK2BEMvpYb+HZxR2ZzaWFyimwk7zQpcsdqNGjQKAjNwC6U+a\nWbHUYtf6calR5U4iYXbajcV7vgVcsZZ1R/u029SDIAjSChglT9g00AWFXbLc/M7aaYvKUrV3\nYrUn0UDYSRa76667btWqVf3G3Kj4lAKHwwEAre+NjSpQLG7Uq3gSczHZhLCyz/ACZZb0hSAI\ncpYRCbpW/6kFkufSARR2ScEJZNPRus1H61I1ALFAsWXXJY2x0zQBUoudy+ViGOaSSy5hTdSx\nAwDBWjenCeQBf0Qm8rRbq6xrDb7QH7/cXlbZmPAAMHkCQRAkTYi53DZmxSJRtIy9J54BRP0X\nN94gP/nDjT/urZa20PxWA1esyxXWc3L7tmaMndPpBNHO15rIYuwiQ9SreKLOrthSXjd/8/Fv\nd1clPABro+KI4n8EQRDENDGrItgv0AWFXVJQDZHCiyLJhROOnPYs31P9/e6T0pYQFyN5wimr\nchL5k77FrvVdsRFhB5HvRu/eVic6BEICGC4vGxMhZrBuXL3hkmIIgiCJEjObzX6TKwq7pOBT\nvSJJkguJqgVZkI9R7iRisZP3o1PHDlJhsVOvPAH62letwfwhHgC0Dsgs1mbF2s9NgCAI0moY\nRKK35tJNrQkKu6Sg8WOpdMUmJyzVFscgtdhpNZayYtV/0suKhZQmTxDZgeiWO1GZPMNRhkno\nKauzYjF5AkEQJEFi/j7b7+EZhV1StNwS8q0zAF71cZrfqumIlLJiNf6ks6QYpELYSSpTflzS\nAA+f9ox/a/W2Y/X0LVG1pNI2GVdsS2Ra2e6REkEQpDUwWlIMdP90RoPCLinoz38K9X6SXj9q\nl5J/PKhfoFjhipXD8aSssvHxeTua/BHHa6qSJ4SoOnbh11K5k10nGnadaPhJXAxXvVYgPQN6\n5VFMYWkeq7i4iN2mHgRBkFbAYHkhu9YcQGGXFKkOsRNXwUv0wuRUrthw8oTWMSlcsfJ9cgJZ\nuqvqy03HJEsYpIHFTj5GWbAdAEBVo1/eQn4CAyEeknPFWrukWJJhlAiCIGczJlyxdpteUdgl\nBbXrkNSZ7JKsXhuOsYtyxeraII1csbyg9uq2cvLEE/N2vL5sH0Rb7CQPrKKg3UlJ2IktJWiM\nXTIWO2uTJ6ztDUEQ5KzCwCynDsWxByjskiLl5U6STOpRj98gdcAgeSLEE3V6aSsnT/x3e8XS\nXVUgC/gjMrOZVGiPnquqBr/8rTp5IqkYO0vN+6JV2G5TD4IgSCsQ8/cRkyeQKASVxau1B5Bs\nuRPqyo1sockTmv0pLHbyNrwgCIJSIbVyjB0vriAWlaIrvoykyka7YlUnAAIcD7JT6vV6b7nl\nlsWLF5sfibWuWPs9TSIIgrQaYaeH5pJiqlAce4DCLilEe0/KBmBRuRNZTmisOnayGLtIG04g\naonZyjF2AgmPQTI3CjJNpChoV+sJBmXRhFEWu+gCxfv37583b96XX35pfiQWu2JtGt6LIAjS\nCsQ0f9hvdkVhlxTqwLJWJtlyJ6qs2JCJtWI1/sQL6lVr9YQdxxPNgsZJIpCwO1jqm8hD6yLB\nduF/q5sC4UaaMXbiKQgEAgDQ1NQUx1CSU9sIgiCIVRhaXlL8C95CoLBLCjEpNWUDIFH/xY06\nD5xa7DQvdIMlxfiIxS62K/bWf6+79d/rEhyxDoQA7/eEgn4A4KUYO5kpUl61mEK9sergWUXy\nBJWzjY2NcQzG0snCrpWWEARBEqCmOThrzRE6UZsilsUOY+yQKHhLo6kSgKjkVFxQy5n848FY\na8XqFCgm6mVb9LJij9V6T9T5EhuwHjwhlbMe3jXrWZCtb0Zk+coRV6y4ieZPqK30NMZOahYM\nBiFOYadVrTlxxDp2CIIgCHy19fiLC3evOXDaZPuYP4/2e2xGYZcUejF2AU6YU1reHGjxvAEx\nKzbBj/MqWRPSt9gZFygWVCPRy4rlW+A2EgSBa6z211eDfPBE3iCi9ugLWvGEqJRfOC+YRAm7\n+Fyx4T3G+wltsI4dgiCIBI0X4nizM6yB80RdzMEeoLBLCr2s2B/KTj7z9c6vt5xo8QFYEmMn\n2xLidWVErBg75Ujy8vIAoLm5WTlmwfqYhmaPFwghXAiilxSTrTwRbintuVJmsQOZJE0+xk7s\n0ypXrHV9IQiCnOHEOyXGXLwHY+yQKNRmKgp1aFKnXotiTVas7POaMXanmwOvL9vf5PWDTowd\nJxD1GhgFBQUAUF9fr2ps/X3U1NwMAAIXBJkmI6ARYyd9WdQVKzWQRh7keJAJQWqxa2hsfH3Z\nfk/QlAk2PO9YdIx2TchHEARJgHjnQoPfG/WSkvYAhV1SqIURhW5ogdRPJUlakkUNpIyxU9wJ\nS3dVvb5s376qBpDXsZM1icTYyTbqCTtBsD4qsbnZAwBCKFrYydaKFVQvwotPEAhW7SdcUBq5\nokQztVM2NzW/vmzvuoM1ZgaTZNVoVW9KqyqCIMhZjvn5NeaTNlrskCjUqaDh7apqvS2EuOtE\nkyfUFjut5Anqnw0Fo+vYyXbKR5YUi3yqsLAQABoaGlQ7bVmLnbxAcSTcThVLR7NiTx7eU/nR\nY42l86Xt/hAPMnVILXaCwJNQwGQelrVSzH5PkwiCIAkT72+ewYRsrXclfdBwqyHm0VtSTFEp\nt+Ug4d0l+HGtGDuNOnb0xuB53azYkCAtKRb5JBV2aosdT6z3K9IYOIEPEUIEgZCQn/c1yV2x\ncjMe5WSjnxBoOF0JAFzTaallMLrcCRV2ACAEvCbL71ntitWNekQQBDnbiLcWhMHvoxjLZLfp\nFS12SaGXuxB2xba8sEsyeUL98ZDWyhP0DcfprhXLRZInIhupK1ZtsQs11AQbTyU2YD08Hi8A\nACGBQIAnpObbGRXv/6GxvjaSPBERdlIsnVDnDfoa6wFA8DVJKlzhipWEXf3q2epj0UR8QLT2\n27fb1IMgCJIA8U6FMYWg/bwiKOySQtCJsdNLqrB+AMntQm2CCnAaa8VS0cZzPOjE2PGRGLsY\nFjtCoHLuc4c+eSapcauQslb9fj8vkNCpIyTk375upcwVK8XYAQC0yXYDQFWD39dMhV2jNO6A\njsWuefu3n7493cxgrLXY2W/SQRAESRLz86JBKVDR5WW3SRaFXVLwOmHySRrSzJNkUo/GkmJa\nWbHhpbo4g3InRH3zaMbYcbzA1VVwzUr/bJI0eTz0hd/v53jCNZwEgK1rfpAVKJZeEAAoLswE\ngKpGv7+pAQB4f3MkeUIrxo7yw1ezN2/eHHMw1kqxmAsdIgiCnD2IhQLMt4/R1NqS8ukACruk\n0HO2hoPSWiHGThXZFhecTh07zRg7gyXFOEFQ54toZsWerK4mPEezHCzEI1bL8/v9vuZ6IeAF\ngN0b10gHF1lSjAAAdCrIAoCqRr+vqR4ABH+TpJ/CBV/Uwo51CAI/ZcoUIdY0QFpA1qOuQxAE\nAYB40ycMhGCS2YdpCwq7pND7Cac//a2SPJGUOUed1asdYxe22EUlTyjKnWiuPJGbm6sQdsdP\nVAAAsVrYNcssds2nKujrxroavyfsopUJu4jF7mSD398kxtgRAgDLV6wIniqXH4gk7DKKew2+\n7NoNGza89957xoOxOnnCyt4QBEHOaOKdEoVYH7BfuAsKu6RQ1/hQ/7VFSbJkGrUpBjlh9YHT\nG4/U8gKhWxSSlBAiBDyVxw6DbvKERowdABQUFChcsScqTgAAEXj1GrLJILfYNZ+uAABgGAA4\ntnN9xcwpvsNbpeVn6PiK88OuWGqxI1zQ5/V5PJ4J1193evFroOWKdbXrftMfnsnKynrllVdi\njEY/pCMBqHa3XxQIgiBIKxBz6rTf7IrlTpJCzzIntJYrNskALDryn/afWrnvFMPA8j+OEbuN\nakYAGtZ9cax0FcRaUkwxksLCwvLycvmWEyfC5jSfz0fXHLMEj8xi5z1dCQDuDucFqw7sWvZl\n6HS5/8gWxcoTxYVZAFDV4Pc3h3Xn6ZqaHYf3+Xw+llSBVvJERnHvvHYdBw8evH79ep/Pl5WV\npTcYYmmxG9vNOQiCIIkTr/dUMKhjl5xlJG1Bi11S6F0xQmtdLknuSF6gmBCo84o121TJE4I3\nLIA0hR0fccVGfbCwsLCpqen6668HgO93neg+6a9bfj5A/+T3+xMbsyZyi52npgIAMrtfCACV\ne7cCANd4SrHyRGG2K8vlWDXnjaZT4fV8a2trly1bBgCCv6l+9RxPbTXdToXdbU+/lnvhNUGe\nnH/++YIg7N2712Aw1kZtWOvYRRAEOaOJt7RnzJb2m11R2CWFmFWqvC5aO3ki0Y8rRugPhR2W\nig4JIULQR19rrjzB8UTTYldUVAQA3333Hc/z7854q3z2s/Nnzwrvy1ph541Y7Hy1lQCQ2X0Q\nANAlbPmGasl+RkfIMkyHgswjK+fxobCW/XLunFmzwmNrWDPnyIq59DUVdu069wBgOF7o27cv\nAOzZs8dgMNbG4yZWFa+ssvH7n09aMwIEQZC0QXzWtSx5AoUdEoWeJ1R0xbb4AOKtwa1AEQXo\nC/LybiUEAiTopa91Vp4QNG3azz77bN++fTmOq66uLv3+vwDQXB9ebtXn8yU2Zk08zTJhV1PJ\nZmRnFJdIf+UaT0XKnQh84PhugQ/lsrw8h+Nfb7zW3Nw8cNAQ+rbu0M7woYVCAMA4nQAQ4oXz\nzz8fAMrKygwGIyQkxfR7A4C4ZeJLi8oe+HSzyaUyEARB7IqBK1bewE6gsEsK/SXFAJLQW+ZJ\n8opU/PD7OUnYRTUjhAiBsA7TK1CseSpGjhx5xRVXAMDy5cuPH4wSQ9Za7Lyixc7r9QbqTzoL\nOrCZeSOvmkBTKHhPvbS77au+q5r95H8/+zjoaQSAnLYdc/peBgC9+py/fv36e34/hTZrOrGf\nSk9qsWMdLgDgBGJG2FmdFZtIR4EQzwskZL8CTQiCnN3E66cy+JUksRqcoaCwSwq9EDf6vjWy\nYmXDSADFyP2ixU4xcgIgGFrseIFwqjp2lE6dOgHA66+/rthusbATkycOHz4scCFnQQcA+N3/\nvH7HG0tz+l4KQKqrwrF0ezevBoB1K74L+RoBoMvAi9teP7X9xP9Z8uOawYMHd+nWnTYTeO6j\njz4CSdg5XQAQ4oSePXvm5OTs2LHDYDAtEZArEFLZ4Ov/52/nlJbHbi1eEmixQxDEZsT75Byz\n2iuWO0Gi4HXUTKtlxSapIThFjJ1osVMMXCCEBL0ud8Y999xD15NQIxbAU26nwm7Tpk0OpzOr\n5zAAcJ9zLljtim1uDtero7Y0Z2FHACAAmflFzoKOAHCy4gQA1NbW7t+6DgC2bVwXaDgFAO7s\nPMbhzCoZ4c7IBICeJb0Zp9td3ItxOP/whz+MGTPm4MGDIFrsQjxhWfaCCy7Yv3+/lIerRQzL\nf1xIj6cn6v2eAHegutnMp+glwaHFDkEQexFv2LGBhc+m9Ymx3ElyCDqXDNERfC00gIT3w0f/\n8EsxdooeBQJCwNexuMsHH3wgbVTsM8hpVDYGgM6dO9MXvYeMbhh4a2bXAULQFzx1JBmL3X//\n+98dO3Y88cQTbrebbqk9fYq+CAu7gg70IARCHPntAeBkxbFgMNizZ09aVy/g91fuWA0A7twC\n+eEUFhV1mfIhm5nbJlTTsWzu0qVL6V+lGDsAGDRo0Lp163bs2DFq1CjN4YmO+ISPT9FbuCOe\nSmdzk1CrPVogCIK0KnHOaoau2Fb6pW5l0GKXFOKasOrtAK26pFiCH9fNiiXw1ltvXXzxxYcP\nHwYAQRCEoC8rJ9egq/BKXDoWOwC44LJxrnbd8i+6mXFnQnKu2BdffPH555+/9dZbw0fB87U1\np+lrWojEWdgBAAgAIeDMPwcATlWeqKmpaWhoyC1smzdkPABUbFsBAK7sfPpBSSKzWfnAsBnt\nui5ZsmTgwIH0rwwbjrEDgMGDBwPA1q1b9YaXWB6rHpJMFJ3dcXyKQ2GHIIgtMe+Kpf/qt0dh\nh0QhZgxou2Jb4Vc1SQ2hFHYRVyxZtmzZunXrrr766kAgEPT7gQiZ2VHCTnEvhDgq7LQtdhkZ\nGX0vuoJuYZxuSE7YHTp0CABWr15N3546dYrnOMbhArFSMXW/EkIIEGdBewA4VXWirq4OAPqM\nuLzwsrucTlewuQEAXNl58sORRk+jDGm5FgBgHRGL3dChQwFg48aNesMjUf8lCxEdu+r13wyg\n3yyPMXYIgtiLeN2nBmUKxFgmS8aVRqCwSwrJYKZMIwWAVlp5IjKMBFCM0CdLnvB6vQBw8ODB\nWbNm+b3NAJBpaLEL6MTYFRYWDh48+K677soQJRTjcAOAx+tNbMx1dXV0/dna2lpai6SqqgoA\nqICje6Cv6Vgc+ecAQHXFcSrsMrPz2YzsAYOH0qaunLArViGb6Jlp06YNADgcDmBZEIXdBRdc\nkJeXt3LlSr0RtsRkQQih1XNM1tChO8esWARBbEa8Rb5iFyi2XZAdCrukkFxdiisjvKhU68XY\nWZQ8IXPFejwehmEyMjKmT5/e3EAlkaErVifGDgC2bNny73//WxKRjNMFSVjsqLkOAARBOHXq\nFABUVlaCTNg5cgoYVyYAAAGBAOvOYjPzTldVUDmYkZsHAKMuC5sPFRa7SLk7EhF2brc7nIvA\nEwBwOp2jR48+fPjwsWPHNEdobR076YzSTAizMXYCxtghCGJD4p3UiH72REwv7RkKCrukUKxA\nKkFaLcZOa+/mUZY7CUXq2Hm93ozMzGt/ddvRo0fXfzMbALKycwy6CnLaMXbqfTHODADw+xIU\ndjTsj2EYADh58iREhF1H2oBmTsj36Mw/p+ZkRU1NDQBk5RQAwKhLx9I/ObLyFI0p9LujKcBu\nt5seV1A0l11++eUAoGu0szR5QrIKU61mcglaejghdMUiCGJH4nHFxmxgt3kShV1SSBeEaqmG\nRCx2O080xK0FSdQw4kWVPBGJsfN6vQLr3tP+Crfbvfm7+QCQobTYRX02xGvH2EnIhJ0LALzx\nlDshhFx77bXXXXfda6+9tmTJEgCghYKpE5b+6xAtdpKwIyT8vTgL2nOh4AeL1oBosRswaLA7\nOw8AnBFhJ36GvhUAVBa7kCjsLr74YgAoLS3VHm1k2OYPUf/YwzF2JM7kCbTYIQhiQ+JNGZTC\nlDX/FldXZwoo7JJCMp+oCr8BxPmzuvrA6QlvrZ63+Xh8A4i1WIoxyhg7mbDzeDwOdyaf0/bu\nu+8WeB4AYmTFUles0VDDLxJInvD7/d99992SJUumTp1KS65QaaVpsXO17UJfEPGOpWF227Zt\nA4CMnDwAcDicfa65I3fAlcBKC2lEySZe5op1uVz0wKR6v0OHDnU4HBs2bNAcLYnYcS2YMCIW\nu3ieFsSsWIyxQxDEVsSVPCFFwGtOnFJki82MdljHLikkT5emxS6utWLrvSEAaPCF4hqAaGay\n2GJHALxeL5tRwPHkqaeenvnBLJ4LZRq7YnndGDvFvqiw88Uj7DiOA4ChQ4c+8sgjP//8MyFk\nyJAhM2fOPHny5PLlyz/55BOGZTM693Gfc+4t48b81Pb68FGIo6EVT3xVhwAgIycfAsAy0P+6\n3zYerFE406XRa8bYhcRDyMnJ6d+///bt26urqz0eT+fOnaWKevJOkp8q5NMNlZUmv2r6yIEr\nTyAIYjPi+r0zXfgTWCbRAaUfaLFLCslip7h2Yq5hotFVQra3ZNeK1bLY+ct3hPw+r9fLujIA\noEu3bhdeeSMAZOcVyBsr9hyu/GIQYxct7ALxCDua/dqhQ4c777zzb3/72/Tp02kVlblz544b\nN87r9T4+7XVnfvviyW+/9vb/se6s8AjF8+PMbw8AwcbTAJCRnQ8ALBO+iRXO9IixTRljR0As\n6UIZMWJEIBDo0KFDz549u3TpsmDBgsiRWqempPNJiG5tHU14dMUiCGJH4jJnSFOgQbkT872d\nKaDFLilk9p6oy4JeJXGVh01AC0Jksdq4PiT7uCorNnD855OfPcNe8utgMJjtzgKAIC+MnfTw\nIX/2hRdfaWI8ZmLs3ADg9cVR7oQKO6czcrl26NABALZu3ZqXlzdv3jymy4VzP94EYhgcw0TV\noKGuWEpGdi6Al2HC2k4yaSksdny0xY6oPJu///3vjx07lpGRQQhZuHDhJ598cuONN9I/kSix\nmNRjoNz4x8dTHFEcsK1mKwRBEIppV6w0Gxs1s9lMicIuKSSLiOKiEfVWi1vs6I5NZkqqUZU7\n4b371gKAp2IfADjcGQAQ4khGTmHBRbcYu2IpBgORJBStJOz3BejbV7/fV1bZ+N5dw4zGyXFA\nY91EunTpkp+fX1xc/Pnnnw8aNGjpriq6neaBOhiGI0S6qWUl7iAjJx/AyzBhkx0R5CIsykIm\nECJzxQIABLnI4Q0fPpwuONbQ0FBYWOiVleWLqLHkJwvZAyUXV1ZsuNwJxtghCGIv4gmyM56E\nZXO1rZQdCrukEHSeBoT49VZiTlWFnSle1DF23gMbAMB78ggAsK5MAAjxAtESnZo7NRiJzBWb\nAQD+QNgVu3Lfqe3H6gVCJPeoGmqxkwu7zMzMw4cP5+bm0uA26exRo5rTwXICT0TjuyOn0Oly\nc6Eg68qgObksA3Rvqhi7yBEIQsQVK5pgNXRSVlYWAPjkSb4RK2Cyk0XkAhNPYFxZsWixQxDE\nZsRVIlQ+hRo2S2JA6QfG2CWFoPMTHq5jF5fFLiGNFm8NbgWKEdZXHOHqKgCA89QDgMMtCjvZ\nvmKMR//+UJQ7kZTQ3sUfHH970pQpUwy6VQs7ACgqKpJSFqTOqcXOyTIQzoql25k27YsBwJmV\nTwfIMAx1kvKR2155/nlCioqKHA5Hbm6uotyJHLfb7XQ65RY7nufqfpx5+r//+LnsZ4ODMoPc\n+McJcXzX4axYTJ5AEMReiPWGTU1uxja56LAZ+4DCLin0XbHUYhdPVwldV8kuKRa915qytQAA\nTPiqYFwZABDiiablSboTHLJsIoOBSNYj1pUJwJw4fhwABEE4tGIe76lf9M0ig3GqY+yUByKe\nao6nFjuGjlAaeIfuJYzDWXzFnfRYpCHLpHnUWwAQCMnIyFi0aNFrr71Gt+vV+83KypJb7E5X\nlDdu+NpT9tO8L74wOCgzyKcbMXnCFGixQxDExpitD2DYjkSaJTuetAKFXRwcrfGWVTbKt8jq\n2EVb7AAgTotdYquQ0acWvU8dr/MNfOHb+Vt0a+MpRti0dz0Ak10ygr6NWOxUokeOyxG5igzG\nL2kUxpWR1XPIzh3bFy1atG3btpC3EQBqamv0PghaMXYKVBY7lg5Y2untj0/v/dAHRUN+Qb8b\nVgyyU6TByqFC6tprrx0wYAD9G6dTwCY7O1tusQv6wyLv2LFyg4MyQ+RxEwgfzyphYsEdjLFD\nEMRWiB4kc42J8oVOM1spOxR2cfDY3G23vxe12IBxjF1cxSYSu67U/tsgJ2wtr6evD5/2NPm5\nfVVNeh+XW3QEX6P/xB53cUlGl/50i0OMsTOWmy5HxGJnlDwh+1vhZXcxDPPMM8/88MMPAADA\n+LxeuTZSoOmKlSMTdoI0pC3ldZWN4Ui+jJx8WvQk4hFWjQqizfvyP5GwK1b78LKzs+UWOy4U\nzgupOFGhN2CTRM48kbJi43DF4pJiCILYjPjq2MXQc+EXaLE7e/EEuCZ/VAHhSIHiaBcZNZQk\nkDzR3FAf35hUrtgP1x656V9rNh+tA7HgsNH6rbK/eQ9uBCJkl4zMKCqmW1h32BVLVPJR/tak\nxU4+DHeH826aeMuOHTumTZsGDJNR3AsA6FqumsQWdmLvVKpS7/C6gzUn6sJ6i5odpXQKyWKn\niJKUD1Iu7KRVHzTFelZWllyVhgJhYXfiRHzriGgQucCUfnNjhHjMe3Kemr/jsw3JGhoRBEFa\nCCL7Nyamn4RtpexQ2MWBQAgnRAWc6S0pZuwh1YQXSPOuH57+1cg//vGPULlQPwAAIABJREFU\nAVEZmBmSYkenmgIA0OTnAMAb5MHQIyxZ7PzlOxpWzwGArJKROe3CS3LR9NUQL4TX2tPpxy0T\ndgZ3m+JsPPXcn10uV0NDQ9F5g9wdzwOA06dP6302doyd2LcYY6e8sAVCI+4I/cpYBhhg5Acl\nRisqxVz4tejS1MyfoK7YRTsrx72xqtYTDAUlYXdCb8AmibhiCYnTYpdIjJ0vxH++8djXW5Md\nNoIgSAsRV81XvfXcwxuj+7QNKOziQJ1pqHfRSFmxwWCwqUnXE6ronKuvIkR49dVXR4wY8fPP\nphIq1T/zngAHoqmGriRhcANIwrRp8zdcw8ms80a42/fIbd+ZOiqlGDvjhF1nlCtWd18K61GP\n88773e9+BwCdR01gM/PB0GIXf4ydsnKKIBBCaHU6AABGtNjxEWlOxav2gCWLrKZUysrKCoVC\nGw+eLqtsPHiqmROFXXNTU0NDg96YzSDzxIbHYzJqTlytOL4YO3pta4pXBEGQ9MGkErMkx+KM\nA4VdHFCTyammwH0fb9p4pBZkxjDFz72UFXvTTTf179/fjAWOEEK4IACMGjVqx44d1113HVUz\nMT4l2x2lOcBJW7zBiMjTRJIpXMNJxuFsP/E5AMjKynLmtwUANizsiE6iePiFaVesUvv+85//\nXLRoUfsLx7LZMYSdeVdsSNdiB0R0XENUHTvl4WgOWGqmZ7EDgIDfCwBBTggFaWAfAwAzZsyg\n3/7SpUuNlKtAnluwa9X+U3pjIOKSYnFZ7OKNsaOF+jAyD0GQtCUuDSZ/Njb4s82mPBR2cUD1\nzbbj9d//fHL+5uMQpZmiY+wIAICv8fTSpUuPHTv25ZdfxuxcIIRwIQB47bXX7r333qNHj86f\nPz/2pwQ6sMgWT5CThuoPxoqxIxFh58g/hxY6yXA63G06gcwVKxay0+5E7oo1uD0UikggJCcn\n57rrriPAOLLyIDlXrHSMVJq4VBY7noSJWOyAAZXNNcoVKxuwtF1T9NAaxcGAHwACnEBdsY68\ntgDw7LPPDh48+MUXXxw3btyll146e/ZsTZV8vM776fqj87cofaAaFrt4HkDjjbGjFrsghxY7\nBEHSFDE0KI7Geu1lyRO2knYo7OKA/kzSn72fKxtBZijSzIo9tWOVIAgA8MEHH8TunADhgwCQ\nmZn56KOPAsBHH30U81OKhbBAjK6j4/KGkydiWOyEgEfwNzsLOtKNGU7W2aYYInXsBOPoBJdT\nJuxMx9jJt8d0xca02PFClPCSe4el4cpj1CThp3iekw9RHpsoCSRN56ZosfMDtdgFAgCQ3eui\nCy4c9Otf/3rPnj0vvPACAJSVlU2aNOmbb75R90AvKnW2jTwphzPW19HQYcYbY0fbB9EViyBI\n2hL2vJj0XZjrEoXdWQv9vaPOuD1VTRxPFEvIS9CrpGbnSoZhMjMzjxw5ErNzQXTFZmZmDhgw\noGvXruvXr495tYk/9ZFm4Ri7sCuWxtjpHxFPAICrrwIAZ2EHAGAYcDvZ7JKRAwYMyGp/Lsiz\nYnU6ciUUYyf3gVJXrIHFznyMXTh5glVe2HR9MSnGTsqKlTnTjWLspP7ly8VKUGEXDPgAIMAJ\ntNxJZvcLf1xTOnfu3O+//75///5Tp0595513AGDZsmUaB8hrW+NkRZhIAkuK8XFKNHr2MMYO\nQZB0J87gOc3m0kabVfxEYRcHVNlQ40qQEw6cahaiZYGsJfCe+qajO4cNG9a1a9fGxkZ1b8rO\nhbArlvr1Ro4cWVdXt3//fjNDkv/Ye6j7VYi4Yg38cVTWcA0nAcBZ0AEAXA6WZZiskpE7d+50\n57cDWR07vV7kMXbmLXaRtVyAmHTFmkqekJU7kRNetkEsWcwwdFUxWfIEdWrrDFh6qSl66FcW\nCrtieZo8wTjdtIcrr7xy165dr7zyyl133eV2u5cvX15XV1dXVxd1gLwAWtJZblCkXmYzLgPF\n2TAPJ7NJJwYvEPTkIgjScsQ1qcmfja3qM/1BYRcHAon62fu5olFv5QmBEO++tUQQbr755vz8\nfDOJsQIByWIHACNGjACA0tLSmJ+C6EvWE4i4YmlWrEG5EypruIZqkAk7hpEOh0DUWrHanZhN\nnlCUApYZOx157fLyC5YsWaLnjTUfYxfiIgWKoxsQgdrsJItdeBgKi11kkJoWO83FJ0RXrA/C\nyROSsFM2Gzly5K5du4qKioqKih5//PHIAeokRkRssSRsMDYj1aSnz3hj7Gj7ZCx2f/py++X/\n/NFebg0EQdIIYmho0Gwc868YY3f2QhWSFIH0c2Wj9MO5eUPpsmXLli1bNnPmzKVLlwoEvHtW\nA8DNN9+cl5cXDAb9fn/szvmIsLv44osBYMmSJcafUjsQm/3yrNgY5U6oEYj31AGAI7cIADKc\nLMvQAm/hbjmeaMoDqVu5ijJy++rH2DEO16T7H66vr//rX/+q2SbeAsVqVywhUTX/GNVaseq3\n8tcRi51OuRMQ6xIHOEG02GmM9umnn7766qvHjBkDAPKKNlSPqruOdg1rW/XUSJNU/MkTAgCE\ntNzNJimv9VY2+BMojIwgCGIG0dBgapKJxPzEaGarKUvXBIKooV+9zGLXUJSTAQD+8p03X/e0\nvOWg6yb5j+3K6tSrZ8+e+fn5ANDY2EgVm0Hn1BVLm40aNap3797z5s0bMWLE5MmTaSd6yH/I\n/VzEFRu22On8ykrSTfA2AIAjuwDCrtioPoNi8oTepZ/AyhPylvT/Gyfdt/CzWTNmzHj44Ye7\nd+/e6Au5nWymy0HbxFPHjpY70XbFCuIhM8CwWnXs5Le/ekkxMCx3Egz4wAFBTuCCfgBgnBnq\nszFu3Lhx48Z5vd6cnBy51hfdrMqeIyEgJBzQaWY5E2P7ogF0FwGej+tT6l0LhIhrtiEIgliP\nNRa7SLMkh5NeoMUuDgSVxS4cot5cAwDXXnvtk08++corr2RkZGxb/CkQIe/8SwCAarKY3lhC\niMAFGIZ1u90AwLLs1KlTQ6HQY4891q9fv3nz5hkMSbomvcGwXYz+5zMsdyIJFz5K2DFU2RHR\nCxjiYzzMuE3G2OknTwAA63K/+OKLgUDg+eefB4BrX//pD59ukRqbz4rldAoUizF2RMqKZRjN\nlSc0+pSPNqQVQEaFHRe22PFcMAgAjNOldzaodpcLO5qToZ6D5PK3uaG2ZulbNYdjV66OjDYh\nix3HJ54iFl5Mz2bTJIIgaUNcs4tBpVL5RpvNWCjs4kBe7gQA6r2hY7VeABD8zQAwadKk6dOn\nT506tU+fPrRBZqc+IAq7mPkTPCGECzndbmnLfffdt3Llyqeeeqq6uvqWW26ZOnWq+lMkWo7Q\n6sQQHWOn9yvNiaFYgrcBGJbNzAMAt1i7hK7BBQAhLlbyhLzcif5zlDJ5IlJeiAAAx5Pf/va3\n/fv3nz179t59+yob/NVNEd2jiLFbe7Dm843HojuPOih1gWJFKWkxKTbyQfUxRhcoFi12+q5Y\nRVYs48zQO2csy7rdbp/PFzlAXjsxQtrgbW78atofmrd/u/OrtyorK995553PPvtMs3N5P3G7\nYqPrPCcAH0/4C4IgSLyIrlhTjWWTqtEHbPYsisIuDuivHv3Ny3I5AGDvySYAEAJeACgoKKDN\n+vXrR184z+kOAHl5eWBC2NHkCZc7Q9rCsuxll132t7/9bevWrR07dpw5cyav8pEp1or1SMJO\nIBBr5QlJ6/DeBkdWPo07czvEGDvxPghGyp1oj1xuHjMQEjyJRLbJexNXNRUcDsfkyZMFQVi3\nvhSi70KFxe71Zfue+WqndjkSHYsdteTR44ColSei9KWexU7azmkVKKYWu5Ak7ALhGDuDFPrM\nzEwNV6xGewIAQtD3ztP3Vh/ewzjdNQd3dO/efcqUKbfffvtrr72m2bkQbb80j3TICZeyi2t5\nDARBkHiJK3kiRldiNzabslDYxQH90QpwAgD0Lc4Hqa5swAMAhYWFtFnfvn0BwJFbxGTlg3lX\nrECADzplwk6if//+11xzTWNj4/bt2xV/UggpmcXOhCtW/NXnfQ20khwAuJ2RGDtx9QJB86KX\ntrmjChTrW+wE4lYZ0qThUQlCjZ1le/Yphq2IseN4oiiaHHEr6y4pFtZtksVO83DkFkdti51B\nuZNgAACCURY7XRTCLuyKVU1WVOrVfvv2kZ+3nTfy6nNufJph2PPPP3/atGkdOnT44x//+O67\n79bW1q5cuVL+qYhkj7M6k3R0CVvszIQAIgiCJIxosTM11SiCufWbJTmo9AKFXRzI198c0DmS\nzUCFncJi5z7nXPqrqueK9Xg8is4JF9IUdgBw6aWXAsALL7xw9OjRqD8YumK9QaOVJ3hCeG/D\nif+7lwT9jqzw4bgcrBh8Fm4WimTFRvtSI1mx8uQJzV0BAPACcTtZRmPJB2qxiwi7ffv2RrVQ\nWexIxPQm7VcSXgS0lhSj/ROIxNgpovvVMXaClkVQU/Hk5OQAwOb/zApWHQjKsmIN5gqFsBPr\n2Cmb0Q3+8p25hW0vv/8vWecN/8XL3+/YseOZZ5757rvv2rRpM2XKlO7du48ZM2bXrl2RT0Uc\n0wm6YhOuRcerDJ8IgiCpQvZDY/hXe81ZKOzigP66B0I8AHRpk52fJeqMaIvdiBEjHC53ZrcL\nBEII0XbFrl69uqCgQL7UGHXFOl1u0IIKu4ULF77++uvy7VTiSLLDKwo7asHyGy4pxgskcGwX\nrU7MZodVqUZWLCeA1tp8ktErukCxvsWOEAfLSIVIFA9SVNmce+65brf7wP79EO0JVcTYhZN5\nZfuSlSMRAMChkRUbLkBMRIudQvupC8fIVZH0SnOt2OHDh19xxRUBb5P/2C5/iOdCAWBYhnUa\nmPezsrK0smKV7Qkhgr+Zb64tPrcXsA4AALGKygUXXLB06dJevXo5HA6ILu8cyYpNqI4dJCHs\nwrEBNnv+RRAkfVA+1xth0sdqL12Hwi4e5BLEwTLUGwsAgj/KYtetW7fb3lqWf9HNAMAToumK\n/fOf/8zz/L59+6QtNHnCpWOx69Onz8cff8wwzLc/ldKUiPCuoyUONdHRLbxAApxe5BYAFXYV\ne+hrh0zYMdF17EJC+GdaceVr1rEz+EEXCGEZRmosNSQyV6zT6ezZs+ehg/sVN5rCFUuiewBV\nVqxLVcdOirGTZcUqDof2SUBcuCLaFSv1o3E2CwoK/vd//xcA+MZTQV7ggwHG5QbDySIzM1Oe\nPEFdsZrlTkKnywGg47m91MuODR8+fM+ePX/6058AwOv1ao02TotdxBWb4DynXsADQRDEQtQe\nGwNiZMVGmtlq0kJhFwfycicMQMf8sAgTAl6WZalljsK6xD8JRO2KPXLkyPLly0Gm9h6bu+27\nXZVE4Jxu3Vp3d955Z9sOnfb+vGvZzyeljYqQf/nKp35R/+lVBuYFEqjYGx6wKOwynEqLXYgT\nxL1o92PaYgcsw0iNZUv4RVyxANCvXz+vx9O48T/yO03pilWNR151D2IsKQYAwETyYqNGTv9K\nPx4l4QyzYgGgW7duAMA1VgdCAhcKMg43GE4WmZmZgUBAOgROp/gwIRCqOQYAHbqdF7YpqgZA\nUzeihR0RjzrOtWKTT56IzuZBEASxFjGZz9wkE/1Do/pr+H+b+RhQ2JlFCFf/EL1UDBRmh92m\nQqA5JzePZeVVP8LwhKhdsXv3huUU3egN8l9vPXHsVD0AyMudqOla0lfwN504Hqn0obClRRSe\nQCTrnd4NEAgEg1UH2IxsYBj3OefSjS4HQ+PgpOMN6aw8IWE+xs7BMlLpYBJ9R0m1V1566aX8\nwjb1qz4RVK5YmbCLOmpQleTVKFAcTqQikbVioxvItYiTjVpGVn5cmnXsAKBTp06sw8k1ngpw\nPB8KsDoudYnMzExCSCAQkHerPnsCIdRi16F7CaeTcEoj/KKEXfQ6HOZJ3hUrCWgEQZCUY3IK\nxBi7sxTJ9kH9myzDFIoxdkLAm5sXtTJEpOytaLH7+uuv165dSzcePHiQvqAWO2pao8tOOF1G\nqZRde/UDgEN7IyVqFbYr6YeZEIhY7HQu7Z07dxAumN1ndLfH5mX3GU030rViaQ9EsVZs9Mel\nt9GuWH2LnUBYhok4SWWnCGROw759+w4YNJSEAkFPg/RZRYydOh5O4XyUa016PJLgoC1ZpcFO\nSp7Qc8VKFjttxcOybE5Re66hOpw84YjtigVZjWK9tWJBLB9d0LaDtHiGAmqxk+fiJO6KTbqO\nnYDCDkGQlkTz90gP46zYSDnV5IeVTqCwM4siL5IBmcXO35yXXxDdOPyCFwiNvTt27JhUUfbA\ngQP0RbSwCwKAXlYspaBtewBoqK+RtoQvcZUrlheIFIqn98iydfNGAMjodD4jU5Nu1VqxIV4g\nKiElR7OIiRqeEJaN2NIiVkYI70VqWdS+GAACDZFsAJ0Yu8iAJPFKFZLcFUtfSxKHFy12Cuif\naTc0w0On3InuDJDTtljwNfp8Xj4UZJ1uxQgV0AopEWGnlxVLwheGw+XWKxFn4IqNOys26XIn\nkmU0sY8jCIIYY/x7pGxsrk+bRY+gsDNLdJYosAxTmO0CAMJzhAsqLHZSY4FAcXExXSarsrKS\nbpQsdtQV6w8JIAk7Qxeew+UGgFAgqNiRosouhGPsBHkbNVs2bQCAjE595BvdYvKEVCguxJOw\nGUZnVKbXiiUOhnFGYuxAPma5WbHonI4A4G84JW1RuGLVJkSFK9alEnaR6EOBUOWqcMbScDQi\n/4h2gWJdxZPbtiMALH9pUijgY5xu0JfUoLbYcToxdkAIH1b8nM630CIxduiKRRAkjTE5x8hi\nfow+kXCM3YbDtbtONMRu17qgsDMLHy3sGAaosBMCzQCQW6BtsaMX03PPPccwTFVVFd148ODB\nnJycNm3aUIudz7TFjnW6ACAYDAs7yagmXZOS6hBkrlhF7Ym6uroZM2b4fL5tmzay7ixXu27y\nv8oLFIeXFOO1i1dIt4k8oM3g3uEFwrKMusKcGGMX+WR+uw4QbbFTxtipBiREfIgEABwyrSmp\ntFHlO0Ye2yUQEh5C9EDCabOEgBhjF6/FrtMFlziyCzi/NyM7N6P7BXrNKFTYSYmxIR3RJhDR\nR+/OkJa7VbTRirETDypui514kSfsiiVGzwAIgqSEn/admjJ7i7yiwplLXMkTxs0isi9RZffA\np5ufmL8jsc+2HM5UD+CMQboCwlmxDLTJdgOA/+gOAMgvKIxuHHGJAoDb7S4qKqIWu4MHDx44\ncKBv3751dXVRrlg+trBzuKiwC0fcy5ZbDTcQoix22q7YDz/8cOrUqaFQ6NjRwxndLgAmSty7\nxCXFIukCvEBAW1JIH2EYjdpyCgQCLBNZWFZhZZT7/graagu7SB071XHJRwtaFjtOIG//5x8e\nd+bvR1zMMKryxJEKxgCiVJUHqJHo/jXpNvSKo/kDiwuy+nXK+6GsGgzPhtJixwugFQ1JCKGK\nn424YpVdacXYSfbLOIVdtD5OACHWZYAgSOuzdHfV4p2VD44t6dcpP3br9EblsDHCuNxJpM9E\np6wAJwRCCT4GtxxosTOLImGQYZiCLBcIfO3Stxine+Kke+WN1UqrY8eOVVVVgiDccccdgUDg\noYceysvLo65YX1TyhKEr1uEGgJBosYtcsmID6bFDIPIYu6hLtqKiAgA++OADQojCDwsA7sgy\nq0SypWlb7MTdMkwkps3YYudgGKeqpdpil1N0DgAE9WPsxOSJyEf4iJRRLinmYBgAyG1qaOut\nzw36eF6gQ1BkT3AyH2KmywFilox8j2DoiqXjCfKm/J/arlh1nwCECzKsk2UdehY7tSuWj36u\nMI+Um5x4jB2PrlgESTtiPnifQcR1EMaHTFQv4h8MScOzisLOLIpKaQxAYbaLazotBH1ZJSPO\nHzhIs7H0y1pcXOz1el955ZXS0tKrr5swefLkvLw8j8cjCII8ecJhmBVLY+wkV6x0MRKZnpP2\nqxdjRz3CO3fuBFWAHQC4HOF80ags4LDpW3dgLlYZOaeGEOJgI3XsJIESrmMnUxK5bdoDQPWm\nxTQ2EXTKncj3JfVGfZpOlcWuc9VhAMjgggIBTYsdHx4AAYAslwMg4ssG2W1vYMoSFyYRIpZU\n/dOhmRWrWceO8CHG6SKE0GtJrbjUwk7aLxdnjJ1VK0/YrHYAgpzphEOZbXJjxvH0aNxKFpWe\n4FAEko7PsSjszCL9RErJE/mZLr7hJAA4CzooLotImqr4h44dOwLA888/73BnVvS9leNJfn4+\nIaS5uTmcPMGbSJ5wukBcbB6iHa8AUF9fL6kOQsCnU+7k5MlwfWOGYdxqi518STFqS+MF4ycS\nlpFXp9NtyQuEkRUojjwqhfcS+aAzO9+R0wYA3n33XSrpVK5YIv2rOEZ1HTsq8rpUHYWwsCPh\nEDtFjJ2xxU58qVfuBMSpIcBFzpbBZKHMitWpY0ddsYwzA4i0DKuyEY2xa2iMLG2SxMoTUU8v\nCYBrxSJIGhIOX0k7n2EiRGwCJjAOnos4uxKds9Bid2ajCJ+n/sf/z953B8hR3Fm/6jBpd3Y2\naRVWEpJQRgGBwEiAyBiDwVjkZGPMYR/YHyAbg40xwdzZPgRHMMfhM8bYGB8YTAYhQIgghHIC\nZa3SanOcPNOhvj+qu6e6e2a0u9qRVrp5f0iz3dXdVdXp9fslOd4KQAoNcbxuddd3wNChQwGk\nUqkRZ10XlcpX7e6wEhcbFV1TcQAefyBPHwSD2JnBE0Bix8o9D138wU9OJ4RUVFS88Miv2CpN\nz+ljZ8VwzDhxthiwuQYCkCVBMEIHrDx2xmAcY7T+IlxgbH4fO1Eg35gypLrUy7c0kqpwvUyp\n+tDvPV45/azW1tb3338fOaJi+UNxPnZMsctc2IQQQjCiZQ8ASdeIpgpZFTvOx85N7DIlIvan\n2CkcD85zuzuCJ1SdQtdiHU2OZizdCZFkavYwV7qTTzbts2S2vqc7OXDFLndCviKKOLSIpdXF\nW1r/b16bxvfzkcHsAPT+63F/URR9vywGoA5aJHY9hSMqlpEDKdYGQCrPqdjxPnYAJk2aNHj2\nJQA+2tJq1ZBlDExp3Q2geviYPH0Q7VGxOqXplh1UVwODRpx22mkVFRVfvPdPtbs5vPyf7/35\nkUfu+H7D//wwsuoNPYdi962rv+c+hGy6y2UqbZhMJdfFSwjJ+Njl7jxLd/Ld2aMunlEL1xTx\njmkpVRNLKqqO/waAF154oauri1W4t4id+zBcVKxTsSMEAiEjW/YYA0wlSTYfO8Wm2Amwm2Id\nhvj8sBhhnoeFwxQb7e6s/6/rF9932dNPP803owBVFSJ5LFOsm6r5/X4QoqSS0ZRq9tZY1Vsf\nO+3Afez6KSpW1enlTy99dsmuA95TEUUY+Mvnu69/dvnK3R2HuiOHAObH+SHuRr+gV4PoyWc2\nDsAUSwekDlokdj0FnyIOpt4zpSwFQAoNdlw2bh+7OXPmjB49+umnn05TAcDKXYZiF4lEmM00\n3boTwOBR4/P0QZBlAKrCpTtRFQDjLv5/ixcvvummm9LJRPMLd3Z+9KdPX/7jhs8XKR31kdVv\n8/KJqqptbW1jxoz5zW9+c8o557sPYSUozmyi6WZ4efZeEZJRyPLcHjqlBqNinTeHwMD7rjFi\nFBg5ZcSIEa+99toVV1yxfv16ZAmeyOxcs2tUvI8dIRAJjtm7if0ppdNMkszqY8fOst+t2Jk/\n8gRPWOTSYoSOyXj3y6ZjH1i4sy0GF7HbuvQ9LdYJYPfu3fwmlFKqpYmYUezcuX8FQRAlD1VS\nFunsFQ3lkYmK7ZNiZ30MHLhi1xVPL9/ZsWR72/6bFlFEz8CetMkBmfLj/Y3N6+sLmA7NnS70\ncEcPR2I9irI+k/Kv7eH+B6BLcZHY9RROVk4AoLVhDyGCFKpx3C8ZYmf+mDlzZl1d3amnnsoE\nv5Sqc6ZYHUC6ZZcYCJVUDMrTB1bPQMkQOyMXBpE9AK655hpCBDXcJlUMu+7Xz9z118X+0ccp\nHftWPPGjjRuNKmStra26rk+dOvWuu+6iEN2H8JglxfgExVkvXGsR4aqK5brE2SufCXtmyTKb\nzY4nTCx6XAe56qqrYrHYwoULAYiiaGlsBinkbm3Oq0yHg9gB49r3huJGrV45nSLWCg4qp4eZ\nplibYsdUwLzBE8YPK2zFMRlbmsJdcWVXexZit2PpAvaDj4GAkccuTSQPpfly/0pev64kFZcp\nNpJUcvU2Kw7Qx66HmQV6glx25yKK6DN6VbHgIOPmv63+9Vsb99+ur2CDHoBGwz7AUUgzP3r4\nDCn62P0fheOWEAj5+OOPly9fPmzMBCLKjjNr/eX23GSvTAowU2x3d3dC0To/ekaLtMmDRuW/\nWAVRBqByPnYGsRM9AKZOnXrxv/4CIOUnXzlyyomesmrfqGMBdO/6ctGiRWwT5mA3ePBguC5l\nxrr4PHaOBMU9MsXmaMRoschJZQ4VkPcGY4yKUnr11VdbCzN22GyKnSNBMZ/uhBByQv1GABoR\nAMjppKPyBKOLvB7m97CoWN7HDl5RRF4bpTWfGcUum1ciOxBP7LZv395W96VYWgl7OjoAaSUF\nSonkoXm5jujxUjVlzaE1l7GU1quHTiYqtk957KyzcOAPOkdJlSKKOHAM2ItJp1TR9D5HLPUE\nvSJDAxy9kh3zN3Y7TfWhMwNwTovErqdwiNhNe3ddccUVAC798a/guiy4yhO2nVBqVQWlU6ZM\nAfDyyy+3tTSHl78KwD/m+Px9YMETlilW1ynVFABEMkjPrIuuHTnvHyXHnKlTmkhr/qNPZLWt\nWluN8lzMwY45/Dk86xnr8kiCpaix9VY0gPOhYP5FCLjsdNkvckaLDUbFmXqtm0J1mWJ1iunT\npx9zzDFsoY3YuR6AmVqxLsVOIJixdyOADUPHAZCUlCMe1spgbA3KJwkAUnYfO48kIG/whDX0\nVA47pulNSGFGxT7zzDMbN27829/+BkpLp54Dl2KXTqZgnl/m+JxajBoIAAAgAElEQVT1OSXK\nPqqkLNLJpzOMpXpheLJ8q/NYnPOgH/UAdvwj4jVUxEABzfuBeghhRoMVsGvmV2XhjnCw0WNT\nrPm1mXc3fTfFoqjYHc6weXRF2u/716uam5vnz58/dupMuC4LK5GYgw6qZsSkTnHeeedNnjz5\nH//4x1dLPwIQnHlR2Ylz818gRlSskklQbJYl8JpLKJF9rD9JRZOrhg++6t8BtLS0sAas+sWw\nYcPgKiQqGYodySh2VlRs3m4J2SrAOsDmgTfFmpJMZmasxgYxogBw++23jxgxAnZiZ+Qx4v52\n1FqwKXYgx+/9Ku7xrxk6AYCspEx+aTTwiAKwn6hYncLLiF2+dCcuxc7egHJTwRS7jRs3Xn75\n5X/9618F2VMy5Uy4FLs4C5sVM8ETWU+G4PFSJWWZifkHTTjRC2tsxhSr6qlUaufOnT3fFnZC\n2asN3cgVKVJEEX3GgL2a9NxeFv0F/uFzuIONoYfTxfmHZNmA5l3bo84U89gd1sgE16STzS/d\n09Kw9+6777799tsFYlvraOxYnubiJQkhd955p6Zpi57/TwCeQaOwv4vVUG4ypljDxw6iGVXA\nEUrmKewNDQKn2DFix3KvOL7eGBmyfOwyKX8zJcVydszyscv1RqeGYgeYip1pirWZUBmYVMbk\nn+9///t79uypqKjweDzc3pzHyqQ70Z2KXXWkfXhn45raiTGPH0AgEfvG+kWIxawmLFeLwgVP\n+DyuBMWmYpfHRukmdo7Z4E2xNTU1AKqrq7/66qsdO3YMmjxbKq2CS7FLpZIAbKbYbMRS9Pip\npiRTzpIkAMI53Ox+/etfz5w5M5PsGoA93cmdd945ceLEXbt25RqvG1r/EbtiouMi+h0D1sfu\nIDge0MIf4qChV4+FHlpKD4TxDsBZLRK7nsIyM8W2LlHa9pz1rcsffPBBAERgNMX+Cre2sl8v\nXCIMALjqqquOOuqoZKQbgFw1Avt76DhMsdSMimU+duDzXFCj8kRpeSU4xY7VEzMVO9vBJNMU\nm6kVaxKR/N95hMsbl6v/bA9sz0JWxU5zKnb8lD744IN33XWX9WcWHzunYpchdlN3bgCwsnZy\nUvYA+PqXH//6H7/BY49ZFmHW2KbYSVkUO0bs8oSLWt3holOzNGDkafr06atXr167dm1paSmA\nmuPOJrIXhDgUu5RlijUTFGf3sZO9ALojUcdsAIgkVQDPLtl1ziMfx9NaU1PTJ5988tRTTz3w\nwK9XrVq1o66O3w/nY6fv3LkznU6/9tprucbrhnWPHPhzruhjd0jw/sbmCb98d8O+AoZnHnIM\nQJ8ozf4wLBx6m9hyIKOHDwfOiy7L2nhTXeeiP1Il1bdHDe9dM6BQJHY9hWVdTdatBHDRNTey\nP/nkHRbc6U4YFHtCClmW582bx5YwYrc/ECJIqmpoMJSCqikAMH3sMm9WaiQoLvX7RV+JQ7HL\naorlgidsQ4AZpup4IFp/CiRDpHI9NNk08JmBqb09/8RJu8ow3Hzzzbfeeit3aPv/LomUT1A8\nddcGAMuHT06JHgDDupoB4PXXLerHTLF85YkAVQlxKnas0G1eU6zVOLMV38D4aDbbzZgxo7a2\n9re//e0FF1xQNu5EECJIntyKHc0TPCEFygC0t7cbPdEpTCWyO6EAWLW7c1tL9PTT5gwdOvS0\n0067+eab2VW0adsufj98rdiOjg4Ab775Zq7xZpkBc27y1FLrIYqm2EOCnW2xlKrvbo/vv+nh\nCMNKcKi74cJBcP7jzQX/p5CfsbWt+SC84rXUvk19mxjLsWqgoUjsegrDBEf1xM41Ymnl2IlT\n2HJi6Vscctn1M6ZYc8mNN97oDZZLZYMEXyn29zWpU0CUtIyPnRk8YSl2XFxkQtE8kiCJRCop\n5xU7URSZHdDx9cbIkEcSLFOptT5ruJY1Mj4qNtclzu4u08eON8UaDfjOJFlUbO6pcN+rjgeW\nbFPsvtQEcc2wCUyxGxTpAIAVK4KdBtmVOB87ndLxbXsuO+uYyzYu5ketUwgCEQWSJ93JftmM\n8Wy1d/6WW2556623VCoAED0+h2KXTCQBENGjmelnsh5DLi0H0NJipJ5mhygPyDAVO0op1dVV\ny78YPHjwvHnznnzyyQlnXAJg7949/H4sHztFo4zYffrpp5FIBD1Dfyp2nIBaxEHDkW0BH7Cj\n0nT707AAOAjxGQcN1FQFetE4BzQ1BUCNtPXt6jA6MvBmtUjsegqjYFTHPj0Z9Y2cZmXxFewe\naQyZClT2l707hWwgEDj51t/XXHa/ueV++kBEOWOKBaiahiBS4qzoxUqK+WRREgSpJNTZ2cmq\ncjU0NNTU1LCiqw56xFQ3S7HjR5TKUcnUwn5LihmmWFu6E5t8xZtijcKpuQO4jO8k3bnEgkU0\nS9LJMY07tgwbF5d9TLEbHGljG0xY8bHZeZagztjd2Pa9Qjp9fOMWh2JHCGRR6Em6k1y9Mkaa\nbR6Za6Age3ModjKXyiTL5nJpJYA2k76zJiG/DNPHTqdUi3bquj5nzpyHH3745ptvHjb1ZAD1\n9Xuz9i2tGoqdoiiffvppriE74CgTdyA4kt5DhxHYdB/Zuk4frqlIUv3Tkp1WZZd+h8MvpXA4\nks5sD0fCPUOybEE1FYAWaevjxAxUxU461B04bMAoiNrVCECqGGbFVArZFDvOrm83xapZvK/k\n6pGyYqTPzX+F6DqIlCF2ulEhXra2yqRZ0WlS0UoE+u2lr23yBGKUtrW1DRkypKmpaerUqayN\ng6IY6U5EgdijYmFP1euGPd2Jc+32lmha1atKPXAET9jHy9MdlUsplwf8apdiZxDNaU1bRV3b\ncNQxAJKSB0BJ2ijPOn75RzhzJlxRscFUDMDw7mY+j51OIRDikYS8tWJdPcwWUqNl2wMTAgXZ\nF4uF+eWppGGKtY6b9bUklZYDaGtr5Q9UzohdghE7aNF2mFZ4AN7yGgD76uv5/fA+dh0dHYIg\n6Lq+aNGi88/PUqTEjf6MirXz/sMUlNIXXnjho48+0jTtlFNOuf7660XRmRU8VxtFUZ599tnV\nq1d3dXVNmjTpxhtvrK2tBfDqq68+++yz1uaiKL766qv91uEj2gJuBE/0XptZ8GXjA29uDPnl\nS44bXoB+OSPJCgOKIyZBMXqhK7s9ZGy70hQAWrS9b48a1pMB6ApcJHY9BTvvamcTALliiKXY\n8foT19hSzmw7SVl/c817XuKGUkpEWbP52ClE9GQ8zLg3a1LRZzdsvvXVxz4KVr0O7Nix4513\n3kmn0ywkFvbMHYRAJI50J5kxMVaR60YiyKQ7cbf58d/XdMTSr90yGzAOYUbdGv1kzTQ3saOg\nFI6cc9boHMdyqo8m0QwlowDaQ1UAkmZSGACoqhqzbpn/1FRVvOu1Ry+774wbG8ZfCwAUZckY\ngNruZp7OUkoJIAkkTxJR9+2dle5nfbYywVKQvfFuu2KXZsETnvwBp2JJOYCOVkOxY5dBecAD\n0xSrU6pFO+Amdnttip2lR8ZjsXQ6fdJJJ61evfrVV1+9//77S0pKAPzhD3/Yvn37nXfeWVVV\n5e6G1ckDf87pRwTDeOmll955550f/ehHoig++eSThJAbbrihh20efvjhrVu33njjjeXl5S+9\n9NKvfvWrJ554IhAItLS0HHvssRdddFEhOjxgTUv9gj6Pin135fmoO0AcBI9S08pxJJzZXl2e\nVtu2aPrk3y265YyxV5840lqrqwoANdzet4nR7W+xgYMisespDFNsVxMAqXyotZzXn7jGtq0s\npF1FnwDEOYU//yNVp5SIkpZKWI2plhZkj1sg1CgSiladjAD4RqT9dWDOnDksx8oZZ5xhNOau\nZcH0k/OYnCiLYTHHn4KQIVLu2yOSVBIKK8RqN8XaU6jwR1O5fGxiNmbn9jbLalYG4FXTAFTJ\nA1OxM3DVVdLvf3/KrjUJ2edLJY5prttr+tiVpWIAhnQ2p/jKE4BAiCwJPYmKzbUkj52L8UXB\n40un06qqMls5LMVOlC3KlZXvioFyAB3tlmIHmKbYSMYUayN2xBsQvIF9+2zEzupbLNwFYOTI\nkTNmzHjqqaemTZt22mmnlZaW/v73v6eUrlmz5v3333ePwuKs/aXYDcAAxp5D07R33nnn2muv\nnTVrFoBUKvXkk09effXVLIVh/jaJRGLp0qX33HPPzJkzAdx1113f+c53Vq5cOWfOnObm5gkT\nJrDl/d/n3AE6RwAcfr292NCVOLN/cRCudsNccESc2F7FmljPtKbuxL7OxFf7bCYRyxTbt8kf\nsAl0ij52PYXGm2LLh3CmWMD1JWTxM8db3B08AYB33ch/hegUEGXNlu4kDTHDV6z7llKaUrTK\ndBTATcD1J51UVVU1b968TZs2WXG4vPWTmKwrExXr4h+5Ll8CkqfyRErVreS6rJWhCNpLC/Dv\nEitcN9cRs6U7sTWwomI9mgpAlW3ELunx4dJLAZy9fblfSQIIpaJmHjtD5POlk/5wJ39EQiAL\nQp58Ae6xZ/W8dBM7ataBZcml+fgJyxTLb+V+70qBcgCd7TZTbCjAfOxUXde7m/dpEZspVtOp\nVDFsz+5d4XDmSWeNLh7pAlBZWfnwww/PnTu3qanp2WeffeKJJyoqKkpLS7dv3551BjIS8AE/\n6Y6AqNj6+vrOzs7jjzfKyRx//PHxeLzOnl8mV5twOHz00UePGzeOLfd6vV6vl3k9trS0sOCn\nQsD49jicpz0P+jysQptKDwI/YPs+QhS73p1JmxnBsa1ummL7NvnGrA48ZldU7HoKdu+pXU3E\n4xNLyjOm2N4odu7aAKqZSdg8Sr4+sOAJTVOsP1mFeLcpNqnoqk4rUjEABHh27lzccYdzb/xN\nTsBELpbHriSdCH6yCAjk6421KeGDJ5xr06quU0dULJD5CM6i8Vj0goKaAp8N5hdbTq5jBU94\nmNIuyQBSJrGL+IO+k09OBENn7ljx+VHTAJQlY5aPXVnSyAY3tLNJ06n4zB/p2HGUsgIbJJ1b\nscviY5ftTzexs+qRsAoi8Xg8FAqxVZYplieU7ouElIQAdLW18bMRlAnV1UhSeeKJJ1658zax\npAIcsVN16h81o7tp+7vvvsuK48G0CMuiEO80iJ3f73/llVdUVV2zZk1DQ8MZZ5xx8skn56pI\nwSl2Wdf3AgfF66iwYDzMslkHAgG/39/Z2dmTNpMnT37kkUesZp9//nl3d/fEiRMBtLS0rFy5\n8sUXX0wmk5MmTbrhhhss5woAr7zyyooVK9hvVhK6VziS0ti6cSDVBVBI4nUQCug5XF8Oa/Tq\ndHDvYraxfVeqCkCLh1mYWp97kstr6FChqNj1FBqFnoqrXY1yRS1f7zRr5Yncip1VHt5YEkup\n/Kb5r1VKQSRZ1zRNYwlBQFWFSLJb94qnVQDlCTNRRTTq3ptdsSOZ4AmB3LL0pcnfu3xGw2a2\ndnTHvqde+01Za1PWMRKOSLkfHClVh0nsbHnsjAeN1fMsHcvFD9w3Nv+bD+bwagoAVfaCJ3aB\nMkhSy+iJg2KdVfEwgFAyajr2GaZYACO6mlORGH7wA9x/P0z+mkexc4/9T5/tPO7X77dHzeoO\nFMhG7Cy6L9gVux07dvzzr88AIKLMO0RS0PrOxJbmTBYSKsiCt6S70yJ2oEryoR9c2Pz8HeG4\nsn79egBarBO8YqdR/7iTANx1112XXnrpT3/60+7ublWnhMDvERPRbgCVlZWssSRJJ5xwwre+\n9a2ysrLq6upYLJZIJNwzoNkYuQ1Lly61kin2BEeAYhcOhz0eDx8tEQgEeH20J200TXv99dfn\nz59/3nnnTZw4MRwOJ5NJRVF+/OMf/+QnP4lEInfffTcfSb1x48YPTDC3yF7hCODTedB3xc74\nt1DTohfeFJvrq/JwRDaGlhOWfmGGztjXGioJbWtuQu+RVZgYCCgqdj2FTmlix3Kqqf4xM2Fy\nFFglR6mjcWYrfnk64yllLI8mexFCT0GJIAFIpVKBQCCVSoHqfPBExkcqrQEoS+YjdnzfCIFX\nEiWRMFPszPqNACpMXnhG3apvbFkS/XI5cLZ7P8SWoNiJtKr7ZIGvPGHmsbNpA/y7RHUlhXHP\ng2tJBiIhgqXYGcSOmWKN4IlooBRAoiwEoDbcAiCU4hQ7k9hNbqlLt7QFKEUsxjoviyRfuhPX\nmk2N4Y5Yeu3errMm1VjddlNDa5/EVOwAqKp61VVX1W3dxJbzjts6xf/7+5pd7bHV95xjLqFi\nsKqzpUnXdUEQdJ12ffa38O4dAOpWfxLeVQdAHjRqcEAoKytjm6g69Q4dP2X6jC/XrWF1w2pq\najT/bFEgHoF0dDSDU5J4DBpkFKkbOXKkY1Wm3rZ9iHv37p0zZ84pp5zy0Ucf5Zo9B/KU2Thc\nUFpamk6nNU2zeFs8HmeFRnrYZvfu3Y888khTU9MNN9zwzW9+k7X/y1/+EgqF2E00duzY733v\ne1988cWZZ57JNvnRj35kxWdMnz69t0ZbI+/GEVQq3oYDo62FVOwK/hlj+IEczjeUAz08j9T+\nw+keY5q/Oloa+9QH548BgiKx6yl0ncY3fwYgMPEUcAbCC688218yUrv0z7bGGaZl24mjpBiA\niD030v6CJ4xysYzYJY16U3zwhPGDBWQE4z1X7HD3BZOaw0lCIKrq1ObtAAKKoU4zRzQ5ncra\nK0Jy+tipGmXRtexQdlOsbR6yKna5fexca7k/RCEjivPELmXW54gGygAkyioBDAu3wqbYIZSM\n6pIkqOq0xm0Kq+WQSsFU7DSd6pQK2UM63DZWCmBzU5gRO91Q7JyvTQexY4rdG2+8sWLFiknT\njqvrSHmHjOVPlk5pOKl0xTNFYDWdytVHxdv27NixY9y4cTpFau9XhBAK7PzwbxEh5g2WD/ne\n409dPYPfBIS8/N7HI8ukurq6Y4899u9///vg736t+ZV/27VzjZZOwORwDlRXVwNoa2tzEztH\n6G5zc/OCBQs2bdrU2NioqurixYuZ3XD69OmXX365e888DkJZ9EKjoqICQGdnJ5uxZDKZSCTY\nwp60+fLLL++7775jjz32vvvus7YSBKG8vNzaPBgMDh48uM00wbMdWo1Vtdd51wxd57Ce9/2h\nD2Mzv0L7vS/W/jNHKSiOEB+73gzCoR04NmXBEwDa+6bY2RScAWSLLRK7nkKnUDrqBW+Jp2Y0\nLFtsIlG2a/vFZMeCXTswM1MTzDrfrpJiTuU2Yq/Rnv+K1XVKRBkAq92eNDzr+Tx2lmKnAgia\nSdFoNOq+6PiEagIhx44wXhiDd231KykAgbRB7FjuN8lO7KyNiVm8Aa5nH8sYolOqZ1HsAJvG\nwyt2WRbaDu2yXPANRYFYtxiLitVkGZxiFwmUAUiWlQOo7W4BEExGmUxIQcuS0VT14KSqT2va\nlmhrB4BkknWeGKmMqVfKcg/nqoexpSnCd9vtmZ6pZiFlFLs//elPAG791W9/syINOwvXKVV1\nqlOqaDrzbtR1eGpGxzd/um7dunHjxumU6qlYSTDkr53QumlZDKgcNRlEIGLmfme2XapTv99/\nzDHHnHnmmQsXLjxm8sLols89wcrg0cfddsU5Z511lnuYlmLH/vzun5bH09o/fjgLJiGIrHxj\n/n2v7968fsWKFbqdxVoJ2M4666ysciA3xsyMHaY46qijysvL165de/bZZwNYu3ZtIBCw4iHy\nt1FV9aGHHjrnnHNuuukmwn1FrFu37vnnn7/33nuZqpdIJFpbW0eM6Ek1wh5hwKZv6Bf02daZ\nVezpRxyEBMWs70dKWEwWipa3LZDDM083c4e1tzT0pR8Zr+KBNbNFYtdTaDrVkzFW+AuWBTYS\nASBQevSrL+DS063G1hNkvyXFnNnM814eOgVEwxQLIJFMwFDsnJdXPKUBCGQUO1uhqsbuxGfb\n2myKHUdUBu3YxH5ct+btse17//2MGxjPE81oXAcIIXIOxY4plNR85bNYVVutWJdip1PKFX7N\nPQ8OHzturSgQi9kxxU6TPQCiHn9S8uhEWD519teBRFk5gOHhFtZMYs6zFGWpmDJscH3V8KlL\n30+tXAkYxI4QSIQAUDXdK2VxTnV31lTsInwDzWXMtRQ7y8euoaFhwYIF06ZNGz9lOlascGxF\nqWGtTqkGsdMoZd8b69atu/TSSxmx84eCUy/47qJNywCUVA+DnR06rD9nnXXWwoULd7z7DICJ\nl9ymjTzx3nu/7h4jOMWO/bmtJWpdw4zFdX3+vy8lwoIgzJo16/zzzy8pKbnttttCodCGDRta\nWlp++ctfLliwoL29PT+xOwJ87ERR/MY3vvHXv/51yJAhgiA888wz5557rtfrBbBw4cJUKnXh\nhRfmarNy5crOzs5x48atWrXK2uHIkSMnTZrU1NQ0f/78Cy+80Ov1vvTSSzU1NSeeeGJ/9Zke\n/kJpHvQ5BsKM1ioUjHQnBSV2LJXuYX1HmTAmqmdDySh22TayTLHtZj3G3vUkc5Q+bF1AFIld\nT8FelnKJIWsZxME0cQZ377A3Nn5oTmKnWXszdtArHztqKHaM2KVcplhLIGTlVgPR7oQv4E/G\nEbWV+/zDJ3XPLtl1ythqawnhZORSs4jq1KbtxzTv+M9TrmE2WSmXKRYQcyh2aTOHiBEVSwjs\n4Sa6i5Lmz+thzIPri40nlGbtDMCKipU9ABRRuuD6xzv9waFjRwBIhCoAVMQNUdMfiwAgiuJX\nUolgqHnclKlL3/d/9CGQUewYi8pVLpaZaG15WzQKoK4tmlZ1jyTk+mjOEDtfKYDGxsbnnntO\n07QbbrjB2pnCT4tOmaiZUvRSrzFp8iCD2AHQKfRkrGR47dhpJy6pnZjatzlQNTSZLQu01dtj\njz0WQLKzWZC9Q445aWdXzsvSodilVT2RNq5qwzEuFRsxasz7C96ZMGECW7579+6hQ4eOGDFi\nxIgR48ePX7BgQVdXV679W5OJgfcd3FtceeWVqqo++uijuq6zqhJs+eeffx4Ohy+88MJcbRoa\nGgA8+uij/N5+8IMfXHDBBQ8//PAzzzzz2GOPEUKmT58+b948dzWLPoNN9+E+7bnQGz7g2LCw\nV6PJpws47cbDZ6ARkD7BPI89Gos1YjOnjG0rqqkgBJQesI/dwJrYIrHrKZR0mqppwWsEmhle\nVmZ9dL89YtT6MMqZx85c7PSxy3ux6tRmirUUOzc9Yv/7ouH28kGe5r3EboplQlo8rQGQBKLq\nlHcPKOnIuOwIlI5t32sQO8VuijV7SghyKnaKYd/U+QTFvCnWtTeeNuW8WVx2OpdiZyCj2GkA\nsKNqOIBaQmCaYom5aSAaBuCJdANQgmUtE6cBKFn+OQCkGLEzYkRyxU9QCp8sxNOZ5DXM3Klq\ndEdrdNLQMvPZ6lbsjD54h00A8PHHH69cudLj8VxzzTVrW03OZOO7Bi1Lcd8JUlm1PxhiAbDJ\nRIJqSkkwFPRJoZOvbn/l/orRUxrtBXkdkhgjdgDKJ3zN5w8o7TmJl0OxUzRd0XRVp5JAdJ1S\nJQldG1I7wmJ1APjMHcxFbL/ETjsipCNCyHXXXXfdddc5lt93333521x00UW5akvU1NT8/Oc/\n7++eGjDS2B4Rr383+v727TMl7Bm0AiuCyJhij8wzmwfU/sOl2KliSYUW7+5s7YuP3X6NS4cK\nxXQnPUV3uBsAMYmdwR1Mxc7f4kgFYvxwRcVSRwOm2JV4JMfyrNApGLFj2bCM4AnRkxGEOc4g\n6Zo3Ho2WlCU8PhqJdsYzhlR2FEZQmHscT/tKOm1pKca37WHOdlI6uylWIHxJMdsqIwqYmqZY\nLt0J7B/BvVLsdNdz0OZjR4gl2RnEzsMVEzO7kQzZ3NiZ2VqKRwGowbLOSVN1QgRmhDVMsYRV\nlVVzBA3qlPpkm3ZiPUY3NUZgsvZs6U6MHcqDRldWVr7yyivbtm276KKLqqurrXHxnIyCsj6w\narbUzG9cc9SEPXv2dHV1RcPdAEqDZWV+2T/6uM821tdMORnZCvLOX7jlb8t2A6ipqWGZUAZN\nO80rCZTmLKDEFLs333zznnvuee655xRNg1kWT9OpnooDKCkNZt0WPSd2lGqxrmUP33D33Xfn\nb1lEPyJLWNIRhz7QuwLzuoOhTx9ZpthefPVxSV7ZxvZdqQqRvGJJed+InfsoAwRFYtdTRCNh\nAE7FziR2vu5OFjvJYAlvDnEnk+7EbMD8k8r8srk8H3RKpYqhAObOnbtgwYJkIg6ASDJXSSyz\ng+pYFyiNlYZisq+7tfOU335kiUnsxmB8gkWqWvlBYFfsAIxr253VFMt3VcqRx44plDo1HihG\nUhTDFMt6AseGit2ZLCsc5cgAm9IpcoPxGsSOKyZmdoApdhYYsWNMTvf5SSi0s7LWHEZaoJRT\n7HLSTSexMx+jW5sjVofd6U4ywSIEc+bMYXKso6ioI0GxYYo1Y1PY8uqjxlFK169fz67VkmCo\nzCcBSEN0F71gZuJPtrb+fblRVey0004T/cGaybOYxTmlZS9hPHLkyJKSkvXr1z/44IPXX399\npGE7AGaN1SjVUzEAJcGyrNuix8SOUprYsSLWWKcfsbk3BiKO8ATF3L99QOGmxV1Kp0A45Iod\nb9A4QPR0JJa11J4S31ioKUSUpGB1d3trX6LIzZ0NNJG7SOx6CqaCCF57MQbTFAtK0ZAJq9Fz\nnG+uVqy526QKoDwg96QPlKLshG9Nuvjmrq6u888//w9PPAKASB4u8CdzuPO2fg5g27jpcY9f\nTsRjabUjluaPnjbKDPAqGgAEOmyK3bi27KZYC3ny2DHmQWHEQzDGJdiiYuGYkP0qdpRazrPZ\njbaikIkF8aqZ4AkLgkHsbIrdidtXQVXFZAKA7vN5ZXHdkPHWWo+mEBjCZC5TrK5Tn2y7oaxe\nbWoMw3pr5lbsKMXPfvazs88+e+7cueeee66q0aV17WyVOyoWpkndmrGqkeMArFu3LhZlxK6s\nzMeqihkeenbFzjhozHQG+POf/zz2R8/6AyXsklDU7I+qirJkVOEAACAASURBVIqKPXv2rFmz\n5pprrgGgxGMAWPUU2n/ETtOR2rcRwLe//e38LYvoRxxJnli50KfgicISL3YvFlaxGwBn9o+f\n1k2/f2F9Z5bc5r2C+fjv0Vgys5pNjdY1lYiyGKzWda2pqdeiHRcl2dtNC4siscuJznh6d3sm\npXuUBcA6omKjUQAxjx8A9u2zGmfNuwvbK9xYznzsQpZil/cCYclyjjr9yk8++WT48OFLFn8I\nQPCWWNvw9+0lX35IBfGLWefHZZ8vFQcQTSrcfgzlic8tx+DvbOsIhKw/x1uKXe6o2FyVJxiR\ntWyF9soTNm3AGri9xEIWZO4l28IMJEHIGhVrgXUjXRJUBQmAKslRf+k1y17DzJnD1i4DoPsC\nXknYMHSstYlXTQsCPHmDJyiFJAhWdbXMtpLAMp5QY4DOzdNcQuZZs2a9//77r7zyiiiKH21p\n+dNnO9kq3hSrW1GxiqGTseXlw8eDETvTFBv0SQDCCdWodKw7fezAfUN7PB5NDsiS4JFE5OCv\nkaTaHk1XVlYee+yxkyZNAkCVJExip+lgpthAyQGbYnWa3PuV6PHNmDEjf8si+hEHIe/GIUSf\nxd9Cm2IPgpA2EIzs+7oSiqa3RPpSvIuHmk4nd63p4WAcxQIc2zDFTiytAlBfX9/bnnCkcWDd\nM0VilxO3v7j2m098moly6O4GIDAOZzfF7qgcDgBr16KujlUpyGobRXbFToGN2AFAQ1eiOZzl\n6jfzwtOTTjrpww8/HHX0uNLpXy+d/nWHC6dAyITW3VObtnfMmhOuqonJPllVxnTURy1TLABA\nUXUAssB87ExmF43Kifju8kz1ydpwS1WsC25iZx6UmDuB68FhjZfRCJEPnuB6AmDO1mXYvh2A\nvcRClruFi3LiO5P5KQgZBdKTTbEzDMICaQpWAYgGK266+/k3ppyJ9etPevo/AOg+n8+u2HnV\nNKsVizzBE6ACgceVCWXCkGBTONkVV1iH3YPi8vbZBpVSs/Aw9ptX7CwJsGzoKEmS1q1bF4uE\nAQRDIWbiDycVo9IxdyA1Q+xUbqEui8QjEnDnjsdNf1153mOfMC7IKlYxYvfO+sbG7qSmU5qK\nAQgcsI9dpLtL7WwoHT5BlnskZhfRL6CO/48s0L4yNNO8UDBT7MEIFaI41Iqd+QA80P3s+Oz1\n5hfv2bFuaU8aO9OdcLOsqioohShJwSoA+zhppocoBk8cfuiIpSNJ1boTopFuuBW7SARmrCV+\n/GMcfTTGj4emWY+OrKZYWRQyil3SrtgBAK78wxf/8peV7i4ZpQsoBTBu3LjXFi+vOu/Hgsfv\n8FSTRDL3q0UA2uZeQQjiHj+ARf/zw7GXfRP//Cc0jR09bQRP2BW7piYAe8sHU5OrCZRWJsLI\nk+6EN8Xar2+LmvDBE+50J9WxrqdevB+33AKnM1m22yXby4f/YJKEjJMdU+x0R/CEsZpsqx4B\nIO3zR0NV8y78CWbONHrr93slYePgMbpkBLV4NYWY6U5yRRXoFIQQd4q7yUPLAGxuChuKnWtz\nxR4YkfnNDZ+XCa32DlOsLkgTJkz46quvwl0dAEqDoaBPBhBJqmbRC/N7g5tkS7FTdZ1SyKIh\nOqaz8dfWSKo1kmLxFoFAAICupAA89uG2xz7YqlOqJ2MASssO1BTb1dkGwBPqdRn7Ig4ER3ZU\nbJ9hcoJC7f9gBE9w745DBUuYOMD9pKPdACIdPao9nRHVXJolyxpGRFkM9lWxM38MtFumSOxy\ngpEw607IEzyxcNxJW79xCS67DLW1aGhAV1dG/rWfb/Ym9popzQBEUqooEGYys9CVsBWMsuBw\ngeevUf6e8RF8+6uPIt6S2AUXCYTEPD4AqiCWr/gcl1yCu+5iGxpRsUyxs4hdfT2AptIq1ecH\n0FJaaR1CzmGKFbiSYo5nk0XsGJthrfjquiyB08iuJgKKpUuhaTZnsmzSWFYzN39YwZ6gWBMl\n2M2jxCiAga3VRwFI+fwiy/li1ujUfT6vJCQlT92pX4fPB8CrpgmMrC45fewoFQiyELthIQCb\nmyLuCAYGxW5mteBQ6dztk3ZTbFql06dPTyQSuzavBxAsK2PBE+GkwibNmluHTZbthzE5jygw\n0THrMNl5fGtdI0xiR826c0yx0w3FLuTelqGHxC7c3QVA9Jfmb1ZE/8J09jrU/SgQ+mqOzJoC\nrR/hDvPvd7CdH9qoWKMPBzyNuqYCSNqTs+Y8qPV4TCfb3nrkrfm3bd261VySBkBESQxW4wBN\nsQPMya5I7HKCl0OSyWQ8FgVAzOAJPkFxQ1nN4rv+Ay+9hNNPB4CuLs4Ua9sne1l6ZYFPUFzi\nlbhaq2AHzfpp5Sj4YwsLNT/IJF29evXbNdGOtyee4ikNiAKJyz4A7044+b2/vgtCsGwZ72Nn\nKHaW8XLzZgA7K2tVfwDAmtqJ1iGcUbHm0Qng94hmD7MolHCYYuE0xdaGWwAgEsH69bYSC+4p\n4BbSbAsBSHyCYk1RJFnkYn5hmWKBrdUjASjeANOoaIlJ7Lx+Ft/61i8ewfXXwzDFQs7NeGAm\nKPZKzmyxk4eVAdjSFGGdtM7s+vruU363aH19tz11H8druVHxVMyaVdMUm2kzbdo0AFvWfAGg\ntMwwxUaS6qz1nz765nxqJqxxkEsm2rFoiYxil80Uq+g6zIJ1rKoVNUNqWqMpjVI9nQDgLynJ\nOkUAQqGQIAj7JXbRcBiA4Mu5nyIKgUJn4j206POo+IdVIWAklSwk6zLrGR5SxU4H+kPc0lQF\nQNIsmJkf1sHa9uyIfbVo5+pPbr75ZrbEJHayFKwGsGfPnt73pWiKPdzABAyd0vfee2/8+PFf\nLv8MnGJHuATFUY/fOK3l5QD0jg5rJ1lNsV5JtJZGU2qpV+ISdBh3eNab3KG78M9f84MM8z77\n+13vPAnglSln+T2iQIzYji9GTN171HgMH44tW+yKnd0Uu2kTgO1VI1RfAMD6kcdYh8gVPAGC\nsyYNfuBbU2or/I7nhpVBl/XZGKbNFAsAw7vNci5LlthKLGRnt1l5beYPkWRG5FEVRfIIxEbs\nTOGQbKseCSDt9THmpwcM1q77/Ux4Syq6qdgpAiFM3Xz3yyarShgPSkGy+diNqS4BUN8Zdyh2\nG/Z11XcmNuzryqXYZa2fC85IyqbXelgrqn7qqacSQjpbGgGUhcqDPmlK84475337R2/8/uKN\ni0N1xqeqI4CDBcaaeQ1JnhgRliSFXca8KRZAaySlZxS7nKZYQRCCwWBPfOwAiP6cvnpFFAJu\nc9WRhKxl4Hu2JVDIl3ffO9bzQwA41BZD87PhQPej94bYWe+LdMKoq/nhhx++/vrrMIkdREkM\nVgeCoZdffpkt7zkcTlADB0VilxPs7aVqdOPGjY2NjVvWrYCN2AEwFLu4x2ec14oKAJR7aTlr\nxWo6AJ9dsQt6JdGh2FGa9Q40FDvLFMutYgcKxMLfWf1mVyB07zk/XDl8kk8SBULWDR3fXFa9\n+OjjoykNEyagpcUbi8C8yZ0Jik1ip/j8APbUjOw23QpzpjsB8cvid2YdVeqR9hM8QdzpTiiA\nEd0txgZLlvQk3Yk5fCevZeB1QZ+WVmWZOImdEZy7pfqohrJBe8Yew9gtNXUm6vd7ZRGMOfl8\nYOlOCGF5QJ7/Yvf897a4O6ZTmtXHjol/qk4tOZYtZ2U5UopuT92Xffg8FcsodorNx07R6ezZ\ns5cuXXrqxdcGj79w9LjxQZ98+2d/G9qwa3BnM4DQvt2spVOxUzSYxC6/KZYpdkwp5IMnAHTE\n0mlNN4hd7qhYAOXl5fsnduEuAGJRsTu4OLJ97AzhbeCZYrUC7x9W4MKACJ44YFMsI3bRHhI7\n40cqEQMwbtbXRVG844470um04WMnSESUvnP3I7quv/XWW73rSZHYHXYwk+vS22+/fdmyZSPH\nTSYen2j6nBlMIRIBEPP4ma8YU+zQmXlpZY2K9UoizHd8XFGDPkk0mYcpvGU3xTokH/4uZc1n\nbPyiNBV/+WsXPnfcNymI3yMKAnn1mDPOvO35fWU10ZSK8eMB1DTssjbkI1UBYNOmZGV1pz+o\n+AMAUoFSZq8EINsrT1jHtjYlxF1pw/Sx03VYUhk/Ul6xCwSwZMl+ExRTjtllbckncPGoqip5\nRBuvM1YRgpTkmf2vz753xS1sE80yxfoCPkkAY06Wjx2BlcrEiiS1dwxuHztCjEyBmjk1FkVL\nsZQlmq7YAoEz2/KSmd3HztyDPSqWTd3Xvva1ubfcU3n2DzyyR9r41ZnbV1gbhvbtgr0PxnBS\nGR87WRJYh7MGT6guxc4idppOWyMpytKdBPMRu5qamtbWVi1HAmQGlrFF8BUVu4OK/nr1HmEo\ntCmW2SgLOuuGYndIT2x/pb/WlBSAZKyXPnaJGIAh44+98cYbt23b9vjjjxumWEkGMHzcVPTe\nzc7a+UC7Y4rELifYa5K9UI877rh7n3lz+L/+2UpQzAdPxGWfcVqZYtfZae3EaYrVdIEQjyiw\nxbGUSilKfbwpFmAZ/LPGDegUHFl0qzuhSCeA3TVHsYVeWWCUkY0lllIxYQKAmsbd1oaywCUo\nDoexb1/3qLEAFF8AQLIkuM0kdrkUO8vQSQhxXN5MUrLmQeAol2l9oMft2zy5pS7m8dPTTsfe\nvVL9XmvzvEGxuUuKcSPyaEpadppiia2yGYgZQaL7LVOsjyl2SVWD1wvAqykCF/zr9j/TzbBf\nhylWMJP8aTp1JCi2FDu1B4od/5vzsXOaYs3GxqHxu98Rbp7KM4qdrf/MZ47xxfw+djyxMxW7\nzFXRHE5K0Q4A/pKcplgAtbW1qqo2NzfnaWPkAy8qdgcXB60EwiEBbyXo0+aFmpeDEhVre/gc\nEpgWpwPdj6aq6AWxM36kk3EAktf/wAMPlJWVzZ8/3wqeAOArLQsEAr3NeGLN5kD7FioSu5xw\nRMWCECvXCThTrO7zqYJo3C+GYtc5rm3Pwj/dcvy+TY4bKa3qHkkgxDAjsnpipV45o9hRyghA\nvuAJ88awMRsAQDDSBSBcahTLsqRARuwiSRVjxgCobM0UyRCZKZa127QJlIZHjwOgBEoApGzE\nLkeCYvOHkFux4xMUOypP3PfB09Wxro01o/XZswEEVi7jxpttErIFBfOTIXLCoEdTVMnj4M2W\nj535p5GgTrNMsT7Dx45X7ASzViyy+Z9ZXMpN7ARCCGHEDuAVO1Vj/6Z74GPHI5PuRNGRTPKm\nWHMnFIC/YS/+93/ra0ZuGTyGLa9oMIidI+VKnPnYGbl4yP5NsVomj52uZohdU3eypHVXNeCx\nT7gDI0aMwP4+jqPhLgCCLx9BLKLfcWQrdn0W3grtepg1d24hcGiDJ0wrzYGbYtPohSnWfN7G\nowBkf6CmpuaMM85obm7esmULAIgyAJ1i2LBhvSZ2GVNsr7YrOIrELjusHLAWjXDcEoQQaBr2\n7lUqKmG9gw3FruvcbV+Mb919et0qBwFIq7osEkKMVLSs7ETQx/nYWRkHcgdPWD3hm7BVZbFu\nAOGSMgAeSRAFwrOoWEpl1NObyFTUkPngiU2bAERGjwWw/uJrH599Zbhq8Poh44yWdmJn0Q7O\nFEty+dgxJiHylSfM+LvacGtjsPp7l97HiF3p/ogdp9hl1mZT7AgB9aiKKssOmmEIeJwFmfnY\naQGD2Ol+Iyo2pdqIHfNH9KrptKuqIJsNQuCIimWdEQkxeR3nzKvq7N9cUbE5om8zSWSO/uht\nVFSU/vXP7E+LihkG7j8+CVV949xrtlSNYMurGg011Bk8kbb72OWunGZUsNCoplOHKRZAY1es\nRU2PAuTODve2Fmpra+Eidn/5y1+++OIL68+4kV0ogCIOItg9dcgrihYIfdfquH8LAUe6g0LA\n4eB7SNBfpljDxy7eW8UuAUDylgA44YQTACxZsgQAEWUAFKitre3o6EgkelHxzHoHDbRvoSKx\nA4DHP9x20r9/uL6+21rCOYdlYVFgbObzz9He3jlrTmYtU+y6O2c0bAYwunOfU7HTdI8kGGQL\nlGUn5qNiLa0uT9wAl8eOl3cAIBjrBhAtDQHwyyIs+QoAEwiDQQDeZMxaKLqJ3ZjxAPZOP+mR\nU6+VJHFV7aQLrn9sY82Y3METBgTifHRaUbFsULyWxhqSZKoy3r03NDjqDagzT4DHU7ZqubV5\n1qdQ1mTffEMrzlfWVAKquqJi7bwOxOyYGuCCJ4yoWM2KigWBLBCPpix96ns//Md/unrFZsAZ\nPMFGLAjECp5Q7R5yKdUwxbJuv7Ry7+kPLWZVfd3XAOsnY4SXfPnh3Id/hmQy+NwzbG3GFKvT\noZG2mn88j+HD1572za9qxgCIefylXW1IpZAl3YkKU/CzTLGpbOZma8O0phum2HSG2O3ZU68B\nowBPRztyY/jw4bATu8bGxuuvv/6yyy5LJo29xSPdAEjRx+7gQi/Y6/+llXuPufe9fQdcJ/TA\n0Yd3cH8xklww9lx4bjAQgicO/OpixC7VM8XOOhjzsZN8fpjE7rPPPgNABAmArlP2wdkr0S7j\n7z3AJLsisQOAeFprCidZmlYGS2p6/ovdK3d3wnVLEACvvQag/ZzzARjXT0UFANLZdVzDFgCj\nOhoc376KqntEw1FLp4gyYueTeO9+dt3niIq1iYhuZhNiil1pOcxgTJ7SRCxiZwZ+W5Ke0Wrj\nRgDR0eNgMlpmlftq8NExj0/QdSiZtMmZg3M+do5ep+xRsQIXr6pT4I03Jl5wGgFtKqsGoPt8\nmDGjdOum0pQpKOaPiuXW2tKdmD52rJ6YIskuU6wtXsTKY8IyvMAwxdoVO00RCJElYVCsszLe\nPbqhztEr3VTs3KZYAJJAdNPHzrokLGJnZAaWBACfbmvb1R5jRYrdL5Kgrnx39Vvo7r58/fsP\nvfNo2hfApEm+dWtGdTbCFN7Yhjcv/YeQSuGee/yl/mdO+NaF33108ZjjCaVobIQ7eMLIY2cE\nT5imWOfRbSlXVN3j8UiSrHN0v3NvHYBRgNwbYrdz587nnnuOUlpfX19SUnLOOee88MILka52\nInmYlaSIgwa9YKlytzVHYym1vjO+/6YFQ5+HZSh2hTPFMutQIbnBQXDj2y/6K92JpikA0qmE\nomRJ4+9sbHmqJOMAZG8AwMyZMwVB2LBhA0wfO0opey71jdgNMF5XJHYAzHeqJS+BI3Z/+KTu\nqcXb4bolBErx0ksIBrtOPgN2xU5Yvaoy3g1gVFej2+fMa1W8ooimFABBXrFz2Vt5uFZl2rBH\nQ1msO+HxqR4fsil2MZPY+ZLG41U0c/lmfOxCofTgIdaxrDjQlOQBgGSWCrbWEYjdPAq+8oTh\nY5dpH9xTh+uu82/fCqAhWA12k8yaRXRtckudOV730ZwlYtxgQxYIYfXE1P0FT4BYip0VPBGQ\nRCIJhFPs0gKBJJCKRARAMO78WKQZxS6LKVYgRNOpoKlTm7ZnRC9VY/8ywsQuwpZICqaExvY5\ne/e6Bxf+l6SrAK5Y99797//3zAd+etuSF5KS9/Ff/DfuuAPAgwufFCiNpdRwQmHzNr1xK5Uk\n3HBDmV9WBWnDkLEtJZUAGLFzfDbEUrwp1qicprgUO8WVJNnj81E1BZO/ys07wYhd5/6J3YYN\nG26//fYJEyaMGTPm5z//OYAxY8aMHTv2gw8+uOaaa5p2bxd8pQPtcXnEg813IUyx5rOr33fc\nG/T16IUW1Nj9WNBC8oZadkjnv78szjrjc5SuXbu2B83N5y0jdr4AgMrKynPPPVdlHjWixPrW\nF8WuaIodyDA85bk3Ge9gZOY9sW0S+PxT1Ndj7lzq98E6r2VlEARh+3bWpjQVD9jr2aUMHzsm\nWZmmWD7diWntylFNC+DTnWRR7MJdgRBjTj5ZABeyCiCaVFnVrIxiZ/QFAgESCezahUmTDJbD\nKXYAUmJOYsdLX5TijXVmZMZnn1338E+Z/MbqSZipg4lfSZ1+978ibNCjpmA1GDcdPhxATazT\nHGA2xS7b449fZCUo9jJi5053YnQbVreZZMqKbVAQyiJhJTGl6mZUbFogRBaFikQYQFkWYmcw\nV5cplgAQBaJRevqCv7/53G0TdqxnqyzFzqhHIokAWiJJmBIaO9GXbfjg2jXvTGvcDuCUutUA\nRi5+d1i4dfmIKdtGTMB3vxudfeopu9ZOad4OoKErwWayIhHWQhWQJFYuFlZ1OEOxs11ejEca\n6U5EwSNlT3fCK3as8z5/gKbjWrwruuDh1td+077hQwCjAU9eYldbWysIwoIFCx599NH6+vrZ\ns2cDkCRp/fr1W7Zs2bBhw6233ip5vFKoZqAV6jniYdoc+3/PZoa8Aw6JPAAYj46+jI6isFGx\nQMHTndisPYcE/RWZq2uGUHfOOefEYrH8ja1ZVZgp1nTbveWWW9gPy8duyJAhABobG3vek6Ji\nN6DhcRE7/reazTYafPlFALj2Wj46AYKAkFElM+IPAqg0E0wwGFGxAACaiYqVeFusQexolseI\nw9XDmQ6D0lC8OxwoY8yMmWJ5I2Q0pcLvhyTZFDuY5tGtW6FpmDSJbcJuP09GsZMBG7HLhAub\nSxhV+tnLBnHBP/957LIPjmmpg73yBCF4cOF/VezYguuv1/1+AA1lg8DujZoaAJXxbqMURLa7\nxVqYq1asFTzhU1IAVE/2yhMWrOAJluElLcmEBUnIgpWg2KsqBJBFoTwRARCKRxyPYdYrd4Ji\nQTC6pOm0uqUewJBW44vQSnfCm2I7YwpMYsfOb2k6AWByS52sqcfvWq8KRlnhtUPHJxUdghCZ\nPQfAo28+/Ps3flfPiB2lFcmIWlEBwCpDzBO7HIqdodEa6U5cxI7fylTsAmq4rf7317WuXRTf\nsqSjbTcBJgByR77gCZ/P99BDD02dOvUXv/hFe3v7kiVLbrjhhhtvvJE57U2ZMuXRRx+9888f\n1Fxy70B7XB7xKJwXv/lR2u877gUOUHgrdFRsQQ8xEIInzKvrgPejKkTyjD3xzO7u7rfffnt/\nB7UpdszHDsD5558/Y8YMmKZYndLBgwcDyJ+GyYGM7WyAfYIWiR1giiV84i7+rWbo5NyZ86np\nkrdew7BhOOMMgwZZa0cYEYhrxs4AUNGQKT9HKVSdBU8YmzAfu6BPEggRqX7mjhVyKsXVmXVe\nK9aHndkl8DtHOCxpandJiEloJrHLsBhF01OqjmDQlzJcmC1TLIjhYIfJk9kmjIqJgpGGLY8p\nlpe+wKtB6TSA0nTcmkPDYJeMz/1yUffIMXjqqeiU6QCaglXGHA4aBKAyHjaKt2YV56yHIL8w\nW7qT76x+G0D98LG5Kk9YfzIfO5aTOSF52SqvJCZtCYqJJBKm2Em6asmNDGYeO6ePnWgpdjoN\ndbUBCHUbahYz/ac13TDFioK1H94UW5JOAJjeuPV37z4eSCdemXJmKhgCsGbYBGMP5RUAxnTU\nX7Dps/bdjQCIki5NxbXKSgChjGJXAZiKnUa/ufnT/3j3MYE7nJJR7HKYYm0ytgZA9vrYKTnn\ngotm//LF6752yXLgaMDTlU+xAzBv3rz169f/27/9m8/nA/DMM8889dRTfIPS8mrBHxxoj8sj\nHoUrsWCWQzy0il1fNzQevIVT7Apu0Suckb0XfegvU6yqENn7tW/fCODFF1/cz0HNH0oyTkSZ\nmG67giDMnz9f8JbIg0YBoJQyxa6pqannPaGZE9ebARQeRWIHZDPF8iRP49ICM4ZwzrYvhEgE\nV18NMxIic/lMncr+XzV+JoBqLhWwoumUwiOJxBT5zHQnsiiQ0+tW/unl+0/55PWshSWMJfb7\n33mHtLUB6C4JMWbjdxE7mG52vmQmeIL9IDBCYi1TLDu6pWYZxC6VJTCWmByJWFKkMWAFADPF\nmjQRAKRYlIB2jh4Hn6/p0mtW1U7aVjWSTQgjdtXxLlb8IOsTgPtI4ha6FDuR0mvWvtsYrF50\nzpWi/TK3uRVyUbFprx9AUvawVTbFTksLAjyiwHzsANB2myhlJSh2KHZWuhNNR1l3O4CKsLEh\nu8ZSimaYYuXMhglOsWPE7tINH879atHW4eP/47TvbDrt/Kg3sKZ2ItP8lFCFORAqLf0cgDfS\nDUCvrAYQ8Bg+f4aPXUMDAE2nNy375+Xr3x8U64SV7oSJcBLJpdjZTLFMZfT6AHz31l8sfOv1\nESNGzpB9MwEA3rzpTnoCU7c+wN0U0TsULiq2vwrAHzj6wM8o928hkEl0ULBDGOVuB4Ipth+I\nXZqI8uAxk8eOHfvOO++Ew/nCY60RK4kY8diqmZ955pljfvIP34gprFkfFDtr50Ufu4EIwxSb\nLSoWJilhp5BJO9/+6iMAuPZamPwgc16nTgWgE/LlhOMBVHHELm06pxuxE6ZiV+qVREKqYt0A\nSiOdeRU7c5VLsdMpxc6dADpC1byPnWi3O0aSjNgZplhJMJzsCAHWrQOASZN44Y2Y8RMp9qHD\n5fixRDI+jx34Z5OiwOQlOq/YJeIw5bHmb195ybUPJWSvMQTTFCtz8pUDWRU7HmzIXi3t0ZS6\nylrF691P5QmzpETaVOzYcp8sphQdgQAAr6owV7xyk9gprTbvyUzwhGwLnmDHEgSi6TpT7CrC\nlmKnA0ibJcU8HP2McT52bAIJ6KKjT/jJzY+1B8oX/PDumT96PuwtMRQ7k9gBKF+9DIC/uwuA\nVlEJsxYw7KZYPRaf3LITJu1OOHzsuOAJRdNP/t2i3727GVmDJ/wlAE6afSpuu+0383/wLyte\nZWu9eX3segKjeubBSNpaRAaGrlMAWY0ait2hJxZ96EHhXA/N/Rs/Cke8BkKGQvPqOtA+aKpC\nRJmCsgRJb775Zr6DcqZYwePPxcB0SsvLy30+X6+IHexv5IGDIrEDTMUu7QqYYDCjGSgASSCV\n8e45O9cok4/B9OmwCmRZradOBdBSWtkyeHhK8lQ37nHs0yMJjFRQy8fOJwkCKUvFAPjjUasX\n2RQ7s0uGJ3KmAaXA++8DWD9mGuMxfo8z3Qkyil2CDtxS5wAAIABJREFUVZoSTcozd8lreOMN\nDBuGUaPYMtYNYpZS6GZVN7LVbudMsWZPGJhil04gExVLAMixKADFVwL717Nliq2Kd7Mzsr+o\nWOpeCJPY+dUUgITsJYRkrTzBJSgmTJVM+/wAUpKHjcgrCSlVR0kJAL+SZByXmWIBaK1t/D4z\n6U5El2Knab/9271XLHkl1NUOoCLiUOyM4Anehstso2z4JUoi5vE/NOc7N839pVEOREdS8gBI\nsj2UZ4jdyC9XAvCGOwHolZXgmH2XvzQte7FoES69tPZ/nmBhtuzs8D52HlX1Qrf+bIum9nUm\nFm1uQbbgiZnnfLt0+tfP+Go9Hnts1PYvO33BtyeekpC9+YMnegLd/HQZYF/CRzgKZ4rV+slx\n/sDR58EdBFNs4WZnIPjY9ZdiRxmxo7jiiisAvPjii6lspiTzoNCiHan6r9RUgnj8jmNTUPZW\nYh+tgwcP7p0pNnOUPg5K1ejraxvi6XyFs/sAqX93d5jCXRzT7WPHLkdRIFOad0i6GjnvAmar\nt+yqBqZPB7A3NFgQxd3lQ0Y17QWljPuwd6FHFBRNY5tEkka6E1EgTDvxJ2JZg16NJZnUxACg\n6vpRXY23f/o3nQglyUV48zVFlDaMmyHl8LEDo5KlpYTqgXQq5vFZPnZTd64HgNdegyAwf3/N\nVOwY6enylwGGtZfvA38I0xJrad8ZxU4zPfYASIk4gHSgxDFGCsDnUwIlVaZil/VuyZ+1GGaH\n/axQtOwlrkkwfewywbxGIDAR20YevaV0xHBmipUEnVLF55eBgJIUBCILpCJpKHYuYmfsKouP\n3Ucfzfnysyk7v5TUNIAqk9glWUkxzYqKdZpi2fBLUommYNWTsy4HwOJ706oxWGaKTZeVWxuO\n3rsVsZjU0Q5AGlQNwArLoSDPXnbrD1a8ildeGWW2Zx6QccVIvCJS/byLZnni0ecHjUvWn4pz\nH+pOqAB2tEUVTeflFnazTD3tgmXp0aOfvgV+//N/ef+elV0A3nzutmP21CEcRlnfC4JlCiKD\nEpD8jYvoLxQu25luPLIOLbHo64YHtvl+kT3nfL+C7fewqxW7tTnik8WRlbYKNLqmiqJEgenT\np0+cOPGdd94pLy9ftmzZtGnTsh2Udn70TGzjJyDwVvndEywI0DVj5gcPHrxq1SpN00RRdO/K\njQOPiv1wc/Ot/7vmwYunXHvSUX3cRTYUFTvA5EC5fOxYYQB270kiCSWjAPRBg9haJohkJO7a\n2shP73r6a5fKAtlVMcyTTsLMi2MJM1bwRCSpCoQEPJJATMUuEbWeqm5HY+viYdqJqtHzN392\n8cbFc79aFHjsEdTVrRlxTNJXwkfFZjXFAihJx8EpdqWJKABMmADT35+pM4RAZtGa/iAAtOdT\nYgQHx1UUAEHDx063GsjxGExTLG9rYw+dRKiyMkPsgDVrcMcdLA7DmIT9+auail0aQFLyEpIl\nDBa8Bdm0sKsaferJN2698KfEsGWLAJIeP4CSdJIAkiiUm4qd3mabCq6kmCsq9vnnAVTGDLGz\nMupW7CjMCJ6qeBdMUyy7EgJKMuoxIrlYP62vDqParKnYpWWvpKna0i+Ezg4AnsE1AEQh0593\nZ1+ErVvxxRe7r/2XfWU1MGl3LGVUnihLxnytzSSdPmXX2rP/9gQWLmTfHqpGd7REVZekrWj6\nZRs+8DQ34qabAmOMB9Ono2YIqooPPsh+enoGXXf+KOIgwHRv7/89O5KrHxJQ7t/ebUjZhoVT\n7Jw/+h2O7OiHBAa57E0frv3jsh+/sMb6U9f1e++9V1fSEGU2ossvv1zTtGQyuXz58qx7oBRa\nPAxQUOobNcN5/qnxVtJNYqdpWltbW9Zd5RpRbwfFg1lLEko/K3ZFYgdYwRO8jx33DlM5hzZJ\nEMqSMQC03JBJBKctFt2/+NUHY08URWFnZS0AbNtm7NMosi5YV1I0pZZ4RUIgCiRoEjsueMLZ\nT0fwhKbTslQcwE8umNf+9nt46aW7LpwnmCKcO90JgFjaIHYBJQkjKpYAKEnGIAhsFSOeZsYp\nw5W+w6XYWeCc1cxIEtZNTrEz050AgBSPwnRo48fIxh0vrypPRryCOcx778X8+azIB98M9knn\n7yrDEq2kYIa4inbFzu1jx2JgVPO1wyQidlV85/k1qiT7laSZx84KnrARO0uxc/jY+dU0Xn2V\nX1KWiOK997BokRiPAUipmsX4v7np01VPXDtrz3rm9KZR+NS0pGsxj/HBKku2Kq6Gl57Hxyyz\nm6fPAhD94CO5sxOAd/AgAHwmHUMv+drXvvzZ/U+ddCksxY5Fxaq68eeFF9997i0A0NDAUi0C\n2NQU4e3pKZPYfXf1W9TjxR131AQN38TFY44HgJdewgHgIMQJFuFGARU7neKwVex0mnkFFAKc\nKbbAit0hvZn64KrYnVBi6Uxh7q1btz7wwAOU6kQyglu///3vH3/88QDq6pzVgBh0Smk6AaBy\n5ITQrMucXbL0CAAw4ifuu+++HnYva33LXoFJHv1+XorEDjDdm/bjY0cpAEkgZakoAGrmqyOO\ndCfmb6bYARliZ72/iemLFk2qpV4ZgEgMZcufiFkfVVmCJ8xOseejolNGBzcPOio1+1Rcdll9\n2SDRrKPgk5wJisFyFAeDMJ2rRJOLlSSiCIXYYBgNshyqZN4Um02xsw5hkUjj8ZROw2GKZfW1\n4nEAaeZjB+e8xSqqBEqn1G8CQKJR5jiIV16xmlmb2KNiXaZYzseO2Omt4WLHWZBlKyezqVPC\nlNDW7OmKSd6AkiQEnmRsaKSNZZKjnTZ3QytBscPH7uSNnyMc3j1kNPvTCC4+7zycddZP3/w9\nuFqxXkkY1dUA4MwdK9hnHNVpgClqso9tLnO1YmF+iug67fSXAdh1+jcoCPn0U+ZjR6qrYL8A\nrNeqptOYxw8zeCJuVp4wirmFQiyzIJqaLGK3pSnCLmAWbc3SnQhdnWPb9sZnnoja2kGlBrFb\nXTupe9RYvPgibr0V+0sfmgsHI06wCBcKmcfO+Bbt9z33Boyf9bEPBa8VW0juODB87IBeTqNV\nYpvBKgvBfOwAjBw5kmU8yUXsKIWejgse/wX3/oWIsnsCRENnAYALL7wwGAz+93//d0feTJyO\nEQFYuauDJ6A9h5Elt7/jlYrEDsgodjmIHSdii6JhM0XIUOwIMnyfwdD2RLLTTuzYPr2SYJks\nIymV5Y8VLMUuHtW5t6+jn9Y1btaTNd7EEW+Jdc8IhPDBE1l87AxTbAKAaNpiS5NRK7Uyn5nP\nMsV2BFw+duYPLirW1ls9nQYwPNxyVFcjn6BYSnCmWG6I7Ihrvn4ZBfl/L/wOQPniD4zMeW+/\nbZWpzQRPuOacQRQFAD6L2HF+ZuYAbYqdQAizV2o6ZcyOrfKZ+Ufisi+gJAVCSj79WNbUz4+a\nBoDGbVUvMwmKZds9ddaqDwA8eeUdCyae8sIVt11y7UN/nH0Z5s0DUB1uB5BWdUWjLF8gI/cn\n7dkgdHWyCTGCG0xTrFHsy3wKqDqt70y8sHxPFzOUT526q3JYYM3K4zcto4SwlIoSR2qtx4eq\n0ag3APMySKqaplNFo8F0HAAJhVpZ0rumJmaKBbC5KWxE6XpFmF9BwzavJ6DpmScAGBQ02Kcq\niIvnP4PRo/H445g8WfnVvYknbTnqegLrq6ao2B1MGI+RApi/C5dIpbfoSw9oXzfsGbik633Z\nvCP2/9n77gBLqir971Z6sfOEnhyZCMOQBkkqiiAIIrpmURRUVMzurq6gK2v2B4LrKiCwAgqi\nsgoiCCoIDGkAZwYYJuc807lfflV1f3/cULfCe6+6p3uGdfv8MVNd795bt+rVq/vVd875TuX8\n/1z+8Jp6Uf9s4CMM7DA0cO9SKp/JzBRgZ8i906dPNwyjJrADpZWiFDoJwHoRAM+fM29961sv\nvPBCAN11g44CZwTg+r9uvP3p7fUbRxojUEa81NsYsAMEN1Ouxdg5FOJhZ2paCxOB81yxQBRj\nZ2hagLErC1cs+9N23UKFAzu5qKdKSvJEHR07xtg5lPXKJdIihAWaJoCdP8aO7WTJExA+OF0g\nnEwx752RUlJMyp30JmvG2AUEiiGXh0oVwNI9639111dUgWIjn4dk7EKZrRtOfO3ymUun7tvW\nXuhvf+h+AJg+Hfm8PDSNeghS5eitKRNAsspi7CxCwskTvmlDaPXZjpiOwtgBKJrJVKVMCBJ/\neRjAn+adCviUX+Dp2Pli7NqLAyesexaLF6+fs+RTF33lwTe99+WJc35w5ofxgx+AkFSlCK4a\n7Rga0QgH98fs2/Sjr74DfX0O5R7zgoyx0z03KLP7X9zzt/UHmaO8dcaUFVMXG8XCnP3bHjnx\nHMycCX+QpcLYuSxuj90/lKJYdaqOyyagtTQfzEhgpzJ2FEA6Ycg5TNnwIgD7xGUA2jKmBJH9\nk2fgpZfwz/+MPXvM/7g68ZkrMDiIoRgdA3ZHwkYqb7HWyK8KV+zQp0DV7qNg8lE/vCu/tSv/\n8u7+pzbXxSKjlu8c39gaGv8c2QOnPmMHwDTNadOm1XbFwq0UNSvN+dpQA7beySvT0dEBICZj\npw7HIpWHamoE/wjaGLADPB276FqxQseOAvjZ9R9/9+qHoMTYBbNiFcZuf1N7OZHyGDvhil2w\nfuW8rh25sk0psgkDgE6UGLs6rlixQ8qdMIpl0EpTxjlTqolcARZjJ+ELQ5C5so2ODgBMNo+x\nh5ZTtaplydjpPMZOMHYaAZBLpG3D9AE7b3Y+7Cg/oSLjobU4yN5LGHPGY8tYjF2IsbMdunbC\nLABL9m1sf+wvmDYNr389APT3q80QiEehniN4YnMSQKpaAlAyEwAJVJ7wpPvEtFmMnROIsfMY\nuwRj7KyHH8ol0stnLgVA/UU4BMHpy4o9b91yw7Hx/vdrGhxRJM5xKTQN6TRjywDkyrapaxoB\nJ4OZZ/z2211KGSObt4Qr1s/YQSjm3LX0zeve9v6Oo2aumLYYwNb2Kb963+dZg0hgZ7s0Z3mM\nHYB82ZauWK21pTvdQomGffsGSlUAKVPf21/qypUBZCwv02jKlrUA6LKT2GXsEN5YSikyGXz/\n+1i5cuVRJ2ium3vyGQzFlDzBIfUbs0MyEWM38iOrAS1HyoZ9dKECM6KzUewQ73bWqX4A/qvB\nFTvUUEX2oFOb79kjCpHrPkGP2bNnd3d394s1olqtygQIl1JaKSmMne8QFDSg0tXW1gYF2OVy\nOe+gIVMHG961rYbqWo2IjQE7wKs84f0wylFyJ+2F/qN2b9CpC4C0NWbsKMi+8VPp5i07Dg5C\n3KYJDZ/5wRXfe/BHA0VeTwyAphGWBmFVyq6Q5AnfKAGBYtul2XKhZFhV3XAp5YIsQrwjIFDc\nkjLBYuwWLgQwr2s7AE2DRtDEncvSFetlCRFCTIFU8tmW6OSJsCtWYewAWHaVpWKortgKz4r1\njJ2c7brrx88AcOnz9+r5HC66iE9MyItTf3uxk0qxuonNCajJEySYGsyzYpWCGbxWrMBeavYJ\ngIKZtJzqxC1ryc4dy2csZU7MQHU1NhmNIKHE2C3btQYA3vY2nnWrlDChmawCqhyWUsP4sw++\n62pH03HjjZTSzsFu+FyxXowdY+/Ya+L9C85Y8S/fmtKaenD+abedd9n73v0traODdfEBO8e7\nfxhjx4hbAIWKU3VotlwEoLe02Jo+kG2RjN2x01oBvLy7f9JA11F9e+QcmnsO2pqhTZ7MBhkv\n8ie8O/foo+876VwAhSeewlBM/v7GgN3hNDfKXTWCIx9Zxm7YJpi+0Zr8ISZPsO+rWFcLjY37\nasiKjQ+A+Bus0lxiLKKb6gezZs0CsGMHV4399Kc/PX36dIbzqpUydW3NSkbStZT6kicAtLe3\nQwF2H/7whxctWmTb0Wycuu7bw/KncsbuHwDYUUp/+ctfXnbZZR/+8IdvueUWx4m4HWu1idN3\nGMaTJ2rJnbicLD2qeyfb4xJCs01sm/CJKZMHINbdPZ3TSbn09R/9UY7ZVBg0q5XJAwcYF8IY\nO0PE2AEeggm/X8odgtxym8r5fIL5NIU3ULhiAzp2HNiVbSxeDGB+13YAKdd5163ffsPm5wHV\nuewlTxDFdzyYbY12xcoNj7GjUBg7nbqUxdpzV2wOwhUbBsS2SzeMmwHgjK0rAYSBXWSgMaVe\n6itj7BJM7oTr2PkmrAlkJ+fPgJfjUB4qB0ARlitaSQDzn3gIwN9mn1DWLSDoimWTIf6s2Gl9\n+11Nw5w5mkKCUgrHpW42m6lyaJgr26ZOQNBUzruELJ953N/mvwavvHLG3Tf++L7vAciJrFhD\nYezYnZMr85+ArqE1bZJM9ptL3763eVxzyhT7lRg7ETxly+QJAS4LFafiuIwy1NtaNUJ6m9tl\njN1JM9sAvLxn4NZ7vnHN1R94x8t/ZTdzdqCnO92ctPjbs0yMVdenlZPmAyDPPouh2FhW7BGx\n0Vv+X01yJ0OeQyTZM4J2iMkTrFcjxo5d/+GMP1I2VEd/NQSVdu3axTZUVyyAKVOmQHHUPvnk\nk8VikYGzUiEPgFhpwRcGxxQCxXx/ANht3769v7+/FFUkHf7va3iMHX/b/wdwxf76179+4IEH\nLr300k984hOPP/74bbfdFr9NnL7DsDi1YinlLBeAwUSGCLhD/B56KPmzAHZPnAFAX7++VHU4\nyTHYC2Bcvi9XKAHIJk0ARqViOZzf0vo5gtnWVbj0tue2HMwHRobK2FUKuWRGfAoAQsAk6Ir1\ngN24cb3N7Ud17QBwyqq/nfbn337k+XsB1HLFyjTPXLYF+bwENPL5GBAohrzdRboDAK1SkiMz\nV2wlnQ5dNwCwHbqpY5qr6wAq7R044wyucytodiV5wutLlWkweCEZO02LrjyhJk8YXO6ESnwG\nBdgVzCSAeU/8CYQ8PveksmECIOUIV6xGiJoVO61/X2/LeFhMV9hzoToudTOZtABVVcc1DU0j\npLmUz1tpqpE7lp4H4PV3/Zc6AYiyY+xGyiQMKIEd7PQntybZUZqTHGypUi9qVqyaPMHGqdou\n/7O52dRJT1M7SqXT/+e/L3zlb8tmtc/p3vXGX/xo4YGtumNf/uw9/C1loKe/qY1BTABT2lLe\n9yFsc3Z8X7IptW4NhmJjwO6I2OjVzpKvbSM/dGwbNj7jiHDUbsVDTJ5gVp+x48krR5axo95M\n4pjNXbFeBz9j55kK7Mrl8vr16wFUq1UA5WIBgGZFSBOzPbw2uvg0AOwY7VeLsVOHDOvOxjF2\njiP+wnO4gZ3jOA888MAHPvCBU045ZdmyZZdddtnDDz8cgMO12sTpOzyrz9g5woM27yBnegcT\nGUXjw3dbAKCi+BiAnROmA5jdtWPD/pxYC3sB6NSt7N4PwbtYeSW0XISZ/+6JdTNvv2nj174L\ncVcFkidsx20qFwpJFqxGZXUHrtDLkifEPJuSBiFg1Wm3d87qHOxuKeVe/8wDACYPHASC6SDy\nESCF0Mos0sufDYpQSTHI211RFUa5Iq+VXigAKCeDWbEyBK1oJv74vs+UDWv/uW+DrnNgJys9\nRzN2lInkZRIGQzzJagkiKza6VqzEo0RiWdefFStdsQkAbbu2YcmS7pZxtqbbmkGKkcDOi8xL\nVcsd+f4D4yZBqATL1zKHUiedYRp1bI+pacwnPphIp03jselL6Ny5mnhSyBg7XtOWMXaWCJpk\nH2kECrRqkYydkhIsJ2C7tGgmHKI1lQsMvxYqXvIEmptNXTvY1AHgnb/5z+/86cfLHvn9fbd/\n/mNP3MW6T8j1lG2nd88By66W28fJ8b909vyPvXY2/GisVHV3tUxI79+DofDrYzF2R8REJbdR\nYOxcQOGMj4gN+6yGXWQ2po2MK7Y+YzfEjNTRsFqcWS1jDzrPT+W6spCrmhULAewYn7du3ToO\n6cplAJVCDgzYAYh6nsjS7ezPQPLEwMAABEYMm3ouw3XFMnQxjK717HADu127dvX29jJFQQAn\nnHBCoVAI5LPUahOn7/CMac/6dOzCMXYuPaqbA7uBREZJqQT8v3nOnGlEI2THxOkA5nTvWrdv\ngI2ZGejlw+7ZDRZj5zjz33me7E4ENdV+/++veuTmc274Jn78Y3VkyLIQxaLhOoVUFgClnpRa\npCvW1LW0ZTCCZ9ukWQBet+WFxWufA8BqacgCUKrfkAiBYgCOYQB+uMavQCh5gt3uyo9BL5ch\nS4rlcxSkkkjCD4jZNiOcnn7bh5d96vb1X/4Pb2KeKxZho2JwFmCHL3zhsr/eDuaKJWG5EzZt\nMX9RK7YqdOw0nhUrXLGCMMN55zFnaNkwtSBjx6+AzKWd2r+fgHZxYAeIOFkAjkvtNC9By/aY\nOsuKLQwkMk1Jg4KUP3ypHJwX6gVMrTZjpzHGjgM7zxWrMnbi+WE7LgXJW6lMpdiUNAHkK3bV\noTzkrrnZMrTt46axxulqKXH5xwzqsuojxWxLSylHC8Uta7YCIBMmyPFbUubSaa1Qfg6OS6uO\nu6tlombbqB2DHLbDoOw1ZmHjrthRWP5fHTp2wCHcUaM3d+/BfgjHiFNv9NXgCo/P2rLnlWx9\n4MABD2AZpgqqVMZu1apVbCdrXCoUABArGa6DzF/jlZhyDJWxU06FPd63def3DQyBbLIFuojf\nJY4d7lqx7Hp1iMjudDqdSqV6e3vjtGEAvE7fe+6557nnnmPbTEI6vlmGVq46P31s89zx2Tct\nmlj1xdhRFsE2pf8A2zOQzEjvnppq8OdX9m/cP3hgsMz2awQ7O6a5hMzp2fXHvQMzOzIAMn08\nTE3bsxeYlk0Y2LUrsXVz3kq9PHHOyTtfnnzHz7Sll7uETNu/DQAFKVz17/+eXnr6snnypvzO\nA2tbUmZu204AxWQGgO24UlIkUu5E10g2YQyWbQBbO2cD+NITd2jqC7Rg7HhJMRFuJn2LfTZz\nKAb15MLJE/yTarUn1byztfPYvRtIpQwkNJ4VmytYCZcEq8G6lK7bN/j3Hb0ATEPrT2YdQl7a\n3b9mw8B7oLhiRfuAVAo76wlMTU1EdJUMi4DUYOzEn9L7LFlKBRlDyV3AG96QfIbmyygZCUvk\nuLiUXvvnDe1pi/WTWbHT+vcDODhuCrzx+dWu2O7uEjkGyFaKg4kMAEPXdNdJV0sM2O0bwOD7\nPth9y+23zDqjP5llebgQyRM9+QqEnlxePM3Ztza5RQC7ZESMna3E2AHIJ1LZSiGbNLpy5ac3\nd7+0u/9dQqDY0rVN46Z7l2zOnP936bdWv7ztlB0vnUe65z/xp3WrNv6m0ncCkJwySb227FI/\n8NLeuROyZ86fwOrh7m6ZAADbtzNpPWZVx73z2R27+4r9xeqkluTnzpqnjqNUTD7yUOD/jv2j\n14odJvHGuoyiQPGhyXGzXrGyYl8Vrtj4wI4xdrw9w22ZTCafzxPNx9hNnTqVNdizZ4+sG1Gp\nVABUSj5XbPjYdVyx1Wq1WCyiNrBTjbHR77zh6ent6Xs+cWrcc3T/IZInBgYGLMtSK+ym0+kB\n6WWr26Zh31deeeUvwjKZzJAmljC0Xb3F7z247ublWyEKtEtzKC3b7rhCX8VKAuhLNil8DyBu\nvp89seX7D63/+VPbAExpTWkaKZiJ3lTLhFzPrt4iY+xS/fxVwDywF0Da0rFlC4BfHHfexe/+\nj3Vzjx338B//5bGfA5jdvRvAHce/JZPrn3fLj258fLMr1BSXb+r640t7d27fB/AaGF/53Uu9\nhSoAjZAprcmMZYzLWhBEDgSwYwTPlklzAEzv22cbpiNqswSyYqWOnXTF9jsEQCHnSxpQTdJF\nPFLNtgeSmU0d0wBolYqcjJ7PF8yU0LjncwPgUnrvyt27eovZhNGWNtmnv35+5z0bB4Co5Anl\n0BS0OWVmE8aiSc0AsI9rdbqp9KTWZEDuJCBQTAhnJW1/VqzC2PGcACxc+O8XLL5w6eSyYeqC\nsds/UPrxI5tuf2Y7AAJi6Vpnc7I1bU7t3w+ga8LkwCUF8N9Pbl2fowA6df68sHQtWcwBGExk\nskkDQL6p5YffvevWE9/6P8e8gdW6gEieYK/mAcZOV1yxhGD2+Iy6n5mjUIYADmTax+X7p1Zz\nAO59Yn3n+hfbbBFjZ2jrBWP3y3d+GqtXJ05YumLa0def9l530iQA7p49fdt3Axg3R8F/4tKt\n3NH3s8e3AChVXACsLi22b1dbPrW5++v3rbnp8S13P7fzur9sDPANkjEfA3aH09Rf5UiPPCrM\nxGGyUZ71ocqdUAAo1c+Kpb4DHREbqtxJxc/YsQC7Y489FoAmX7YBAO3t7clkcuPGjeedd962\nbdsYrcMZu2IOALHSYc0aKWWgHqW1tVXTNAbspH5K/KzYwZKdKw1B0I4liPyvT57IZrOVSkXN\nZi0UCtlsNk6bhn2vuOKKe4XJzOeYljB09sbDuLp8ObDM0HLfQKpa3nHUMT9bdtHtx79FjdCC\n340I4LS54y5/3RydEJdiIJlpKudthzIPWkowdumug2BL9datAHa2TKzo5jWf+G5x2szLn73n\nXS/+eXbPrnI6e9Yffu5MnvKhF+7vOLDbpfT0dGXltN2Pf+l1hs7VMRbMm3L+kkkrd/Rd8t8r\nAOga+ddzFzz1lTcwZ5xEJyqw2zpxhkM0AC8tPSPfxPEc2ngteRas5vLkCc8Va2s6AKccdMVK\nPuzL5y44cUYbJMVtV23NsE0LAKmWIUuK9fX2J7MqMS5LcbCqLD//yDKGWlxKcyV7kMmLSLkT\n8RPwPSAomhLGU19+w5XnLwSAvXvZ7rs++4Yvvml+UO6Ef3GSc1Vj7LwG0qnKchcqmSwmT77g\n2MlnL+4sGQnpiq3YFOLlUtNACP76xddd886lTKmkr70TIgBOArufPra5ksoA+Lf9z/ztpo9O\nzPWYOknnGbBLsypzpQp/i7vzstfImZtKZkakK/a0uePetGjizR88iblEEag8IS4Zm8mD808z\nXPvs1X8F8IXHbr/39i+c0LUFpolUytK17c0UzZ2FAAAgAElEQVSdLKrynV/5CDIZGbSHSZMA\n3HjW5G+fNhFA68wp4WsLoCtXAVCqOgB2MsZu2za1JZv5h0+buWxWu2wpTREoxpgdPmPAbhQu\n+mgLFNsOjbk6DiOCkB5aLbKGpgQeDOcQrE8hRiH5V8NrUnwQw9gsOWXG2F1yySXz3vHF7LFn\nq6dCCJk6derGjRtXr1596aWXXnrppZCMXTEPNcYuBNKJPytW07TW1lYG7CRtVDvGLnheVOiO\nxTQZ6BW/Sxw73MCOqf9J/2mpVCoWi20CUtRv07BvW1vbFGFxuFPVJABiF7rgr/tmu6524ACA\nfNu4b5156VMzjg2UW2A/SJmenUkYhEDTiOvSgUS6qVxwHF7rPdnLGbts936wdXfLFgBvffvp\nAAayrS/85I6SYX3uyTun9e/vnTpr8uQO/VvftJzqB/703y6l73j07rYrPj79+1dbusaAHWlt\nuf49x73jhKlbu/IAWKEFuQxLz6BOSDZp2C4tVp2SldzeNhnAs689vyySaiGVz/yMnXTFVnUT\nAKlyYCfvRPVScPclexOybVvTnUQCgF4RyROVip4b7Ek1q1V6DMHYFasugLa0KaFerhwGdpGM\nHQhBc8rUCEF/v0zdbWprIgR+XBeKsVOAl5oVq5YUA9A3bTY7VUvXyoZpCFesUMPhV4B9+2lL\nn5jrATDQMQGCNlNC3OixC6cCOObB38zs3btk30ZD1zKDvQAGExkmbVisuuwB0Z615MxNJVpQ\nyJ0Ixo57ohM/++CJb1zoxb2pGcFMaQXCKfy7xWfamv6Wv/46Wy4s2bcRgDY4yCIaTUMrO3RH\n56yqblgLF0Dx7doTOgGMy/W293cBgBJjBwUuMzXjoCsW2NqV/8va/RBJ6DM7Mh0ZC/6cdPh8\nE0d+Kfq/Y2zZG43l3xllxui8Hz1xxZ1/r9+mljOuoY223En0y+oQrZGOHftmhz/+odtQXbGM\nZJHNGWM3Y8aMSa85X0s2BUb53Oc+N3PmzHe961033HCDZVnwgF0BADGT4S+Rbepcx877oL29\nPSZjp86BSw0P8Qar/mPo2M2YMaO1tVWGN65atSqdTh911FFx2sTpO2yTwI6t0zl/eRDHoWbX\nAQD5Vp4DqIplQDJ2YnFi1Rp0jVQddyCRNVzHKBbY0pXo7QJAQTr2bGdtGLA7/nXHA6CUDsyY\ns6O1c/LAQcN1+qbPBoAPfjCXzCza/gqlyBZzAPCDH/zTi3+5YO3jAJwZs3SNfP8dSwxxUHXm\nEpbpOuFQoGS7lP5h4RmrJs9fd9zp5bSgPMeN852RkDuRrtiqpgOgleC7i3o81eep2dWqblAz\nAc8Vy6vN9qab1WgeTUQ5sGdTytSlgztXtrmKW6MYO5nDIek6AEinoXCK6gl6OnYij9gWPy/O\n2JleSTEA/dPnsD8ThlY2LL3CgZ3g0l0oecGmoU3MdQPobxsPzxXLb4+TZ3csOmoygORgP4BJ\nAwcnDHS/96qPgjN2DNg5UnFaztwIMXYyfTvwvUvT/efOxmRe+/3Z9p+fcEFH157/+PNPFxzc\nylvMmAHA0knFca9/zz9//N3fQDIJJRujOmEiAPzXf+G73wUhrHCZNHm0vkLVdmmp6gLY3jrJ\nJQRr1gD43N2rLrvt+f5ilZdONnV2nct2kCPnEz6iylv/10yIsY/8yDznfdTA0eaDuY0HcvXb\nDL/yhPLvaJgT9bIa31xOK7h1CFH3f60rVl4VlvQ6depUTgr4B/rUpz61devWu+++2zAM0zQh\n5U4KeQCaqLrp66O6YpUP2tvbe3t7XddtDOzUGHHBvQ3pLhslHbvDnTyh6/q55557xx13dHZ2\napp2yy23nH322YlEAsDDDz9cLpcvuOCCOm1q7T90s/yMXdAVS2mypwtAvo3TWorcCSAZO7EK\nMTCkE2K7lBFOVm6wYrs6dVPbtxYzTX1aYuLurZCMna7TGTOATQBcSnvS3D2amzwNADStL9Pa\nXBhwKU1WSgCQSFx1//W662zumKq/890AdI20pM3uXCWwkEvaSSdEcjwuxQ9Pf/8PT3//RabJ\nSnsBHmOn+f2GpsfYsaxYDmjk/euPYGNvPwClmm3bmkETFgC9WkEKOiEM2PWkmvlPlgKSsXMp\n88clTV3C5VzJziXSIKR+jJ04MgAvwA4QwC7gitVYjB2R/QwhRxIZYzeQzADonXWUvCAlwyLU\nRbmMREKVDpeXwtRJS64nb6Wq6Qz80oDZhPHdtx9D7lwh5zNpsOuUR+9O5Af3No17evqSoxhj\nV+G5FipiUxk7VtpLWgC8SgsAPselpo7dfZzR/P7rPnR+19qL1jzqtTjlFACWrjku3dA5Z6fF\ncyOahDCe0zkJANauxdSp+NGPsGhR5DRcSnvzFRbekLdS28dPn7Vy5cvbu1bv7ANQqDjsPcfS\ntbCKJHCo1TPHbHgmfpWjwNiNzgImB3dcGnho17JhnJxQ9x2tW3GkUoVKVUeKSgZstE8hjg01\nNSfSFTtlyhSK/fU7qoxducgEilO1sLOa/sisvb3dcZz+/v4YMXbetiyANiTNGq5jN9K/uMMN\n7AC85z3vsW37uuuuc1339NNPv+SSS9j+p556amBg4IILLqjTptb+QzeFsaMAD/ZiplFaXvVS\n00APFGCnpIIqjJ3wtTFmRdNgO+5AMgvAyg1UHffMzc+b+/a++Pq3FrbtPGPbyrbioK4RbNqE\nadOIxRGq46IrzQOkSuN4bm9/pmVyzx7NdVnleNx6q37xxYOJzOfO/9JPkrxje9rqzlUCiQIe\nY6eRrCwXK+4iTSOMsStbyUQ6LVvCkzsJAbtQtIF6QCLffqpVAFVNd0wLAOO3NAHselPNKi2v\nC5FnhgNSXNAXLqW5su0QzUml9boxdhTUm4Vg7Kq6YepM88U/4eD8pUAx/30xzCezYv8264R/\nO+eKBRe+dxm7pIaWMywAKJWQSKivXBLWWLo2cbB7f7aD4Wx2guyX//yVZyVNHUps6LyDO059\n8ZVic9sbLruxaCaOF4ydGoDIjMmdAEgYmizzwKwWY2cEgB2lgAfsKrr5p69c855Pvj1pi9DJ\n004DwOrIlaqOPLp0xVZnz8E55+Doo/H1r6OpKXA49WboypVl5NzLU+bPWrn90d88AmTYpWAU\nXcIUwK4a7Yodi7E7nDbUEHuX0ortJk29YUs28vC0vpiVqs4br33svSdNv+INc9mf777pmX86\nfurFp8xgeWmB+Jlacxh2ZbDRuxMPUY5bdipUagI7Zq+GrNj4c7BDyRPpdLpFJPnVMZWxq4qs\nWIS+fbYt5L287lLKTsbYxYnsskUhgyE9sv5BGDsAhJCLL7744osvDuyXWcp12tTaf+iWEKpv\n7GbKK67Yf378tonX/+Ft4+cCKLR2oMxnwj5Va8Xajs8VqxFiu3QgkQGQKgxWbPeDf78fwDPn\nvif961+dsW3l3O6dqZ4udHfj5JMhIJHj0j7B2JUmcGA3mGnWKG0u5VPlIgD80z9d8XTfKxVr\nW9sk6SptzyZwIKf7veuWyADQNV7tirli2U5DI6y0Vy7TImGCKlBMCLE8Vyxj7OoCO7CzoAzY\n2brBgJ1WFVmxBw8C6E638JZibhCuWEKQNHQ1xg6Ak23SxcuT8uzzu2JDjJ0mMqMDLCaPsfNC\nA5UYO0QwdlXduHPpm68SWSaGRkoS2LW08CAJgYOZWZVSczm/ZiIvJiaxMiECLyrA7g2bnyOg\nT33gCpZ+y/B3SbpiBTKTbvEz50/49tuPWbfPl0uu14iqCDJ2DqUUe/qKhIhkunkLrn7jR6/+\n8425ZKa10I/TT4dA86WqI5AkmlOilEUygT/9KfpgCg8KoCtXkQ7WFyfPv2Dlw3N//pNTlpzz\n/JRFFdvlrlhDY0kqAVesfNCNMXaH04ZaeeI7D6y7a8WOZ/7tjfXxBMTz5FCARW+huru3+Nw2\nHqO8f6C8emff1NbUxafM4BlvjYAdt2EwduzfUbsVDznGjnerE2bHWrwadOzqg5iuXFnXSFva\nguTAxEXZvXs306trGPIYEWNnpWo5gsUD0sfYAejp6RmSK5Yr0tGhvThwgeKRDjg5AsDu1Wnv\nXTZ90aTm363cHY6xe37qIvfFB1lB90LrOEYDe6H3CmMnZY0ZY6cTUrZd5opNFHKpXVvP2Lqy\nfPyJu+cejXHTAMzt3pnZvB4AFi+WkEh1xVYmdLKNXKYFQFuxP1kuwjRhWZtmH71t/yCEGxFA\ne9pEyCWnJk80CVesvPF0QkrpDIDBppYOsTNQK1bGddm6T6BYDqKu5Zyxg2DsdJ4Va1QrYCBD\nxNi1KlmxuiDDC1WHBdixc6KUMoTtJFMo8IIc4YegSrMBgrG76CL9mGPU70haUO5EAV7qz14C\nO9lMbpUNr1wsu1AuT57gTZIH9gHY19TBpftEPor37TQ1AShMnlbsG+wo9FWtxKq3vg8v9ABg\nisHFisPYDYnYdKFQOLk1NaklyXJlAicVtiCwo7QrV67YbkfW6s5VADQljTuXnnvP0W98c//m\n6xdqTGpOukfDjJ1RC0LCdwUAdOfKsvGTs5baydS5ax47d81j+5o6+q5YW5bAzuQg8hfPbD/9\nqHFM7vF/qy7G/3ITcidxL/7uvmKubPcVqg2BnawrM+y5UR4eyp8/bNVnEevswcuUByyj5v05\nfK6uEZI4RBupOivF2tB2qGzZaFhYcCRs/3TD0+1p638+eSqEB4w1LxaLvb29TOuE4+za3yYD\ndoyxq5R4Viyfg28+QFTtKAnsGjJ26mgOfxMf2rsowxsj/vp6BGrFvjrt/CWTvvqWhaZOHJdW\nbFd1Gfx1zrJHb/zNgWw7CBkYz5FWoI4WT+YXvVgslMaSJ5JZAOn8wCl/upuAFi77OCFkR+sk\nAFP6D2Q3cmDHOlLAcal0xVYn8ginwSwDdoOJSpGRPTLcSq7cbRmfcB0zT+5EJxkvxo7KnUx3\ngwFHdUDplfRcsZoBgNR3xYIzbdIVa1tqVixkjJ0QVuDTAOBSlCqOKHFLAFRd7px1TRPlYGyf\nQtx5NBsgGLvvfAff+EbgEokJE/g4V8LAsUyeUEt3hE0jpMwqFZZKEL9MmydP8DEzzz8DYH+2\nnZfH1TlW9ubR0QHg4Jnn7GkeB+CF17+13MqhdbaGK1bXWHAgxjdZUKInI8+x1n7X5X7YaW3c\n8540dUMnZcN6ZeFJ+MIX2E6PsQsDuxoHYlbLFbuldfK7v37Pp97+1V3Tjuoc7Ka79wjGTmeM\n3Uu7+q/8/cu3Lt/K2iuu2DGEd/hsqOHtjmQp4rU8NGAHCHVuCGDHnCQyhah+9YVhH3zY6bQx\n7RBvcnlexWpN5keCqiP4e4rz2tCTr/T4sTtrLgPsEIM6Za7YgNxJrYJmqq4FMya1oTJ2ceRO\nbJdG5Gc0MgZex4Dd6JquEduljK5LKev6xmnzzv/Qdb+//s5imy91FN5tASg6dgwosNEYY9fW\n17Xs8ft70i3OO99FCFh1ptbSYGrjOgBYvFiuiA6l3ZkWAA7RnPHj2c68AHapsgR2/LuTG+0M\n2NVm7LJC+UxmGuqEx9gNZltlF02pPEFALEO4YnUDAA0DO3WbM3bCFasZjiGSJ3wxdi1cGkpM\nAyLGLmXpcszBUpW/aFpWmCkMMXbCGGM3yauIEIyx87tiIVyctshoCrhiA+epEVIyEgBn7HhW\nLOvIjrR7d+unP+kQbcW0o3l5XBmfKw+6bBkeeGDbZ/51a/sUW9OfvPBDEmgGs2IViWl2cZgQ\ntJTZk7NClAWAne26u3qLAKYKYKcRkrEMAGnLI11MUZRWumINnaQtHY0YO5UcPTDoAbtS1Xmh\nz61c+LZ9x54EgHZ3M9+rZfAYu4GSDWVhVpIn6hxtzEbYhsrrxHGuDbVl/RFYQjfE7479K10l\nDcPsMCx8NnoqMHx8MbCqNPmTRzet2zdYs0+U1S8+wQ9x5H5RMVlbibFEOgIFsHfvXgCTlKd6\nnW+DpVQyYFctF0EIMROC51MOBEDotqrBnzLGblDUba/N2PlcsdQ//zg2SjF2Y8DOZ4amOS5l\nq4vUdwDQnascyLYXTz41EJ4lt0XCOf96vKxYxx1IZAGc9cLD6cLg3UvONjMpjfDqn62lwdSG\nddA0LFwouS7X5a7Y7kyrbvI5FJpaAbQWB5IC2FmK8jDbYFWtAnVR1WYssXGwbMvbW9dIJZ2B\nAI7M+I0u5U7E2s6AHZEAS9yK6lruJU9UKgBsXXesCFdsTzoiecKlKFadlFLidlBIeLuGhUoF\n/gCgAHXnTWPvXqTTsvRtYIaIcMXyWrHSFcs+Shi+2DylJC7KhsLYKTF2/KvYuxeOc9fSNz8y\n5yR2LEmjqm5rnHuu1tb69bMuP/+S6wenzmRNDI3jp1KFF0xXgd2bF0+6+UMnvm3pFIRwZy3G\nTiO+s2fJqpBFdQFdHDGppNmytwVKfXiRkXaGHn0gcYKePbRmX4BBWdDZVGlpA4CeHpYtkRDA\njgVIydzYsRi7I2JDzVt0RcB4zJaHIlDMfpz5ss34Oc7YuWybD5uPUX1hOKFy1Os+GubJnYiN\nTfsHv//Q+l88sz1OdyV5ooErFkfUG8sOXP/uopTKe4QnT1BA1TrxQh5rDuJLnijmNTMJkFr+\n9FqMXXd3d2NXrJ+xqxWienCwfOuTWwOJ/+IcveVjBG0M2PmMKc8xxq456REYPfkygNa0xddp\nZbWTydKUekJlpsyKdelAIg1g1oHtAF6YstDUNUJIX7IJQEsxl9iwFjNnIpORXJfj0q50C4D9\n2Xa5iOabW8FcsaUCB3YeYxfPFasJV2zJe7rqGimnMhCuXr7TL7qmuGJ1ALCDjJ0WhX6EK5Yn\nTxi2YOwOHADQk2pRnT48Kxa0WJHADgAGivxYjmVBJGRECBQzNKa6Yif5CpgGQE84eUL3kifY\nR8xX611ktT0JMHbK2sZvjIEBAOwr5q5Y0TlAq1k66U01rRs/k90VrD1zARervHSt7GtomqGT\nsxZOZGA9AOxalPeQgPmrinEIJeVLNMK5urRCUcv3AfVWZ11kZm6kqe23dxeYFrE0U9eqLa0A\n0N1ddlx2IJbTUyg7UIDdSEUdjdmQjC+9sUO53dgpEdwNd8iMHUSYnXDFUvhcsSPA2FVs93sP\nrguzZaPoig3Vii0PZb2XvFGpNmMnBzqCjF2cgnWUBhk7ZswVO3nyZHie8ZoDqa7YaqlArBSk\nM9p3LI9WUG9hxtj19vbmclwZMV5JMbcWdvzt33dd/YdXnt7cHR5hjLE7HMZi7FjAvsrYsfpI\nLSlTSKB5JkMvpVgGRBwSW5JZjJ3mugD2NXVYhqYR5BMpR9PndW3X+vpkgB1LVHQo3Z/tsDVj\ne+skUyzJxWwrgM5ct+46yGSg4C25bHfUdcVqmueKlb8cXSN9k6YB2DdpZvCMXD4lxRVrAqD+\nkmKE1GDsuCtWdxXGTtOALVvsjnF5K6my1uxyVWy36rjM2xhi7EwALMxOYezEhvprLZfR04PO\nTnWSocoT7G8JtoiiY6d8oGgUqztDjJ13dH6g/n4AuYSnjWx4jJ3PZM6yoRPB2GnsCsgYO/n9\nBgCVnJtGyB8+ffrcCb66fKqpxKPtuoxFk9HuGiGZhA5WtlhOTI8AduwXUYsa5CcoPlw4qRnA\niq096k5T1+zWdgCax9jpDKGyJbksVqYwhzFmh8EE5RCbsYvdnusGHILetDwIo5x58oTyL8Tr\nQZ0x4hxo7b6Bnz62+dfP7wx0OxwlxcTTjecNxDuibFUvK/bVELTK7pa6IIYqT/iq0nLLli0I\nALvaw6hZsdVSQbPSXvtQN5H+6O2XyRPSFTukGLvwbWbzsIGajN1YjN3oGouKK/gpDQDduTKA\n1pTJEYGPsQMASmlVWeA5Y8eAXSIj93c1dxgaISAUZDDVxKpOSWDHzHVp3kq95ZLrrzr7kzKe\nqdjcCmBK/wEAqitW14hcejtbklAWbGYJXUAHAewGyz7GbseiE0749C+efc3Z3hlpHmNHlKxb\nVivWKylGkbb0q85fFI6mlzF2Vd1kjJ1ZrerUNVeswM6dlZmzIX4SauUJpi/KUxYY81USjJ1p\nARD5E8JbcTDHIqk5GmPXYf9+UBpg7ILxZzUYu6rjwp+HobJiarIFZ+wUV6xv6IEBCGDHvkDP\nFVsDdlu6xqPxdMI4y2KFv8UR4UsNiLbIuRk6OWZKPW0nQ4GErsvj2LIiGUIjhDF2qZArFn40\nyVyxZjxX7Gtmt8+fyFXu0qYhp2q3tgGwdu5wSiX4XLE+xs516Qf/fv8x+zaNxdgdThtqViy7\n92mML0kkTwx7at77Gwuz4zWa3QBj1zh5ouHJiTx3r91ogyEnxNjxalrxunvArnbyRPh9+PBb\nPMbOQ7MVUVLsj3/84w033JDJZObNm8da1T+QmhXrMXZ8fOVYAETwkrpfAruGjJ1qjktr3WBq\nYfSAieSJhsMPzcaAnc9YjF2u7EBJA4RIxWpNm+EYO/AYOx8eN0RWLICDmTZbMwDYujHY1C67\nD6SEuKtk7ECoiOJcP35Gb6pJLqL5jvEA5nXtAKAmT6j0ybyJTXd+9DWfOnOu74x0Ir2BbLSq\n7cpHpKERQtCdblVjvxiAkDp2dQSKm5PmR06bFb6MkrGrSsbOrrx/5QPWGafBcaqzZkPm34lp\nQHA26SjGjgO7SgXKb+Anj2762r0vy0H4CbDMiSBjVzfGjnCw4jF24rMZ7WnZS2HsCGfsFFes\n+AgAB3aFZEYey2PdAq5YD5zxORqaAHYieUIj3MGt+yk7mbRbP00VfnDmUFoo2/Axdohg7KJc\nsSfNbJszPtuRrVfrRSJXXdPe/5oZbJuND8DQNLutDcDs22/46I+/DCBRzB997dU/vP+aYomt\n1i6A7lwl3X3w6j/fcOUjN4/F2B1OGypjJwqFxWkJiMp7w50b32BZkzwbnWXFjmjyBMegoYsw\nereiElHKN4QKxtDGiXP6h0KaHqLFvLskAGJ3S8V2r73rIUrpTTfdNF5kE6Lu9ygZu1Kp5Dq2\nZiXhX3HEgQDx5qx+3W1tbYSQWMkTAVdsjSQb9nfktylKjY8xdqNpukYcl+ZKVQSSJ/IVAC2i\nOH3YFUspVV1yMisWQFU3NndMAdDT1K4rmQF9YWBHAOp7U5RcS7GlfVfLxNk9uwDJ2Pl8fMxO\nndOhEo3MLB7wx+srqGptGiEMNATSQQjxFHflqiwEin2u2ICxdZ0CUqCYATvTrp6/bjkfZ+Zs\n8Eb8XmcXKi8KxcpLpDB2nitW/dWwVEo1lZVrnQQYO/9tLtC5x6IFYuzkN/yLy07OCACkxNgJ\nHbsQY8dhUH8/gFIqCwHuJTwifmesBO6mznk5QyNJi0uNyGoWHPP5qTJLYsG6aarwM3aO4wZj\n7DSSMsNZsV4ytdz5yTPn/vWLrwsmC/tNNtcJ3n78FJZvmxXHMnVC29vZ9rEvPnXRmkebTlg6\n/ec3XLTm0fadWyBkij9919+t/XsALN27gZZL9c9uzEbQRCRc/PaMh4vvih2BBayvoLpifYxd\no+SJmJ5Nxtipe4ChXJahmueK9cLLhuKKjRFj17v2yf13X+UWB45g8WU2y/p3i6sE1rBasfmK\n/fzGXQBmzOAvig1dsTLGjlFuIsaO9YrophGiIj5d11taWrq7u2NkxXomCZPwAVT3VMDiCwYN\nycaAnc/Y2tlfDAK7iu2aupaxDFIzecJ7a4RYsOWiuG78LAAHmscxjMVX/2QWAHQdCxawZkQk\nTwTmA8DQtRemLOR7lRi7hos6BPtiaIRRPkooAAyNBPySzHRCJHd10sz2a951LCIYOxpW2PB2\nyJJiVhJA58DBE3a9wj6pzJoDf9gKu1AFRWWGYS/J2Nk+xk5xkbjeS1gdxi5UecLP2Cm1Yl0V\nIwJJU1cLP8jueSZ32dcHJSMPfsaOKT/r/hi7QJBdwueKBQBd06QrlidkiLstcBYyt2OIjB13\nV2VCMXZ+V2zwrGOaJwOkkWzCeN/J01vTZmdzUgyr0TYO7HTH/uH915D9+3LHnwRg/oaVEK7Y\nTQdyLEohYVfSq1YObQZjdgg29Bg7r1ejlkH/5tDnxjd686orNhBjF4OxazRbJ3RS/GE1aukT\nSkkxvkcWHo1jcqZhT/Q///bFf79vDYC+NU+Utq3s/tOPj2BWbJyITCbRz7blO7NbLgCQxcRE\n/5rjSFcsQ2Y8xk4R2BL9OXlBSHBW7e3tQ42xk67YWicYee9ztawxxm5Uja2RHNj5qa9WXtcB\n8K92sqSY7XPF8qxYZuvHzwCwv2kcw1icsUtmAbizZiGVkh1Z8oT8U019fWEKx39qjF3DRR0C\nPWhaUNQDCmgIMEmqPIeukYuOm4K4jB07C0/HjjF2r936d526YFnoc+fCL4Wq616UFRPdIBzY\nCcZOj2bs1EE4rxXF2JEQJIKPgROMnRNMnoAP/3lY50CmDeAg0nZdAvrGzSuy5QLPgGDAjjF2\nHK6RwGjMLBkBKWLsDJ1ohCQMTXXFMgtnLbD8iYb3gIoIpZqPdMXqmtSx84Cd5vmOh4bsiH+2\nX33Lwmf/7SxWIIidHWltdQi/q7szrVixYvf3rgOweNMqAGXbLdvuwVx5wiCvHJV5evmQJjBm\nh2J1qIVIG2pW7CHJnUheynYwPMZO+beOhUmUOCUTDsUkiybPkb8uDvGIYR27v67d/8i6AwCq\nuV4AhQ1P3fmL2+MM9c0/rv3YHS8M7fCNrCHTBoAqDaoesMtDBXaNvg7J2DFkRqxk/aNrhISB\n3ZCzYoXcSdQhat56Y3Inh8MYp8UcfGqMHYScBCd7fHmgzBXrY25Mv8jFuvEzAezJdjA0xvb2\nJpsA0EVK5gTDiD7GTsRgadoz05fwvUqMXRxgJyGgJnRMVMZOSnuoFljOGabhOnbi3SXyF8K6\nUXg6dtSyAPAa87/+Na69trJkKeAjxhk9pDJ2xB9jZzNXbKUC/3uzePpReehoxk6LOB25SyMg\nhOXN8EsfzvOF0kEj5EC2HeAg0nboSTTQbCEAACAASURBVDvX3PLbqz/71F2q3EkprbhiQ7Qf\nMxnKZuoiQ0IjAFKWrgA7DikjgJ0Ri7VVg/NY0rdGSMaSSbVIM8ZOSQFW9Lfrjx20gHA3m6Sc\nualrhmkwrvqXb7r4sou/i6VL6aLFfcmmY7avAVCuOnv6ipRiYo5LA7T/9ldjkieHzQSnEru9\nG7e9e8guJ9lVzTEcUoxdzIOHLwLl+4c24fim5IDzPcNLlgxnxQoJDti5PqIbxEp+7V+/tG3b\ntoZDPbmp62/rDwzp6A1NxFnWZ+y8s5ZLKi3nAbS2ttbs5jcZYycYOyV5AsHvlT1fA5Nqb2+3\nbdtx+PWM5Yp1avLR4n0p4iN7zBV7GMzH2KX8jF1KYeyU/ZKxq0QwdrzhUzOOvWnZ23+55E3c\nFasRAL2JDACqpMSK5AkV2ElXLNkwbvrOlokAVB07va6oGDOeP0uiYuwkY+dHHB7Ho+xmWbF+\nxi647EuYK3XsXJPH2m9rn4y3vQ2f/zzRNPiflewsWOyXGmMnHwG2khXrS2ui3nttvRi7IGz1\nnTL7z9CIfOWKVOZTgeDBTDvAQWTVcZnf8JwNT6tyJ9VMFgKNeXIn/uusxNhxpzhrmTL1YsV1\nXd5dJE9EA7v6aapQqs2CJU9UnJQM0INXeUJ1xcoh64ubhE25Sl5H7zbWiKlrB7NtpaaWm954\nyfbJswEkLGNLx5TOgYPH7VmnlYq7egsAJuR7AawbP9Pcvwfr1w9pDmM2bIvvWlXbx3GwOrGj\n8WqZ7FlVwBxbF2UydR29D0QSJlHmKAEeQ+s5XPN07MSBKkPJH5awIBxjZ7vULhe/+MUvVvr2\n6Zn29jMvGxwc+NrXvtZwTNUlOlIW0xUrtxXGrqBpejabFeMAMZInqtUqo9w0VccuhNcBohES\nGK1dhAIzi5U8IUuKhWZWJw6hOsbYHQbjMXYF5or1MXataQsCk5HQwh9yxRIoa1vJsL595kfW\ntU9XGbv9mXYAZOlSbygCSn0xdlIMlvFzf5l7MuBzxTZc1CFqT2makiIgjmAIZBcYRcJFAfsA\noWOHuonfYhwpd2LQJHfD/XX+qfI04Y/mYciDuQiFjp1vWMdQXbHe9XF9j2ACAHv3QtehJE+h\nRlZs4E9D0xzxgqg6piPiCAnJW8lyMi1csbSj0A9get++9q0bAM7YVRiw8wdlBl2xHmOnycoT\nAJKmXqo6LqWq1zgK2OmR+wPmlzuhhYqdkTF9gEbInPEZXSOzx3lKeLX0WRpbFCLUldvYMrTP\nnf+l333rZ2WHsvknTW1HS6dG6e/u+NKnH7qZVzwr9gL4wvlfWPn0GhmEOmajbYzPiL/MsN9v\nf7EaqdHlG5m5YuMk0NYcQbzmsSIWCm9XFcAuVzfGji/tjc6uFv4YPR07Oa7rP8ehQqtwjB2l\ntH/r6muvvdYpF/RMa/bYc9KZzLPPPtt4SnTkk0XEy3ys6w/lbnFL+UQ6E3gW1fk6Qq5YT8cu\nso9GSOCuYBrFADKZDGLLnQTmH7DI+06kYDccfmg2Bux8pvsYO78rNm1CLFsqMmA5pNGu2NCK\nyxNUCQD89pg3fuid39De/na1AQ26Yj2qA8AvjjvvxRPPxJlnIkrupJZJV6yMsZN3nq5J57Kv\niwdEvA1UdR0AqZTlVKNAD6AwdrZmuBYPnH9kgQB2ongaxM+MZ8UyV6xSK1Za1fBcserPw/HF\n2AEA9u3DhAnQdbV7oBpHIMaOOzp1IiFvJJhRdOwAoL91nGTs2gu8VvS0FU8AwMAAMhnNNGUv\nRe4kiCnZV2zqRBVGka5YNc8j/F0nTQ2NSkEEOtouLVScdMLz4esaOXtx55pvnHPcdM/ToftP\nNr7JE1TfOOTBDJ1YOlk7Ydb2uceUbTdhshIa+o5W7jo/55XHd3fnABynF2wrsXbCrBV97r6B\nscTYw2R1fEZ12l/+ixc+c1eDHJf40Xg1jyU2VFHigPRrQ3wZx0SdNG+qo10rtqaOXbwDymbh\nGDvHpaWefWxby7SBkAWLjt60aZOMHqtlLqXCgTFiVqvilq9NFG3plnOpbLPSJkSp+i2UPOG5\nYn2eWEkJRCVPsA1WXixOSTHHpRFcr3LMyOSbakg0cURsDNj5jHEbInnCz9j5Yux8vTRCaFDH\nzkuSUI0zdoQAqOjm8rknEqWUO2utgnfVVQdgc8fUW790LWbMkB+ZMbJiZfKELpMnxEeStwlM\nNBzsT0B48kS1/ruL3xWr6zRhUZCuTOvL03hWLwd/8J6ewhXryZ0EXs58jJ2yX/Uc8QPv2xcI\nsEOEK9bzb8oNg8fY1fyBBZyM/S3j0NeHYtF2aEeRA7vO1SsAoL8fzc2WgrzltxSGjKyZqWsM\nnrE7MGXqZdtxXAHsGOYLdWaMV/3irXIOrDeLsUsrrlj2f9LUw11wKK5YpaOh3Mas2EbFccu2\nk9B5bTQJ7Mbl+ybc91vTsVN7duY6JlCQ7z247vENB4c0hzEbtglf0tAYO8elGw80BgoQRNSL\nu/rjlKsPWGSMXVVInYmjxBinUYOwjm4dsmdELCx3YteACJEmm4U90S5FuY+HyumZVgALFi9x\nXffFF19sNCXfxEbE4oypxtipWbHJTFPtTkGTtWIFY5eSxw0/4Rk1E7hzGJ6TG7WyYgO92D0Z\nRml18jaEcPcI31xBzbP/48ZWoIFSlRAEBOFalBi7YG4BgUtpNSx3EgJdpsLYIRzUTwitoWMn\nl0bZQ1aeaHhSUhRDI0QjpIbcSbSzUt3NkycaZcW++8WHWz//P9i2GYCtGZqmX3/ae7e3TSLi\ncnisnvjXUBk7JcbOO7QRQ+6EAN3dqFYDAXaIEgpRT036QCMZOyV51ndxtieajwJ6t+ywXbe9\nMACgaCY6Vz+HLVvQ34/OTiF5A9QNhbQMrVBxvBg7nbCLQCnyFUdXGLswgGOMl9GIsdN4nJ9W\nddyK7ZZtN2MZHrUWdQuFKduYFjmsZOxMjatkV2y3EmLsKAgB/eANX39T83htoH/L6y8Q3cfe\nPw+T8fD22Mu5bMkk3OuYjMbbeCD31h8v/+wbj/r8m+YNZ3JC4qSi6ERUZJR9I9AQx5xQRBQd\nSvdhWK0YuyEJ7yEa2NFyrwB26VYA8xcfDWD16tWnnnpqvTHhOUNGymIlTygnzcX8HJvalWTG\nCxSpg5OYMcauXC6LGLvorFjJCGiEBACfdMU2Yux8vSo1RGrYnjCild6zEdegGXti+ky6YlOm\nHiDDWIxdpPoDS5a2Q4CsVrS75DUCOa0EoLWSJ0IxTzE1zCCWf+npCwoU8yCwwBl5UxLHDerY\nURqtY/fvf7kxc9uteOwxAF2ZVk0j153+vt8tPjMg0qve6+wjVlKMx9j5b0zbMIAGcieASIkN\nAbtI2KrKnQDQNc3x5E689uHkCdZxh9UCYPOjz1Ydylyxf5t9ojXYjzlz0NeHlhY1gUYnvr6q\n8e8xlBULIF+21fbhe09kxTa4B9hNwg7EFGTSlh7AqQFTIvDqjx00T+5EGVb33k809kJSrDq2\nS+W5726fBGBzx9QLP3jtI/Ne0znYhWTy+XddxnrFCSQdsxEx7kui8RNI+UZfoVp/wZblHAaK\nVSgJ7/HNc1Myxk44K23XS1yrP+2YqiVuiC0L7xlZC1dGllGDccxj7KJcseW+/WybMXbTZswG\nsGvXrvpjsgC7kWXs4lx/6hModgG45RyAlMLYNZxUwBVbK8ZOMgJhxi6uK9b/p11DpIa60Scu\nMcOIu2LHGDufyWr0zdlEAJYxHbuwQDE4sPPcAQBMRa9ONaFjx/8MMXZAIHlCkTuRx1I/ario\nw8uflQ5HL3TCiEx/jYKkBLC5K7beq7lpV1LVcmXp8dbNN135wIa/5Js+FAov43nEilACO4uC\nkhUb0NWzayVP8N8R5V127gSAyZMDs4rOioVvPoZOihXuiq3B2PlO5NE5J17ywn2Lv/bFv37r\njvbiQN5K/ejU98yf0zk7owHARReZBe8eUHUBA3Njt4SsFSuTJwDky3bC9FT9wiBeuGJjxdiZ\nBkGFL6iZhKGR4Pfi6xKFz+KYd5WiGDtD5xXqntrUBaHDB6C3bcL9C85YMW3x6knzPnLRlRea\nfddfOD/f2wxsRLx4gzEbEZM/LZfSOF+9DDl3Ke0vVtszVs2WzBUrIMwwEIPiimX5sF5cnYRB\n9ZkPqvxbx7iOXYRDbbSgXVRJMYqQp6+hBYAdu8iVvgOaprmua46bDsBKJgEUi8X6Q/E0mpEF\ndgDqMnaCVPO5Ypk6cSIddMXWuYV0Xdc0zZM7MZPy+LUqTwT2z5gxgxBCKWXUXZwYOwBVx3Xy\nfVUnGdk4PGHpax5xxm4M2PlMAppsIuj/8cmd+J94BKABV6wWgf/gj7FDjRXLV3lCWRHZRsAV\nG8dLpUoZaxpxFLkdWa4qGDUYTookQR27SEsVBgHYkyZbJ5ywY7VDNxyUQ+n+MdWHLFtCmNxJ\n0ozIiq0ortiIN2npP123DgDmzw/MKuzyBoI+VpXLVFuT0AYb7ImZx33n9R/56qO3XPLtT6UG\nu7vTLWsnzHrm42+dffJ01sy67Tl5aLlGhtdKU8bY8WnwGDsAZdsVqSQkfBaQjF08gWJ2qzDG\nLmV5g0X29hi7ocbYRTN24obXNPaasbe/BEV/O2HoV1z4r7I9XbQIJx5H/rKR/Rnn7WXMRsSo\nRx3Faq8uSD35Sh1g5wo33LDJCdmNgR5WeYKNGZuxi3UgnpIVOvThcMWKPdWhuWL5RsAV67oo\n71lXzXWfvGxZ9ymfrVhNAKxkCjGA3ejE2NH6Ywb8v9wVW84D8LliY5CnlmUpWbFKSTH1cMI/\nw9IfVTvmmGMef/zxe++997Wvfe2tt95au/JE0BW797bPJtqn4AfvUPfXkkGRCZdjjN3omkRa\nmYQeeGFtURi7wDrDGDufK7ZGymqDGDsQSv3Azqs8MXzGTsqdQDJ24vaWvE1gFA+IKDurfh07\nGuoFIF3IAXBbWiATI0L5lex//jNzKcR1YDw2hzIKn+RSaiuVJ9TfgPqMIARYuxYAFi6E36Ir\nTyg72KWoCsgbFqBWO0is87NlF52Cvjc8eg+ALR1T4cdt4rsmUL6m8BWT7lRZKxaKpJyqRhOV\nFRuv8gRj7Lgr1gYQkDuJ6DLcGDvZWp2tlwNkaJZSapYFCUAprcZsansayg0zxtgdNpO/J4dS\nI+JuDZrrB3YNWzaUhKg7N+GmVLJiAVRsj7GLM2xDtBQOBQswSSNuSkkxSVYNibHj7QKMne26\nB357NXXdZcuWPZxpRdUBYFoJAIVCocGIPNAw5gTizbIRWAw0qCqMXTLE2NU3y7ICOnZu7TMK\nV54AcPrpp59++unPPfcc6rpinXyvU+jv/fMNLae+58DBec5gt216jB2luGX5lvX7BxF14vIe\nG9OxG12Tq1EmYQRdsSkWYwdEJU9QStWoiICOnTQuUCybBZZk4oux0wjxGDuP7pJDkYgRokxl\n7AIxdnrDyhPe/4Tp2NVn7NL5QQBuSys88eEg9yOSJ7zXYvVSp/2MHasfr8qdqL8An9wJCNau\nhaaFZc8CX2Ugxk64YjXHpQi5YqWFg+0AfOfcT6w59jQA3akW+L9xFcTX8XsKPUL+iUye8E01\n6iwggFFD3COAHYGoqpKxDO/0I5MnJM86RLJMrRWrTEBGFBB1thLPmQFg15qCginj3ORjNiIW\nRhiN2nvb3XGAnZC9HcY65jF2IivW7t9P7Yrt0nJdxm5nT2HD/kFIQqjRgWrVZR+9yhNyZIWs\nGk6tWMelakTQtu3b3eJA81EnXXfddXIoK3HEGDuhGlO7AYXagAsW9h8A0NwxIdCs/tRM01Qq\nT7BasWIW/vlAUDO1xkHd5Il9d3xp762fLu18ufvhn2xctw6AWy3LBjt6Ct/849q/rT+IqBOv\nuqPlih0Ddj6TS0jGCgK7ljpyJxpxKaohF2p4wWUrGamhJUEASj1XhcrGRTB2sV2xCSXgj/FS\n8iMpehGMGvTkOTw0xitP1Ad2hUEATksLZGKEBxF8JBA7SSFQ7B09wNixy87zNhhjVyvGjjF2\n06cjnQ7MKlLuRCI0SZVFZ8XKDYlxlY939Fdu/MQ3/zz35PsWvTZwIL/cSRCXB5oFdOySHrDz\neh2CQLHK2ElXbJBJVa1+BF4dU1yxwQlACBSz7ZaU+dp548V+31GmtqXUiY0xdofN5E8r5oqu\nkli9dYEdp8FEftIwFjIP9LgUwEBvz56fffzg779tOw0Yu0/ftfL9Nz8b/6BRjjOGCEeNsfOy\nYiVZRYHhcISqRvHqVasApKcuIEoMWWzGDhhpJqkxY+e/zuxrtXv3ABg3eabSjI1Wb26MsRsc\nHCREI1YCteEgIdBIzdEMw0Ajxo65r+zePf/5na8DcG0P2KmrLTvE1q68LBDiKTCPtBb02BPT\nZwpjJ8ksANAIYRXGRERagAGCG2DsamTFmn4mLwzLqPJbUoVnI2LsYrti37Ro4psWTVzQ2QRA\n1zRVSFnXomPswq5YAtia7hKiZMVG+OkYsFMZO7moByRU1Awp9UIFYuwYsKsojJ1fYdL7IzvY\nh56eyCoFYYbV/ymfg1T4i6w8QfztmZVtd1NZ/+g7rrp30esDB+LftR/YhZ2xpmTsAIRcsUKC\nLpqdjVlSTFMYu3DyRCQuDARExrcajJ244XUi4+o+debcdxw/lW1bfug2tS2tDjUWY3fYTBFU\ni9ne267P2Mms2FrxRg1Nrvcs5Lx7z3bq2MXNz//h3t97SrZR4+bLdq5kI3aoXDgUbNhgNKZ5\nl13sGZLSsjov1RvLgF2qc47axojH2MXMIB6ScWDXOHmC/8kIrWrvHgAdU2YE5lbfGGOXy+V0\nKwlRHQqRMXYEQE3GrgGwo6COrWfbJ7zrai2RXvfSSgBUYexUKs6l2DdQOuvax37wEK+RaI8x\ndofHPMYuYUDBN80pvhDWEyhWULdZyxWrlBRDZFas8iNX17OaWbExvFSvmd3xsw+eyAppGDqx\nXR9jx6YTyNaM1LED4Gh6g+SJ/AAEsBN1V+VKL04T7DS9k1UJraQizgKgmbliNbXyhPcbkOoM\nANKlPAD4a/wFTkf86Ts1iahckZIcwIH1R9vWlfeaqsBOlOhFLMZO40GQupc8IQeMZFXhxec1\n+BWHGbu0L3kiCthJ4m24Twg1RFXRsdMMwU2qgfYqJ0cIJrcmoVyrMR27w2aqUy9Oe7VZT75c\nq5lSKYt3iMN+Pbmp6/ntvcrkAMAtDjCeo//gHrb7qeWPe0VFo0al8AVAN/RwOiGlj5iIcNgm\n13X1QmEIvKm3rZaLffHF1QCSnbPVNrppaZrWkLGLU9d1qCbGrNkgcJ3ZF2337iGG1TxuYmTj\nWmZZVqFQ6Onp0ZM+B050VqxW80wZsKspUOy6oK7RMjE167jm17yTH8KuuhKxKTQKpbQvX3Fc\nKqNRJWM3FmM3umZ4yRMGFNaBBdjBU8oImkupyoTVSp5gsvuat2IFgJ0vecLvig3yXkKgeGjf\noK6Rqu3NU5esZACqhuVOCAFQ0Q1SlckTEfdiKj8IwGlugXgz82oYRLliOWMnPkoYegA6c1ds\n/coTAACLTSwZkWoeDGX0Z8CoVb/YCkF8jX3NEEJCqu9DPRAjXDkdWAMosxPUNZIVNb64jp0p\ncbzXMkqgOJYrlivd1GDsGunYDY0t81yxqtyJVO0R9dMg9IOYqcBuXDaR9FcfGdOxO2wmV7dh\nuGJz5ZrFJOSy5QitpTjDf+7uVV+5xyuQQIHKga07//P9m/72GwD9XXvZ/u1bt8jAsshl23uo\nUoDSarlBhbow/hABwaPmihUDy+mznN+YsEo9a/Vx9NLq1VoyazZPgDJ5CiSTyRhyJ8ARkDvx\nocmq4zqFvmrvXqO1kxBNaeb9W8s6Ozv7+/v37NljJNK+XqGWBIRRM5FWn7GrVisAiG4AaD7x\nwgmTp7FDycvrZ+x4MKiECl5pjTFgN6qmxNjpUNbjFrEIqbydNJZT43fF1mXsaviYCEBBJT70\nu2J9ZRswFFesajohVTcixi4wSlRJMYBJ2SnvLuFjs6xYDuw4aOMfBYK61GelvOwBpgqiYm/F\nF2PnHU6t6mjaNYFdjeQJH9hi2IK9QvmzYmtuhE0FxKorVgtdT2lfu2DRby4/pSlp1MmKZUes\nxdiZQ8mKZckTKXO0YuwiXbFqjJ3818fYKckTLMBOnVhDSnLMRsqG6opVF2m7tvdQraxgx17D\nyrarvi27lFa7toPSHcvvBTDYzXV3t2/dUq5bUkyWPXUp7X3k5q+9+/S+vj720R/+8IfPf/7z\nrj/ESfUD8GmLyY+ShStPMMYuLrADACQMrdq148H772U7e3t7d+7cYU2YxXTkVcieTqcbAjtH\nebSOlAnt0trATm5QAChXq3t//llaLZntU3zNYkzq97///eTJkwFedkIe1/e1ClcsqT2r+skT\n1UoVADQDADGsr/3kTmvSUQB279594403FotFX261gHSOlGCUWbFjrthRNTUrVv2T8UYARAEu\nXy+NMLbfezpYNQSK2WJfx8dElZJifldscKFlrEZAJ6KhRSRPRENVvhFAP1XdIJX6rlhf8gQh\nXqZIpEgvO1f5USBpADLGTleyYv3vQBCPA7M2YxeUO1H+lfPhjJ0bYuxCG3Wwjo+xU1yx4cIh\n0sZlE8dPb5PjB5MnWIwdfINI43InDbNiCYG/8kQmYRBSMydD3TlkHTv/QQOjsfuf/Tra0h6w\ns5RbfUorf8NWQlHHGLvDYW7olxWji7etgrA6I9ux0wIopSpJRimcwW4Auf3bnn/++Xz3fgB6\ntn33zh0VUcA6mrEDALC0jUrX9lJ+8JlnnmEfff/737/uuut+97vfhWerDqWSPYVC4Qtf+MKD\nDz7YcP7xLeyKFVmxQ3DFpk394O+//S+Xf+iqq656/vnnV69eTSm1JswOUKSOS1OpVENXLJ/P\nKMid1Pnm5UfsxPs2r3YGuxNTFrSc9j61UyDHItI6OjqOP/54ALpk7JS+AavzSG/E2JUhGDsA\nHZNnmK2TAPz4xz++/PLLzzrrrINdB/ufvLOybyMAWcVAvtvYo6ZjNwbsfKZmxUJZkFpTPsYu\nXKLKdb16hXIcueDKYXlWrFj+ImPsFFes9+0oWbF8z8xx6SvfsvDS02cN6QR13cc5ezp2Qaga\nzTBVdYPYavJEcPxUYQCA3cx07MCq0/JD++PM1KenvD7pgH5bIHkioqQY5B6LMXaJRPisQ1mx\nvlNm6JrNgdGu6nkFoC3bqPUc8MXYKSXF6tNj4iOFsYvKig1jrJjJE6orlqlAM0I68mZWJ1N/\nwnXOItBRUe3hZTbgB3aqK3aaYOzkvMayYg+Pqb+smEE/KmKrE+/vx38ualBr4V6BZnaum23c\ndttthZ59RDcTUxZWq5U9Lz1dWPd4tWtHbWwpREwK/QCeffZZAK7rrlq1CsA111wTnq1KolC+\nnwJ45JFHfvjDH5533nkS2z333HPlcs34wjgWxjoiK3YIg5S3PV/t3kUp/eY3v3nllVeyU7Mm\nzglcQ9elqVQqRvIEMApMUv0xJepic+5bsxxAy2nvsybMUjvxopSNprZkyRKowC7M2InDEQLH\npbZLH9twMMAo1wd2dtWGAuyqtksMC8DevfsAPPXUU+84c1nf8jv7nvhltWuHSyljtWXkwFjy\nxGEyGbLGGLts0mDLYVtGxtgxBsVnLJlc9UQEYuykxEPaMuDzMQWYJEKV71h1sXkMiuIju+yM\n2fMmDk22MUB+aDIr1t8sHOzPfkslI0EKedS2JHPFNjVDMnbyWAowgsyQ8jN20gUpezUnTQAV\nTakVC5qplCbkeuCtKxSSsYsCdtGVJ+SfPsaOAggX/vJPquYbnrrfUgSKFdd2A7aPQZ+gQDHY\nR9HArmGcpeqKZRdMaMp4n0Z2Qe0zrWUKXA7evYa42SxD0zWeZs7MVCINlk5v5duypPJYjN1h\nsfArU8BWrFgRiCJXu9i16R2V/bJj112llAboecbYaYb1q1/9Kt+9V28ex5x0z930rwfv/X73\nA9dFE4HUm55THGAnAmD9+vVMwJZhIO9ANcp5sbFffvll9udDDz1EKf3iF7+4bNmyH/7whzFO\nqKZJwkYRKI4LfyEAyv7l9wCYs3CJpmk7duxYuXIlAHPibFCvDQCHIp1ODw4OXnnllbXwipzJ\nYa484WnNUeq67sC6J7VkNjljCfw3TEw9wqOPPhqAnkiJMWu21AihwAMv7f3QrSseeGmv+lH9\n5Am23wN2jkvMJICDBw8COPPMMwf7+wAUtzy/55ZPbly1olyDsWNxAo1OaAg2Bux8JsmPbEIH\n8PMPL/vjZ8746Bmz330iC4qMFihmOTXyhZWQYIydXLcYSyE5kqhasaorVmXsBDI4NLdU4IgK\nYxfkIOWk1P8PZtq0/n7Ufttr7j1oa7qTbQLgUhqQjBEjee9brp+xC8fYsehG7oq97z789Keu\ni+v+8IOHb/mU5pdOMBiVGJ08EXF2ASqOzYGjc5WxkxtRO9UMAPhpqs6WJICJzQn1BOuI+as5\nHEGB4hoIjOnYDSnGjlmGv2AQ1ODkwpGRMS3y3jZ0X/buhKbEtPZ0WMwZwIOfOeOcxZ3i0OLT\nsazYw2J+v2dwmXnwwQdPPvnkG2+8Ud2prkYVu+bKpPJ/jBSP44p1Q24zJ9cNQjqOO7urq8su\n5hKT5qcXnPGa175x0snnE8N0K8VIlxYve+pQSqlbGADw5JNPHjhw4L777mMNisUiU7JVZ+t3\nxXp7JLBbvnz5xz72sWuvvRbArl27Gp5O3TP1Fnhmwq8dF9lVDmzp2fT3xNRF37zt/gULFuzc\nuXPVqlWWlTA7plLQ3kIl4IqtVCrf+ta35BWoNaURdsWykeskT8gNiuXLlzv53vTck4lmIMoz\n3vDanHjiiYQQq6ldHTwqxo5oBK5Lc2UbQL7sA7uNGLsKwGPsAFRdSswEBLC77rrrvvLd/7TG\nz2SfHti1LVA0RWUHR/ZSjz0x9/XHbAAAIABJREFUfSZXo3TCAHDUhOz8iU1ffcvCRZOb2f5a\ndRpc6oWYyMi5MGPXlvYKziKKg6GgHmOnB5dG1FiG41sgqs+rPOFvFpEVCwDYn20HgL17xU5/\ns+XLO7dvfHrGEvaXS6EpgDHSFQs/Y9c4xu6aayil87p2tJYGU9WS6oqtE2MXR8eOXWH2JZKo\nxv6d/K8ZHZlaB7rouCnPffWsk2a2qydY59tT8WVkjF2YmWOkF2OX65haeYJZOuG5YiOhm5IC\nXH/s6LNAlNyJnMCtl5z0P584Ve1lGeKFKukB5TEdu8Ns6oIbdsUyELBhwwZ/F5WKi+eKHRpj\np/4JZ7BbT7e2Hf9mtic192Rrwqxv33TnzLd9Xk+kqVMvMcOhtFrKU6dKiNbf3z958uQvf/nL\nAKZPnw6xEsuWCBE81ObYaM2aNYlE4phjjnnhhRduvvlm1r2npyfGCdWbG9sIxtjFxXX4/+x9\neZwdVZn2c2q7W+/d6XRnISEIgQQMgbANixEQBAGFYRGHQRwdcFSQcUV0BEZwA2VgHMVxQUc/\nZXAB1DggKDIKouzIlpCFEEg6vaSXe/uuVXW+P06dU6fOqbr3dncSYOj39yNU1z116tR6nnre\n93nfiYduB9B2yOnFqrtw4cJCofD000/vs+9+xLDKNf+Qq+8RjZl4gi3ffPPNiX1KH947yxqn\nO6Fhy5/89GcAsvseGdOsud3tvffeDz/88MK3XMA7ZzdezNZMFRur124gnnCjjJ3rEysFYHhk\nGEAulzvx9HOy+x3Dfh0bGWLziyDq5Edm53pjZ4FdxATSakmYLGPTnRBEGDsxgYm5TRASHQFj\nF2wY6wULExTLjJ0W7Tc9U/ZoGhybRQciZlJFCjoYBXaqffe7AG467Ez+bUQNEqOvZAvB15vC\n2GkuSOaKLfNPImzY0P30Y/35YQC5aqA5Yl3ZLN93UzF2CjonYgxBupMIOSfwKJF6CBYWdUWS\nJCkYaU5rSjmceopaFmPHSoo5KsBFXHWvVYu6bn7PIRccuTixU7ZhEGOnM3aRsembYAbiCd0V\nK+7ntoytVIsXP8nRdALHzgK73WN6TRfZ7rrrLgBbtmyJbMInpvLmJybHR9Vt4noOSPEmZjGf\nRsP+PM+bHDVbu+25b1i+fLlhOZklBwNwPVrzfMO04dVigUgQLubTSn4MwLLD37x06dKFCxde\ndNFFa9asOf300wEMDg5K7VWP4Ut/ffDFr5758kP/c8sttzz77LNLly49/vjjARx77LH33nsv\ngNHRxGNvxiTRQGBTKik2NjJUfPZ/W3sXZPc+rFz1FixYAMDzvH33P4AdiAx4GWPHlu+8887N\nmzfXGdJOTnfCL0RygxDg3vbznxupbHrxyuAnrVkzypKDDjqI8MqtunSDLRKAEPiU+sGNGem2\ngXiiWgOQTaeXzm0FUPN8w3IA7BgZAZDL5VyPZvZcCcMEMD4yyC6rp7lisbMx9Cywi5ggRUQU\nv2JJ6U4oDfnVMDWJxtix+Uwqghk5/4QoqtiYdCd79bZM/bBCszRgR6Tjko8oGFJ088FcJwBs\n3Rrf+9AQgGd6l4j4OSIF2Wm1YgH+aAkYIfK3ieEwxq5iOTj/fJx2GoBlt97seDUA2VpFdpE4\n1ebTnbBdREgp1oa9AY0ostNPhWiwqDsC7JIclyFQTubsbDNEP/GuWE1DQAjevLQ36SMk3LtB\nIFV3sAwip92Jd8XytWYdKBpnoVZGZuwa1TWWgJ2cqZg/PrPiid1idVSxa9eu3bRpE3RgRymA\n2tDm7bd85q+339RMz9Wm9Z4+pfKEPja6g2X5d316xx137PO+rxipLICa51dc37Bs6sU7g4My\ntT6tTo4D6Oyd99xzz23atOmmm246+eST58yZgyiw02vFjm3bDOo//eMvnnvuuZVK5ZRTTvnc\n5z735z//+be//e3ixYtN05wpY6elO5lSSbGtmzdSz91r1WoQo+z6CxcGgUP77b9Cb+zTENi5\nrvu1r30tts9pFwipYzpi1nYKANSr/cunP/3yyy9l9jqUmDFEhu5UrWPi20P337LxEAJCCOUv\nf4V3NgzDMIz6rthD95pzxsELILliWftcLuf5vtO39/z33QRgfGRwYiI/+NN/femJ+9jmst5o\nFtjtQrPCGLu6jF10hiIEFFRyxYq5LWiQ4jnnWLe6dzLoJ1k8IQb2hjkzAnYKAWMSEu9c1tJz\nsAWZsaNUw30TEwAKTvDW8Ck1iCQBVhFSSMsLEBCXx84Ce/F9//v47/9GR8fi3/2a/ZStRRg7\nKzmPnZruRBFPSHJU1/PVixs2C1cK+LI46opNyhUc5nxJhkmrFnddevw+Zxy0ANJ5MKWhTjvr\nhyWpYsHDDBBFtIo1QzHGWiwbzT5g6qRlkUFn2FV081nb5aaFHwljdB00YMdeVuUXnwTo+NaN\nSR3LHI3btN7Tj7pi8xMTAIx0i+v5e+21l9O3T9ChT2uub1o29Wt10p34lFbzowCy7Z3yr729\nvVBdseqYWU5jp7Xrn//5n5944olrrrkml8sdeuihAAzD6OjomCFjJwG7qCu2uc2rlQqATDYL\noFj1GLAzDOPoY0/QG/t+4IpdtmxZd3f3t7/9baYgUZsFMXY71xUL1E93Agqg8tIzX73uywBy\ny1bLv4WLUxtUxM0de4cwxo4TgapZllVfPGHbjs2TKjBgB4AQksvl2L1kts8BIRM7hm75xrWl\nDX956S/B0zQbY7ebTCkpphuHQSq/5UuMnUQ/BM1YSrOOjEOizi8lUQUhTA0EpR/w+PGMbc7n\nySCmZwo4sDikUqbv2Fqx0GLsVMvnXdupmZZ4k8aKJ1hf/CGnkDGHE8EcGdtk+oAA7KbTOOMM\n4gep1bPVSIzdVCpPhP8ixDcGgKrnK6RaQoxdsLCHytjpO2frVaCsW8Y2Lz1+b5ael+lGpaHG\n8MTNG9tQ3E45NftxTLfTVsXWSVBcJy1LGL0gbSXyv0z3uGdtahaBX9F5hgG7PfbYY2hoqFwO\nKzewR6/80jMACgObk3tWXbHN8BOKVLBYnARg2BnXp5SGbqya59c837Rt6tbquPlcL2Dscu2R\nqoM6Y0c18YRbKQFY9q7Lv/rVr7IkGrJ1dXXNkLGTYsv4HhOUubFWrVYAZNJpAMWqy8L+3vrW\nt85buEhv7HJX7OLFiy+66KKxsbHvf//7MUMCsPMrT9D6fQZunFoFwIcu/Whmr1XKtvoIG++U\nJv4pFk1CfH699TvTsqwkxs5zawAs2+Yh2kG6EwDpdNowjKBGpWGZmfaBF9b99mf/BaC0Y4C1\nkV2xdUJUp2GzwC5iSh473RRMwIxpathFyjqmnozNiabarxNjxxg7yyTvOmyPM1ctCAdmEgB7\nzslNe3aP3aMAXipUFXVdSWQhYOySXLH5fDWTQ0i5R8QTYqZPWSYhqNQ88McszLocPXW5VEDW\nsA/HUs2j575L7C1bK0fKVzSd7oTnrImAV4YtPJ9qjF0MJhPHsqAzK0eAJWEQHSg3NKafkNPr\nzJCxE6yYCOBjpyWBsYPcZhqmJyiuk45OVJ6wIsAOmC07sRtNhjJVqY5OuVz+/e9/v88++xx1\n1FGUUlkByjapvPQ0gFopv3379oY913zqjm6740sXf/KTn2yYbkOeY4uTBQDESQNwfV/gg4rr\nuz41LSdJPMEauj6tFsYBZNs65F/jGDsVVNWqJQCGHX40jhSqdz4VTM+dnZ1jY2P+DCZmSRUr\noq8StcObN29WAuNq1So4sCvXvCOPPPKjH/3ol770pViYSykYY9fb2/uBD3zAtu0bb7xRH/yu\nSHcShOg0gqvUqwHo6OrWtw2WMQU/tTgE/Y5iRngQlO6CZ2bbtuu6mzdv/tWvfqXcsaykmO04\n7MVV5elOAORyOUhcrNnSWSkVfd8jllMeDR4TGczNMna70Bhtk7KMpHjt2Dx2LMaOxY588+9X\n3XjuSt5blLGL1iWDHmMHAPB8ahnG508/4G0H9Iuf2tK2ZZI3zm+fwcGxPUYZO45Q9CNC3PqI\nKxZUPUf5fIUBOwAApZRI4gkzPGrSkrLGSjXwd6iY8iVXLAC0pANK0aN0KF9Z+a933+jPL/Jq\n0LlqSdav1SkppjGs4S7Er+xiUdoU9hJn0TGNz7xtWXtGvbLaANSFhpaxw7LCQYzddDGWEXXF\nio8Wzh8nbjKlASvt5Vs7iLFLhmi6kBwSYze1EczadC2pjMQf/vCHYrF44oknMh/fAw88IH7y\nKPUrk14h4KueffbZ+J6lScv1/MKTv9n8+P1f/vKX16xZU38w8iRbnJwEYDgZNjwxAZeqHgDT\ntkF934upVyti9mvFPIBsawTYNRNj51XKAIgE7L5x34b3//CRddvzALq6ujzPm5iYSDqWhiap\nYoM11WRV7Mknn7xixYqnn35arKlWygCy2QyAUtXLZDLXXXfd/vvvHwvLhHhi7ty58+fPP+us\ns9atWxdTSCMAYdM+Jq2/kJVsxNh5NQCGFYmu07dpEnKGdzVVN2O7I4SwKu1yogbZLMtau3bt\n4sWLTz311I9//OPyT26tBsCybF5qnDJVLGKAXReAA990Umre0trkOHN/y4zdrCp2Fxqbgerk\nj4gVTzAPPfvGWrW48wAOv8TsqKTaFxvriXOZeEKPWO/I2r/76OrPnrp8WocVmpIywzDi3Xw6\nhmDE1UQq52cyia7YiYlKljF2gGDs4rif9ow9VqyBf5gKcYkQT7DxtKQstpXn0x3FarnmPTs4\n+ewp57A22VqZSrq5egmK46QhYhX7MSnVXCyykRyOuOBvFve1pfn6mMZy++aBEuPVZOA9bWAX\nxNgJYVAYYxdz6ZmJMzZtV2wMY5c8flFSLPKpQ4DZshO70ZLKSDA/7IknnnjKKaekUqkLL7xw\nZGQEAHv6vIlhACzI/bnnnovtWZ60XI+WXggSAt9zzz2x7RGnfGTAjqErz6eC+GHpxyzLBuCx\nl0BcVx6lbnkSQDobCVNmjJ3MNeq6gVqlBMBwwncLQ5Plmg+gs7MTM8t4EtaK5cdbpz7bpk2b\nxsfHP/GJT4g1zBWbzaQBlGohtI1l7DwaAjsAH/rQhwDo3livaeVpk+Zr4FW3gIrzXACmlZj/\nYUoQSNlv9IgoAMJ8bpQmlbJdvHhxKpU64YQTlixZcsMNN6xfv178xGPsbJFUwbAVYBc8R+mF\nB7R1zz3losut9rkAGOc6K57YTcYuT11gB8SIJ4hPA/GEPA+JSS4VFMe0RXt5d2E/LFcTpbHB\n4nt0ZZO0us2bwkRahshQHGmmx4SJQ/bm9jFXLFVKirkuSqXAFRsII6hB4smqjqwzXqoBQR02\nUfE2rDzBGLtUkEHZ5xEQQ/nKXy645JMnXQIgWyuDlYCkgHDFxjN2cYBKYeyElhnqRdHHrwQO\nxsQRRk2qPNGsZWRX7MwYu65cCkBvG3/jRP3d9dOdTHWnsR8tdiCeSOxKuGJjGLvZXCevhFUk\nV+xxxx337ne/e/Xq1UcdddQ73/nOSqXCHJdsKnLzQwBSC5ahDmMnK0xHR6rb189ZvDSTydx9\n991JA9AZu1KxgJCxC+FBueoBsGwHgOsmVrL2vADYpbKRaj3t7e3z589//PHHaRQByB5Dt1JG\n1BUrewO7urows4wnVFtKikQcHx9n1cA2bgylKkybmc1kABSrIbCLxQq+T1tbWwH09/cDOPzw\nw5csWbJmzRpFQiFozmkfFBvMoy+ORo+sfroTgDN2pu1Ef9Ji7KY4NH7JYn5ir5qkuMYHHnhg\ndHT0rrvuOv/88ymlzz//vPiJxdjZDo+xc8MYu5aWFnAhDoC2w8+87L/uTbd1m2294MAuiMAj\nAODVKYc3dZsFdhFrCOwSxBOgzL9OIlhNTEksum5Bp6huzhvETFrU8+m0p/CGpnBXYtZU9qcI\nHWRze/swOgopgDqwfB5AhX0NcxrfkPKdKIxdzfMnqy5LQ88UEogkKI4ydpyaGy5UQDGWbgWQ\nq5YBiErhdq0CxAM7ROGLnPUX/HIIPklH7fxMhD9I20buhyR6K2QDm76wsiuW2bTvivOPWHTv\nx1avXBh4oKQY0AilKtv0a8XGJcAzpUwusWbzEnzyYLgrdvYdtZssWkYiBHYnnXTS9773PcZA\nsH8Ztgjm/vwIgMzilYhj7J544omHH35Ynk1f3vAcKF2w/+FHHHHE2rVrk4gufSIvFgrgjF3N\n88VoWfnjANjFMnYAGGNXmQTgZEIl+4/+/OLfffvPhx12+PDw8IYNG9hK3RXLxBOyK1Y4JbAz\nGDuBdaQExfEoZGAgCOyTIx1rTBWbTlkmKUuMXWw0m0fpu971ri9+8YunnnoqAELIWWedVSwW\nZZAduk1nBuy+8fv1Z3z9gWe2TkC6oGJh61jpR395UT7PwRIDdlYU2MnL8S7TeFOqesRuxd46\nco4F2RzHSaVSAObNmwfg5ZdfFj8prtiqF6piFcYOgE9R9XyrvRfAQw89BJ6sm739Zl2xu9AY\nbdOSTIwFUoPoSoMQ5opVpi4xty2b1/ari4+66E1LeCcJjB0BuJh0BgdRzwQ42Lu35fKT98vY\nZqw0UhyHPg63rw+Ugr9fQsvnAVTVGLsQDsmYkqVZnijVGBcdMnYc2LWkLMsgc9vSbMy+H/Q5\nnK/6lBadNIBMrQwpi6lVSywpBgXYRWlXEnV0Koccy9iJ68ZQR0PGTsqZ1+yVZTqSCGM33bvC\nNMiePTlxN2bDGLvEAnUSEp0uYydtyH3BiV050drK8hjqaGlnbeeaPIlXvfjoqjSL0C8HZDkA\nd2IIgD13iZlt14Hd2Weffdppp8mT947BrQBaevr33ntvAC+88EKdwURi7IqRGDvxE+OobMcB\nZ1AUC1yxPnVLzBUbArt71w7ev374gJUHA3jwwQf5rlXmxq2yGLvQFStrC3p6egBsSwpQaWQx\nyIaH1eueUAHsCoWC4Air1TIAx0llHUtm7GI5IM+nPT09n/zkJxnyAHD00UcDeOSRR/QhzTDb\nydaxMoB8uYY45e+3/rDx8p//de1AWMwt8L9HyzkkWnNISFPFUuUnQoKZ2k1wxQqbP38+gK2S\ndtCtVsHSnZgEgOtRg2f74sAu3NyntOb6mcUrzUzr1VdffeGFFzIpBpv+ZkiOKjYL7CLG5p7s\nFGPseIJiqhS1lAOV9p/fLmCfJDlUzj9h2pxdx9hZEta88Jgl4DNxEmOnc1Fubx8AbNtGFa/l\nxAQEsAs+Z6lhxGf6YGqDsWKNzR8pHlonMEdXzvn1h4++/G37ssG4/Pt8suoWKu6knQGQq5YA\nePxBtBowdurRKYjNMmLGKR+4TiZBux92qivWQEguAvH87hRM3I25lMTYJdxp005QHFsrtrEq\nNi6DMZl1xe5eS4qxk42FZzHGLkj8mx8GYLX2ON0Lt2zZInv0KKWbN28eGBgoSQT/2OA2AC3d\nfYsWLQL3SSUNRkY2JRZj56QRJRRZuJttOwD8OJmtz72KbnmSmJblqPjs4EMPByCUHJy5iTB2\nxLRBTKVP1uJv/uZvAMToD5ozWaAQCHg5bE1i7NijIUg7poq10+mMbTaMsdP7XLlyJYDHHntM\nbzPDwK+hQgXCtS2pU9niRNlF9BMiYAQC8YTiig2XpzQqpbHO/DH5BMTpSu6bAbsIY+e5AGzH\nZm/+muebLd3sA4ABO1n3ytx6Zmt37xmf7u7u/ta3vrV921bwEPOdStjNAruosRmoTir/2Bg7\nEDBVrG2RaOMG/iw9nJwCXpx4YmeZAAcaNkX0z0Qg4s7tA4CtW1VfSeCKDb+GvSj1KE/r7Vkb\nwHipVnV9QqRMHHbYaJ+5raLylVwOfDBfYYxdtlYBc8UyaW2yeEI5Xs3ZCsg+xEQSS+4hgnvE\nD0luw2m7YnXea9pmaoydQUgSEhVnY8oxdnHkJXOnNuOK1buyZ12xu8sicgG3HrBjjJ3vUy8/\nUhlYD8Bsm2N1z6eUrl27VjQeHBysVCqU0u0DoTRhfLg5YOdHfJGPPvrok4/+GYBhZwBU3RC+\nMChj2TYAq5jXeuLp03zqVorEycqvLTbtHnjwIcuWLbv11lufeeYZhEAkbOZWy8RO69iCvXlW\nrVq1aNGiNWvWlPUAlSZM9sGxbgXWSQJ2jOwUwI4lKHbsVMY2S41i7HS0N2/evLlz5z744IM/\n/vGPlQ1nyCKNFCoIdRihsf6DoUo/8Bi7BuIJuXFDUw4hdiv23mmSsZOBHRdPpNgbrOr5IMTu\nWgBNFQsANPggcRbs/9aTTgJQLhXBI5FmXbG70BjSqqNRSEh3EtSKVeKBElGUmDVjExTTKRfo\nbN4kXooPJt4VG98MQJUzdqqTTnLFClmZQeIzognGjp008VM67sxbhuFJSeiH8pWinQaQjTJ2\nDWLspL0zolECrxE+Sa36FQd9lDx/Slcx7Rs10I3pSOT+Zwj3JWAXBjIm9TmNxHtKe/lyp21D\n/BtrTDwRm25wlrHbbSZ/qlXqumKDGDtg9Pc314ZeMHOdhpOxuhYiGmYnylQMbAvnwvHBbQCy\nXQ2AHRuKmGUvvfTSvz78IADiZMTw2E3KnI9OygHw71/7J/zgB7Eduj71ypNGKksjUy0FQIlx\n5ZVX+r5/5ZVXgmPKqHiiZDipqBcvxJ2EkBNOOCGfzzNcOFWLuGIDxo4DuwRX7KpVqyCd3lq1\nAsBOpTJOY2AXm+tv5cqVO3bsuOCCC/h+6/XQvO2YrEKcTw2/sqFGh0PBGTvFFSu2DheS9ztZ\ncVkmGr2dfErZEiHguRd8bTwR6+7uTqVSMrDzWIydbbHXFOO57e6FiAN2nlRQPuWkAFTKFQhX\nbMITNz2bBXYRW9yTe9sB/aeumJfUQGF3mLEZqOqqMXbh7KhABb4QG2O3a8UTHJIoPlYdqiZZ\nwNht28bS1IXGXLFZOcYOcmi+DAQ7sg6A8VKt5lFHipkXMXaRMZvEk94Kg/nKpJMGkFNj7BJV\nscoRKbQr+zM85wkXS16t+F6VruL2Ht95HUtrqtgZwn1xN0oxdokMYlh5Yoo7jWWpe1pS159z\n4KXH75O0VZ0Yu9kExbvN5Em8WpexY8DO86lfmgDQe/a/ArC7FyAqjBXIY7sUkjsxvM1I5exM\nrilXLB8SS7ACwJBcsexDmuEDx3YA1CiFlGYvOJaJHe74ds+nbrlopHJR6gjsQP72b/92xYoV\nP/3pT5944gk9N69bLRMrrW8o2rCcKdMTxkZj7Cgk7JXE2DFgp7hiHcfJ2GbZDakfhZxjz2Zs\nXt+LLrqora2tWq0yCkq0mUngF6UYLlQhuKgI3wkARZajXkZaAWMXm8eOqgvJoPPqNc+efOMf\nWOIFFZvOgLEjhMybNy8qngjOPE93QgHYPSGwkz8PROoMAHYqBaBcKQNI2YyxS9rtdGz2pRmx\ntG3+x98d9KZ95iQ1iHVdScAu3r+px+SxBQUIEoACPt2FrtiQsRM7JdG/2Qj1Znyh1jsXiCs+\nIYsn+JvRIKrXklnA2JVqzH8tTlQ8sCPECwk7DE6Ui3YGnLHzuWDWqlVhWTDj2VY9j4aCOO0E\ngXBCjF20q4QLrbdv/roGqlhJwDtTV6xIUMxj7OZ3ZOZ3xFeoawhVkyzcMLrl6Svnv6E3scwx\nexAUwjuohLbLPnJmTTE2pcncg26yeMKn1K8WQYgzZzE4URHL2G3ftq02unXHPd8cuetrheEB\ns20OgP7+ftu2Exm7KBgYGxtjC4yxC1xalgHuimXiiSoATcCx8Uef3fb9S0d37PDdqohtl/fi\n+dQwjKuuuopSesUVV3BVbNjMrZSIHWHs/Gibjo4OeZBTMjnGji0LV6wOMliimYMPPhgRYBeI\nJ9K2QSnK3E+taFrDDAOaveMd7zjssMMQRk/y8cyAsZsoB9o4/XyyNaWqiyh4DZY9FwBRXLHa\nQOqMbLxUcz06WXH1ZvKf7IISPgV4TVQxXrRo0fDw8L333sv+ZOoH23aCBMWuD8DuWQSgvb0d\nUX6U0vDKOqk0gAoDduaseOKVtqQExQAqGmOXFLYltlaBHQnEE7surEivAcpAQ7IrVl1w+/qB\nuHKxDNjlwslbqRUrQyumih0v1Wqeb5simV6Yx042w4DvU/GhNlqslm3HJ4TF2IlXj1WtJNF1\niF4yjmUjSE7iMiMbkrhFnvVGdcUmgRDdtd3Qss5OS3cSbB4ydsFJ/s4Fh9z2wSPrN56qQDsW\nxzc0hqpjD3CWsdttxmYWFvHTDGNHKfxK0XAy7La22noz2awM7ATy2D6wtfDYr/OP/LLw+J2e\nW3XmLqEUpmkuXLiwPmMn5mGBmVgyOTZBMqK3WHUBsIQUOrBzXbc0sNEv5W/93k0ASCqre1QZ\n1jnttNNWrFjxi1/8ojyZh0QIua7ruzVip+WZV2HsWMaTaQI7TRUrChJQ4LrrrnvLW94iitDn\n83kAy5YtgwzsWIxdKsWeIIHnFKggkr3HDkOG7FJqkmkcUGAjhSD1DA+XVE97MXDFqi5axtjB\njI+xa35IoYZPehfFbs5ePK42Tt2uuOIK0zQvvfRS9qfnMsbOZh+lNd8HkFmy6pprr3/ve98L\n7ejCgvKyK9Y2MDMMHXNEO7Gv14PFiidCxs6Kd8UmMXaOpTN2dNeKJ3SsSaJ/RkeoM3ZuWzvS\naWzbRpXqWwMDAGrpLKQkT3IeO/mYeIxdteZGgV0yYyde8ZSCgpTsdK5aZHthv1huLUk5ARXY\nxbn8ElWxMeM3VDzHFxKw13TSnUiuWHYKZwrsNPFEyjKSwkmn7YoVrac0Ws7YxTwms5Undpux\nJ4lF/MQydk++NP7dB1+GzNhVikYqSM8JQvZ6w97PP//8yMjIscce+7Of/UwwdkMD27ziOIDe\nM6887fM/6z7pEravRYsWjYyM3HDnX7fsKKqDoeGQqtVqsVgEQCyHmCHuZN8DQYyd4wCoABgY\ngOQSXb9+PQvGv+2H3wZgRMUTbNkP8sSSZcuWUUpLhQlICGAyKGWWjjoNI+wOY+ym54r1fBXZ\nsJNPq+Wn/vu6T3ziE/f6C1AXAAAgAElEQVTcc899993HGhQKhVQq1dPT09bWFsbYsYqlqRR7\n/kR3CjmnwD7FIsAubmxTteFChQ8DiFJlgSu2GpYLZ8YWWboTGNEYO30heWi8bAnbF5XfK7Ex\nduxVk5THTrbVq1cvXbpUZIcO8tjZNue5KQBiWhe87yJWqk5l7Pj3EnPFVsplzKY7eVVYOM+G\nxu4bn1LFbaTzXoopwI6ZT3dpjJ1GxUn/hs2SY8IogP5+1RX7zDO44Qa0tg6+YVnYMlp5IsLY\nZUUeO+pYIdpJxwI7g7geVRJrTaRy7eUCmHiCAo0ZO3VZjv6Th6ccceyVUIjbXeiKlbzGOwvY\n5ZqoXyKRzVNl7HgPU9nQiRVPGMBsHrvdaFRi7CpxjN3/rht6clsRUowdrUwSJysaHLBiZbVa\nPe+88+699941a9Zs2bLFMAzLsv58/3214RcBpBbsl+rqJ4bFfI4szO7LP/3jj/78orIvOcYu\n9MPa6UB+yICdZQBgKXlZNHrAEUnKXCZoIJZTLOQBhDBU2ouYfVm1gHJxEhKDwjFlKkkVi5m5\nYnVfJAN2had/t+WBX2SzWQC//vWvWYN8Ps/qRixYsEByxVYApFJp9syJDhUMZwWu2PhhRJzs\nWsLkadjIZHA19ITPEVWsZHKMHYkydiGRFv6/wdh4zpjI/Bvj+eVvLdePr/ah2IIFCwqFArvW\nHnfFWpIrVu5ZQe0hY2enIBIQzgK7V9yS8tixhSZdsWGpMdUVC+6K3VWTma6Kja+lEaKcOB/i\nvHkYGbE8KWXUJZegWMQ3v1nonoswxi4inojE2GXDPHYOZ+xSlhGLXQyDbJ8o/8P3HpJXDuc6\nuovjhkh2wmLsmnPFKjF2SiJc1RUbh8mItCGaIOQaQnzd0pIrluxUxi7jNMr8GSGbp7aXsFbs\nTmLsZl2xu83YlMYcQ7GuWIogSS+b/muu51fLRioHfrnf98EPW5Z15513ApiYmNiyZUtvb+8V\nV1wxMjRY3b6BGJaRygZ0FGfsALjjgzVtVpNzioV+WCcTAXZmGGMXumIRiQBmwK79iLODF10q\nq0fxCxzDMFOlNCl+AmfstHQnkNvMBNjJvBo7LYz4qWz5K4Df/e536XSanVIAhUKBoU8ZXnDx\nRIo9P0nSh+YZu50TY1eqyZ3osLgYxNiph88YVqoAO63/eoyd9K9P1XeRsiHhWZ+YB7whvmJJ\nTxiqZuIJ23FE5QlltJ7C2HFYbbGQ0ApTxZqYdcW+shbwW3ExdpBKXjJL8tCJ9rGM3S51xepM\njIJREP0zfiD9/aC0J78jOA+33Ybf/hbHHYdzz5VbMXkviTsJOceyTYPlsbPNoElsgJ0Yar4c\nST06lOu0fK+jNOFTHvRdF9gRHdhF0VjzKUvEsk7yJV23hjXHdAvy2EknbYbiCTH+Omka9cbT\n/saYErBjjgxT0xJhVjyxG43NLIw1j3XFUkoZj8IYu0I+D1AG7NKWCWCPPd9w1VVXscY7duzY\nunXrggUL3ve+97E1ZrYNIK4Uoh4Au4lBeVZ7+OGHV65cufa5Z/xqadM333/llVcKwGR19LG4\nuooUYxcM27HBXLFAfmRc9Mby6mWXHnXgEW9C4IpVPaoCWjFgVy1FGDvuik3JGyr5k2cknogE\nnwE83Ul5y9N2rv2QQw5ZtmzZ+vXr2VDz+bwAduDwolatAMRJOQFjF/Yc2RFn7OIBhJyhUBzX\nTFgk8W3gRVMSsmXPp4wV9qPrgaCkWFLliYZEnehHePOVV4gisCUhYxdhYZOMnXmmjWW1iW3L\nYt8YNU31ojJ2biTGjgO7WcbulTY2XWmkDmfsoneQFaKoSPvEGDsmnqC7kLHTK6LGQg0dWYpj\npBTo7wfQW9gBAJUKPvEJmCauvx4AE7jyr21KkqXB7Rl7rFRz/TDGLjbADgkQYSjXCaB3clQ8\nD3a1jFxOb8k7kY8F0LyiYR475eLG4TwiiVWRfIzCpnE92dmQxRkzLDQn7sYkAC2bkO9MY6fs\nek3pkJ04xm628sRutoCxsxozdgzYjY2PAzBSGXCer+b5l19++V133WVZ1rp161zXXbhw4dy5\nc51UCoCVawevj8keWgHs5Nn0F7/4xeOPP37f7343+dRvq0Obv/KVrzCBxel/f2HvmVcwZl2O\nsWOW811wxu6lLYNiPZORmi2dZ/zDxYblOL17Ro6IAtKcGjB25SIkIMKKnpFUTvfiCUErE09M\nL8Yu0GaScLnm09rIS15hR8eeBxBC+vr6arXayMiI7/uTk5NskAsXLoTgjapVYlqEGOyNFLpi\n1Ri7egAiKp7gPcwAbYTaXq2Sh0+pqJARQ8V5NQCUWJDexqEnVnXJJhpLfkqpSsToyC0SYzc1\nxq4GwHYC2YrIZhJ7CWRVbADsqiGwm2XsXkkjUS8eMzEfaSrXyFb6ep2xY/firhRPiLJmCtsU\nHWEyF0VBGbCbk99BAFx3Hdavxwc+gAMOEO0DJpyJJ/iGygTdnrGH8xVK4VgBjo0NsEOCvpIB\nuzmTo+yMpdyq4Xl1gV3YSQDsomgskWGKQ8CBeEJDP3Xy2PGdNntlM44aYzdTxm5KMXZ8wNPY\nJ9tiSozdbB67V4NxVSyDaDHTDKVB5BOb/sfHxgGQVE7Z6oQTTmhtbWWUxsKFCwkhvf3zAdi5\ndnA6SmbsvPFBSnHxxRfvvffenuc9/fTTADZuWF94/E4AhULh+uuvB9DTN49YjqW5Ypm1eC6A\nvGUDMEuhFGNsbIwQw3Cy+6w45LDP/U9mr0Oi+IxCB3bRGLubbroJQHbvw/UoMbGmvb2dEDJN\nV6wP8LccG0jN9YvrHgDQvd/hAPr6+gAMDAxMTk5SSmXGjuknatUKsRwIdMgxj4Lh2DeS79Md\nPPpNNiWRTdDDFNHGaLH6yye2so1Cxo5H5gjzKURNW714K3fFWpAusWgkO26TTOIsKbQXS9gV\nBaTkBkF93uYYOwbsWG3ilGOzl7OeWdpNYOxMOwUuZ+Yc+Sywe+VMZlCEhTF2iio2IQJd/OFo\nkxa7D3YdS6GrYgmJ4VfE/uMJm3nzAPQURgDgP/4DHR248kq9Fc/bEu/U68ja7KNNJChuqNCU\nbaglAHaUgoKy1Cd1gF2MKzYaPmglXCxlK3m5efGE2F3zaEd3xZozgzh6guL6Nv1yFwSYlis2\nlrGbdcXuPgsYu0TxBECJFTJ2E/kJAMwVy5KsisqYLIkXOLE0t38+AKelA6G7iiKAfQZzxd57\n773r168fHR1lwG7D+nXVkS12R18qlfrTn/4EINfaDv4xLOexY5YxDQBjVhqAWZwU60dHR41U\nFoTEMlUiQTH7kwG7WpnJ7SmAQqFw1113dSzcJzVvXz1KTJhpmq2trTNxxbLnhXXq+n5x7f0w\nzDn7Hw0J2LE6vEI8AckVS0xbAJQwcj9OFfv0tolDrrnnR39R1SqRmiIaPdak3Xz/Cxf/+LHH\ntoxCYuw8zcXpU8oC7BCFZzzGrmbZNrsBdVF8mIqlsXgiaKG+Quoydg05StkVy4CdbQffG3pm\n6UiMnXROLNsBF08wYDfrin0lLZjRo6ctjLFTVLEJRI4AGXq6E3Z1Z+h0q2NSguIIJ6dlAFEh\nS2gU6OwE0FqZTNfKGBjAihXo6pLbU0rZ2yopjx14xhMAthlAviRXbKxjOmDsCqMsw122VgKA\nlsQUuFFVLJEPTZEmaK7YxN50SUSdBITsp+ava7TyxHQxlmSEwCDEsYwmPxtia801taNoxbZm\nLLZWbCBqiYtDnbVdYWxmSXOnqt6AUhi2A8HYMVeskwGQtgxICdgEsGOz4Nx5CwGkAmAXOrwc\nx7Fbu9zxQco9ngMDAxs2bADw2MN/ge85c5ecffbZrKtsayv4x7Ccx45ZzjAATNgOAKMYYeyM\nTAsC+XzoBeaHHCHedPHE2NgYpTTbM1/bEIjCu46OjukydhQ8OzfrcNPGjdXtG9J7HGDl2sCB\n3bZt21gSO8bYRVyxtSqxbP2Ji1XFbhsreT59ebSkNJYZO4EIp+ofHC1WAZRrPiTGLnBbR4nS\nUsjYhev/+4ff3/Gbb1CvZts2u0/EJW5IpMkm5eFrPJ8S7qBym0h3AmDJkiXpdHrNmjWTk5Pc\nFWsnvZyj4gkqzgl7jtxqFfyJiy31Nm2bfWlOzWLpA3HfKFNmUs4I0YnC2BmEsE/eXUdSxBTO\nIpG/gnXabSoOgQKwLACm780d3Q5KsWiR0kyU+SIR8anK2LEFywxESUmuWJnIEeMfznUA6CmO\neZRSIFctA/WAnfzgqQer1oqNP/DIJgo0jK6vM4DmcdKCzkx7xt57bovof+Zpq02D5Jqj6zCt\nUDlmBpkaXQceoRWvip1l7HaX+RJjFw/sAGI64LxOPmDssuCMndiqra2NLTD80dM3D0C6tQPC\nFQsAcD1qtM7xJkfHhwaYRuHBBx9k2fyLk5MAzNaeiy++mHWVa2kHj6vTXbFpAwAmTAeAGQV2\nZkoAO+2IAsYu+JMzdpPgQ2SjslIZsYZvqMZjdXR0DA4Ospqz+qmrYwFjZxIAO7Zv/fznP3/3\nL34KILf0KDbX12HsVFds9O2lumKZu9CniLu+sTF2U6WRWLEHtpUinpBPn++Hrlix3vO8r37+\nqvxja/zJMcdJsRHq0UqKI7WOUVCfO1uV9ZEeSPCfnpYl1lpaWi6++OKtW7def/31vueClRSL\nTv2ik6grNjztlpMGLxkSsN2ztWJfQUuoPBH82WSt2CTxBHg07q7LY6c7HHnliUgznb4Sv1MK\n2DYAy/N6R7cDkIFd2IoCgGGQpPgzwdg5ppFxTIMQVkBWNxmTdeWCNoOCsfMppUF5sbqMXdhJ\nQJ4lMnbKxVUXxLHEJChOxm1KjpWG1pVznrjihH84ck+xa2vGyM40SDPKiaDxdBUbBiFT3Srn\nWBces+Rdh+0hr2RhW80kZ5m1nWJBjF2ddCeUEjsEdhPj8TF20FyxfQv2AJBp70FUezgwUTbb\ne0Hp5mceYe3vv/9+AAa/1c3WnkMOOeSII44AkGtjwE7NY8csaxgA8pYDwCwFrtjJyclqtRoy\ndvwowiMChe6KLUVcsQDMVAbRKV9n7PbZZx/Xda+66qozzjiDwcEmLYirG9o0dMeXvvaJ93z6\n05++5T//DYaZ2ecIhkD6+/sBDAwMyIxde3t7a2trqIo1bQLVFRtbeYKdukbATnUpNmmFSlhM\nInTFMlpUrpxGKQN2xecf/O6N17KV999//8jwEACvOC6AndDH6HiuztCEKjYAzY3FE4Dgm5s4\n5E996lNdXV1f/vKXx4e3A3CclPL9Gas+oVKtWJOVNmaMncXCGGYZu1fOYiesJPFEYoydYOxU\nVSyEB3NnDDbGkjJ0aDQV/zUWijBg57sBsNsjnI9Za/FEEckVq6liA4hmW0ZLyrr1/Uf8yyn7\nxY5ZxjNzWoPaEoMtXRRkXn7YD2LsykC9GDvZn8sVMJGro1fRVf7Uo/SknCBifdL+Vf/v1Cxw\nxU5rW8lSltGWbhYnsYOaxjcGmTpjB+Dyk/c75Y3z5DVvXNBxwztXvvfIPafa1axNz+TKE9UE\nxg7EsGybTf9Dg9sBGE4WGs/HGDvTNOfNmwfgmBPf3nPKRxYddqJowyaxgYmy1dYL4MWngiyV\nf/zjHwGsXr2a/Wm29gC48cYbL7vssoV7LQV/Z7IQQNnjkYEPoGBaAEyOq5hM1Uy3QI5/ko6I\nx9gFw2aYqVoOxRMM2FlORttQjcf64Q9/+Nxzzx199NF33HHHm970puYVsp5PQenz3/lY8bk/\nDGzeAMB13fTC/c1sO7sisYwdpBzFbrVKLDuib5MGKYx9GboBnabCiNg8dlNl7ArlGjTGztdc\nnD4NCsVO/OXn3/vatY8++iiA2267TTSwHZvBrHCKDPFcs0OiHGApU0+IEXm6EzY7NMnYAejs\n7Lz88svz+fzgi+uJYdm2naTPkOFaxfVF54bFXLEV0yCpIIxhlrF75axRguJ4AJdYUiwucRd2\npXhC9Ky4ZEmcFywyNvmtoTB2ixcrzSgEQk2sMSXH2AFYtahzblt8Fjr5e2tOSwDsinZ6e2vX\n4tGtLHIm1xDYRfi2GEdqYoxdSG2qPejwtx5jF+/pbcqCEc5YH/rVsw/817fv32Tj2Mw+zRgh\nZKfIugnB2w+c190Sz+PO2k43NumkLIOQJMYOAGwnVSqVHnrooe98/QZip5y5S6DFCTHGrq+v\nz7IsAJbj5JYfm85kwVk91nBgvGS29QLY9MSDbMP169cDuOiii9ifVmsPpVi1atUXvvAFwzAh\nGLvATxfeZqygRADsuCqWBb0xeYcX5jKXj4hCKsbAMJMbiCeAKGNHNcZOhiupVGrp0qV33333\n6aef/sgjj4iUwg2NUuoVdnjlQnrh/qe89yM9PT0AsvseJU64AHYyYwdgwYIF+Xx+eHjY9z0S\nMHYESHSkmpJyU2fsInnsphtjN1nxxH5Vxk4VT3gA/OIEgBNPPPHaa6+9/fbbRQPbSelhlPx8\n8f8nj40hNp9fcS3dSYSPJETE2IWfHA3tQx/60OLFiwG0HHSyaRqKeF8crMzYyc8Ui7HzahVS\nLf74a5/38sOzjN0raewGSUrnm8zYxfcWm6AYu5Kx052GsQlcYv2PobGXtef27hgAIoyd6Mnj\nHHhsgmJIMXYxj64yZmnDXgn8beqc31vYQSbGKZBpFGMX1bRKA+WQS+LS40++fCrYsqFhwXqq\n2CgWnIbNPNrsuP16D92zq8nGDbLA1N929r3yGjQxzzmmEcvYMXNS6e3bt7/97W+vVio9b/uI\n1T4XGmPHgB3zw4LDIPZ65OlOKICB8bLVPgfA+ODLov/29va3v/3t6XQGgNnWI+ZI9j8eY+ch\n6vTPEAAoGSYFEapYRpsZ6VYAnpZjDBpjF6uKReiKlc+VytgxS6VSZ555Jnj+vGbMp3AnBgE4\nc/c6/tz3n3HGGelMLrvP34gxtLS0tLS06IwdO70HHXQQAKZWZg+rnwDLTCnGTr++8bVipwrs\nqq7Yb/0ExSwlglcaBzA8PHz11Ve/8MILnV3drIHjOGycYorUibqGIwtVsdHXkX7ViKSKbZKk\nTKVS3/nOdw4+8czOY95NQCwjgh4p70Q+gWUZ2AWMXbXw5G9+9cNvjt3/Y3c23ckraLHzXBMx\ndlE+zIhv30yo1gwt3GMUuikj1A8zkqDYcQBYvjtnfAgA+Otb9EZp8NgRkgh6JMauwcHKg+nO\nOeLPjd3zAdgbNlA6RVdsEFYYQXLik0tj7GJ64yhNR8nJRzEDV6wS0rd7bNpZkachnpi1V4OJ\nOBDbNJISFAOwndTw8PC2bdsuueyK7NIj2U+Klpa5YiVgRyGAnTRxDkxUUvP3y+59+Iq3nPWV\nr3yFrVy+fHkqlTrosCOIkzZbupTgKjnGziBE3GmsUlgFKNuOytilc2y/VOpHPiIBcnK5nGEY\nbqUEBdgFrliJc2JuuzgQwCi34eFh/adY83zqjg8CMNt7KaVf//rXv/LzP5rZdkjYpa+vTwA7\nwdgdf/zx6XR6bGxsyfKVLW88QXxDi638OMaOWU27vrF57KYaYyeLJ8IyDLEJiqseqO+XCwv3\n3GvZsmUTExMAzvy7d7MGtpNyvYg+Rg+tayyeoJTtWo2x0zBhUFKsuQTFwo499tjTPngVsVNK\nlLY8SBkmVmphbVxi2QC8WqWw7kEAk0/fOz42nezWSTYL7KZmrWk7bZsi0ouZxNjFAzg13Qlf\nSCUwdrtuXtTD/kh0SOqv2rxOEapiWycnkMshkxG/8jeLkCMR4aZU8Jtg7PRMReqYpTGYBunk\nGotNnfMB2BvXU4pcY/FEuKyEFWriifge5HBDjrQiPaAJV2xSvbJmbDfrQ6ftip2GeGLWXg0m\nwiccy4hXxTISJZUGcN555737/ZeInxhjJ1gHBuyYchN8apdfj2z63DZWMlK5OWd85rh//PRx\nxx3Hflq+fDmAL37t2/0X3EiMMCSUzcdyuhPTIFYU2NUoLdoZS2XscpByMOlHJGZfQkhLS4sr\nVZ6QGTu9yGwsBpgqsPMpZYwdCzc0TdPJtclnCUBfX9/o6CjrUzB25557bqlUmpiY+Mi/35rb\n72i9Z4UDkl8genHe2Dx2U3XFssKPbKtKTbhiI8fCGkxWPb9cAKXz9tjz2GOPBeA4zlnn/QNr\nYNt2VYmx49bMiBT5SNLriLUifC5wNQDa0HgoORCdp8QAZB6uEmHsUgDK40PFLU8DoG51w7NP\nNL/fhjarOJuataatBy47tjUagS7Qj5XA2CXG2GniiWDDXTaF64WzOGMXaabEn0FBfrYNwPHc\nbKUInteAb0ggiScMmbFLirFrlKhMhtGEoKc1NVyoANjYNR9AauN6ABm3QYJi+alTYuwaiCfi\n2LjYarOo64KciXgiIAh3L7CbNmNHyO4mF2dtp5iINHfqMnZdc+fRavGGG254oRBOWgpj19XV\nBYAFIYEjJ/kTTqhiRdes3Co4sGvr6LQ754V75Qu2lKBYlum0GwaAiu8V7VSLAuxSingiQh0h\nCl9aW1tHeLYUn1KmbzWdNNTaCeqGwqYB7DwG7Np7A4Ws5jXu7++nlD7//POQgJ1ihDQVY8es\nIWNX2fqcXyp4/r5NHgVkB6sPaAmKFU1xsep6xQkAbR1dhxxyCIDjjjuuf/4Cw8n41ZLtpGrR\njDYSUdeYTRSq2EAeobyNBPnHYVkA7KSaKM0eMgD+uW6ZhoBusb5s+ZmihmkYRnH7ZgCt7R35\n8bGilKNn5jbL2E3ZunKO5kINFtS8dEmMHcdSKlnFb8BdB+wERyim3lzKglYYXk/UEoonaCCe\nMD03VyqAv46DZkGb0K2TxGZ1cFWs08gV+4UzDljcnRP9z2kRjN08AOlNGyilDfPYRVWx0UMD\nEHHFRsYTC22N6CcaCdcnM3YzAXZshLubsWP/TsMVS2Zdsa9FC+Y5wLHqxdhd8oVvPvvss11d\nXTJsSEXLIp166qk33HDDeeedF/QMQAF2ACRg98f1wxfe8ixbZsBOJ43Y/9hTwGZQAiL67CAA\nUPFqk07GLAfZd1988UUAZq4TMsrRXLGyd7i1tdUtB7jQ96PiCbmclcZCCevu7gYwMjIS81uc\n+RTu+BAAq20OO1iBjwWIYfqJtWvXQsoRqAwG/L2kpOcVJmvyGsTYUez4zTeG7vhCEsr5px8+\n8rffeEBZWay5cpha6IqlqouTUlqueX5pAkBbZ9db3/rWY4455tJLL6VAetEKALbjMClD3QTF\nDSAYz0itvpZ1/3m08sQUkJ1c51cmpGPFE2XXg8gm6FF2wgEc/Za3ASiX1JTRM7FZYLcTTGLs\nIjdQ/dRoumhANNp1tWIlYBesWb1P70/ef8RZBy+Um2mVZKM+RMbY+W62XFSBHXuzIHyiJFes\nytjx56HBTZi2zTTPvkYIEfqJLR1zXcN0Nr9A0TiPnez15kcX4dusuihcah4MQ26pU3e6KXXM\npmR6DMdusGknKMZuDwectZ1i3B+JxBg7SgFYqQzTRsiTFs9jF+YNueSSS7p4QRo/CISPzHw+\npdsnyoy53z5RXjvqGqYJDdgpVI0jx9gZ4UPRSmAAFbdWdNJWqcjSpt133322bTv9e4PF2Gn+\n04B4kw6kra3NqxRZKwoaibFrjrHL5XKZTGYKjJ1P3YlBK5UxMm2sPz0zCwN269atA0JqU5jE\nG0UZO1U8Eb4D6+ex8yn1iuO0VikmJOR7ZPPocwN5ZSWTxCJJPCEfMkWx6nmlgLHr7e297777\nTjjhBEqRW/5mAI7j1KKu2OnE2PE2ai6S6KcC4S9wt7mSYsouoOVVkMcWSXdS88GLgNd8n53w\nVEfvGw86FMDc+++Zwo4b2awrdieYlO4knslTnGhsdk+SxOrtd6JJ+Cbk5A5ZrColxRsgJsaO\nBsCuozhBqA+eiVTulIZ57BL9y5ZJso41WXHrnAelW7bwj0cvyTrmD/602TWsrW1z5m3eRCkC\n8UQysPvUSfvtP2/rN+7bAKGKjZKRUoa/JMYuXK8lKIayoJuSFXlKxna9m4Edr64x5Z1aBmko\niJm1V6GJgCTHqueKjc2Fka6bPZ9FdLFErEFXFMOFquvReR2Z8VINAEBa29otg7B8vFL8fmQa\ntqU0ewYJY+ysWrUFqHm152D8nFL3Yx+jtv3YY48dfvjh2+wMpdSLKwjB+vaiwI76Pq1WiJP2\nqRBPZOEHh8yL66gslGw9PT06sLv22mv7+vr+/u//XlnvU+qOD7Z094lua248Y8cC4Nqjr1xh\n4mUb+gHjKk8w06+voor1y3kAhYmYiH6f0h2TVUv7IGfKCWjpTvwYVywtVj2/OA6gtaPrV09u\n26+/da85LRQ084ZDM3sdcvTxJz0zkwTFCK6OiAiK/qoaO2/TYuzY5owaUCMNlN4qrgcg61j5\nsisYuznLj8xkswDm3/c/eOkl8LDUGdosY7cTLFE8oU388p86oAkx0C6bFh3+bq0PEurksQMC\nYNdZmgCgAjveTkwSusJAGNNPNGTsEAVh+/a1nn/EYvbn5s5+a2yHOT7WUBW7bF7bW5bPlQep\nDCd866mMXYiAhQX0m16fIxkGzYiFfUUYO0IwrWF//MSlH3nLPrtgRLO2ay0qnoiZ3tgkJWXT\nCH8KGLsEuoMhFblmIAUGxssA5nWECYz+8ZKPX3PNNfJg5L3EqWKDSlyWSVCptAA1t/KdicFr\ngC9df/2Xv/xl3/ff/OY3i/IS3H+qelRlZovBpu23fmbHPd/csWMHA3ZGKiO3F6NKAgEM2D38\n8MNizejo6GWXXXbttdfqjUd3jNBaOdfdF5wX6TQqjB0AwzD0GDslViwpCi0SY1c3j125VKK1\nCoDCxLg+4LFizfWpnhakIICdwthpIJipYhljZ2baPvSjR6+9ay07YGLavWdeccqZ73I9ahpE\nL7fTjLiBU7PBPrU8dpEFKY+dxug2Mp9HLyDqrxN96OKJrBN8AjFg13fAkblcFkARwI4dU9h3\nXZsFdjvBkhi75Az2EWkAACAASURBVMoTBIBjJhZ32nWMnaPF2MVaLJoJzbIAdBYnAEDzCzBj\nj738KtFxCfPCNMxjpwwNEvR6oWMegNQLGxvG2EGi3Dhjx33EBgGv1QgN8AmTzxiXQYk/4xF8\nZO9Rkm8atpuBnaL8bd7OWrXwHSvn7/TxzNquNjHPOabhU6on1mJ/+yG4SXTFKlbzfQBpuZwd\nxcB4CUB/e6ipP/uCC0VqYomYiTJ2Uq1YwdilTBPlcitQc6sjhAC4Dfgt8PmVKy+55BLh3wyI\nHGlg7BDGitV3/ueDv3l6ADyCrfLyc/lHfvmRf740YOzslDwGcPBUh7GrVqvHHHOMqBv7xz/+\n0fd9lmFYsZe3bAGQ6w55SjcanQYJ2LW1tRkxWAcAuHaiKcZOB+4yYyfKZkxOjOkDHipU9M4h\nMXZ+bK1YfizDv/jSx9//nqFtL5U2PAyApltFY9GjT1H1fEtKcK+f6joJinmLeMZOh+NBjJ03\nZcYOAX8BRE9vrDecPR3ZwBVLu7u7jXTLnKUH5XI5MGDXdLWShjbrit0JJq5nk7Vi2V9yxInS\nz24QT9QHGHpNhUhz5opljJ0SycveLDzlt0Ek/KTtsiPDGLvGBxv6Q6Ne1Bc6+wGkX9zUkLGT\nt1LKtgbfW0b8mSHagughzhWbeCyvQfEEwW6X4s7aK2jimXW4u9OKfnzyrLyqkxShKzaBsfOY\nK1YWT9CBiQqAee0hY6cHsbGm8u6cuDx2tkVQqbQCbq3yfPceJD98yq9+ZZ1yyrG5HJ3TG3QY\nxyayVesHCw9uHNmzJ3fC8j5JmkBu+dH/6+zsRBBjV+JjYK7Y4Chij5fpJ0ql0o4dO3p6elzX\n/eUvfwmePEWxgZe3AGjt6R/l46mG4omgjQB2sX5Y0UxVxUYxilGXsXMchxCyadOmz372s2s3\nvcRWFuKA3XC+Ah4lKb/xClFXrCKeCM5YrTz53P13P+uT//kl9T2nd8/W/r2wdVBJYkwB1/Oj\nhbpUGrKuKzb4l90zifMp59t4HrspVJ6IjIE5N6S9iLtXhr9sHVMrup5/yy23HPOFuxzb2RXA\nbpax2wkmJSiOumITGLvAFauLJ5Ix0M6yJhm7OFVssCRUsbbnAporlrXhj7Ekio2BCO2Z5l2x\nEXwp4OZmJox9YVO2WvIsmw0ssZOwt5jOk2LspGbqJlLlCfXB1k1Bk1OyV0Q8YRgR8Dpr/+dN\nwAOZFdMbhLhB+r0BY+eGYePgnWwbLwGY15GRVoazoK5h5YydHGMXfI85poFyuQWgvlctTaTS\nWevkkzF3LjZvFtgrFE9o8JH5yBguEcCudeVJpmmOjo6mUiliWmLY8lDjwvYA4G1vexvrh0Xa\nve997/vWt74FIJax28aBnThqHR/39vYyok5XTohzE7piQwwUBXaSXF2/UoSQzs7OF1988XOf\n+9ytP7yZrYxl7IYLVbagHL4QT3jSWUVUPFHdvhHUB+C0dHafdEn/BTeUSQoiZpGP3Ke05lHb\nNOoEtzRk1oQqNskVGxoJxxn7AZA8huDMA7DlGDu+oPOaGf4JtMfiPa3uPSyDtORyACYxC+xe\nZSYuaLOuWIMgNsYu7HCXM3b1IYY+APE3BSL4SVXFBp+zNPoxhDiI0JF10EQeOyQrVRljl9m8\nsbOUL7fFxxTrx6DUjSCcSFfoQLlx7HjC69UEaOP5fqdzZd+4oOPAhR27GWOZ0bM0a//njc+C\nQSRuDEqjQELFqrSdsAkg1kfEE2GMXUZeKSym+AEF+OuiojJ2BmPsAHiFkXSuBQAWL8bWrbRS\nFR3qMzZbFQC7cgTYZZYcfNEHPgSgpaVFAZdoFGN33nnnXXjhhQCGhoaeeuqpH/zgB3vttdce\ne+xRqVRqtZrSmDF2bXP6wYECO12EhMduWdacOXOQrJxAoO6MnDEFVchv49gYynvuuefWW2+9\n+uqrxZp4V2w+SFLjRpGdG1VLSK5YQGDogecBfOAT/3LwJ3/Y8sYTQAwmnVEZO4qa79sSw6EE\nxtU3geB5qHf016hzH0QwdiGz2KRxJzggBfNAgtQ6sGOu2Krns58s02hJ2Zhl7F6F1lgVG++K\nTTz5rzhjF+t/lH4mED6auDx2ELViIzF2ajfTiLFThv1iRx81zPTmTR3liXJbZ/1tlRi7cD3/\nM8BeiZurw5BIPqARHJ92yBqAq05bfvsHj5zOljMwfoy7ebez9oqZiAR3Ehm7yMynx9gluWIZ\nwSYzdj6lLM24nH5cL8YaWWAfldLYCK884ZgGE08AoLVKtqUVABYtgufhpcCr6HoBgSMPkUph\n/qzOqQB2RqbtU5+5YsmSJYsWLdJFjr7WlWIMhw0NDf3Lv/yL7/tf+tKXDjjgAMSRdgNbXwLQ\nNmceOCJhqMs2DfkMM29sLGMnELnibVBgNpHyOcdC8JUrV5511lnveMc7xJr6jJ3i6hV/srug\n6vnELZc3PxEqiCktb3wEwJFvPqHsB2+WiXINGlCmlNZc3zLD972EramykGSUd6iWFItiRBKq\n4JRdNTbhmEJSjJ0O7Jgr1qe8Zhppc2uYBXavQmuoilVrxTLxhKWKJ0T7Xed0Y4Ug0Ry9JJu4\n94Nb1gmyBGuVJ1gbwYHHJHsTtrSv1TLJws4MGllsIkAAVdMu9/VnNzyfq5bLrQ0Yu9CtHNSK\njfwJHmanXayYrmLTndQ/pa+5YLWZUIyz9lq0UDwhpRTRG8QWEg0SFCepYlmMnS3ngwh4Mhnt\n/defXvjhg5vZcowrlgL8O7DGXbGmBOyEWDSd5YwdgBdeYCtjVbFsL9U4V6yRac1kc48//vjv\nf/97ZQz6qdCN1Z9Ys2bNHXfcsWrVqjPOOIPVeNXD7LZv3UJMq62rBxyssKNzTEPunQG7+Bi7\n4P+EhziLo1MZOwFxYtPZMFuyZInQZxTzMapYJp4AVxsIEyDG9ymlqHl+4cGfbL/l09/92Nnn\nnHMOpXTiodtLmx51epcs2mtpqRr4bSdKNTHUSIydT+t/88sH9+iLo/92zzrpeFlvPJ1qI+Am\n8wVTY+xkVWxcuhPPp4dteaqLxaMDEOIJz2cPhWmQdtfDLLB7FVqYoNhIcsVG2gfATr9rBcO3\nK0EA+7ZukO5ES60cccUiEMYCQGeEJyO8jZgk6gQOnr5y/lNXnrhvv5pIXbeQQQwgVNjV5MLF\n1sQYgFJjxi7oQXO2BgtWHGNHiL4kcrxF/qxPgipZkV/9xhMUv2YGPGszNIYqDBKJY4s2AKRJ\nK+KKrRtjx8gJJd1J1fUJibwG73xq4Jv/uzFooLne2ILsFRGqWMcKYuyYZRhjpwC7OBBGg2gw\nD1zUKYCdmWn3KW1tbW1tbdUluvXz2IEzdjfffDOl9Oqrr2ZVaBHH2A1ufclsnWOZFkSMHSu6\nYBkyBq0H7HikF3/9xvsBpZdx4pUCkMlkevvmseVYYMfEE9BOqawYcH2fUlS3bwAwuOm52267\nrVKt1Ha8BKD75A9TQljxMQATZVcfqk9pzfOtiCs25nNC2PcfeOHf7nl+21hZbkPDdKoKYxdx\nxZIozzm1PHYA+EsyNt3JnB0Dt/zoUx/73/8SP2V5jB27yrZptNbKmAV2r0IT11MJF0tOdwJE\nayEotkvTuzoBsGvKFZuI/xIZOwKAUu6KlfYSS0PK7/pmTNeWFhYuZguVtvjEK8rYlNA6aEQp\nSThmeTVbliqLALvSFfuKWKDYeO0MeNZmaNwzxVWxuitWkjciOhmn6iYormrADpRWPd8xDeVF\npGf6UEqKRYEdTNMAG3Cl0sLfWwFj198PAIODYrRU6od3DsQydoQY6RZp1yGy2zBUyJddDuwS\nQQADdpTSo48++sQTTwTw8MslaIxdPp/Pj49Z7b3ssHiMHQVj7KTu67li+YKiio1h7EJXbD34\nsnDxErZQigV2grFT0Bi/+B6l7JSWBzcFu6vV1q991q9MAvj+mutLpYoY2niEsQvRW82jliSe\niHBxmrG7xk1g2xonKI7zojZl3DEFVRUbLPSMDBDQtnJYwIO7Yn022iWbn5t3xqnWLLB7FZoU\nYxfvio1FUfVi7HY9Y1efOVIgi2zBsycYu/jUcVQkENLx0zRM5dik5cL8RWyh3N4sY8f/VPnU\n2Bi7MOGLNAgl3QlrU/+iEQ2Svspt1hX7+jSWxw5xpA6brWITFHPGrr4rNqKKrbq+bapfQ6E7\nT5tgRTYWS/In2Ew8YRqoVHI88DeTawX4q4kXxfJ8Ds+kjtkqhjtl8YSRbgUhSm5kABNl96Qb\n/vDZO57iMWGxhwtwYAfg7LPPZgtb8j40xm7z5s0ArLY5zNtDg9MlGLuwZR3GLjgbksOEmXoO\npRg7n9IkGARg5WFHGplWYjnFfN0Yu2gPXgjLaMX1/XK+OhEWzF37zFOklAdw+NALta3bxXp2\n2hkolEfuer4TF2yeQN1RaKoISmmjGLuA6ZRvwikydmHjaIxdsL47PwIg5VbFT9wVG+SJPPiR\n35NyOTsL7F6FFsbYJbhilduTxZpkHT3GTizsUmBnNtyF/qsYm+qKzUQi5IQsS7hiQ3Q7g3vN\nkOAYomgjv2AxW2gsnoi6cfUTEEQfJjhq9fGE18uIDjLOTPIaw0mBKvY1xDHO2syMB8byOLYY\nxg5IEk8wxi4h/wdDKpmoK7bi+o5lKE+EAAd61gkRqC57QgzJFZvlSUkCVSzLaikBuziwCPAi\nnhXXdz3KgJ2ZaePDlP4HDIyXq64/XqrpZbIUYzF2AFavXh10YmegMXYBsGvvZY68QDzhcmAn\n4YYDDzzQNM1ly5bp+xJlfkSIszhkuRmJvn7qeGP/4UMfXXjJj81cZ3FcrYXgUzrCGTvl8MXu\nPJ/WPL86tFn+dd0zT7VMDJpAC+BzGlV0olSn8H3q+tQ2DT1BsUTdUamTaBsubZHFDboFrtgZ\nTLjizCNKx+SeegKuC6BzfBhAygul0DknyGPHzv/ezz0CYBbYvRotZOwUV6zm9WPW35656byD\n//l4teySwBy7gbGrvwPpRlf5quDhERlPFGAHAoSZIeWAtplIfZXRyB3lFwSMXWNXLIBI7mW+\noLhila209mKlVHAiQuDFWjMCi1eV8Tx2r/Q4Zm13mZjnGognRMEraVpPBd7bBMYuKCkWiS6v\nuX7KSmTskmgnQkJvrEECJwkTT2T512bgio0COzfsWQYEIWMHoFBxA8Yu2wZNVQruhaQx3J9q\n7e3t6XR6zpw5y5cvZ3snThoaY7dhwwYAZlsvez0GtWJ9ahBiRV2xq1evzufzJ598cvI+dcYu\n+iuJRIHrwF0Y287ItpUK434UrLN6YkEPUYI2jLGjqLp+begF+dd1zz5FSvl2gAB0aBDAWzb8\n5fs/uSJXLSGa6w78ilhmWHpCP9XyyYktBEJpyPJG16udTZ+xkxqL2/KIF5888PTj8fWvA+jO\n7wDgSMAuIxg7n2ZqlT02PA0gx4CdVl942jYL7HaCCQ+94oqtg2neun/f/GQ16C4Fds3E2InH\nX28VrEkAdsJESbHQ47kzDkp3aE4sWMz+rrQ3AHZQY+xUV6xV1/kYLSlGIAP3sPtEe83l+w3i\nCF87A561GZqgH3g9Vo3f4mJD9qcAYYTwdCcJjF01jrGrer5jGcq3jsz6qHsXrlhTPLnENMIY\nu3Y7CPxNM1esxtjpM7YvMXYAilW3s7Mzu/TI3L5Hy0cqFpgglCKolErrSiivueaa6667jr0r\nPJ8ajsrYrV+//oorrjAMM9X3hiALGmPsPD8g8KIdZhJettJXdEj7IUY8EUEwdcLsgrd3pt33\nvLGxiDd2kCsn9P6Dc1Ir+z6ten5teDMAdtQtLS3rn3um5FaZI9kYGuoo57/0Pze+aeMjS4c2\nI0RmQYfsijhxEb6xuEspBCJgt69VttS7apy+ONkC1S17VfK9LN++EQBefBFA98QIosAuqBXr\n+67nr9z6nOnW/FWrYKdGCXnfyMiPv/a1Kew+2aYG7MbHx++8884f/OAH27ZtKxQKflLi7deZ\n1XHFKtROfdsp4WgNLVDF1r3y+gDE38GzZ9sAKDFCFUWwIcDk7nyrnXJQoUqX/Sv1VHVSld4+\nAOXG4onItnqwHXuZKqOMHTZ74Yhz2Bxj9xpDSLHJX14/9np+1yUxdmzCFHhATMMmIVaC95ZZ\nTVfFUlTdGPFEHGOn9iaoEcLj7WyToFxelWkhdgrJrlixa2kYFEDFCxSa+YrrU8x5x6daDz4V\ncULakUKVDUlJ6RdrH/nIR84//3y27Ho+sTOIMnZXXHHF2NjYBR+70p6zmB0IrxVLbcMwSD1x\nRsR4q6hXpZ54Atr1XT9YuH/9sJyfz8iExTOECT8sNGBHKfKP/OLFfzvn3lu/Va151aHNhBhd\nb/mnA976d8cee+zE2OiEW2XvaHNk+LLff69rcgxAPcbOCOviSqdCvUPEsnI5REpq5R2mX7Vo\nupOpMHZB/wRSToy9RrYAwMgIgDn5EQCOKwM7C5yxO2zLUwDoZZe9NGdxldLvAL+9/fbm917H\npgDs/v3f/72/v/+kk046//zz161bd8cddyxatOgnP/nJThnHa9rEbWFpXxhKMFZ9E612A2OX\nVDiLmSQLCEyd320bQNV2Ynkqyh8PEfaBnaSv1MYFSmlxj8UAKu1dDbYNekg8tMXdOQB7dGXr\n7jrsRBHYNsggo3uRX93WzEH9X7XX57tOED+csWsonggWDINYBjEIScpjx+LEU3Y4gfpMFWsl\nAzsNPgr0ImZQUXnCsUyUy04qnVmyCkAqEw/s4hIUh8MDMFlxo7BPXQhdsTRyBhqayxm7P/3p\nTzfccMNnPvOZ884775Zbblm+fPlxp58HDgs8ztjZFiFTzKkmvkrFRnq6E3lmUWLsLvvZk3/3\n7T9f8uPHxPGa2TYAQ0NDcjOmnChtfKTwxF3lakX+yfNp6YUn4Hu/+d5X33P222tDm9vm9Of2\nP3bVOR8+8MAD2cAYY7f3n357zhO/YVu1VovQYuzYvWfV9RfIqFerYhz8yxk1ErshQ+dkRnns\nAP5SF7P/XiMvAQGw6y6MIo6xq3m+69HDtjwFQsxjjmEfJACW8m+MGZrVuAkA4LbbbrvkkktW\nr1797ne/+z3veQ+AVatW9ff3n3POOW1tbUzO/bo1KUGxCpQNQjzQphm70MWw80anWgDsmkEh\nERgU/RoMgF0qFd1Q+ALEExWrKp2hyT15PoaPOnZsw4sFnnspeasoyI4SeAC+df6qQsVtSVn6\nVrEDCE9OE4zday4tHBvwbi5Q+2qw1+27zuezVFLhV8VfJqZANv9aJqmf7sQxDZMQl0/hNc93\nLPX2koCdSsxIuFO8JwPGrq00gVIp39HddsA7/OL44v0OBIBsFoTUd8UqqwplV2bpfG0MPIUb\nbVh5QjHXC2Lsbr/99ts5K9Pf3//d7353PTXA47M93wdzxRqGQUjD4gry8Ah/2caqW6AhGOX6\nsmwvawfyEF7vbDuA4eHhLVu2PPLII9/5zneWLFnyxrMuLa57YPiOL1Lf/9SHt//yZ/8tevAo\nrW5fb2Ra99z3gD/ffx+AuYsPrQCeT1esWMHaMMZur6ceoiD3rnrLmx++mzF2nBAPBixuGDW2\nO24hPA+a917wC/rpkk2+C5tlSaXOSNQV+wbG2O3YAaA3r7pic06Qx84rl1ZuXTu8cEnPnDkG\nB3b77L//VPaeaM0ydtddd90BBxxw9913n3766WzN0qVL//CHP6xYseLzn//8ThnK/wHTM5go\n3vcmTXvd7Uybkio2cSAc2CmrRXP2gjYk0ZtOZzZvCuSVO/Ip3fjeD735H7/pphtUsCBSD+BQ\nVT4PpkHaM3bSxZJPWCCDCr3MQKMgQqKN/FVuPGXga2jIO8dex+86NksRO7GkGCDnseNTIHu0\nbcNITnfis8h98XBVXJ9S2LorVkONajpZKDF2BEDf8FYAo30LU/P3m/uuL7b1zAUAw0AmQyYL\nomdO5MRAN2aFiiuzXDprOMQZO1/rSrdSzTv+q/d9+w8bAdR833CyABzHufnmm++5556HH374\n2WefPfTQQ9kemRKZcYeuR22TENIschTDYOcyRN7JJcWg+c0Zliq7PvjJZ9LgdevWrV69+vTT\nT//Vr3514403/uc1nxz+xbWG5cAw1697Tu5hfHjIy4+k+vc597M3/dOnriZ2atmqIwH4NAR2\nIlnLmn2PevLAowG0VorQEj7XYewSYuyo/BvlLeNrxUaduiSqFp4SruOqW4DP2l2liaDOxMgI\nHnqovVxAVBXrWKZlENf3M48+knKrW954KACnLUiOs/SDH5zC7pOtWWD35JNPnnnmmZYVITNS\nqdSZZ5755JNP7pShvHZN3BY6Y8d5mql1uDtcsU0ydtpP/F1uAajYjvJr8GaR89iFfc4A2EUX\n5OeQO1gaQxDuW4z4TxsOStp12FRNUCytTDKjud29ekzX8L9O7HX7ruPPUVDtsJKY7iRCqp24\nvO9TJ+8HwDJJUgaNmkeZ01UCdh6AlKW+FSivFqAXLhOPuQhlJrzyRM/gywB2zF0QtBTdtbTE\nqGJlZ2t0nJMVNxb2iVUsxo7yqPz6IGAoX1k/WHj0xTEAnkfNli4zlX3/+99/wQUXHHfccQcf\nfDBLSsf2woQCDOTVfN82DQLSJMbgjJ14/QamxAgSEPl0V6MoPEgpXPPAfZSMsfvsZz+7cWNQ\nDiSdTv/lzp8A9E0f+KKRyk0qqVvW/RWAM/cNHsVxf/vuhR++5W3vfA87qCVLlmRzLQBaDJOC\nAPjlfsfQllYAOdkVGx2MHakVqzG48hkI7kz1tLCtlJeYzoPKN+E0VLFyjF1Xkad0HhnB//t/\nbNFxXbGJYxmWadQ82vLn+wFsW3EogO5DTwMhlmUtWbKk+b3XsWaBXXd3d7lc1tdv3bq1tbVV\nX/+6sjDGTpsFmwmrFxbqDHZHSbHG9FJ0VfRPxtg5GmPHmsmu2J3hXw43JepYKA0/5Rt0opaI\nDVc2s2udsZtiupPXnCsWeF3G2L1u33X8OQoYu6QExZLoEgBOXN73rkP3AHPFJtWKdX3GSIn7\nn6HGVBwnw3imuDx2wbeiyRk70whUsT2DLwEY6+PATgwxmyWT/5+99w6QqzrPxp9z29SdLbPa\nVW9IIBBCCNERzYALxMDPjjHhM4HYEFxIDE5c8sXgL3EcO4n5YjvG9mdcYmLjSmSKqY7BFIsm\nREdCZdVWu9peps+99/z+OOWeW2Z2JK2sFdr3n52dOffcc9s5z33e933eguiWhgm2EGPnAzth\ngWLB2AnyL/Jo5VE7LhSspsXTR//Nz772ta8FmjFMw9632Wcm3QzSKHskIW/gXAbPIfGtLIEU\nZhXYiazYDIBSqXTRRRddfvnlJ5988iOPPNIye3H7ez+96szztFgyn/NJt2x55XkAsTnHui4d\nK9lEN5sTJgCHghCy+JjjAMTN2EgiXTFjTy5aRTMM2BXlUOXlYIOJrBXrXUTl4AKecal+Uv+d\nX97wPsYusmkNU3XsGJHcVBZFJoaH8bOfjcVSO1tmxhxPoNjUia4R23GbnlsHoHflaQDSsxbN\nO+eK6667zrKCXMn+WaPA7owzzviv//qvQObzW2+99Ytf/OLUU0+dlKEcvqYLKihMb+wT4REW\n4DgYxlyx9fegSCsHhxSIsQtsGNSxUzY8kIMKbOqPsaMy+GaCTvycWYOlICJ/D3iEiTZxV4cd\n9cXuk/p1uN+WdsTOdQpjV7ekmD8MTt7Xlq5VbXdbf35jb7AcakXod0ifBmPsTJ2EX3UY4JDr\na52sWCl90tobAHaiaTqNgmTs/GFcgZYAIpIngiTfeKkKJTksrMmiGnNMs/0yH6urmWGQwTpn\nLClr5rjU1IlGGmWPZCPWuaInF2DsfBlsgevLRstKuPLkiVQrgEWLFv30pz9du3bt888/f/bZ\nZ5/52f9sPu7sbMrSrEQA2G17+VloemzecofywrsM2LEb5qhlywEkDOs/zvyzb118Q8GMk6YM\nZPKEnwEtO8IVG4qxU47a+yoyl0XI2NV0xcoP6mnZp+QJ1dgMny4X+f+2jb17H1i2Jmcl1axY\nU9csXau6NL5751AiU57RAcDQtAUX3/Dtb397P/cdskZn7X/913/N5/MnnXTSV7/6VQAPP/zw\nrbfeumbNmlKp9JWvfGWyRnOYGpEp9yHbv5D5gyoexgWKG4uxk6YIRVKgJrDjaVmUCzyp0/aB\nIJtADodKs3k57Y11FUj4nZjnC2XRIhQtG3YQ1+rnMAJ3nzh/yVc/sHJejRzht7EdsXOdfBmr\nmTwBIIQbJAlk6FrVdT9x14vX/uC5wIZVx2VoTKpXMAQjw0KueumhF79xVUduCGKNDzh84fEr\nMJXKE+wZbO3dBWB01nz4R4hUihQ4Y+fVio2CbsxyZVsFB+E1XoZyBfzFkcZOIA+bc2sCQdYJ\nWz4YCqw4rtTmbdwxSODN0ZHjr58Vy3Ce7XilxozWWefd8I+PPvpoNpuVzQZylfa0pesasZLl\nUskWTsbbb799z9Y3Y7OWalbCdWlOAXbsqI85fiWAZiv+g5MvvXP1nwDQmjMAUuWiHGooxk5V\ntBYfoo49fGUBuK4nzuBrHOgiGGOn/Lx1a9TegvtlG7PbkuFUaWuXn18xTNOxiRi4ZWiGTvJl\nm46P560EezMxtJqJR/tnjQK7efPmrVu37vjjj//Sl74E4Mtf/vIXv/jF448//oknnliyZMkk\nDuhwNH5do7iNAAJopJ/G2++fCYHiem2UrNgaLWq5YgEAVEz6OvG6OBD/sseN+Vk3cA8L+7Ih\np2pIo6TRUaktuV/VW2CAieC4iLE7bKDdgmzyT1fPPdSjOAR2xM51fJXyBIqjV5qAg1LRBCC2\nQ/Nlmy3qqlUdyvo0/PqZlkjkes+mp9uKY4uHuuEBO9+o5CciNPPAMmQ1AqB5zy5ks5VUU3CT\nVAqOE7cr4NR+zWNhlvMzdgpu87VzxYtrfb+dpN8A2IpbNmA8ecLgyROUcsZOxivX2wcbhXBZ\nBGLsAq5Ypeu17gAAIABJREFU4p/EAgLUUtauXPUSiI864z1HHXWUbONSOpgvt6djhka0mCfL\n19XVdeONN7quk1p2DgCH0nFWeDdhEsLXggveffkDwHmZGRAZuEZLBkC6oiRPyKxY4YqVw/Vi\n7EJ8GzzA7WtDa2bFUvUD8S8oXrdf/SqWLMH996O2qc4iXdcApMsFAE4sDoDOm//83OUV3SSg\npsMfCkvXDE3rHy8jn8tbCXaIdcIY9s8alTsBcMwxx9x7772FQmHz5s22bS9dupRVX5k2dt9E\nAjtecHMfV/M/Rkmx+ijE46KiHQc1GTvRRrhpVB27A2HsxIfQT1LYcmLuDb5rEc6KrbFVcAyy\nk0ARi/oXTdSKnWiU0zYF7Mic6/gL0kQCxQEdO6+goqZVHdd2aRi+VB23KW4g9LgxR78Bd1XP\nJgAshdCpmTwhmCSVsdM1w7VTfT1YfZLs29t/KgUgWS2VDEsZlYoVfUPNBxm74Bi8DRtm7Ji2\nny1oSJfSSAJJlO6gtsvzhUXY2cTLh+CNSIDkC1eeqMXYUeq5qktVDxAGDnCkULUd2p6OaYQw\nWb6xsbHW1tZ77rkHwLs+8tmN7Wez/TKfdTpm6ISw7qxq+TzgmXgCkpBrbgaQVgWKJWMnXLG2\nG1qAIgWK/TF28qD4u4cWccJVixAo3r0bt9wCANu3B1uHumKnnd2WDKcW58xLb9tcueKDLiFl\nwwRg2dWKboK5Yg2iUZqslAtWnGUCGZqWnyQFO35E+7pBMplcuXLl6tWrj4SZrkFjt02knAeL\n7W3QAxdWjzsYFmuIsQv+3GCMHduQKvE6xP/T/poPQvli7GijvtigT7UxX2zktQjE2DXCxmkN\nxOFN25SyI22uo0KUizN2Ea5Y3+qrRo6DZ8VSVr49sKF0xQYmyZihwXU/8uxaxnNkynlIOOIx\ndhJHgg3P8OvYzR3tJ66Do46SI/GouVQKQKJaAnfF+sYvF35pAa6xlvuPCh2N+iQLT55gDlnR\nVM1YqNjunet2MNFjdn4cl7JYN1NKuCko7fFN/dF5x+LMCIFivk1EjF2N5AmFpEOp6ihShb4e\n2FDbm2KGRoiVhGDsfv3rXxNCjjnjQnGM3BWbjhuaRrjLPl8AULI8UapYOlnVjVSluHC456mv\nvB8//rF6ZvhJkIdYA1srJyDKfe8PA1Ubw1ukSASwe/llsAwqf6xtcAyKjh0Ddky9pefCP8FZ\nZxWv/QiAsm5CSNkZOiEEhqYlqiUCmjeFK1Yntcrx7Z81ytitWLGizq+vvvrqZAzmcLV6jJ3m\nNZjQAtXoD5LFLR3+8IWwyek3kGoAf4xd2YoHNuRzkYyxU56Z+kXM6ludVNaIVLdanfiH0Riu\nixiD3CyQYlLf18zBX8O7m7ZDZUfuXCfwAWPswvXBAiHqjIlR5dlt17VdGuaxpCs2QNubOsG6\ndZ/53Q/Yv5yxC8bYqaNjSbu+yhPzRnoBYPHi4MsnBGNXKQGwQ67YsJezNmPna0lpQwLFtsLV\nyQgq23UNnZdWe2Jz/633vJZJcCIHooQoAEMjVQ+lEQAPv9778Z+8eNsHVr4/FCAhD4T4Dz8w\n7ACCUX9UQXxJ0fILwEhWdqI9bekaZ+zGx8cHBweffvrpk08+Od02E9gBwKEc2DXFTMnY6YU8\ngFLMA3apmJGzEuly4Zyu9U2lHO68k67i0JADO0UGNYDGgmeA0vBPchmqVXnCOzO+XwEAe/fy\n/+sDOwmp/Yxd31nnLf1//9fOlYEtjKhjUnaMojZ1wnKB81ZChCgQu3bp3v2wRoFdILikXC5v\n3rx5y5Yt55577imnnDKJAzocjd19kfmD+5c8cVAFii9bOadUcc45ur1OG+JBlugG1DBI3Ri7\ncEmxydGxI8FRudTHh9frJJDKytypE51qZV/eJyF34vuhERL0MIqxO2LtiJ3rJHKyajJ2QMgV\nq6p4Uoqy7bBoNvVOrzouSw4IvLJahsZqpW+csXBZ/3Y/sBM79cMUQrzpkenYzfeAXTRjl6yW\noerYib2H+bZQjJ3vwKU5/jNQyxg6cRR4B797VFUY0TViaERl7JhWsNzDaLEKIF+p6bAj4lrI\nHQRdsf7zr/6oxlOWqi6tgWiHCxUAbSmLUjDGbmxs7N5777Vt+7LLLstR7xjHS3bC1A2daBrh\nYvWFAoCyAHaEIGnpOSuZrhRXd28EgKee0spcZoip4ZhGXcZO+SwIVN8lpuJDrcoT0tOjkg68\nj74+/n8jwA4E/hi7aioNcf45sLOrEEEOhq4lqyUAeSsuYuy0QxNjt3bt2vCXDzzwwIc//GGW\nO3YkG7ttIrNi2ZO2r+FlB1XtLJu2Pn7+BDHggfIMEVY3xg6y8gTxepgUtEpCvJcrRKUm7J79\nrqSy+nDehKb2HxQoJhPD932qGjxth9CO2LnOFcuUVatWLPVBLl6fwMuKJQBKVY5mpMPUcanj\nyuSJALDTsXs3gMeOOmVZ//ZMJGPnj6kixPM2aAStScsY5cCOVIg6MIADu7hdBuA4QbASZm5y\nZV9CgSLs4j9R4sTU9xb4GbsIYMc+iqmS6BqxXVp1mReSzybyPLATG7lHGYsiGDvpivW3I/Az\ndgrEVBk7pX5IwJnLhmpoGqVUMnYswO7yyy//0ZuOOC46Xqqm4wYAXSOcNivkoQC7uKHrGslb\niWxh9JTdrwNAsdi8/lkgLcdjqJWLAgcbSJ4IfQN4ycsBZ1GY0fTr2FEA6O3l/zfsijUVVyzL\n42F7rxgmANOpQvCyhkbSnLFLtokXnvrSOftqByRSdfHFF19zzTW33nrrZI3mMDWhT1gzeaJB\nnCZbHXLNs3BWrEeJs9vPMAFUwzp2MpUrxNgdCFmlcGNB3suVAsWNZcUqtWuBfUueCDJ2gcJr\nEzB2LMZu2hl7eNqRMNeFkieCK00g9zCYPKF7IimB+C35qxZm7PbsAbC5fR6EK9YOADv/Qi71\nk1lvV5wy78psFfC5YlW5EwgJ3HAMUxgj5cu+irI0BC6ZOaGxRZpPoNhzxarAjkJ5BzY0zXZo\nVSh9BFJcS7aj/us7EADwVDu8IMhg8gRR1yj1t6qPsXPkhgGwweCpoRFNI1osCWDTpk2PPvro\nkiVLli9f7hGZLmTRbZ2IGDsG7EThx4SlEyAXSyarpdlj/XkrAaD9D79nv1ZsB4Bl+NJVxZ+I\nixKoYsxvVPEhoryJ77QEkicANMrYyfLKEKs2kzupJhljB0jGTgF2pmDsCmaM5YmbGnFpRNbR\nftuBqo8uWbLkmWeemZShHL7GJpRIV+w+FZLyEkgPtShsQOwtwiaQO5Exdt5PB6Rj58948Lli\npdzJhJ1EXYsJL01kQi7Pb/efpYmkAUO9TNthZW/7uU76rYTcSdDrxxq4ftwgJytTJ3G7Mmes\nDwysFLlSK4My0YydrqG7G8Cm9gUAmss5hMqGBngZFnsOPlQYGmnu2QXLwty5nitWbpFMAkjU\ndMX61lFDI7VcsQFzPNxTbyVWMa7chH345u+2fPbuV1TgpWtE1wkLUmRnhieiiSZlRTo4YNJJ\nHUAwgeGRyPRPAEHGzhtXgCB0XBeArhOZPPH5z3++UChcfvnlUHCk7dJcyc7ETQCaJmIxCwUA\nFcHYJS2dELI708H+vXvFBTDN7DoJ7DhjJyfM+hhaIjn+L8+SiXbmUP9WxN+AnxYWY6dpjbhi\nNSFZAiBdLlCQaioFvyvW8rliCVPvKwgdO51HWE4NYGfb9n//93+n0+nJGs1hajx2chIEiiUD\ndMgZOx+thTBqmUCgOCLGbhLlToKuWIUPr98N/Oe2kRFFwrVAci4LSZxIx27fPL/TNqXsSJjr\nJD7gyRM1GDsJCGwO7PgiYmjaPz3yrd/d8dG24hiefBJNTbj3XkhNMoMgxNjFDA179riEbM3O\noyCZkpcVG5XhCABEmWn5s7ltGxYsgO69OaolxSBdsaFVM/B/JmEWK44bYtTgRxWEoBahFbCq\nqmMn+EJGX9338p5fvLBrrOQl4WqEx9hJpQ/5kswacFdsFGcnGLuQK7au3Inak4+xsx0vr9bf\nA7/ihGgieQIAIeSKK66AcrryZdt2KXfFCsbOyOcAlONc8DxpGYTglVlL2b/PzVmO00/PvPVm\ne34EvlqxNV2xPsjvDxJg5ork5QkEiv3T8pa+3JXffYb29SGZRDZbH9hBUdpi7xvpSqFgxRyi\nyRPC5U6U5AlD01LVIoCclZCMHaJI5f22RmPs3vOe9wS+cV1306ZNO3bs+NSnPjVZozlMjdTO\nitW0iJo5E9rUccWGoQgnvU2TRDN2/C1TPFERGHE/LIgvla4c4YttkHsLnNr91bHzYUSCiJ4D\nNp08cbjYETvXSXxQK8aONQkyduKONnXt5N2vx+zKSd0byfpuOA6efRaXXqq6YkMxdhq6u4dT\nLSXDysWS0ckTgeERX1YsBgcxOorTT4fycHkrt8LYeccgx+9f4ZsT5lC+kqt4YCuM29Ixo1h1\nGnTFsvxWBu8kSmahfiz7dmPvmGysacTQiO1S25M78R1/qR5jJz75vbe1GDvLqZ6x4xXqHC9/\nkiW8bIeWqk6tU8T+NTRCKJgrtrm5+Y033pg9ezaUFFqW58FdsRrPik1vfhNAX8cc1iZh6QTk\ntZk82vvNjkXu6pT25JNnb9+wdvn5AXSL0G0APy6n/DwErgt/56+VPMFPiz9ZuGK7z2wbdHt6\n9c5OGAaGhlDbZHQjFLmT8ViK7YPdyWXdggB2Ji+sR1imdsGMG0pSkTN5ibGNArteGUuo2OzZ\ns6+++upbmI7fEWw6B3YRa3bS1ONmo7SoUlb1EC//YWTpvQ2zP4aOyFqx3swiGDuvh/0/qMC2\ngZJiDXYSdpiqhGLNraIaiOrA4l9tYtC2L4KG03Yo7cid6/gLEjF0ohESkRXLHmw/YydJuEwp\nt2C4F8BVLz1ojLUBwLZtEJiGMROBicXUCPbs6cvOBzAWS6k6duE6reGsWI3wXWDxYiizRKQr\n1n+Uvp6ZsfpX4wqLFh5Dezq2e7ggeazIVIbHNvV97u5Xv3/NyRUlxs5zxTIWx3YAbFKK6moE\nuqY5DhU4mBNwElwKYFdzuiOET2eySYix4yXF/vTV3/7zw7c/fepsnHod+4mB+EzcHMpXylVX\ncqKRMXa6RuBCi6UAXHTRRQzVQZmKObBjktQaYXRg28svOETrWrQcPSVwVyxe7zjKIVrFMLe3\nzXYuPEH7wq1rtm9Yu/x8L/cuxMKGL4rcdWC0lPI0l1Ct2OA5DEzdhutow0NYugSOg64uUFqL\nNhC4zgNn6XKhL93GvneU5IlAjF1ayp1oHis0ia7YRoHdhg0bJmuXbz9j900kY/fP71sxUqiG\nv4804gcKh9C8Aql+H6hnsRgigR0A+HTsJlecL8yNlauu+nTV2zaUl0oaQFpesoXSlvg97AIy\n1utnuvLE4WJH7FxHFb+SqZOIrFgAoVqxMuJtwc5NrCDmO7Y+D1Zjs6sLItSMuWIDk0B6fBiV\nSn+mHcBoPH3U0G6EihBE1IpVGTsfsOObBJInWKB6xPH6l9GWJAN23nStsIb8U1vK2j1SCAi+\nBOxrv928d6z0vae6jpuVgfCvyeQJzuLYLvzATifE0Emx4kqCszk/ookoMQBc/aT2gXiu2ND4\nmUnGrqWUA5Do6ZY/MfDNgF2p6qQ0DgwC6Rc8K5bRTjPmX/PpL91ywwcDv0IAu0zcAHD1Ez8/\n5c1n8cmnmje+tnHGwkoyBZQAxA2dEOSt+K+Xn5+3Eg7R7JNWI9O8ZvtLysjrzZcupZ/+1Sv/\n9qcnwLuUvj80lN/jayRfFULIr7U4RlwXHR0oFmHbyOXQ1FRrDHKUhk4IaKpSzMWSHGjWiLEz\ndZKsshi7OIuuY89FtPr0ftmhjtJ/W1gdV+zRnU2nLmrb1w4PObBT6m5FG/2TS+8+/oKNS08M\nfC+FlBS5E26TA+xCfZRZCZ5GXLHKCKVNzCN6XuDgd17hNRKxaAUs4L2dtmmbaqb6lSxDCy8z\nPJJJfM2fcTHtze96M9hjVxdEYH6kK7ZpYC+APgHsYnYlbldqlxQDBKHIviEE2LEDABYtgsrY\n+V2xLMYucBSIcsUCyKmMHSg2bMCGDbJhNm1pxFOmCMOs57cPvbxrBMCDr/YM5rzYPrkJY2XK\nVRf+QheEy524jBXLDvR87W/e+8mn75K7qOeKFUHGIitWHGBEjB0AxKtlAPHBPvkTZ+wSBttR\nALtLk1GVukYAcs6lfxaoJMs+sDuHuWLPef2p1V0v49e/1qrVF+YeJ28AxgoD+JtLbr71oo8C\ncIk2eNLpnbmhuaN7leq3QRZWHdDaDbvVL8OMHbNaJcVk88CiwOL80NmJlhagXmKsmnVraFqy\nUtapO24lqeKKregGgOP3biWgPMZO11KVEoCclWSMHc9Dr1GdeT+sHmN3xhlnNNjLunXrJmMw\nh6sRztgd6JotUdAUAHY+yKIad8cce+zfXHLzqU0tgV9lvn2UQPH+j8djEEOjkhEhDcqd+IZB\n9oFCi4qxC/Rcr69QcOC0TSGbnuvgET98pZmYsROqZuzfedveAPBXl37m6g0PnLrrNQDo60Mu\nJ4PGEJrZUgN7AQxmsgCGE00A2gqjE8TYQc2KJRgcBID2dihPqBzhngqZHXLFBo5XWtgVq42O\n4d0XoK2NXv0t9k17OkaUYLKw6+x7T3YBWJBN7hgs7BouQrgvq34du3Io45gJFNvCFbv0+d8b\nduXYvi45SA7soo+En5kAY+cEYSB3xSZsBuz62bevdo8WKjaAprgJoKRU34hk7HQCymLCKLUd\n2jWYX9rh6fFKS8dNAJ3DfQDwy18CWD9nmZwk9dB06bh0ePnKmY8/fELvlhfmzlePCCF8r44H\nMvLbf6/IAiGhrNggk8katBbH//zF++886U88YMdsZATz5iHKlFch6BppKucB5GJJqgyPMXYf\ne+aXTy9YaR7zTgCGRpKVIniMnYY61Zn31+oBu3g8WDBq2iKtTkmx/bMDSSCdnAFoPiAFRLw5\n1TEhYwdNw6S4YgPD8LlibZf629TuJMiZEUwcZOftWnXF+pFcI+LD2jSym8I2PdfBy04AAEuP\nAnYUUNCMXOaZzd76Rt5K/GbZ2Z25IQ7sAHR12a3zILi6ILDr7wXAXLFDyWYAbcWx2lmxnMXx\ndOwIwfAwALS1IcTYPbtt8PM/e+3R2sAuwNi1JC0A4wqLNvfHd2B4GLoux9CWsgghtVyxOwYL\nv31z77JZmZPmtewY3MmgWDAr1qV2VDldjcDQNVl5YuGGdQBmjg82JFAsPkwUY8dPUbxaARAf\n6AOwfsfw+7/9h5MXtEI4T0vVibJiNQ3gBXB/+IeuL/3mzXtvXHPC3ObA2WiKGahW28aHAOCR\nRwCsn3PsMeLaGaGEV4fS4eNWAljRu/k5+g7UeldX9kIpbJcaGgnka3O5Ez+jFj5fkhFgO3rn\n5nU3P/WTnqb2qm4AQGcnymUAGB2NGAbvweeKZfXEclaCKxQqMXYA2gsjBe6K1VKi8gSjn2N/\nTMbusccem6zdvL1NRKUcKLDzyK1DzdgpWajig79BZNa92kyWG1IYuwMAdv5hqBhLlqxuMA3C\n51FtgLELhxsilOIa6eQNWCMJFtN2qGx6roN8ZgEAlqGp3BUz9swH1DRYkBBGRtp6dz03d7lL\nyOudi71turrc5nkQc5ruLwIQ6+sFMNA8A8AgA3aCsQuE1slPBL7KEzxpsbUVaoIXpQD6xstF\nMwZBUHndRHE/8Bg7HmOXLhfm3/ldAFKQD0A2bREV7vh7+OHTXY5Lr1uz6KVdIxCBdGr9CQC2\n64bpOihZsVXHNVxn7kvPAJg93i8b1HHFcgsVVg00JmIWYr7pxGA/gKF8BUDfeBmSsas60ttu\nRzF2hk4oS+xw6XDJlp0E+KZ03MDu3YS6AFAqFWd07m7uPFZl7AKhbxRDy1YAOKFns4RowUMK\nme24hqaLO9P3k+c4Crhilc9N5fyiF57ULr0E4gUgXSnqrgOgkm23mBO2NrDjgwR3xbJ6YuOx\nZNznijXlvmxdw/CwqaEjNwRgJJFhLzwM2JUnD9gdKBZ56KGH3vnOd07KUA5fU/UJD8SmTvJE\nWKA4nJ0UaTJFXwoIyR4mpVZsWMhOFsBpsHd1NiH7JHfi6wQIZTHXv2gRjuBpO6zsbT/XqSEN\nZjRj5/EQ8gN3L2zYAEpfnbkEwPo5xw5fcx0+/nEA6OpSmwXmyNjeHgD9zR5jlw27Yv0gihBi\nyqxYLZqxY9tWHcqBXTArlgY+MAvE2F3z4v3m6AgAFItejF0qRkhNgeL7X+lpTVqXrpzNJnAm\nKcy4OllSzHV5gF3AWEkxx6W2657Qs9nKjwPI5kdR4oMXpWOjGDsvIs13xgKuWKnrkeDArg+V\nCoNuhYoDIJMwARSrbi1KkgsUa4R5w22XZ8kx93Eg1jkdM1ghYGaDK1ZDLUAXYi8cl5ZbWvtT\nrYuHu5V3DMnChoA+AH+dkkBcptTJr6VjR4Hrn1v7gc9f3/rqBgBxuwIgbpfbCyMAemMZZDIA\nMDaGGuYqpScMjTRxxi7Jbi32a286yxo3lQvt4wOYM+ein96+rH97f6p1KJFhZ7K2wNB+WqNZ\nsQB+9KMfPfbYY+Wy95BQSp955hn1myPTJssVK4moQ778RyFLzxW7tT/3oe89F7khWxXU+q1S\ny/dAuKqQ3IncHZ/vGrGwLzRC/jJi1xFf6v5MCEZD1MeIhxysT1vjdmTOdUL0C1CSJ6qOu3es\nPLc1IZsFXbFsg/XrATBgV9HNXf/01dadr+Nb30JXl+t63QYYO3NvD4CB5naUMZTIgDF2gUXa\n45/4B0PNih0aQjzOkiS8KgUAG3nJiEPkCoRNZaMI4Y5IxlOmKsXrnl9rpzPGUYvw8sumU2W9\nZ9MWAXFq4J6y7XRm4pahsfmhzKEYq5YrS4q5kcSMToihEZfSctU9e/sGAOVYIlYukt49yB6D\nujF2ypstUdsEXbFijooxV+zQAI491v7V4wCKVQdAk+eKje7B5lGVhEN8l7JToRZPMzR+5zTF\nDezaJbftO2E1lOyZMGPnUAqK/lTrksFdDJFFrhoBZMsQczTbwP2x9WLsmOxIor8X6IwxYFct\nt+eHAXQZTfMnAnYqp6BrhDF2uViy1WVHBAAvzD3ur9/76W/c929N5cK8N9ejWFzy0tMduaEn\nFp0EEZofM3VMKmPXKLD7xje+8clPfjKTydi2XSgUFixY4DhOd3f3rFmz/v3f/32yRnOYWh25\nk32ySfFaTooprtigI5JSbN6b6xktqr96G7I2YsrTCeET+oEdkeKKJeq/cUMvVx2pvzVBJ1GV\nJ/YlecJryjrxIhFJsOewTVeeOFzsyJ3r+HMEMMbOcQF85cGNP1q3/dm/uzCbtjgREnDFqsCu\nc4n306JFALBtm+oOC7g1zN4eJJP5ZBPKRRljx5bq2oyd14lGgOFh5oeFj7HjaKNoxightV2x\n3gKvayQdNzVKi2M5ADeu+3lrcXzzX960dNcmvPxyrFI2jETc1Jd0pEHUmH3f+XOpT/lIrtMy\nco59jnbFOvZV999RmXFisXr8WdtfAiEvnvKOM576Ddm1G8uPwQQlxdhOJ6wVK7Ji7Qr/atu2\n9CsbAJ2hxox0xXqX2LcjoXVAGEB3XCoq4XpY3NIFsPMzdr3LV2ML4qbO/jVC0yXjCQdSLcf1\nbUsWc6MkTqImzBBj56nAKC8D/N8JGDtKDdcBEBsakMAuYZfbC6MA3qLJc5ubgXquWMelRNTz\nkOp0OcuXPAFgS/s8AE3l/OK3XgEwc/MbAN7sWATxljLpjF2jWOSOO+5YuXJlf3//li1bdF1/\n6qmndu3atW7dOsdx1qxZM1mjOUxNOC8mbdU2DjW7Ux+jhJKtIoxNaqbBBU8mK2qQ194Vz3vC\n0j25k4m2jXSGNpBLG0GjBsL11Nm8/sgPOWSftgntiJ3rxFNNAMQMjVLYDh3MV2yHjhQrEFSH\nhAsC2AEA1q+vJlNdbbyugEMpZs5EIoGuLhX/BV7wzN4ezJnDHjEZYydqxQbBkyd34gkUEwwN\nMT8s1IeLAkDFcV1CbMNc1r/97x7/oXeYEvco05ihaamY/s8Pf/Nfb7p40VD39c+t7cm0b7nm\nY0gkAMTs8pKO9Gv/8K6ZmThB7ZJi1PfKJwGc7VJb0bGLJGbiL77w/gf+8/rn1zqjYyft2TSy\n9LidC48FYP3mXjZiUVIswuSX4r06mlAkYmAq0m1/5kmISynkTpSs2Ci5E0MnbK1zRJ1udnTs\ntJgGvwrpuOkxdolEz+JlABIWB3a6TgJTsUtBKe1PtQDIjg9BSWtADTgOgSmpX/tQnhZXMH+R\np4sCDNhZw4MQGsKJark9P1LVjU0lnbtix8dRw2yX6goH2SRi7ESJM76r8VgKQKacX7BpAwAW\nd8iBnSJ3Eon4988aBXZdXV3vfve7LcuaNWvW6tWrn3vuOQCnnXbalVde+dnPfnayRnOY2mQx\ndtIOudsuolas8quc1yL8tSItq+ovjHPAgMbHjXmMnal7BXAm2gP7XYWYjRB2kQ2CJcUaYOwC\nI5+2KWtH7FynJiExCqHsOCKp01s4Q65YDaOj2LJl8Ojlrri/HccFIVi4EF1drusiRHIDiNsV\nfWQYc+aw75grNuslT4hR+VVniSp34lQxPq4wdnwTwdhRAJVYIl0ufOT5X7MlPHy8zHSNNBH3\nko1PpfNjJ/a8ZbjOfy9/RyWZZsDOqlagTIlKSTEflKCgRHFQyFg6R0mDdVwaGWMXf3kDgEVD\n3W3rnzFce+D0s3cvWuYSkvzmN/D446hbeUIK7QazYmvE2MWr5YpuPvS1H4OQzmefkA0YY1e2\nnVrVZl2B0VnGjHTF8hoblLtiWWMZY3f7GVe4//jFAnQASZWxC7hiOWPXCqA1z0ky2aJWbDe/\nW9jw/NeFilsnsJ6qXRmuDcAaGgLAGbtquaMwMphs2TqY58CuNmNnu9T0aiXLrNgkO23y7I3H\nkgDLke3iAAAgAElEQVRmjfV3bt8st31zhgfsJj0rtlEskkgkXBElsGrVqieffJJ9PvXUU596\n6qnJGs1hakKf8EAXbY8cOtTATvdDFvgQCQ2X05YmXbFVWxbG8XW4f6a4hn3fJ0xdxtg1WHnC\nN5uQfUGcIcZO95gD37+RJnY0jeymuh2xc50SCM5fU6s2ZWu5q4CtgBaGoRFs2wZKxxcukV1x\nHLN4MfJ5fWAQkrFTnpGZ44MAMGcOe3KHkhkK0lYcCwTCK8PjfkA5q5hjowAkYxd4lNkyWYnF\nARiu05kbjDxe3pVOWtY/w3TIWMbicCLjUop4HIBVLcu+SW2BYpeKSUYjAEoeY+d6wI5SBtEC\nFntpPYDFQ92zn3sSwNCZ5245+sR/uPAGANi8mVIRsRfeUnwZnspqyp3YlaIZ6159Jk44of21\nDUwsF0DC0g2NlKq8ZqlG6f966pd45RXZg4ix40GEDuVrAfOeOy7VNY+HS8cN7NxZtuL/ds6f\n2zfdzOgoydgZWhB7MM8pI25bOWNX82CVIXl5GzTURsR31nLFwnAcALGRAQhgl6yWWgujo5m2\nroH8hMkTVdsrv6brXowde0bk+c9ZSQqyas9bRL5dJBJbs3MBmEwAZbJ17BoFdsuWLXvooYcq\nlQqAlStX/vrXv2bfb9y4caz2YR8htqQjPac1ceK8oFrvvpq8+w65wy68fwmbKA05IEIbUspl\nNi3J2B0YmxmGTOx9L2HpZZkVOyFjx924niUaqOQb6asV2iXeYDARZDP8m0zblLUjfK5jN7xU\nTFXZL+GK5S1lxBWjNOyWVtkJX9IWLQJg7dqOKMaOI605c9hDYWvGeCyZzY8EYuzCsw0LS0pV\nSsddch6AcIydQBsugIrFFQrnjvJCC+FsjIs3Pb28Z0vTIw+yfzvywwBG4mmXUu6KrYqgNEAj\nHugMoE9KJWMHKIydlB1mnyPXb3PDiwBSleKi55+gIOMnnaIRsrVtLgBs2FDt6Q14qCONnV1X\n8lXhU8dcsdVyybBcSnHhhVq1eubOl48e2AnA0rW4qZeq3MN69MCOmx/+Lj7xCbm59Kqz69jV\nn2fVw0RWrBd+l7R0QyPYuXOwrRMczroAEhYP69fDOnYuBTDAXLH5YQAEHkz0QJv/oJRMj+DJ\nCWumhM1kjN2g54qdOT5oOHa5rX2kUB0xk0A9YOe4VCJUU9MYYzceS4L6BmBresGKMXYQy5YB\nwIoVmmVCUB5c7iSKyt0/a3S9/du//dtXX3118eLF+Xx+zZo1O3fuvP7667/+9a9/5zvfaVy0\n/e1qi9pTT3/2HeccPeMA+5H335QUKOYfaI0KiWp7qtQRmpRSWgHFOIi3z5ihVRyXzQgNOlVV\njPi9a06+7YpgVbTQruXmqg+XdeWjM+uH64Vh5bRNTTti5zo1osEU0dyO4lSigeVKBs+NjABw\nmjKyK1sBdrFdOyCwjp+xGwCA2bPlc7Slfd6CkR5tfBwht5ocHyEcncwa7zd7ewCEY+zYBmwK\nKoeBna8/xO3KN+/5yuceuN188AH2PWPsRhNNlIIBu7hdVueByCg91iFrJWLsZCas5+WIdMUa\nrm1s3cI+t+3Z0duU1TJNhGB3cycAfOc7xtlnBQYf3DFLBVPahGdpQojGdewqJSNGKXDRRQD+\n+aFvPvL9j6/Z/pJlaDy4BQCwYLgHAJ5+Ghs3ygMBYGg8zPGxTX33vbwH0hXrUk3kZ6RjBkZG\nMDY2mJ0JwBUpIwnhitU1ElgUXEpdSvuTrQCyuRE55sCBB0RqHEVpJRCOKZeqwHqq4D/KJOvM\noQGI7Ol5o70A3I4OAF0VHajvilUYOxFj5wkUK/cHC7MDgA9+EABWrWJgTggU6zgkjN1ll132\nve99b/ny5ZTSFStWfOlLX7rzzjtvuummZDJ52223TdZojnDzGLspUMJXaPDyf9VHo86bkMjL\nEjF2wj99gFGDYXxJAEIQN3VKuctjwjQI9o6ohkKeOK9l2czo6s7erqPOQDDGjufb1usnoGk8\nbVPWjti5To2xY6tOVYTHO4qrK8BXSWDnZpplVypjF9+9A8I7GemKld+9OHuZRmnzqy9CWX0D\nKzoRoJO5TQGv9FNAoJgxfxLYzRmTjB3U8TeXchqly3dvxLZt7HsO7FTGzq6EpyBEUURqvIda\ne03q2NlRWbFthTGPCAW62uYYmkYI6c7MgK4D0LZtay7l1MGrJrGOOr1EADtPoLhUNGMupTj7\nbMe0ZuSHASwc3mPqWtzUSlWH5SIsGt7DDhI/+IE4EK5jFwgW4iSrSyWZx/ywAIayM6HA2YTp\nRaQF5kLXpaB+xo5M/CpcjYr+lOclOitW+WwyYKckT2TzowCMWbMAvDRYgWHUYexsx2PsvBi7\nWDArFgLYjc+ej0svRSKBiy+OGbpEt4csxg7ARz7ykYcffjidTgP43Oc+Nzg4+Oqrr27evPnY\nY4+drNFMG7NDztghlH8qjcky1d/W74qdBMZOMQ/ZMcYOQghgwj3ETf0bV67623cds1/7852I\n5bMzSzvSJ8zlKxnPeK2L7Lj3dp/2PW2HyI7Muc7P2HHOidewd7gkGyJ17EZHAbjNHrDzYuyA\n+O5dEHOa4XPFDgGQWbEAXpxzLIDWV9YjygMrc6QYyZEp5QHg/e/nSsihkmJsCiqbMfblnNE+\n+I01y5RyADTXBTCSbAYwIz8CYCTe5ArGLlYtR76SBbETFeG2/sa268qSYi6NyIptK44B2Lnk\nePZvV9tsSycEqOqGM2s2+3LJ4C7UTJ4Qn9hrdYgu4j8qJcXKhkUBJJPdy09iv773zSc6fnRH\n3NRLNs+OW8CAnabhRz/Cl7+MT31KXvFAQLkUKNZEfkZT3GTAbritE4Dt8shC6YrVNBJYXBwK\nCspUb1qL41A4SKhEnf+w1OSJgIix9EUHiBJfjJ1rAzCHhjRKWYwdAQWQmj8bwD/c93o13VQv\nxk5h7AydZEp5CpKzklThuZmx/In+E07GSSehUMCll8ZMTZ7GQ5YV++53v/unP/1pUSmukk6n\njz/+eNM0J2so0+aVVZ085ZT9tjpZnB5jF7EVwFyxNgN2JJBnsL+DCX4AoBHCVJFY9EYjO3jv\nytkr5jRP3C7KVGy6bFbm0U+dK6Mq2UHWh+NhR/C0TU07Yuc6oQpLIFaaqoixY0BtxsCev3v8\nh/ESp8pErVjB2DWrjJ0LcMYuwVyxIbkTGWOnMnYA2jmwC7piBa7jlSeY2wtr1qCJk+5y/nSV\nVM0Ixs7vsGuRzJ+mrTv+TDmwkXh6tFjlWbEKY7e0r4vBDoRYMSrehAPTnY+xcyKAXbYwCmDL\nitN2NXcC2NY6xxDRyfb8BawNB3aoaZ4rlu00irHTCEzH1qlbMix2ubtWncl+PW3Xa+23fi5h\naJ4rdqQXAK66Cn19+N//G1//ulHIAzAELSdNZsVKxq4pxtWJh9tnQYGzCV9WrG94jB3OWQkA\nqWoRTLUgwhXrs6rjFeQIQFnX9RJu1O+p8oHlShPHbi7lYnZVtpm/bOHFK2YBqCTTE8XY8c7N\nUvH4vVu3ZefYmq7WimXGGLuhE0+W38QNXUptH7KSYn/4wx+uuuqqmTNnXnfddU888UT9EM5p\nO0CbSowdNyV5gk4YCUApf9Qt8TpzgIBG7l0dj0b481BsjLHbz11H5AWH20zQAILPm3bFTn07\nYuc6jpwIoMbYMQ19lwI449mHb3j27lUbX2DtA4wdmr3sMT5FZDLIZpPdO2W36isrQzPo7JRP\nTm9TtjvT0f7aBnhFrVSlYu4pZsthhgEyBU0GAu0ZPunuXDAWSxXMuMLY+VzJHa5A8KecMtw+\nG0CqUgQwkmh64q1+BuxO3/7KD7/wfjz7LF566a5vffzLD/2HGJLvBLqUKxQHnnLbpVUvxs4t\nh7Ji2wqjAArNbY8uPR3Aluw8U/g6ch+4EqtWQQC7SGeJhLyq3En4tiWE6BqXay6arJwp3jj9\nwp5MO29g2y1OuWK7DJcvGu4eSLXg5pvF4bmdOzdDJE/8xfp7z922nh8gd8Ui6VSO3/kmmCt2\n1y4AI9lOAI5g7JKWF2MXOEusXFHRjFMQVgUuOivWf1xq5QmF1eM0Ho0EdqrcicOvRVthNGZ7\nKTL6rJlrlrYDqKaa6sTYVR0P2MX+57cxu/LoktMggxaUqzUcbwIwctLp8pvrzl504/k8kdw6\nVK7Yvr6+tWvXXnLJJT//+c/PPffcxYsXf+ELX9iyZctkjWPaoKCWQ65j540hiiqTDHNU5Qn+\njZQ74a7YA0Z2gT0SAuIxdo6v0aRaGNqGrRHxYW06e+IwsSN2rmPrHbvPIxm7WLkIoG10gLVn\n8haEgDF2aFFdsWKJ6uw0R4ch5C3UV9ZMKU/jccRi6jPx4pxlVm4MGzdGyZ0AgEYId8WGgF2A\n3WFczo8v++gpN/7XjtZZc8b6taisyQ6nBKAYS+KjHyVx7retxhOL52af7RosaiaAU3a93j7c\nh7Vr8bGP6a5z1o6XdcrER/yMHY2eChxHESimEVUQGcYttGT/48wr//2aW55atMoSZ2r86mvx\nwAMQwC7S1KJt3k4jXbEaYSkCJYPXEdk7c/4ZH/vPkmGxNi3sbFQcAtoxPtSd6XBXrWLIEsCs\nnVvAxJwf+58v/Pa7Nz99F/ue18N13Wuf/tXXvv6x1d1vNsVN7N4NYKx9JgBXHLUidxJ0xboU\nFHAJKRsmw9ZEmS8Vms13XGqtWD+uY2cG4TPjdSVcsQCyhZG4AuzQ2ck2qqbTKBZRrSLKbMdl\nSiUAYr+5DwCD5mwfSsIu/uPMKz998U2lY7xAoD87df7HzjuKfeaVJ/74yRPxePzyyy+/6667\n+vr67r777tNOO+22225bunTpmjVrvvvd707WaI5wC8hnHFrjrthwjF39rFjhimWzqikKxxyo\njl3UByIY7GLlIDJ2EYMI/8LjCOttrU3jusPEjti5TmXsZDS3mt9nlUsAWscEsKOUP96jowA0\nH2Mnpoh02igUEKX12FTO0+bmwJcvzlkGAOvWKYxdcLZhkrBMM0wFdjItiku02C4Am6JsWLsz\nHZZTZVkCAafeDLsA4PYP/g2uvRYxDuyK6eYLlnXYDt04WoVg1PDNb+KZZ1xCmsr55Xu3wl9x\nS4XFwRA0VcfOdcPEDOu/1NI2nGh6+MQLHaLpQk+AUmDmTLu5ZcnALgh3eS1T5U4iI6F1Qhh8\n4ckTgtfcKwrVN9slAIWqkyoXdeqOxVOOS/HNb+LqqwHM2fEWAE1D5h9vAZDN89xV5kN0KWaN\n7gVw9vYN6ZjOGDsG7ByXlquOjIoGII7PM9vlmToFK5GolsDf3uX5jT7kyJJiEP/yGLs6jJ14\nA8n6GTt0dLCtXNMCgBpFoj1XrG3rDz0wkGrZMHuZHInK2G3Nzv3ligtrVTGwDpXcibREIvG+\n973vZz/7WXd39/XXX//000/fcMMNkzWaI974/TcVgJ1Ww71IaQPAjnKtJkvM2ZMmd6JQd5rG\nGTvuij2QHdTbtfhQpw2ACRk7jUzYZtqmlB1pc52aFcsINqklxIFdpQQgO8qVfm25qjHGrtUD\ndrYC7IjrxO2KFsqKzZTzNNMM/5P14uxjAWDdutoCxTx5gmfFtqjqoVGBXy4FuG5IIH+CgaRs\ntQCglGwC4JicuCo1Nb9jWQeAF/vLAFqKYwCQzyOd/v47rgZw6q7XWR+8ry1b6He+rVEqpk0/\nF+VCKSkWESPPipOWWtsgZjNTJyITAgByi5bOGetjDsqwCURO1H/DJ1BjrljJ2AEQb+B9aa4F\n2FwtAihUHBbCOBpLuxQ480zcfjsImb17KwCrp9t85WUAbUXuo5RZsdncMDs5nLHLZu14nA2m\nZLtx0/O/hmvFUpHEWjDjSX6khABHD+zceNv7zl7/W9lMNekFho/Vkx1ShC6Hct6oZOzaCmMx\nhwO7ihlDRwf77OoGgEjGjlL2CGgA8PTTZHDwt0tOcxVvuB3C1pZRD9gdAsZOWj6fv/vuuz/0\noQ8tWLDgjjvuyGaz11133WSNZtogpHcPuQVEd9Uxea7Y0FZsNqJAxabgJcWYK/aABhPJ2GkE\nTF6YJ08cnLPmhffV7r4RKZOAfMy0TX070uY6GaoFIGZyxk4sUS4EsGsb48DOdSkPsRgdhWXp\n6ZTsypFeqFQKQLJaCggUE9B0ucCAnbrEv965yI7FsW5dHYFis0aMnexccFFenavu5g4Ai4a7\nT9yzKbD8t1byAIopH7ArNrWcOK+lLWW90FcEwByvAHDrrU8sXwPgmP7tvrF9+cvaxz++dGAn\n8R8ms6rjMXa264aJGcbYlVvaIPwPpgheYZ7HsUVLNEoXD+2u81INcSajtT9EA8bYlYyYmjy7\nt4kzdplqkY2Bnd7xWJJ71ZuaMHNmR383APORR1jjVKXEepNZsW35EQAndb951JZXsXs35s5l\nuIcxdkzgg22r60EdO7msFMxYssqLYRBCjt+7JW5X5vV0gZ8Qn6ngKSQryLm5wOXwVRxxOLBr\nL4zI5Im9M+aAcG1kV9cBwLbDJ5OdGR5Gfs89AB5depo6yAiyuQZjx3TswsGX+21Gg+0GBwfv\nu+++tWvXPvLII6VSqbW19X3ve98VV1xx4YUXGkajnUxbfWP3+VQQsYNXXEFOxNwoqFvbHSAY\nO/6oW1LH7kAZO/nBg1kaIex5KB1Mxk7RV5koxq7uhZt2xR4udsTOddyZSAAleUL49QDAqpQB\ntAtg58gK6CMjaG42leVTZewAJCtFnROBvA3z9FVZWJ7yVNiasffo4+e8tj6WkxHr1Dc80UlT\n7eQJBkVknSsA3ZkZAP7+sR+0FUa/MOcO4DSIBb65nAdQamoGYFsixi6Z0jVy3jEzdrylPNWf\n+Qxuvrn7Sw9TEJYx6q3cW7dC0TEOLN9qVqwjdOw0QuTmDNhV2tqBnSwWzRRZo2yQw/OPmg8s\nGdwVOfPKMyNOQLQrlgCaRmJ2GUDJjKmRiL9YcdGxfV1HDe5uqhQAFCtOEwd2KQ+jL1zY+syz\npmMbjzwEYHP7/KUDO7OFke5Mh8TQbblhR9NjdvXSG6+A62LePAZQHZeWbTdmeLHWEVmxlDIU\nWzTjiYpwxQKzxgYAWIKtDCQz2b6sWOprQzksDsbYKaF4ErKryRO9nfPmibXGZY98NLCjkLf0\nPfcglXp2EQ9GDLtimVk1gd0kM3aNzlOdnZ2O4zQ3N19xxRVXXHHFRRddZFnWZA1i2phNCgaa\nLAuMQv23Xkkx/pfr2Jk6EeGrBwbsIr4hRGTFlg5qVmwjbRqQO9EbYPWmbSrYETzXUYgbXiZP\n8PJcfsbu8U395x0zwwswGh1Fa6uhLFqOTJ5IpwGkKiUeiiDWWObpYzF2gXW359gT57z6wvy3\nXgUWwLcMc+ApsmKDMXaSDmHLOVsm2eLKGDuGn1pG+tUOm0o5AO88cxkAWzB2lUQSwDuWdX77\nQeXSf+ITMIxSLDGQapnHgZ0Y29ZtRCjcIvSYq5UnbJcLf8RNrVDhDE22OIrmZhKLQcxmhii6\nygY5OP8oAEsGd49Gz73Ch654b8OoghCia5CuWJXXfGLRSd8/+bJ/fvj2pnIBMRSq3BWbiyU9\nzY6FC8m6dQuH92i/+x2dN/+Z9hVLB3ZmC6PdmY6q8Ie25kZ2z17092dde8cT30n07MaCBQzQ\nO5SWbacpZsqFQA8tCUygGEDeSujUjTOJGYLZ4/0ATKWqm2qi2J08Df6TIg7c/733qmAojJ0l\nLl9f5zyIB4HqtYGdQwHomobXXsO2bXjf+6pWDLan+BhWnKnN2B2irNgrr7zynnvu2bt3749+\n9KNLLrnkiJnp/qg2KZJvk2VBV6z8gXr3axilqIydwWS1OZt1gIxdyB9KQPDHibGb2BXLqda6\noI34z+e0TVk7Yuc6lbGTaXpqJH6sUgLQXBj71I+fg0yeoJQzdiqwkysad8UW2dMhZfqFI7UF\noQdncPYCAJkhHg/ngSfw4Zkixo4mklCuzplHZf/6gqVyE9UVuzvT4R3m8OjGnjHZLJEbA/Cu\nNcsgI+WBaiIJ4NyjZ9ixuDeydJoNYGfLzM7cYMyuMNT16IadtHs3AMuualHveI5L5ZzpCmAn\n80PBEOeMGWzmr3A9AemKBYC+uYsAHDW4q45AMSFeJAyiUAUBNEKYl7Mg5E4kt1qIpwCkyoyx\nswVjl/QqYixcCODyNx7H2Jj77ncPJpshsDI71cniuGVXRjPZpxae+MJ9j+Nf/gU33cRmftel\npaobUwLrDE0j/kXBEcdWMGMAktUSIYSAMMYu5jF2voNirxBBxo63pDIuU70gag+m61SSKQCz\nRUoQBLBjk7Wr6UB0jB172zF1gu3bAWDVKuXdhmLfY+wOgY7dj3/840svvTQmkoam7WDYZBdp\nOCATDFPwexr1LqgYn1kqtssmek5DTlZJMUXQTiM8Ekjo2B2U89YQY4foc6UaPwFT4tpOWz07\nYuc68VQTeMJaPAvTVpInCGjz6CAA26G6RjA8DNtGe7upaNQ5jo+xS1bLbAaQrlg1Qi7wTJRj\nSQBmsSBGFXbFamBqKc0ZdcO4qf/lOYtlS8blsJEPp5oLJodo+f7BP7vjWZfJpgGJ/DhiMaZX\nJ2Ps7HgSQFPcSGXS3g4YsAN2tMzSKJ0/0st2NPrWViakYjp25Mu57Xq6fLZLWSiVJ9XrOs2l\nHGbMUHNpDSEUxbYbyM4qmrGltVyx/C+R79WIrhULXSMsL6Hod8UCKMaTAFKlAoCCiLEbi6VU\nxg7AB195BADe856hZAZAtjAmO2kZHwEwnmkFkGhvw2c+gyVLdM8V68RNvS5jx8dcNOMAEtUS\nAQjB7DHG2EUnjlS53Il34F6HIhsjyNh5rwrUcO1KqslNJmeN98sGfbMWQCzEjmEC9Rg7Q9d4\nzmw8Lq+gW4M0NWuUHmBF1Q8BsJu2P4Kxa25MgbITCHFsSrke2khWbNWhTOBHsFkHNprQ5ppG\nQjp2B8XY+OuDtkA8YqQdOzszry2533Uvpm3aDraJFEJAxtjJrFiHMXZ8cU2WC6y9rhHs3QsA\nnZ2qKzYcY8fLK3uuWA/YBaaaciwOwCoLYBdk7Ihk7NTqtMw4y6XInbg8EErbk5nBd10pDBcq\n2/rzrMPE+AhaeU6oY/kYOwAVEXVnGyZjBwkhO1tmApg/2st2lN69g7WxnCp/fQtEj7ke0eYI\nxi4pimu1FMc1SiVjx8xj7BjWsem2trkLh/doUVWnZO/qJm4IJBACnXAdu6IZ5wqFglwtJtIA\nEqUcgGJVibFzfcCuPT8Cy9IuuKDc1g6PsaMA2liN3UwWQDrGj44RBBXHtR0aMz2NEyOUJOhy\npA0GwZOVEo+xG2cxdpXAwfLzyV2xgRg7wCdQ7IuQVpXwDNdxDcPJtrMCwa/OXPKv517TdfRK\neTInTp7QCAd2sZi8grWqutVyxQKwDO3Q1IqdtoNtjXj0/mhWJ9jfqYnrvJo2Fcdl8682GTRk\nODWVM3Ysxq4yac9D1K59A4hu0wB4Paaz6cnPnH/KwrbJG9q0TdtkmqTEIF2xNockKmMHgMW2\n266rAjsfY+cHdqlqKZqxa4l4zynGkgCsUvGOu79422/+PRBjRzjZQ1OVIm3KBLblLBcApc4V\n2y9TPIEQwHtp14hLKQFNDw9g5kw+bD9jB6AYS7APJfGBABs7FgI4oWczO8pUTzf7yXTsyHDb\nqkMppXG78quffOa4h+4OFNdqL4wAUBk7Qjzcww6/VHW2ZOeZjt3SuzN8xuRW6uFHuWIJ8Vyx\nMdZOlsQoJVIAEsU8ePJEAdwVK/pZtowSDQDWrCGZps9fey6E4glj7FpzIwDGW9rASooBEKg9\nX3YAqMkTYcbOEbiMA7tqiQAn/b9/ay7loLpi/VtVXS+mTf7EoJvgZINCE0wG5ZJvPPkvD240\nHMfVTSfbzjjXrrY53zr9AzBNyHtJr+2KdfitJYGdvIJsJCoDohHS0RTraKrpB5gGdm9zm1Ix\ndhJJyQeD+kQyg0OVk1HVdmUG0DVnLnz/SXMPZDBKVqz3wWPs7INeUqwRN+sUQeTTNm37Z+yp\nZrdxIHmCRTLFBLDjjJ0LP7CLYuxYjF2lFHhGGCFEWiJi7MqxBIBYIX/+tvVn7nzZc8UCAC8p\nFq9WdOqiKQ2/sZ58cifcX0a6mwVjVy4AeHHnsEvRUszpdhWzZrGfJLCrJgVjF0vkY0kIuMl2\n8dy8411CztjxCtuROc51emNONazDDMBxqUsxf6T35N1vLH3qYe6KFTF2XP14xgxJeTJfM/d+\nAABKVXdLdi6A9p1bETJ+ZjzGju80eG4JlJJiMcHYcTBRSqQBxIQrVgC7lHcp58//z3f9BQC8\n970AUnNnghF44lQzxg6dnSnLyKb5mWQYt1ixAcRNXSIsIyx3IoBYweLALrF544o7v8V+rZU8\noZYUC5fureVcKtvu63vGNvflTNemuu6083ujrJvwhyE5em1XrEvBSLhSCQBiMXkFXeUSMLS3\nqD313N9fmIrVTFe1dC0scLjfNg3sppDxAtJTAx8Ipi3ip/C7oDSVsZOBov9w6fKrz1gwKaNS\nmTPJ2EVMYZNtE3XtczNN27QdjqYKFFs6AZc7AQRJL4EdKwxgu65OFFescv8HGDupYyfjTBhu\nIDx5wjeMUiwOINvfbbh2U7ngTTacUCSGRtLVIgDa1BQ4BFXIjfkHBWOnyfwJhik3781RSpkD\nTgI713PFck0+AvQ1ZQGU4pKxI0OJzMYZi1b1bIqVSwDMfJ79ZDlVICIqg8XYZUo5AE19PWXb\nNTQicXBbYQwAOjq8cvK6ByzYRSnZzq6WmQCaBvYiZCJ5QsTYCb4Kfoip8axYX/KEjLFjOQSx\nQg5A2Xa85Alltr/z/P91zfVfx403AtBmzwawrH/7n794P61UAbSPDwN4/8UnP/W58+OmVxMW\nQL4SZOzCcieCX/MYu5k//iGAv37vp11CrJrJE54rNlhSjMJj7AI7Ei1113EMw2nn1XJLRliu\n9O8AACAASURBVEyOeUJXLDt1eiRjx1yx1DsDE65OMXMyGbu3syzT4WfspXZq4IOAKptahzGy\nWI1oxtrQquPWiSfY58GEY+wI0QRjd1CNCF9sHWMTsTE1Lty0TR2jlN51112PPfaY4zhr1qy5\n9tprdT14x9Zqs6/fT8ZwAU/uRAeLsaP0tF2vrfnqT7D6Drm4Mles68KYkLHjcidFXVSemDU+\n8PD3P5G3EgBISzNCMKhoJQB09uwAkCoXiQgWo/BwJ6t8hVSIsQMQYOxcztjtbOHojWHKsWKV\nUnTkfcBOccUKGEfQ15RdNLCrrDB2AJ6dd/xxfdsW79wIXGDmc+wn06lGJk84LnUpZd7nTH9P\n2XZjhi7PVrbIGTu5laGknbETWa46ZTMOwCoVEDKPsSPe/5IukhHIBNCIqDzhJU/wK1VOpgEk\nBvs6c0N70238LMXS6mxfpfT1+ceBSbu1tpYNa0XvlhW9W25PmfTm89vzwwCM2bNak16qMjso\nproc8yVPeHeLoRHbpY7LR86SJzpyw9kHf17MznjwmLO+8tB/WEJkLuiKdTxXbJCxk1mx/oWI\nKmF2hutQw3SzHNjlYgn4oZhb2xUrby2ZPOFddzYeEd9Zhjvh2mDpWr4cAR/3z6aB3RQydu2n\nlCs2SqDYe34i5E5Eo6pDJxHYhTVH5rclm+KGCuwOKtFZP8Zu1fzWmy86+vIT5xzEEUzbYWi/\n+MUvHnjggRtvvFHX9dtvv50Q8uEPf7jBNvv6/YGb8HUSiHcVFmN34ZZnlz+3FvdfpgmMlSwX\nweROFGCna0SK7gZ07LzKE4Qc17ctU87zGLs5cxB6bStacQDt/d0ACKiWGwdmwa/GwoFdDcaO\nmQ/YaeTho0+/6b1/e9v9/5fXVCjblNKO3DAAGWPnylSJpGDsCOlLt0GNsSOACLNj5RDM/Dj7\nyXJs7or1H5HtUpfysMJ4ftzIjcdMSyMkVSne9PRdnivWz9hx9hEUQKnqlsw4ALNURMiU5Ilg\njJ26moisWM7YBVyxME00NWVef+XZ1/98KNnMnJLjQsfu+0919Y2VPOVCAIQMpFrnjO4FcOXv\n7nLzX57BTmZnpzo2wdjZ4IydAK8aUUAeA3bCFWvGAFz10oN6Prflgx+u6kbZMGXiTjB5gmfF\nUiiYTxB2IivWP39TKt9hqOE6rq67whW7q3kmPG6FYALGTrhiBWNneq5YKsem6xEkbtgsXava\nNRmTfbVpV+yUsynlig0bpfXqUMvo3YoSY3fgRkIffvXRM3/4F6fGFFmg+thr/3fdQIxdzNA+\necHSBdnkwRjAtB2m5jjOAw888KEPfeiMM8449dRTr7vuOlbKopE2+/r9pAxYXTLVGLvfLjkN\nAH7+c/lrslqiNJg8AcXT6oRi7NhkoGuEqVcAcIimLWARGr6nq2AlAEgQqY/xEhSClyIAmuwy\nEAHsuPaYyxg7b3E1dM3WjF8fd14ulkxXCgDyZdulqOWKtUVWLAH2MmAXl98QAG+1zwcwv2cb\nALPAXbGmUxXKR37GzqGgYK5YAK0DvTFD0zVy+euPX//c2ve99hjAGDs+m5lKjB077FLVyVsM\n2EUwdnxgIshOzYqVV+Sizc+c89dXm6ViQMdOJk+Yuoa1a3v/8q9embm0rTA6a3ygGE/ams5O\n5s+f3/Wff9juuFRXQGufKESWHRvEt77VXhh2NB0zZqijYusIk2KOm97ipiv+UcZQun5X7Ire\nLdQwtl5+FYCSETPtGgLFrhpj5/tJgr1QViy/l3TXAeAapoyx62mfA+F7ESXF6lSeEK5YEWPn\nZcUCUBJ3MHEwD0xDO5S1Yqft4NmklFWdLBPBzhE/1akVy8x2qUtpLTHGAzE19tbQiArsDhLR\n2YAndtqmLcJ27949PDy8evVq9u/q1asLhcK2bdsaabOv30/KgNljzegKxj1UHddxsX7OsaWm\nZjz4IIC8CGyHLCm2dy8MA+3tEIgEogQZIBm7oqwVK5VgezPtJGYh9ORWdBNK6TZjfIx9UEMA\nWUlTZMKMHSCzYm2PsZMr7ngsxWsqlG2H0hn5YUBxxTLRMsCRMXYEveksREqHtM3Z+RRkfs92\nANIVG3OqmsCvamPbpQ6lzQLYtQ31JgySKoyfvX0DAMLGO2OGBGHsg0q/laoOc1Ca5UjGjh27\nCgWDjN3/9/pjM9f9vn3dE3HhiuVZseJSGTrBBRf03/rF28+4gn0zkmmXJ7BiuxXHtVXGDhho\nagMwkGopWAntq/82d7R/vKk1sICx5sUQsFO0ijn0cQVlwJA9gNEL31PonAWgbJgyKzaA3hzF\nFSvfTKjAdJE6drK96TBgZ1ABRvs65sJ/+eoBO4eC5Y9HxNgB8CVPTEjXGBqx3VrJHvts067Y\nKWdThLETlRKCPlBMIFAMABXbQW0xxv0aTPADsz+CK1YkB0+JizJth5ENDQ0ByGY5q5FMJhOJ\nxPDwcCNtyuXyPn0vO/ze9773+9//nn2eO3euG1Yza8yE3AmllNqavvWUc5f/7l4AQ4nmVKWU\nrBSZNptOCPr7kc2y5dw0CCqAIDMAr6SYlDuRjN2ulpksdiHgHLBdinQaIzzVVPeAHSBesTI1\nXLFsvnIpZWFtEPjG9IBdcuHwHgCOS4sVh8fYhVyxMitWIyTA2LGecrFkT6Z9QW8XAKsokids\nztiFBYqpiLED8KVffSX505IsZsVtxgxzlB8yT64k3lGXbLfAY+wigJ13+EruiAzwYj8tGt4D\noO2FdblqGYorVpaCZaA8bup9aa7qN9Q6AwKdVF2XUpSqTkvSlLtjwG5T+4JN84/98JM/ywLb\n5x/d4h+SobhiLV2Tq5uuaJAwICv5gj2ZdgpSMcy9N9zIWpSMmFUYRZRVleSJkEAxlS8DvvVL\ntDNcG4CrG7S9HUBVN0bbZ2KsrEp01Ymxs+U7gwB2qxck+sfLvWMlnyuWK4dN5Io1NMaCT0oI\n09Rgh6YNwBSLsQvk8njJE5i4pFhZVMWZrMGoBSdU8ydPHMTzNiUuybQdVjY2NmZZlprZkEwm\nx8bGGmmzr9/Lf3t6et4Utq/F0GQMPgRv51JeC2vTKeexNoOpFgCpSonphxk6wcAARFKhhBEB\nuZOmcl5h7HitsN0tHE4FphHXpWwr3mdu3Dc8QgCwrFiSjpA7IYSXNPR6A2SqwriVTFTLbEUf\nK1bnjvZRTcdcLsbkmpZLCPyu2F2ZDgD5VLPYBR/ua51HNedGsHGjVRDJE64dkLRksyiPsSvl\nAbi6XtGN7bOP6lp6ghx2IZZEPC5jV0w1K5NlxVadQp0YOxFdpjJ2rsLYEVAGZ1teWJeoll1C\nyobJGlRUxg6IG9reNH9tGGHATlF7LlYdlXcYbMoC2NUy83unv5+V/R1tbg+Mjd1IhTJj7DSZ\nGqgrFcXYbSNx2Bsdi8+94Y4T//qn+ZNOYWe7bJiWV3nCB9/sKMaOtxOVJ0JZsbyl6ToAqGHQ\nGR0Adjd3xuMm/BybU4+x8wsUx+P/59Ll3/7QagC/eaXnpV0jrggDQCOuWCEJPlHDhmyasZtC\nxieFqQHsAqNQ/6ubFUsgnCCT6YoN4Utmls6LVeLgMXY4iJ1P29vY0ul0pVJxHEfisEKhkPZj\nkVptksnkPn0vO7zllltuueUW9rmlpWX27NmND1itPCErQbEv31p1JiwLlcpgohlAslpkfqiY\nXUUuJ4GdfJfzpohMZnjW/FN3v75p22a8ujcdb++QwK6VA7uwnhmUI4pk7NKsuFlIoBgAAaHU\nS/YMMXYpACt7Nm9vnTVWsueP9I7P6MwIBKxpqOpmzK64niuWvDRz6bUf+D/WmrMuhTcAAI8v\nPvmdm5/BvfdaBY+xCxxRzNAKFcdxqGTsPv+1++/a7ZyysG1mc3z7w0/cc+fNGqXD6ZYkeIFE\nAKxmj0ieABRXrFXbFSt5KbXsAcMoM8cGWTJsauPrnam2khmjIKxnCcEZ/oiben+qlYIQ0FGV\nsRNycbryuj7QnAWwo2XmgJUq/9Un4//0j2PNQQF2diMVePJEdIyduNk8yMZqe0iirWzEdNeB\nbcMwArQc17EDoJYVFi5ZV4haR50wGWNn0M7OvBV/o2NR0tIhlmAOrOvF2AncJmLsIB6f3rHS\nk5sHVG/4hCsIc3BVbYrJqE09zdhNIeOM3dQAEQEI5f1Hg4y3rxkACewOZvKEHNUk7iV611Pj\nckzbYWetra0ApJ+0VCoVi8VWUb2qfpt9/X5yRixTCMUs5LiU+VQL8RTOPRfAYIoBuzIvNsAc\nZB6w4w+LrVSnWXf5n5uOfezlF+Gyy1a+66xZYwMj8abxWOqFBZyyCjxhjp+xM8clH+nhTs7Y\nhWLsAGgEFFQydmyykuFrrMLpL37y2V/+5HPOnj1thdGxWfOVbUlZNymInUzI3gA8vvjkYqop\nMNr/WXIqJQT33Rcr5CgIANO1uVyfa88Z6wMQM3QIxq65nAfQpyUgFN1enbmEAc2RVAuUCVNN\nnmAorWy7RTNGQSJdsXJC9jN2gEAVi4e7AbiGQRxn9lg/I//YVjIrlmHfuKlXdWM4mQEwlu2A\noDw9Yk954193zGk/Xfmu+489x3Zo8a8+ee9x5z5z1iWBsbEbKV8RjJ2XFavJ6ZzdNpKxkyah\napnFPha9Y1801H3t+vvmje61o7JivdPCGTvfNE7FEmawGDvdRDx+4Ue+85n33JSwDDlmtomr\n1ak8IUhr4YqFskJRSn0xduHt/SajWidq2JBNA7spZCJ5YkogCVV9WzUK6rliIypPAGIWMCeP\nsauDrqQ39iCdNc7YTTtjp20fbcGCBS0tLS+99BL796WXXkomk0uXLm2kzb5+PykDVoXiAoyd\n7dL/n703DbflKstF3zGqavZrrnb3ffqEBNJCEiJNiEqEIAiCdArIwYMHjt3l8Hi4IOfRy1HP\neVCPoHAFUbh6BUQOXsVHbLCjEUNIAIFkk5Bm9zu7WWuvtWZbNe6P0dQ3RjVzrr3nWnNmpd4f\ne9eqOWqMUf1b79fhRS8C8HhNmmJb8oXaXLGIHYmKjd9P33rGHQC85XMAzrzwxV/becVv3fbK\na37241/be7Vs4MaQRkqx6/glWMETMNNrdFsAWDIqFgBDJNwXpLERH25uBeCJ6KLTh57/rv8E\nYHHnHtOMM3T9oOMH4NrYHc+NOWuON+bu3305vvSloL26VKkDKPdVHru9v/Gr//B/v2nn0kmd\nQT0SQsy0l3uefxoBgHLA5RE+U50CsNiYAWBCwXTwRLzX7V4IzttBKei0hMBP/P5XPvhPbsSM\nrBgGExVLQjL3nTkC4Nizvl+2lJlTdLY/o9hJYscBnKjPAjg3txU6y67hf9RTaLEx+4vPf+tj\n09siITqV2n++620PXHWjMyttilWKHU1x4ih2UeTwuriWhswbLImdbPKWL33i3X/7wc989Of7\nUVrwhP5Xi9C2KVYvBFEfgPA9znC0ubBarlQDDtcUm53uxPA2Suz0UJGwTsEw6U5AnVMvDIUp\nduIwIYqdrhWrJkOZTZ4pFgxAuzdyHzu9kDg25mm4TtIa08yuQIE1wfO8O++882Mf+9j27ds5\n5x/+8Id/4Ad+oFwuA/jc5z7X6XTuuuuunDZrXX/hoMxJETutOoSRwGte87//9J8+cfn3//SX\nP1nrtSV1m3EUOxMVS54QSzPzi5XGdHsZ09OP/u5HXvK+L8j15n51vmT7WrE7OL/n6uMP+jrm\nlMpS9WxixxkTQvTsgtaGcR5txk5gu79zLwCq2DGwjldipdiWSrK2keE1vnDVrVc89h0GnK42\np9vLpbAnfy0fORSE/Wc+ct+/7t8HksduqdxY6RijJANwttrEmaOLjWlYxE4qdjFLa/eiSsBb\nQaXUXl3t9f/xgZPLnf5PPesifWR0iID8k4RkSsvpltWzAFo//BLxj59jYSgzBcoDapiEfFwH\nHvc5Oz41f8XJh8/Nb8Oq4ugx/6OlLMhyp6dzf9iQr7PVnlHs9Bkheewk7Q5dXqe4Koxi126b\nvZM5a+ZWFyXvl0cgke5EROSSJutVe2OKlTMp+56cvzbFMuSaYrUgx42PnT2WoMETgxU7X4Ur\nDWo4FApiN0FQptjJUOy44xmgF4znaSqoYjdKU2zaU1VivRU72fFEnJICTzT82I/9WL/f/83f\n/M0oimSVCLn+i1/84tLS0l133ZXTZq3rLxw6eEK+2wAiovQjgZmZD7zkrQ8fXWr7pVq3Ld/0\nzWWb2PnGxy4WHsIIBxf23njoW7j8cp/kwjAveefmirRi961tF119/EEvLd3JbNRBhimWIVWx\nU4McaarEFh+54UWv/sbnSt32OaLYMYbfuflHg6i/N/HAMQv08fyFq279yb/+fQCna9MHzhwJ\nQmWK9VaWATz9sW/e678YpvJEe/lUtbmsU/VKxiMVu6XGLHS1D+h6bsoUC4SR6IVRs1paDSrN\nTkuep7OrsXEw9j5k8Z/UDrjQXgZw8TOeunrVNbVv3NsJygAiIfqETJlDVA68Bxb23vzoN5b3\n7Mf9y2Gk0lfJX+nriS7Lgt1JVcIjwROGzgLwSFMTFeuaYvWD31bsBHTCHQCN0yfNLhvyb9Kd\nyL+5LdkZk68Mnoi8QP5aDTwa1DwweKJngieoj53+NRIq6Q+t/JuD0ZpiC2I3SWDAxJhiVeUJ\n/adZoCXFUqJiAeh0JyV/ZDtCFDu3z5jYrc9h0+lO1qXzApsbjLHXvva1r33ta5317373uwe2\nWev6CwdlTvK1KxOwwYj0sjBAqWqCJxxiZ8gB9bGLhDg4v+fGQ9/CFVfQDEjmOZeS7qReB/Dt\nrQcABE5ULADg6fMBkJagWCp2OnGagSGUR6YUsfv7i29qP+26F/zZB49ffQPd9o+uuxPAu/WU\nkjVv6CPo4PaLsH8/Hn74dLUJoGRKiq0sA7jx0Lc+3V3+XhT2Q1Frt6bay99e2KcVOzWfM5Um\nkqZYThU7xZmqgbcalBdWTkmKcbYVJ+wVemI09R2tFTvbWgKAhYWVm59Z+8a9UrETQI/wb1PA\nvhLwX3v26373GS97+fZtuH9Z0krTzCJ25FC0eyHSns80eMJR7OKhudpTRzBgTJ1vqthJVHWQ\nbJ0Quzh4Qh0BZQx1LjAzigyOFp4yEFcCTuVquRzJKyfdx04GTzB0OmBMEjszlilo5hH9Lwcq\neKLwsdt80G7L454HAGOKTWM0oUiu05CK3cjTnWQTq9gUO6rB1jiBAgU2Byhz8uKcsQIm9lAA\nwEpQMelOmitnAeRFxQJhJA4u7AWAK66gMZU8wZkkIiGwc6dg/Et7nxoyXjv8qJqeMqsxAFza\nZ9OIHWMQkZCJ0wyMKdYodo/NbPur6+541k99aGXbDjIl3UnKmhR9UQjleiijDYKwL3/3VlcA\nHDhz5A/e9dIP/tn/FYbhgRPfY0I8sLBvWRK7wJMc56xU7KZmQNIIWD522spZDbxWUCl1WjKa\nYbHVM/JWHDzB9KxMBXrGAMytKmLXuvU2AO1SVW5F+bcJfKkEXp97Z+szUxUfQCREjxSnt02x\n8aHQplg40CXFkrVijelYm2LTfewYHMVOAECtq0je1JnHEeexs7aPNFVkTuUJEzwhFbtAKXbl\nQAmKyr8cDCZndbYpVpUUCwLYXumCFpPF4PdTqUh3srkxKaZYR7EzHyK5CYrlzTB6YhdPw/2J\nKHbrctyYPYECBTYrKHOKgyeUj10EzfxWS5W51tLJUABoLDvETit25BERCfG5S2/+hdLR+ktf\nSjWeVA1MDop3vevN/Orv9JoPz+3cf/DbCEN4HuWdOHoUtRqqVjUI05vQNawMzEO1FZRPV5sz\n7XNHmlvQ6jujE65paFxSsYu7jQTwkpfgf/2vQ9PbICtPSMlnWfkFlnqd5z34lfBPP8SWOICD\nW/epNDE+l299STQfn9sO8o0aeLHxLhJCimHVkrcaVHgUiXYbQD8UK91+oxy/wWMfO3MYNauY\nbi0hCNBsdm6+9Vy5fnh+l+yZ6kNG1Kz4HoBGxZcaXhhZDotZip3MXZp8eclDKnehrNO4REL4\nnPeElUIvxcdOH4S2XwIsH7tqzxC7k4aouelOBMngQ+ZllEEdFat87KqBmr6t2OXUitW73OlI\nBzvYplgreCK5vQ1fmWILH7tNB3klZRVp3WA4PnZ0TsbfImumKip2hIlIMt4BIE/DdcIwtWIL\nFNgEoInipFtSGCn3c/q6afvlcr8rFbvGyhIA6EoYfppiFwlxaHrbiY/+yYGFuncqLnVqaEFK\n8ES5/MjCbhxd+tbWiy7+9iEcPIgrrogtxefO4f77cfPNqXvBgEiI1QxTLIAv7nvawurZrhcs\ntXvu6Ho5Xpngc7b2I/Cc5/zmf//jD5yq/tw//5ExxXId8CFxx5+8f+uOywB8d9sBuabsc8mE\nPnr9C+7ZeUX/sutAFTtVq1QZKGUsWiXwZJoSrKi0eWdXe5rYCTlTq/IENcWuLmJ+HoyJ2dmb\n3vKxLQtNnG0Lm3/7sWLHAUxV1IpQCMtiS46k5WPXC5H28jJfCNAf4ZwhEvA4MzqgNsUm052o\n7mR8NPWxq2ti1zzzuCFqDicSJirWoVU6eEKbYn1jijVptKHPfEgqTyy2et88vPjMS9RnjFbs\nGDod6AAmk3bZpDvxhisSqvPYFabYTQdq4B873ATFRmHW12sqGDHFlkZZUizFDiKx7j526t+J\nOCkFCqwfaLoTAB5jxmteKXYyp5pf8qMw7HQBlGVaNW0SNYqdfM1LyMeFSvBGHivEfc26uaRG\nKP/91taLAECnd4G8E++5B1GEG93MGhKcMyFUfg0DWt7wLT/89p/8if8BQBO7VMXOrEkMQLUf\nAQCPHLiy6wU9zw/CvmUpBgD86TV3QIhrD31bMPbQdkPs1GO+6wX37LpCbmWInYxBMU9cpdgF\nXqtUBiCWDbHr0mmYWAMVIkqCJ2ZbS1JV9Thr+yXucQDCtrEGsY+dB6CpHeLCyGrmWabYwYod\n/bqXH+FMXwnmoeppU6xDzUxfLWmKXY6ParXXkWxvevHx2CStX0wm+4kOnrAN6HBMsb48bhV9\nlViKHUl38tt//91Xf+hfHzqpjr9Od8LRbsfEzowidAbj4RIUl4o8dpsV9KoaO3iGUiWoYpfM\nYwfAlBQbYR47s5CYT0Wna1/XdCeFYldg84ModgA4ZyZ7Gc3vL+1i0coqgEq3BcDkE56qBJwx\nnzNK7GimXEoFkilF1FjS+KuqSx0AgPvuA83GcvfdALKInVTsVjJMsXqevtkp+gNJbmK+JJML\nMWhJ0J7nl6QpNor4aixM/u+rnvPpn3jbw3O7/uKZL+7UVamMMknVa8bljKmcI4QKxMETJaXY\nMV3o4mxLefTbvE79bcoeVPrdarctVVVaBVUIO3hC77wkdlMV37i+DWWKzVDs6Bqj2MEuKRYo\nU2xK8IQ8Ed+b2wUAX/86iClWVqeYPkuInb25AOT+pUTFyl1W6U6Cesm/8+rtd169g5HjI5dD\nHkfFyq+FVe2+2aemWEPsaPAEsYYPETxR+NhtakyKKZZprYr+ByCRLoiClhQbafCEXkjcHmV/\nIxIUFyiw6RHZtfl8zsxrRnIXlSzXLwEIW23ImvScGwejd/zQla9+xt6f/qN72kTjUdVauerT\nrDcWKuemlu0lvfvWtlixi9/7ucRORsU6wRPOs6hZDY4tKVteuo+dXpN0rXN97PS/HS8o9XsM\nDCsriKI+96Wl73hj7vO3/9B/3fnsi7c2/HMqlpOm6gVhSCWf98JIW7QZAKHTgtY0sRNauDIZ\nT5xS9/IwacWOm5BY2LqpgBM8EUfFAmhW1IooEt2MqNikYpdlitV7rXzsGJMJitVPtZIPoN0N\nA9vIw/TR/vr2SwDg3/5N9dPv+lH4eG1mZ+nkzOIpc2FEsSVXXa400Bv0N7nL2hTLGGSN17sf\nPg3bK44mKJbXpNlc8l0VPKFvAbO7gnDr5BySkDpIf0Q+doViN0Ggbstjh+tjF3+I5CcoBvRN\nPsJasTmKXTlW7EY1mj104WNX4MkBY7eSYIw5FVcjbYoFlMNTqdNCvW5ujy1T5Zv2z5V9bpli\nScoJL02xc24ummDlZH22Pb9FEjujvuDuuzE1hcsvT90LxoCEKdZ5qFYCbngM/YVYYFM+aPWa\neJ2kFNJO3fWDUtRjTFkMH5vZJtscm5oPoygSkFqmXFn2eSpDktSnRIInhFB27UrgtZSPnZID\nF3XGExJWEvcZaqGUEjs5qKdNsf2QmmLNHDwAzaqvJCs7xoJyL3ro5CT9hPtNUrGTrA7kaEsB\ndbXbd14sJoXxicbcyektuPtu6YhX63UArAaVE425uaVTyehgZYoliWBot4bwyeAJ4VsBKAA8\nL1bsVILiXg/6yjSjyPPuOz52+hSYFyX1mMyBlGkLU+wmhBHkxz0RQD9czJPIzElAkA8jF3Lu\nMpv5SBMUZx4T42O3XpiIs1GgwLrDua19zoxzFRUSqCm21G2jVnP6qQZe0hSbRuzMgnWP9aOY\n2AE4c+lVOHYMx4/LP9mZ03jwQVx/PTJ80hlLMcUmpCAmyQTsZ0sya12cRTnNcEyDMXvcL/X7\njDGcOwfgodldAHqV6rlyvR8JIQQjqljZt3ba/CGJnaRHcmUcFRt4K7JixIqr2JF+5HxiFu5z\nNts6B6gAFzm+p5opLzEJ4+NfVabYwNc+dvTs03nTQAodPOHMKD7jTNf15oypRH26jQwBWe6E\n7sbkRHx79+U4dQqPPCJ0SGyrVD5Zn623liNt+HZeTCaTHHdqxWrCp02xMbGjpmqt2MWm2Ejp\n1moUlccOAt0uMcXCTEZr1UO9QqQOMipTbEHsJg7rXNR+WLzl9kv+548+baGhqxURZmfSnSTp\nllyh8nqvR7qTxE8kj926UDC2np0XKDA50IqdutQ9zowPVp+kO5FJxcRqC9IUqx3sDCqBJ62H\nEvT1Rp8JSRZF25uHzJnLrgKAe+9VkYxfuwdC4KabsvZCZtNouT529rOIwRA7nkawNiJqtAAA\nIABJREFU0oQ6d9qwKVTXDwJZUuzcOQCHp7e2/dLSlh0A+qEQktAYVSzwUjmuVMtUSTEAJCq2\nWvJWgyoQxxAQU6yamBUVqw97o7MKANPTAGZqpe3NylU7p6EUO2qKNXMzUbGKWVIZqVaKP6TX\nZIotaeMz50wrduqnetkodhYzoxrkYwu7AeChh4RQZSdWg8qJxiwAHDuqjoPeUOjDEh8Z0q2J\nvpW28khmqpMjMsCpFSuvnH4fxiFBN5ZfIKWwDyBJ7Iwpdo2VJwpT7KaDZA/+cNHR640rtk+9\n7IbdqT+F2YodvX6DUUbFmiX3J+Njt64W7MlQUQsUWEc4DkkeJ6bYSBu3dBkA0W4BCDrpxK4X\nRkZyo1GxtZL3nh+55ppd0xio2OmHzJlLNbGTL+N7vgpkOtgBYIAAZIEH03FgPx2YVolg39rJ\nKSXtxbYbPqCJXY/7Kt3JuXMAVkrVd/zgW774pv8CVStWMBbX0i37VvBEzH78RPAEBK08AYAZ\nYucGT6TnsZvqrABAsyk7+fJ/fd7Pf/+lcvJWHjs7KrZR9iVv64eWj91MrRRPO2GKzcpjB2Ja\n4cwNFJUke6WbQmpMm2MzWwHg0UcBQuzqcwBw5Jg6Dvqaue7hr//cv/yREBFR7OI+zaUchH24\nplhXsYt4bIqlPB7GMNXvAkgzxYookq6Eib1Kg1+kO9ncmJCSYg6oZBVlX3v0Ih5lHrtEbJqB\niYpdJ02NkZu8QIFNDM0PtGLHYlOs1ioEjGLXajGIoJ1iipW3ZMdsq1+uEq96+t7Ltk8hp/KE\nbYo9LRW7++6Tf3v33APkEjvGokglKK4F6p3t2R+ZnLF6OcUUG5OtBI1LfQKoN30EAB0/KIW9\n5/z5H0pit1yqfurq2w993x0A+lEkhK3Y2cTOzEGbYh3FzphiqwBYbIo16U7s4AkRM2OP86lu\nrNiZAZGQ4gz3VelOqtoUazebqcb6ll0rNkJarVjqVigXONOKnW5T9nng8dVO3013Qs7NUemz\nqEyxHQCtoPJ4cw4AO3ZEHwfV+JX/8qmf+cL/23z8uCBuADF0sx3nHgewOr/V/GLlsWMMQJ8E\nT9AQImh1ze91AcQJio1iJxAKwbWMOpDfFelONi8YMDElxRwkFeb0ZmR5hKmDUz+XJeI8dutq\nii0kuwKbHspupf7inPVSo2KDEgDWapX7PSaiVMUOJJUdLVoqIZeI3TNNsdPEbmn3ftRquPde\n1c89X8XcHC66KGsnZMSnjIptaHurYwZhlimWEiwzw2ybrGWKBfTz8PHaLBfizo++F7/wCwAk\nCZPPwJ4yU8aqWDmwtC0aFQuTIEOn7ZXVuiolb1X62Ok8dost18fOKHZfevDUSicE4BtTbLNp\nHyIIN0GxqTwho2KVYhfZeeyma9RwSUyx0scuJY+dq9ixWLGLmX297K10+07CE0baHJfE7tFH\nhRCyntgLbrmks7ANAI4qxc5oaXMrZwDwXtd06IS8yIZ7zx4DsLJzr3MA7Tx2sY9dSARa6OQP\n5bAHxIrdQqO8f74um4WRMMHPA98fo013UhC7CYJ63k2mYhd/iOQHT1BT7Mb62K2XYpc+boEC\nmwzOa9XjzLz4aaIHpdi129IiliR28pbs9BWxU6bYRGri2O5pPydocjgAEfNwzTV44IGg015Y\nOcseexQ33ph7t7NIYLUT+pxVtUOYbz9UOWOxKZZumYiQSCsyRkC82X7url/44R9/75EDV+Dg\nQWhiJ8tzSWmHcxYQ+cqOilULJRoVq0eIFbtAKnZx5Qlrt7XC9c1Di6/8vS9/4B8fVHsqcw0S\nxc644knirnKgaEXhur2zu2erT9k57amoWFAb6UyVmmJdxc5PmmKzFTsaf10r+asdVzFghI4d\nNcROm2L37tlydmYBADumTbG68ezyWQC81zWBO44BXQ60Z/E4gOVde+LZSm2F8M6QlBSLbMXu\n+FIbwLwvgFixq5W8973qOqgsesLTQxc+dk9eTFS6kxyQ4Al3qvTvUSYoTjxeDYhity7Qit36\n9F6gwMTATmNnPYj6JB5Q+tixVquaReyUYmdMsYBNArh61aWrYqGt2AkAV1+NMNx2/LGrTjwE\nADfckLMXnEMIsdLtV0ueGdTjzFHmGuWATsZZTgZ2MLKtAXW6WirX79tx2Yd/5SO44w4AJ+sz\nAAKPcRanA/RJVGxqxQtliiXEgppitWKXNMVaUzzX6UN7GTo+dnRfIh08USaOfQC+79KFf3n7\n7QcW6p5W7PqWj12GKbYXIu35bE6BeVBfvXP6mt0zICoaZ6xekoqdBRrMulKuYmYGjzwiBMyF\ntzgtiZ0KnjA+QnMrZwF4/Z58VbmWWAERhn4U7j177FRtpl+NL2D1CiYf87SkmJPn69hiu1by\nmiwEYmIHcuL6keDchN8NQMln0EmPLxxFguKJQ9JNYRJg5iQS17fVjMx9lMETiQUDotitjyl2\nWCm9QIEnNpI+duYnEw843yjt27UAAK1WtVcBkOJj51um2IgET0ANARBakB88EQmBrVsB1FcW\npe0Ml16asxcMiARWOmG9RPQjBs4R6UhZxtLTnaQVw8hkeDDiInkcdusNfPazrS9++R//ahE6\nAtQIYyTdiZdahKPke9DijaFfUgyrlYxit4w5gAZPqIqolo+dhMfZVMf1sTPDSff/SuC1eqGf\nMLDIZv3I9rEjxM6Kiu1lKXbQu6yWPvJ6HdFMDmmt7K92w0StWPuxu28f7r8fQhipeGlmBgCO\nHsMOwEjOrVatswqA9RVTdC6w0tLZrc978Z+dWNl+7vH7dlxOf2RKsYvjWGnlCZrNEcDRpfa2\nZgXtNhCbYkGSzoRCmDzMA19PhSl202KyTbHkKR+XFMtDeT0qTySGXG/FLmvcAgU2GZJRseYn\nVVJMwOe81KgCYJ1OlilWBk8YxU5FJpKHgWuKte8uJ3hCCGBuDkB9ZUnazrB/f85ecMYERKvX\nr5U94sZnudmxjKjY5HMmjeHFq4SaobAaBYG45VahUxwEniF2VkqRVKXQzmMHAH/wxYc/9dVD\nACqBLimmgye6/UjGiAg9Z6aiIuKefUPsqGKnmYfUyOT5Sn6HezqPXS/TFBs3lqG7KbViE4pd\ncq85Q73khZFo9VxaQxPjY+9etNvlUydkgmLU6+1Gs+sFXCt2p5a7i60eTpxQQ/d6IhEV2+is\nPu/nfrz09XufeuwgF0LWJSNTio1m8mDSyhPUFLvS7S+1ejtnqorYUcVOzRhRJPjQwsBoTbGF\nYjdBUJ8LE0kiYsVO5JYUIxfwutSKTfxEfXLXA2w9Oy9QYHIg72tzpVPqIZPsCwEG9EsVALzd\nqvaqQCqxsxS7MBKMpSh2MUOyb66+a4oVmJ0F0Fhe2iUVuwMHcvaCMQiBlU64Z9bvE6cRJ29c\nIy14giwnhLoUque6A8JmBnLB40zyJ84YNcXS57yT7kRnPmMAvvK90/Knqg6eYCtxIdrFVrdW\nqiobuj6idD4eZ43uqmCMJYidEEqx29qsHF1sz9VjzYnOKhJxuhPGMJ0VFTsoeMKUCIqnQU2x\nMkdx2w0HMRAA9u0DUD1yuNLvAEClwj1+sjG7QxO7v/73Y61e+NFrdLf9ruNdcM2x777z735v\n4dC/w/MQhgAem942m6LYMbOs8tjRyhMAgKNn2wC2T1fQWZKTcXqRip3P1V4O7WNXKHabDo6F\nYqKwZ04ZXIT9heqAXr4jTMiXW3lifa/hIt1JgScJTDZX+Sc1q2mRXjCGfrkMgLVaMjgxLd2J\nBy3hAIiEcPNNMCBHsRPCcvYwit3q0p7F4wgC7NmDbDDGOv2oF0a1crwHzAnLZWwqNXhCL5AN\nWaIZUexkVCyZLbN3zePM51y+rRmjwRNeasULVVLMT3nmmMoTXCt20PETceynluJMA5+zqc5K\nO6jAiwUzuVNCKCH2VU/f+zc//+xbL563B6SKnWIb9ZLvWyXF4uWOSnfi9EGDJ1zFjrJomffY\nKT7B7Pxz2LsXQOXY4XJfBaIGHj9Rn+OnTslUwxefOhQcfgwnT6r591TGY8YYA9t39uif/+HP\nPf3Qvz9y2x3Lr3yNbHN4eiuVEm3FDgBCLwCsdCeyz6OLbQA7pitJxc4EHUcqKnYozS4YaR67\nQrGbICgLxUQSu5+87cB8vfSzH79XOI/dbIywVmy66zIA8ryYxKNWoMATCcpuJZHiYyfAGOtJ\n3ajbqfaVRczpJWGKdambfNVllXkII+sJI0BMsWePi917mJdXRZAzrHb7AOolr9WNK8b6WYpd\nmq9bHB5rsmQmpDuYmqTkcejsmm/52IHmsaNEM5a1iGLnHJdq4PW53/dLJioWdmCsMcXS+Xic\nT3VWV6r1KunKOIHJiZV9funWBhJQlcdIuhPqYGemXfJ5tx9lKnaxKTbldSDlVc5YveRDB3zE\nv1ocWmjF7lC5r1LHeZydaMwiirasnD1bmfrMx36+HPZwk8pxyPs9Ws5ubnWRQfz1Zbc8+u73\n/ejf/j+yzaHm1uc3XPc4FTyRGxV7bLEFSewedX3sTPBEGIlA144bUrErfOw2IZRiN6kMxeQO\nMNde8mKla0ZZKzatfwmSoHhdDhwjN3mBApsYjt2KCu7Kxw5gDGGpDIC321lRsU7whMzmRRto\nWctY4tyZ0NebEEISu62PH51pn8u3wwJgYHJHaqVYFXNswQBJUEzWml1OKnak/xg6eEI4P1NT\nrK+JHdN57HyPeZxl+NjJkmIWO5SQj992pcqoYtfqQRFutZuwFcSSiKY6qytl6xwp5qGt3smw\nCX00mNw7U1J2lpSdMA0kGZU8PulH5GUrdmYfOWO1chqxY/HxF1CKXfXo4XKoiF3gMVl8YsvK\nmWc+cl+js+pFIb70JdV5v2fChRmD1PkemtvV9/z+9h2yzeHpLfP1eKcUL881xcq5SMVuezPT\nx04FT5h0J8mdt1GYYjc5JtMUayAStZYp6HNwlIpd3L+L9S4pNpSMXqDAEx/6rtakJEWxk6bY\nCgAvO4+dVuziqFjnfe9oGMkCo11ikBKA9LHbdvIQAGzblr8X5jlQK/lmXJZQ7KbSKk8kM7AQ\noY45a9Te2Xk9nVpkHmeep9KdcG2KlY+sVKVQmgXlv3QcjzOVHbBU5Ssr0K8JmfFE6AevoRRm\nw5f+zCumOisrVYfYAYDQznNZ6QvkECYq9o4rt/2HZ1l5oeXhlbvTyQqeiBMUpyl2ej6Nsgdg\nuesodvbRlordscMlaYqtVHzOZbnYrctnbn/w3wD80hveg/e8Rya9I8ETjAFS5+t4pTAS/R07\nAQiwI82t80Sxm2+UOGPz9bKZW98nUbHKFAsAxxbbAHbOpJpiFW+OIpisNgOVAWl/L4InNiPs\nD9lJg5mW+SJMTpTOfaS1Yl0TiYF5XqxrupNJPScFCowMTlQsZR4mQTGD8rHzup1alOtjR6Ji\nndvH6DTqb/KrtM3Zip0yxS6cPg6k8EgHpLR8TDMYY3aGZBo84U4MaQ+cmOrZjz0h4ryetJnM\nriIVO6l3muAJSdFSgzZecdPuksdu2j8H+4FW8T3ZdbtSnV0+BWC6Gpxe6aqMJ7ZiZ3hdtdfZ\nev83AKy6ip1qJieW5Qxt8thJU+ybnnXR0w/MJRtQy3uOKTZVsTPeltVUUyxJLCwEsG0byuXa\n0UNlbwEAymXfWzlZl8Tu9I2H/r0VlO++7Ea87Xl/8IWjv/iXv837vYhc0qWwB6DjB1yIcPsO\nAKfq052gRBW7n3r2xXc9befeuRr08Y/AwXmypNiRxRZU8ISK5EgcXqPYSc494BVSKHabFk+M\nBMUiX7GLsVGVJzYkQXGh2RXY7LDT3FoSl6x2Kn3swqAMwGu3nvvQ3UCKhOYET8hsXrSB/CM1\neEKSDKrYRUKgVkOlMrWyCACNQcROL9RKvuEZCcWOVJ6wCJbuJME5U33soF2pkGgmCY3PmaFN\nTKc7kY8s+tlrjs+O6epPP/cSyfzoOFMVXz6C2mWl2El3t0U7eEJuZEzD+88cYVEE4P69V9iH\nSElKMh2u1IqS0JUnVLqT5POcU8WuFyLNFMsHKHbys5kpxc71saOmWAHOsWdP9ehh42Pnc/bQ\n3C4A1xw7uLC6eLI+2+U+gJ7nQZpitXfBz/7VB//rP3wEQMcvRUL0t+8EcLi5daoSUMuSz9le\nHSYoBxYC8H1aUkwe7WOL7UrgzdZKKaZYzZutkmKDXiAFsdvkmMzgCZAn4DAlxWSc/+iGdhcM\n1jsqVg+8EYMUKDBGOFGx9P6VqTHkK02aYi/76j/d/Og3jj/zuXjmM51+5C3ZiU2x7seq42OX\nlPldUyyA2VnpOscGKnZ6rHrJo6KgN4Ril5TlUsJjbT4aCkFjyZKmWEMoTYJimfgjtUattSN6\nZbMafOC1N8g/2+UawrDS787VSiDFJyh7MM/ni08fAvCrz3n9H9z5k7TnOHgiGqzYhVGmxVYy\nPbk7UtPNMcVm+NipyddKPrQrJz0ClmIHYO/e0tLZudVFAKhUfI/fs+vK/uz8Dx788kxr6XRt\nWpLanhdAVp7Qpti77vnrA6cPw5hiZ2c/dt0LPnr9C6lc58AIb/B96WMnpVlZ3+LoYnv7dAVA\nMkGx4c1RJLhOZDc0sRuNKbYgdhMEeeonM4+dAY2KzSkpNkK5DmkPXIPA4zTt0MgxpOtrgQJP\ndDiKneMT0g0jlceuXAEQdNpL5frX3/U/kv2UfaekmGuKNTpNciBprJTEzjN5I6CsscAQpli9\nYKc7cfLYsVrg06wWzkxIMKyr3ZlHkKSGK50+DZ6Ijx5XxM7TfMgET1SkKTYtKpbCTKZZ8a/d\nMyP/aJerAOrd1dl6CSR4AuQxZYjmRacPA3hwbpcblSydwIRS7PwMnxlulxRL5iX1SPCEM+e4\nTWyKTY2KZXKreik90tntbt8+ABedPgIoxa7PvVN3PH9udZELcao6Ld0JetwD4PV0VOzxY1Ot\nc7KDjh/Ile/8gTd/6urbFxpu9j5nbgJAEFimWIjVbrjY6u2gxC6h2EWR6EfCYynvrFSUfP7m\nZ1/8gmt2DNN4IApiN0GQF8SEm2IFKcmXhLmvRxs5keW5rMZSFXjW5bgN+b1VoMATHY6PnfO+\n7/XVrzIqFsAvP+8/9HbsTPbjJCiOhBs8YTyrJOgDTyl2YVyfSmXNnJ1VLQYRO9NbveSZZylj\nbrUrxiADYzOCJ8wC3AW9JFP1LrV7Vl5P/auniZ2l2NHgiTQfOwt6nfxIlm1Wqg0AzfbKjFLs\npCnW4spRJA6cPuxH/YtOHQLw4PzutMMf14rN+giXZ+3gieUHji8jLcuBnBLNA5d8eRmKnKw8\nYWbCGWrlFHd/qtgp7N0LYH71LKAUOwBnr7le/ni61pTcyyh28sz4Bx8wHXS9IIriMzbfGE6x\nIyXFhNCRE9NVAEkfuzh4QjohKM494BXic/b2O6941TP25jcbEgWxmyDoq3xCSYSZV5hnilUL\nI4ycwCDBTAWRrZNip8LNJvSkFCgwKpgCBvJP50HUU4odA+ff3Hbx155+xyevuSP1K7TkMeiM\ntdBpWmkDZZqIV8a/BsTHTpoI1bNGVgXFMMRO9VYt+TT0IRmFKt3sbIKlJxQvsKyFmWoA4Fy7\nn6w8YbryeZyvjmvFTtouKU1K/ZY365TLHQOAlVoDQLOzUg14JfC0YieoYWHfkQf//vf+45u+\n8umLTx+KfP/Rme3Ow9FMkhLoJBoVnzP21UfO3PPoGZB0V04/VIpLUsRBip38V+WxSzsGanNj\nipV/RkEAziVRXt6xS658vD4jT0XX86F87AQAfv/9pseOX6IlabdOZSt2clwAO3fi0Udx+rTO\nYyfiyAmkKXZqwkKm5mZkTzcMRVTsxGHSFTsSPJHzOBphEjs6Uurtsb5udoViV+DJAelCF7v/\n2w8i5WPHwBle+Lrfev7V2/HNY6kPK0lfTDkvmaaVNjAGOPkn7cOnip2nLIYAqWHfSEmla3eu\nFpyoWEpfZJuGUuzibTl3p5S88c0Kpdi1LH93xwPPYyDBE8z3YiZkB22kHEbTICAWieVaE0Cz\nvcIZm6kGi3G6k3j0LWeOM4i9Z49ddPrw6u59fZ5gbgwgNtasPHbbm5U/++lbHz29eq7da5SD\n7c2K08AjAmTWjpCSYmmKnYwYZaiVU39NHP99++T/YanMAWnmXtquKpGcqs1Iztb3fABevy+v\nHc8idkEklAx85Y7m6249kLrvZm5CAC9/Od75Tnzyk6G4FIDQuU6yTLHyhPcjIQR8zsai1BSK\n3QRBR8WOex4ZMJ/yNLw/C6P2sXNNJBTyybJuil38b4ECmxhOgmLHftrtC+ljJx9TOZkyJH3p\nh7GPnZvHDtZAlOIkfexcxS6RXcWBeUTUS755p7I002dSsYslRLKh3sQdSMalnmv38/LYebFi\n53FlipUWBjpuKj82q5RbCwOA1UodwHRnmTM2UwuUKdbOQFhbXQFw5YmH6t3W8v6LkeBb5g/p\nqp/zEX7tnpkXPW3nq5+x74evTbG5c5LuRCIp/uVHxao2jNXSaB+dtor8NYpdqWyGW9qyI2IM\nwJlqU76aOlwSOx088fD3TD8yKlYesKftnr5oS6YAHCt2r3kNGMNHPxqGyhR75KwsO1EFUoIn\n5KZSxyWfChv6DplUEvGkhDzzE26KFcQUm/I5q9eMmNgNoditm48dg/3uKVBgU8I1xdov6V4Y\nCSmi6D+R8RUqzakmvi+KEsSCAYTNMGtbBk3s5DNEvYWNYjc4j51aqGX72MlFGf1gRcWa58wQ\na5rax44SO9NMDu0THzumaatU7Kx0J+mKnVookewny7UpAM32ChhmaqVWL+z05XmJH1O19jKA\npxx/CMDq/ksA98koT0ck1EnMCp4YCLmdpditMY+dbM5ZXAiEgiW/qHUMTaiIHQfQ8fwTjTkA\np7SPXT8mdgDAzp41HXS8kvGxy3+qxz52+/fjttvwpS/tOHUYQyh2ckP55eMNHRU7WhTEboLw\nxAieECLbxS7xlTkisMQCRaHYFShw4dC5IdSfXpLYCcH02zBU6S2yFbsoVuycVs4XrBU84ccV\nMy3FrtlULdZgivVp0JXNORigik/Q50YyUDclZbFuTEyxKXnsuH6YEx87RvPYWUEbuVGxyhTL\nAGBFKnbtZaYlw7OrXQhBt6+vngPgRyGA1sWXIPFs1J/oQprLz/sjXJliiRSXFjyRp9gZU2wq\nsQM5So5FXhE7pQ2LQ9PbAJyqzUQq3YnMY9dX76ozZ0yHHT/I8RG3hga5/F7zGgjxg/f+HYBI\nCFlPbMdMno+dvP5NVbsNfoMUxG7iMLHETl6hOUnsQO7D0frY5VSegH6yrOtRKwS7ApseKt2J\nvtZdU6wMntDMToohqVqP1FH6sWKXLCnGYPmxxb/S4Ikg1cdukCnW8KFayWQaAQPLUuxSfd1y\nTASmzXQ1xRQbU0Ot2BnaxLS/nVLsaLqTtMNo1ul8xQzGx66jfOwAnG31nFqx1faq6aR94BKk\nPTYZixW78w50k4ci8EzdrLRasbmKnab4KPtJT8BYHoYhWL7fr9YAROXYFNsNowcW9va5d6S5\nIM9F1wsA+CZB8dKi6bPjl8JIyKsqf7fVxOXAL385KpUX3Pu3DEIIHF1slX0+Uy0BOiqWmGLl\n0ehrU+xYbD4FsZsoMExwHjt5l/UtB7vEragXxhEVuy7HTX9wTehJKVBgnZBQ7NS7UAkSYXoJ\nKWgdxcQUCJFuijW3Ne2EBk94NN3J2qNia8THDswSF63gCbrLcXPT0hXqTJeG2KVlO1GPcU4U\nOxM8UUoQu9Rnlxs8wcAYzlXqAJqdZQBzjTKAE0sd6Iez3KTRWjaddC65DGnPT86Y0OlOzltK\nUCldtBKZuiOMpQh7dBqyFZCZ8UTC2Il69SkAYVCCNm33wuhXbn/jHW/8wKnajJPuRHFuaor1\nS1Fu9J8zdGQuvxe+cM/pI9cdvl8IcWypvWO6quaWb4plG8zoFApiN0F4Qphiw9zU2LGP3Yjz\n2OmFtF+TFXhGOfT6dFugwKTBDZ5wiJ325VKCRDYn0KZYHRUrhMP/XMXO2pYodjTdyXn52FmU\nMUGkrtrZrJf83bM1Zz3S+FxySRK7xbZjirXYqsdoHjtlx9C1YuM5Z0TFqoWYNoEt6zx2nOHi\nhTqAB08um+Flu3pbEbsz1alofp52RTuX1URwAaZYuY8mjQtyMy3npDuRGyVzFCeDYgH0GlPQ\nip30yOz1o9Wg8vDsDujkzH2ZoLjfEwJ+FGI5ZrpdL9CC3QBYplgAr30tgJf++9+tdMOzqz2V\n6wRAuw3OUYrz4WnFTn2c6FqxG4oi3ckEQWtDE8ollG9NvilWX8DrFDyRivl6yeMsmWZpREOP\n4bYsUGAcsOxT5iVd8nm3H/XCCCoqFiDvrWQvOnhC+9hl5LFL5haBzoGnomI9otitgdgxAJXA\n8zij3NGuPAEAL71+90uv321vm1yISZXzU7opVi9Ixc7xsdN57JIJitN2RC+UTDlshpXqFIBm\nZ4UxdsnWBoCDx5dNa6VEtldk+4fmdvuqukZCSAMTWoU9fx87rUqWPL6i1qQ146wXZiQoVsSO\nQVcVc351feyAbmMKsY+d9MiMj79IlBRrdlcoj6M+dvnxdnHwhMSdd56qz7zw2//8x6eWAGwx\nCfA6HSrXGcgvH2OlLoInnrzQ6U4mlEXIaYW5uU7M5TtiH7vEU5XiHS+46i/fettcdtW/Cxs6\nc9wCBTYT1CssodiVdUCDgGAMlmKXdmNIxc48KCIhnEca1WnglBTjeYqdAEO1mr8Xsq9ayaO7\nwJhVUizrjZ4MlUgmtDNrZO2HpVbPrhVrLfic+bGZEtXAM3MbmO7ErCSKHVYqVXDebK8whou3\nNhjDd08uCx08IferoRW7h+Z2eVpUS+yprDyRl6B4IOLqGnqGXtpj38tR7JQFGQDqaansDMwx\n7jWaAKJyxcycJhKUV13XBE8A0+0VAJE+2ioqVo6eu9/yx5gTBsHnL3n6dHuUdmJLAAAgAElE\nQVQ5eOA70LQeANpth9hRHzsvVh039BVSELuJw3nfZhsD21M4s9loo2IRP15Thpyq+FfsaCbX\nj3DoiT4lBQqMAprX6Ze0vtek1tKXCfv1HdiLMjkBZ8zjLA6eEMIxNXLHnZz8mOZjB0ARu3ZQ\nRkbFetI5ALdcmKPYZT24eOIlnGzI4sPCSz4/l2GKVaTHYybVH2fstksW3vOSa37spr1wFcSU\nCZlA0ZgSMYTg/anmdHuZMdYo+9uble+eOCf0HqUpdm4yZDNPIdCLBLcp75rAlUk9DhBJJfry\nAJyvYqf/MIpdfQomj51nacPQptgu9wH4YU8IMdNZAXC2oUrSqTx2dvW8VMS1YjUWy3UA7aVl\nOMTOSmJnfOy0KZas3DAUxG6CIMlQ6pfNJECbYIbzsdvA4Il1hVOwvECBzYrIfttxW7FTeeyg\n7kZjaUrtyudMMr9ICCFcRUr+kUwpAm0W7PXj/GoRCZ5olVJsXg7krVq3VTHjwk/bJJEUEZNV\nqlncmDUrwVK7L9KiJ5I+dozB99irnrFXpimhU0g9jE1NHQxtkhEPvfktO86drC2dAXDF9uap\n5e7hMy3qMdJor3T80tHmwr/sv1aSqhQfO0AI0Quj805iB81NOWPGPpPKunWBilTFLp5eUrFj\nSAk96ClTbAlpip28Wmgeu2ZnBcDjzXkAPc+PGAsj2Np0OrRiF5/cthcA6JxbRa5iJzdUUbFs\nPD52E8ohnpz4sZv2vPfl1956ycK4J5IFy8KS0cKYD9Yp3ckIex1yaKBQ7Ao8CaATFCsYRiK1\nlq7MY6dNsb3syhMAfI9L5ieT2aUnKE6wKDNoh5oI5fOmXg+51woyK3sayI2k/EOjXJM+dkmk\n+djFPSR/mqr459o96nbssFWfc5NzxTkIA+djqIOxfjBAAMdf9spqr3PTp/8QwH+6/RLOWKsX\n0llOtVcent1xy5v/4BvbL8k6QZwxIdAPRTBIAc2BfMZzFqc4TlfsGCv5PFWVpNptumKnl4XW\nzpRiV47z2HX78fHX6U58qHQnYqazDOBUcwFAxy9Bf2wMhDwwpmUYCbl5Z9kmdgkfO0nTJbHz\n9REpFLsnL6arwY9cv2vSTbHU7pD4NfaxW58ExeMqy1EIdgU2PZw8dgnFTkjFTgVRRTLdSXpX\ngcekjqKSHrvPNKX0xH+YDf3Yx04a2kyVm+Oz2443twyxH5IlOIqd42OXsWWKPpeQ7kyWFsaa\n1WCplZfuxONWVCyF5WOX9nxpVhTRIZnwmBA48qo39Lm//+5/BnDjvlkZnsn03H75c79b7bbP\nlVWIiRHVEruKSIheGAX++T/auN7HIDcq1uMsywxFvS0bA9KdqIVuvQEgKkkfO9cUKwSEMMET\n/UhgurMK4PHpeQAdTxE73flgzc6c20iIjhcA6K7axK7bpSGxMIpdaBS7YcYaMYqo2AJrwwBT\nrF4YdYJit/8Ng3libvjIBQpsKIRTeUIvSMWu15cJihm1NGUl3fQ4kw2kmuWY+5xXHWWHdkkx\nBmILe+tbf+dYO/zCoL1Qip2sA0vLeQ3yaYPFNd25JVkhA5qVwEnYbjq+YsdUpx8ykj/PebVb\nNWpTiV2GYtev1h6b2bbr8CNypeRSsoPaN+977df+EsCZqvI59smv9ugQQD8SWZLeMFCskVNT\nbBqxYyw1O7HeJ+NjlzTFpjx4lSmWKHaU2AEIhQiBPve8sCeEaLapYhcACCMh7ADw9JlJvVif\n3zASXT8A0FtJELsgsDeUip3xE2UDxxo5CmJXYFjIC33I4IkRm2KHGHGdoD+4NnrcAgXGgjh4\nIsXHTjAYU2xemdGA8z5V7BxTLADCmehHoE5gIV+KSrFb7Ybfe3zlTGN6Gd3B82dA7GMXD2lx\n0IzbORkDm3zyUOuBEdVID+r3X3vpU9UekXQnqWMhIeZJVANPJpopkdoVIhICeGh214EHD+PY\nMWzf7pGEJls++NsAPvDMV/zJU54nN8lS7JgyxUYX4gzt6eCJfFPs7VduzTJ9KhdAAEipKsaI\ni53poEODJxI+dtBUrM89mcdO+tidas6DmGJhp2xMBbPHDYVQgt9qC4bYhSHC0FXslKRtJSje\n4DdXQewKDAt5ZQ5Kd6Ku31EnKHa/pDcMBa8r8CRBIt2JuoWl3NKVeex0oKKxNKV25Xus049M\nn44ipRMUq5UveOpOztnvfP7BB08uS56hgid0VOx7/+aBD//LQ2XfS6036oCGWMbpTsA8wmAG\nKnZpJWLdNZzHohpjroeigZdhirVKimW43zQrwePLnZjYgQlACDw8txMPAgcPYvt2j2lN7qtf\nnf7zP7t/y773ft9ru/ohrfPYuWCQplhxIek/VX4uhnxT7Hteck1mD6SfFMWO+thpbtiZmgYQ\nVarQ8kHXzpkfRkIAPS/w+/1IiOn2MoDT01sASFtqFCHvHWbvnaGkUaR4od/rwhC7Xg9Aqim2\np0uzjMXmU/jYFVgbUmP7yRq1UFqfqNgxKHZjGrdAgQ2GsAtoElMs9bFTpiXlGz44eMIy70oo\nxU6vrJW8l16/W77XA6LYKWIHHD6zKgTavXCYtyMNsaQ+c1RMGng7E1ku8yeATWnFLiY0ifY0\nC509z8FEs1n1QT6SGYMQIhLiodldAPDAA9bQb3sboug9z3lDn3gBckP7bHAmExRHF+LSLYU6\nTnzs1uoDTWuQ1JPBE4iPmgBOr3QBHL325g/d9OLDz/9h6H2XnwEGkXKz872wHwlMKWInFbsA\nJMf+gATFelyJMBJy83K/B2Mo73YBl9jJg6AUu9jJbvDRGCEKYldgWDByvWa20QujNcWOUTHT\nd2XB7ApscjhZW+PgCZXHLpLMTq7u5wdPcBM8AWRYIRMyHqBNsR2S7kQIcWqlS9vkgyp2ND5j\nYN44usuEp7mMjaYgnqooxY4mq3P69DJ+suaTwa6kLGSZYgEh8L25nYAidnLbZ93/ZXz+8yvP\neu4/XnSD8Zbh3HVnNJAcsR+KC3lQP+PA3I9cv+u5l2815HWtKfEsxU6nO6EVfs3x7/ajm//7\n3z2+3OnW679y+xtX9+yDJs2OKdYExvr9noCQptjTM1v63Fsu1QBEkXDE6fS5MYAohZFQUbGl\nsOdxpkI9JLFzfewAHTbu6UO/we+PwhRbYFjISzO3VGx8/Y7ax26MvKqgdAWeFNDGRPclXaGV\nJ2wTVZ5iFwnEUbHWr44plq6kwROycyFwZmWwa50DVXnCMASSTw7ZtzTxyXP5HPkl1sOaCcUu\n2XOcx84mPXYwR/p8mpUAQEkHrkrHuEiI70nF7uBBAB6DH4U/87kPgfMT7/oV/M0Zs7mXrRZJ\n23EvuiAfu23Nyntffi1ATbFr64GnKXacqe8BZjPSbj86s9pT8wdg6pQ4xC4SAqLP/arMY9de\nBudLUzNveNkvHZtagEx3MkzwBCxTbBiJtlcCUO53m5VATSzdFBsrIJyPJyq2UOwKrA0yzYFE\nyvNCrxtxuhPmLmwYxuL6WqDAxkO97fSl7tmKXS8UQlhuT8hW7HzOZPCESFPsZBeJ9B+ACZ6g\nih3E6dU1K3bSFEsTFPNhFLuYtLlraBEL8xNR7NxmBlk+dnvmam9+9sU37JtFdnBx01Hs9Dk6\n1pzvl6tSsfM5v/rYdw+cfBSveEXnKZY3G2dM2TMT3Ut3vX4o/FF8gZ+3KRaE9JgExdSA7nQX\nRlbcg5cWPCFJYc/z/X4PAlPtFUxNMc7/6cD1DyzsBRCKdNdPd2pSsdPG2FAYU2xXmsiBdFMs\njTL09C5s8AukIHYFhgUN9slvg9HXijUL42FYBa8rsLnxpo999bsnlumbLi4ppnLLhQCYLTxl\nMRLfY/1ISHkJSasrgJRQWQY7gYVkS2EkzsY6zeAbUfYqTbF0rpYzWUY35AMySePcbRmDecFn\nsTdkR8X6nL39ziuu2zuLbIYhTbG2jx2EEAJscfd+PPggoohzFfiJyy5zuuFcHYC0qFj0wygS\nIhhF2tQLNsUCJEFxTh9RnJSBwdQpsa1IMuj1THWq1lrx+r1maxmzs/QIRE6Kmqy5SWIXB0+I\nrqd87GKhOtsUq/bF+NhtLApiV2BtsL+OXJhLeMQlxcg33AZDP3oKaldgM+Mr3zt1rt2nzMlR\n7KSKxmzzWJYpVr5x+1Gk051Yv7I0j37ZpkQVO84BnF2Ni7EOQxuUYueYYof0sUvwudjjMCU8\nVplKYSl2bp85nM+szOJDc/USgJqussogTbEAsLh7H9ptPPaYx1i92wKARsPpxXjupwZPdEgW\n6AvEBQRPxFvVS66PHUs8eENhVY3ICJ4QAI40tzARzZ09OdU+h9lZeoDjBMX5c7NNsX2dx64c\n9uJJSWJn14p1K6mweE83DIWPXYFhIa/M/Dx2cbqTTZOgOOPJWKDAZkIyfNLYLo2PHeDmWs0x\nxQLohSI1eEL+4bAZOZxVUsxjAE6t0cFOdqoSFNOoWDLXrLuZ6HOuzJb0BrF97OKMJE6fJNVf\nyrDUySyJH79l3/Zm5Yb9c2ZobUXE0p4DAPDAA5w3ar02ADQaDg0invsJxU7LoiP5As9Pd5ID\nuvu1sk9XAkiKXUZskz/IyTs+djLI53BzK4C9Jx8t9XuYmaHSQKhriuU/1V1TbKTy2JX73fh6\nTjPFQmurkNxarskbavQoFLsCw0In1B4uKnakPnbOHDYSBaMr8GQALWAgYVSobdMVaBUNNunJ\nzmPHgfgNmlDsrH4oAsvHjsMmdsMIQsphq2RVngAYJTBZ9COFxumfvIQmZ/nYee6vBiRgNnW2\nsOdpYaFRftUz9lI5UJpiASzu3g8ADzzg8UzFjjGUfM4YkhW9GGNUFr1AXKgpFvEpA6xqH87x\nDG2xzffckmLQ6U4ON7cAuOTIgwCoYscZM7ViByl2ADXFGh+7sBvvpQyesE2xIEyaMyOabuib\npFDsCqwN0Xh97DacZ40lpqlAgQ2GynNLTbH6mt8zW4NOA0tFlBxKYPJQRKkJiuXm9i0lSZUu\n6x772J1a7sQbDnEXKoetskd3gTF45ImUdTun0BJCCOwV0sdOvdEJ7Usodhk+dnTlkBZMGfEg\nD+m5vRcBwMGD/jU31rtGsbPae5xNV4MP/8RNF29puF0x5Zo2ki/wC8xjR08ZbHrtKnZ23IMR\nhmkbydoOT28FcMnRBwFgZsYI0pwx8wYbFDzBoI82VB47qdj14g0zFDsT2Dsuxa4gdgXWBitB\ncYrCr9asV1TsCDsdcugxjVugwEYi6XLAtYa3Z64KEzzB4jY5Yo/8qR+JVB87/Za11lYC7nOm\n6tKGps6mk+tk8I3YKPucsdlaCbCykFjpTjK6YQkSloyTpUa9WsmTCpBPBnIwjI/dkDoXY5K1\nCFBT7FNZ1Zhi7fElr739iq2pXclTM5LgCf/CFDt5kCu+53EWRsJyZ7RPVWyKleOmRcXKUrDS\nFHupQ+zA5BBiiPAJvSs6j10U57GL9zKD2MXfA5yNhdkVxK7AsJAXaH5U7DrlsYv7HYNi577w\nChTYfPBI1U69hgGYq5dkuGLSFJsVEgut2Mm4SySFHIbkyv/2oqsPn22tdPpmLMk5+lapm8E7\n8vbnX/GyG3ZvnSrDFtLocFlSTdIyQBZcfiYt0Y2Kv9Tq+dnsLbbSppGeNSp2MIpde3YOs7PK\nFNtLV+yyLLx0xKxqv2uCsc/kXBKpYMQBgDFUA2+504/7cHkdHE6WZYoFlCl276lDADA9TQzo\nsdFpwFwZQPPYCVWRrNLrxOcrwxTLmTxX8Ezxj419dRU+dgXWhj7JY5dzrY46KtYMOB6GVfC6\nApsb2seOOWu2NyvU742aYr3se1wnGNPOTC6vk2zGWrlvvnbrxfPyLahKiiU+DoehDTO1QCaH\nAw1WHS4hcErMhNkjKiORn2RVMT8uDuF2nVV5grYfltgxFkUkNeBll+GRR573D5/6vu/dAwAN\n196aI5+ZX0YcFbvGzqhiB6Buh7wg8eANhRU84ZN01gaS+50r13tBicu3lWuKHSosVkXFmqEj\n0fUDAZYSFZviY6cQm2I39hVSELsCw0KVFBtKsBu1KTaewwh7XcsECsmuwKaG1hViSCqzY7pa\nIvVbGbkXcuQZ3053klo9LJXNSAEpVIVo3QZrvQl5rNhZVsKsfpJ8LmmKdbzuZMaToSpPpI24\nrVlmDNua5bQfXSgJyZT0vfRS9Puv/sNfu+zxR4F0H7usrsx+jdAUK2nTmjbUV4L6U9YLIfXc\n3CvHEdvkuE48n8nbsFKdUqt08AQDPM5CEzwxwMcOsEuKCbCuH5T73XjDtMoTtGfOxpPupCB2\nBdaG8QRPjI9XMf1EKFBgEyOZ7kTSgm3Niu8xxmgeO71JTvCEtqLmpDtJvadpyyQvWetzgJKq\nYfLYJXctthUk+JnsRCl2lIzYyPexe+XT9/7bO+64etf0wH0BoEuK6dHvuguVSvxzwscuj2bp\nX0YSPCGf9mt1sEPiqmskktQkFTsQTiZdOR3vIMPzVqt1tYqkO+FS9ZT9585N/koVOwAdLxjG\nx8408DSz2+A3SEHsCgwLeWnawRPJNvpDcL0qT2w01G1ZMLsCmxrKFEvusGrJA7B7rgog8LjM\nZ8uoFS8neEIqdmF68ESO/ZGqdMlnyAUodmyY4AkyJXeBBNjGtAO6OARhb27Xxikli2YtNIaS\n6+SIglZ+e/nLsbj47afeon5em2KnpzeadCcca3ewQ0zx1YZbpsr1kh97JSaYfMLHLmVEod9Q\ny1Vtm56ZUfvLwLm01Q4OnqA1kWGInV8q93tuHrvsdCceH09ehYLYFRgWwwRPmKt3vRIUbzjD\nKihdgScDuDFWadx68fz7X339j9+8D0DgcemiTqMQcgQhEzwh37LOK5+pzVM2pFwk+dpe681o\n+dVZxC69IxL66q5JSnKyjaPYJfuNcxdf8KOEyahY6rZYKp3ethtAyD1UKs4AOSfIMI+RBE/I\nTs5DsXOM8v/zR5/2F//5Npoy0NHs9N6rDVNZqXEWoqZY6j8QJ8PLna/8MSKmWABdLxgmQTG1\n1zNrxQahiIotsDaEQ3zrACj5o7ySx6nYZT6xCxTYPPBcXgfO2Auu2SGXSx7vGsVON8rhBFLM\n60UiSM1jZ7/OKSj9SjHFrvE2pHnsLMUuoz1LcNa0NaBrtI9dJnvLCZhdK5iKirXcFpdn5gG0\nytVGYvScqFhyEkdmil2rgx2MPUT/OVcvzdVL1EiSptjFf6ZSSRPeF5tiZ2c5W5XDccacnCmZ\nc0sMDaDjlxrdVTd4ItsU63PWSzg5bAAKxa7AsJD3m2WKTbta5bqS541y6MRTdcNQmGILPBmQ\n9LGj8D2mgieIj11u8IRS7JQp1n7PpOaxUxvmmmLX+nlFYhrYU3fP3LR/TnpxDVbsEgPGjyDV\nUv0pcxTnsLccK+1awUhEp+lrZXYLgFapisThyRHjRhs8IR31Llyx02vlPym9kcoT6nJNRtgY\n5mebYlV7zpmT5Th7bgx25QkAHX8oxS7+HogTFG/oK6QgdgXWhgF57PQVPNp0J07nG4kieKLA\nkwFS3ckiH4FW7KhHe86L3M8NnsjZfEDwRPb8U0GNevvma5/8j7dsn64gWzxz1Di6xqktYXrQ\n6U5chS85hwt3ZmOAFTwBAFieVYodna0zdGpXEiPxmZGn+zyInZpMCq9L/8YQQjifCslBTfCE\nNMX2PR/1Oo1uDiOV5HmAYqdHpN1KHzvPxFRklhTT04u9iHIHGzUKYldgWAzjY2eajbZWbIpP\n88aiUOwKbG7wNMuXQWyKZfE9mEfsdPBEaq1YMKSsTPSZFJPWehvSdCdk5JyoWLd9SuUJu+WU\nk+4k0TFxv7twxQ6ITbFqpaXY2SPk+dgZW+EovsCD8zXFpuZnpn8l7KFwXj/JCJ4oJnZ1AKuV\nuumHAR4ntWJz5yt/daJiz1SnGMTC2RNqbWa6E7XgaSe7DX6BFMSuwLCQl2ZEfBxSL1a5ctRR\nsZYdZCMxFiG9QIENhnxBZl3ngcekYsEo18kmdoGu9aRqxaal4Rgo4xn3r2qg/DrWehumZMLL\nfc0mjcspGh4plgCgOSh4ws/mfGuFTHfiDNSaX0Cs2KUc56yu5MJIHtTB+aY7SXWkoykVnTNu\n/Ofi9AsJZ24jPaxU6gCWa1OwCDqLhnMTVwmKjSk2EgD+ef/1AG6895/V2kwfu/geyXE8WD8U\nxK7A2tAfpNiBgdvJBS4c8Qf3hvOr1EdPgQKbDNzmKw6MAM+Y5Rie1ZtOUCwceUlCikSpvJD2\naZbnGyUz+prgJdQyRv5NIs0U67JYR/ObqZUAlH3PaR/PwVRluHAfO0DAiKCqt5WFbYebW7+7\n8xIk9ivPFDvESRwe0vFmZIod+c/pMorcRCUpip1usVxpQHvaaUFa14q1h0qFVuxUWxls+9eX\n3SzArr/3n1SjrHQnRrHTngsb/AIpomILDA0GDOdjN9qQWFiWlPFodgWxK7C5kf9+N7oOrd65\nhuAJu+WzL9/6+mfuf+7lW5Ibpip2843yoTOtZD8DkWUhzeon6VrH4p9AfzLrb9o/+4s/dOUN\n+2Y/dc8hpL2/RxkVyyC0478ZiQWlZ7759y/aUn9ZUrEbpvLEKBW7NW+Y+tmsynPbAbMSoQBg\nfSokiWlM7KoNAKtEsWMmQfFQmh0Yg3ndyRff4ebWI80tew4/qNZmmWKJHyoje7phKBS7AsMi\nLSo2rRkbfeRE/ME9LsWuMMUW2NTIj4oNCEOKU4LlBU/I6uxKX3Fabp0q/9JdT9nWrCQ3pJTL\nvLO36BS+OUwlFYZ6OtudT/CEWWPPJPD4Tz3rol0zlayeRxkVCybgBk/w7AdUzmPY/DKSZ/V5\nm2LVZDLeI0hQVeMeF5d2SMw/1JVjl4kplunLmzNZHCzN9TM5B3JUDV882lyYOncGnQ6QExWr\np8eZ5qkbioLYFVgbhlDsRuxgh7EKZmP53ipQYIPBiUySBDXFmhZ5eexUydco1RSbAyt4Ilbs\nSnqSw/aT6M0ialkOT2bn0tIRM7qQ2J45zQyIj90FEzsmbZFWRGdODdyhTLGjUewYzi+PXbYp\nlv5rkCxomcxRbN5Qp5vzABan5kATTQ9dKxZKItWmWN3tsal5JgSOHgVyKk8oEMVuQ18hhSm2\nwLCgMVlqTXozNtpCsRgruxpjmdoCBTYMfq6PXYmaYokfelZvsn0vVOlOhr+JKBcxy/NGsVvj\nzZjiQJYrnySZUFZUrKMd5jBOw5wu/EHCGRMwpRcs3VT+lQgvzSF2RnEchWJ3vnnsmhW/EniO\nEKDDUxgSHw9J97jk10WoAywOz+185Svf419/3QuMCymYx1h+uXNrJswNngBwrDEPAIcOYf/+\nLFMsvWyKqNgCEw15aVLFLvX+YKPOdYIJUOwKFNjckMJH1tUeEHZCzUxZvXlxVOxQNi8DO0Gx\nWl6on69ilyBV+fIJCdJyZTYnc4qzfU6ZNeJjd+GmWEC46U48GkNqj5DjBBnLriOpFcvPs1bs\nr730qX/x1tsc/k3/2NasvPtFT9m/oGpIhIkyr0nF0bygIiG+tPepq/UmbMUuTlA8aHrS9m2G\nlgtHpxYA4NAhYIgExU5i641CQewKnD+yrtWRK3YqgmF8LKvQ7QpsbuSkIIGt66QaAR2UfA6g\nqytADf/KTyp2UxW/HJxnYKmXwbeG8LFzfyI9pFkPmbsQz2F0wRNQUbFWb5zoW1lDJ2Gajyh4\ngiGhYg6DuXrpkq2N1J9MX6+7df/OaeXCaGrF5oRmGwYWksAdk+ZG+tgN7yHgJCgGcHxqHgAO\nHwaya8Vq+MbHbmPfIAWxKzAshrw0d85Ud89WRz10/O8GQ/smFyiwmTEgeCKWvph5f+fQtYrv\nAWj3IunJPvydSwmTHNQUD8XaiZ1Ro5zNskuKJYS6BNVLD+TMnuFofeyEZna0qj0yFLvhomJH\n8GzzLyx4wkHyCJudNbVicyJ4jM00IteeiYqVhyuy/RRzZmIUO9OtUuxyiZ258EhJsQ1F4WNX\nYFgkL83UR9X/l5DWRzX0WNgVy33hFSiwOaDv2QzFzgRP0IDE7Nu8EnAAnX4oYLGQwdMgPENO\nabZW4ucreiXtjPnfaTGxS6xxFlxil63Y+XEeu6HnnYHUWrGeJaYOJUyC7M5I2Jg00ZyHKTYV\nyTges5QM3Utah0wb6g7uCJyDs7HqmRDDrlo4MrUFAP71XyFEVkkx6/CORY8Yw5gFNjVqJa80\neh+7MetmBa8rsLmR/wFTspzVBnOCcqAUO6maDK+00ZbyMbLQKDtv5eGRmccu4/nEE/wsaZxl\niXkijRGmzWEUih3cAvYeOXFr8LFbF1PshfcEmGNoKXZqwQRPxLx2OFOsoeOyvZTfBkfFIi49\n0e6FcuFoc+E719yML34RH/rQ4KhYxtg4MqEWxK7A+WPDrlUtJoyNXxU+dgU2N+T7PdsUG79t\nhwmeKPtKsbuQ4ImtU5V3vfCqn37uxUkL6ZAglSdAe8h6kpB8mS4jdIIn1uBjp8OIL1wa44wZ\nJzOX2VDGHc9q8JCjIXb+KBW7tK949VcyeCJpSiam2PjaIwSdwah6g+bLSQ03Q+wAfPxN78TU\nFN72Njz6KDCgpNhYRImC2BUYFmPkNmP0sRu3VligwEZAZc3I+NXysRvCilcJPACdXuTUvxqI\n2IGPM8bwhtsOXL93lhhGh+xGIenfpj4RM/qJ+Vm8xjXFasXOnnY29WRMMZ5RlRRzuDI9cc7o\nOZzNTCYnGeHwKPt8rl7aPp2Scfo8kCNxGVZnfk1a20O7VgRNlWeu3oHZWM1UTLsWIXZntuzE\nr/4qFhfxne+A85TgCXN29Ckp8tgVmFCMkdww8u9GD1342BV4EiC/VDnJYzdUVKxU7No9rYwM\nfft4aaxxmMx5qchqP0TwhG6Z8lPK0yjZnsLjrB+JC3+GMAboRHZDmWKHiYodhY8dZ+zz/8dz\nJJsfAdS+0AtALYSRUizNb4aYNsp+ux/2QxErdiR82PhWygs5HDJ4ggHO5UYAACAASURBVFBJ\nqthxBrz5zfjkJ/EP/4C3vx2eu+PxZTOmyhMFsStwAdioq3UsEeNqaOf/AgU2I3ju68e8Ptlw\neezKOt2JfIMO76HPmPRYFz5Pea+fd4Ji18dukGKXVOBi9YvZf8brMmfoc95BdOGKHQCBRB47\nqtjZjXNGND+MKufodNX1Mztv5HzGh3EaP/cD4JfuuqrVi971mW86wRPOu0Mek/5wPnYguVpb\nXUrsGBjDpz6Fr30Nz3teyi7EgqI2xW7sy6swxRYYGuOWrcYpGRa8rsCmBi1gkAT1xIpNsdl3\nRUUFT4RDZoJNzoSSkvNOBZcsJJrPX6FNwKS9WkgYcx3FLp1BSvjnW3HLgYqKVYdUHxN6UFz+\nmjniaKNiR4vkrM2KZNEIY4pljFE1DkAvjMy2xtPOCp4YNBPOiY9dPzLr1emem0tldbBjqMdy\nfAtiV2BYFD52BQpsVgxZK5beCkOYYtfsYwcts9HOSTK5td2IXsy3rA3zpCxm/Zplik342Lnt\nrWnkkubhwVmcoTdW7Igg5Jy+XFOs+mkdkslfKJI+dtQU6zA7GtYjz5Ehf+fafSR8DGzFbuBM\n4pwptmI3eEPVko/n5TVxJ7XAEwgbFqaaH8u2vkOPI1i9QIENBs+PiiWaWWxmyva7L/seY+j0\nQ2kXW1OaEp4gduZFuVZ5KUvqyyuiah8D4spmTSVbsUvpOalBXgjUITVMxQqesFoOM+JIomJH\nC/0tnTJ5I1jSIBu9lQ6MsLmfvLDNd4tsHg6n2LGM4ImB8b/mMvA5R1qpkvXGxJ3UAhOLcVpC\nxzg0+SAuUGCzgvrgJ2HVijU0K1f3Cjze6UfagX0Nt498Vaf62K31LiTpTixeltMPZ7bbfjwH\ny9jqMsXEVCn8ESl2TCVWS6sVm2ZYyI2K1XMbRVTsaJEkqeb0JfMz0yuTkjYDncfOtGGwA2Zz\nZxIzOxo8MUSeFLXg8fRTs94oiF2B88eGsR010DgeQeMbuUCBjYMWftKv9LjyBGO0XFJOh5XA\nI+lO1jATyVRsUyxzFobtKhE8wQb1w5j1uo9NeHZKvESNhzzFThafGIWPHaCNgw4z04YFa4gN\nqxU7WuTwrVBLdk7sCIgpNjWViSNwhq5FN2sm0KbvRPDEwC3V9MbjwzhxJ7XAxGKMqpV6bI1p\n7AIFNj3yFTs73YkxM+XdG2Wft+MExWtW7DJ87IbvJu4KiZs4X7GzfOxsYQwZPnbInaE2xQ45\n65y5SVJiDcmJIsTS2ud0hUEncSxIOqURHzu3sfGx41xVMU5V7BwiGIZDmWIRF55IpDvJ3wW9\n4OkPhcLHrsCEYuwPgPEETxQ+dgWeBMhPUEyLBMZmpty7ohJ47Z5DQoZCWvDEeSp2SdYyMCrW\nFuzSMtsp2uEodoOnMTLFznb8T7VZ61kNQewmVbGzasXqxUi4UlscFauvGUew06Zz9Rj3qB/e\noBPCGUv1sRuocdDadPnlidcJE3dSCzyBsMGm2PHksVO3ZcHsCmxmKFNsxi1m+dgxa5MslH3e\n6Z2Pj10yeIKQqrXdhvG49nY5/XCWrhWRyhMp8ls+9RwteYrs5LpW8MQaomJVg0lU7FLWqHVG\njYtlY5JhUe6KEzhLfexgTLHDVZ5gjCYojtXCgceMZpNRp6YInigwmRijasXIv+OcQYECmxT5\nugJJKsFMq3xOUAm8Tj9aa61Y0y2VAwltWkM/IEnpSBHY+N9UcF213RmRajBIe0/n+Mgrxe6C\nKRT1IXMS0aV6IQ98YtfLk1ihIM8Uq9O9pAmW6eXC5M8ZUbEDDhAj+l+rF9LCesPsAgCPsz1z\ntbLPDyzU8zcZLSbxvBaYTIxTtRr0OF7nkQteV2CTYw1RscMpdiWP98KoHwoMMto6UIodUbmS\nnvLDw2OsL0SWwJYEY+kHwXHXS06EgQmI9HQnqW555wtBKmWZzlO9kHOYt5xnYyKJnUTq1IUQ\nicIb6joxzpFOEmNOiK8xxUZD5rFjjPrYNcr+YivCMOlOSIKeK3c07/+VOweMNGoUil2B88eG\n0R392BqLKTbPRFWgwOYAS+okBKmVJwYpdhzaM2lNt498a9LOz9vHDhnsM2finDG6STJBHS0q\nT5Fz/EZXeQKAW1PLIS4UOTxY/jI1kcQux3aZNKHGWjLTapxIUeyc0zd8rVhZVKzbj8JI1Eqe\n7mS4fVjjJ80IURC7AsNinKbYQrErUGA9kR88QYquxnfhIB87D0CrK7P/r3kmqVGx5/EE0Dnk\nLL0tpxtmfz7GO8usNcmZUCd9B6OrPBGTkrhz0q/z6ZubhxkAGpWJJHbZAS5hpAtvxD52sWLH\n0kyxnESuMJ3bb8hasYwpfVR+n1Q1sRvI0R2Xvo1HQewKPAEwRl41Rk5ZoMCGwVO8JP1XExXL\n0t2bUiAVO+lyvqbXm5fwsQMxbA3fj4QTBjtQgOcZeeyclHgpPnbZKZlGFhULwPjY2aUXUulm\njlzEJ9gUm9wXc7Qj4eaxiz854qjYVB879adHgieGOB8qKlYSu1rJ1/MZuAv67IzpzTGG8yqE\n+OM//uPPf/7zYRjedtttr3vd6zzPG7LNpz/96Y985COmmed5n/70pzd09gUINsxAOZZUQGpo\n8m+BApsVw0fFxm77uTdkOfAArJ6vYkcrIpAIhjXfiCp/r71dfvAEHSU5tFbmkhtm9iz9wEac\n7iTuPPPEDYyKnVBiZ6mr1pKpFWvWUC05NXhCR8Wqo0RVvSF87CAiAZ3ErrZGxc75SNhIjOG8\nfuITn/jsZz/7lre8xfO897///YyxN7zhDUO2OXHixLXXXvuiF71o46ddYJym2LGNrMKoCh+7\nApsb+QneaKl1g3z9rOxLH7sIa/WxS+hbyWRywyOh2FkdJsEy/jRb6HQnCcUuWwvUit1a5p09\nOyeDjGPnNdZDDDhcDJNqikV26lBTK9YcZ/rJIRedJMbU5ML018ga0p0AANpdh9gN3JAB4OMz\niG70eQ3D8LOf/exrXvOaW265BUCn03n/+9//qle9qlKpDNPm+PHjl19++Y033rjB0y4wXujb\ncgzs6sB8/VXP2Pvia3dt/NAFCmwY8uuZlqySYkMZRiuB9LELscYPs2SC4pSSr0MjtWRWnmLH\nGYtoS9XUIZfJDnL0S13160KRGhzgUEzOmGkwMHhiMtOdZKmhSCNkPknEo6JiHVMssy4nyxQ7\n6JRwxmRv7X4EyxQ7YEv5+7jssNh4H7tDhw6dOXPmhhtukH/ecMMNq6urDz300JBtTpw4sXXr\n1g2ecwGJ5FN1wy5bZQ8dx21S8vl7XnLN0w/MjWHsAgU2Csl0/xR2STGFgQmKAbR60hS7ZsUu\nlZScR6JfThWbQbsJIPBYwFMyrTjVYFNe7RkmWhjSfMGSnRzTKb/reDrSP/IqT3CGiY2KzTZq\nh7r0hGOJBrDQKA3OY8fWViuWm+CJrhM8MXAXMq/hjcFGn9fTp08DmJ+fl3/WarVqtXrmzJkh\n25w4ceLuu+/++Mc/3m63r7zyyje84Q07duzY0B0oMBbk2okKFChwgfByMxTHtROG9rGjit35\n+NjRqFi9fOE+dvo1n9n+nS+8ijIDYvG0ekhORPvYpfLREfnYgRAXwzgdXxEyyECL82Qqdskw\nFCt4AhYnk4pso+w/Zdf0Vx8+DULa6mV/pdN3fB/XmKBYNWjZPnZDlhS78DN+3tjo87q0tFQq\nlWi0RK1WW1paGqbN0tJSu93u9Xpvfetboyj6+Mc//o53vON973tfrVaTzX75l3/5M5/5jFy+\n6KKL2u32+u/Qkwgp36gbdd1mRaIVKFBgJJDEJesGo5UnhoyK1YrdeeaxS013ch5vSi/tmzCn\nmzuu3JbakiRCS59JTpIOncdu2DlnQQVPpPrYmTZgwDCmWIZJ9bFLPu3NUlxSzL4Ib7l43ufK\nSUAGlzz7si2/8Yprf+ET991x1TbEFI1ZPnYDz4id7qQWaGI3eDtgcyt2X/nKV37jN35DLv/6\nr/96o9HodrthGBretrq62mg06CZZbRqNxkc/+tHp6Wl5yi+55JLXv/71X/7yl2+//XbZbMeO\nHVdeeaVc/trXvrbeu/ZkwxhZFRv3BAoU2NwwtqpUlGjlCbPJMMROKXZruHeTtWIvJHjCCRpd\n6yei2V1SK1b+mZh2tkgzXy/5nE1VgjXMO3Uyktg5UbH2iaPj51muGTDhpti0n0KhWKv5dcd0\nlTF8/1XbYJdcKwfeXL30kdffJJsZ3Y7Wih2Gn0mBsK3y2Pm6t0FS36Y3xT7taU/7rd/6Lbk8\nPz/f6/UAnDlzZmFhAUC73W61WrOzs3QT+WeyDed8ZmbGNJuamtq2bdvjjz9u1rzxjW984xvf\nKJdnZmZ27ty5vvtWYKMwxnQnBQo8GWCKaab+apcUU20G1oqFljrWFB6YrBUbU8nzUOxcQcvq\ncCCcyl3I9rFj2bGc/+X5V/z4Lfu3TpWHHjZrMgwplSecaZDlQabYCVXsEkfSLOug2HjVdXtn\nvvp/fv9cvQQ7j52z507xknCNCYoT6U4G7MLYTbHrHjxRLpe3aniet2/fvpmZmXvvvVf+eu+9\n99ZqtUsvvZRuktXmvvvue9vb3ra8vCzXt1qtkydP7tmzZ713oYDEGC2hzPm/QIECI0V+dYTA\nS0lQnC9IyEDa1W6INYYHJvPYJbMEDw9aeADaSDn8GzephGnFLmmKtZpRlH2+7/9v797DpKjO\nxI+fqu65NTM9AwMDyGVAUIGIoCJkQ1A0GxR1iGyMS1CCcXHRJD5R1rBB0GAekt3HiEFdfu4T\nw2o0ZpHEsGqWuF7WaAxGxduaZJWoa4wBohh05M709O+P6qqu7q7Lqb7Urb+fP6Cnprr6VE/X\nqbfP5T2dKdkSu8lYrRVreUYRXSvWIQdCpr84QbEQQovqjI2WM16Nv07hGDt32gdmf2G6E4l5\nMHFvsSuSSCTmzp179913Dxs2TFXVDRs2zJkzp6mpSQjx8MMPHzp0qKenx26fiRMn7tq168Yb\nb+zp6Wlqatq0aVNXV9f06dN9PoW6Zf6QJlQl05/1Lf+IQ6UJoHLOeewURSQTSl8ma0656iHd\niZcrtxZLipkO5a3t3yrdifURHMKRatFeOlvYImWOgEVhhOcQvyZDPCu2lPFXyPQ7TWfNjZ+z\n2qMoR49kHjtVUfpzCYr7hfclxepo8oQQYsGCBX19fevWrevv79dWldC2b926tbe3t6enx26f\nxsbGtWvXbtiw4eabb1YUZcqUKcuWLStdtQI+UBUlI6Sujapw6OYAUDmHBQw0jQm1L5NRSnq1\n7DQntSXFypw8YRmglN8VW/g8Dy12+afoW5SCH4uOWdM6Sju2PvC/4D3J9zXrj44eMuD4Ee12\nh/rctJHNDer4rrYaFbUSeoYai18ZcZ3l25zrqrYK2ox3SXvQJ7nyhN5id7BwSTGJdCdClJWd\np1oCCOwURVm0aNGiRYuKtq9evdp1n66urhUrVtS6hLBkvgySqnIk41/XqMNwWgCVc1gRS5NM\nqEJkFMU0ITHhdEVqS4ppd1BPXbFqSboTyc5fSwmreKuMFruEVctfqZo20pi7GosWPy192Z9c\n9gmjj7LUSaMHnjR6oN1vw8Dyfcz0Z4smBZs5dLNqPypKrhPVchyeRRmU3NIT2lDRAdItdlpL\nRDK4pSeCCykRZZ5W9a4W0p0ANeK8VqzQM56Y4jq3tWKT+ZuLp9pCX3nCnCXYaJ3ycJzc0Qqj\nT+MGL/n0fItdYS690lu7c192VWgHL4ps9Ad6T7HxILKVZenAG+NhJitKx9jldzNPnijcw8jS\nWDAr1j0+02fFFiYodm/q05p1gwuvCOwgy/g0q75HWLTYATVlme/NLJ/xxAhxJMbY5Z7ivcXO\n3I1lbryTP45GnxVbEO7IHyXfA2uUwKZp07XJs3KWS2YVrzwR/VqydIK2cVKW3awGh3Vg82Ps\n7PcppahKQR47Y0kxt0+QdgoBLikWjbGTCAejQvc70mKMHVBTzrNihTEx1nRPk0lQrPF05Zau\nFWtqnfJwHE1JV6x1e5ud0q5Y+2fWvI5STN2Ixim0NTfM+diwmeM6zfsIL+cYNnqrqmmL5OQJ\n03JhRSdvjIDU9unr7y/dx7IkhWPs5JcUy5cnEAR28ExVFJ/b7CJbRwHR4PpVTQvszNe+832r\nKZlvsfN0h9NCsaTVgq1lpzspeloZ9UlRkuTSkugteTWvqgrnTghFEd9bdHLpbtEN7DSWpe/X\nVxSzPDvzGMTiUZX6A32Chf1rFB5Q6/k9cKRorVip9zaQAUu5lw7qhRE5+epVr718C+/0USTR\nrqqA0HKdFduQVIUQiulu6BbYmcfYldEVa9li57kGSFqvPCH7dKsGMOs2Px8yXCimWZ92r1N0\nplFUGiIbj0rXijVzmhWr98irBa16Lu+RoofRB470C9OSYq6D5wLviiWwg2f+fxFRGGQH1JLE\nGLtcZ1bRKqV2CsfYeShJaVesuXXKq4Rli510VWJ04RWVofT5DmvFVot2cH1WrPVLKSUPIsj2\nncz055aesDx7PY9d1jiIQdXbBrQHsulOFMWYPJFUlcaksf6KW0SoRfm02CH8TK3Zfn8dVEz/\nAqg6fVas7Q5aV6xS2HLvcMCmhopa7JJVarErGjuo5I4j+3SlpB3OrrPCh8kT5jF2dq9T2LAY\nSaXvobEl0+/0RK0hrd9qn/z4AXOrp2tJhDDSnTQ3JOQ/h6pS/Bn2GYEdZJnH1ij2X6pq89L5\nfwFUnR6l2XfFmpaLzT3FucXONMbO04WbLOkUrmTyRFtzg6ooRvOh15FwpWmH7RIR6y12Nayk\nLNeKtdyn1iWpqdIQ2TiX/qzT5AnV1GJX9PYYDdKqafKEREkUY/JEc0P+i4xURFhvK08g6lRF\ncbq8akDv/ohqVQWEnGuDU67FTlGMy9A5sEsmFG3hQeHxDpcoae0wHpbRt3XN2RMu/sSY/HKi\nuRFysk8vvUPrbXjWe/rSYpd/Odedo0gp/R6fb7EzVp6wOD3LdDD6r3IPtA+tFte5x/eKMNKd\ntDQaY8tlZsUqItCuWAI7yDI+pEZ97fOLR7eqAkJOuwk53Ika9DF2xmXomli/Kalqq6d7usPl\nJk8kimMpUVYTyMBU48BU8QIMHo6iCFHY6WzXGONbr0IuVrZ57yt5r0LFsvT92Wx/4cIbZrkW\nu4zVyhN6O2tudQr7LMdFtDF2Bw5nBqYajd3dV55QhKArFpFQWmX4VnUwdwKoqaJEvqWMFrt8\nx6jb3cPIeFLOyhMFjWSyY5tc5WoS6QKVrjOhlGyx27PqCmfFWr+Q8RcMLqiolFVXbI7RFWt5\n+toH0jJoM/p8cmvFWgV/liXRXu/gkUyLuSvWNbDLPZ3ADtHh/+dV7+aIbF0FhJvlmqpm+TF2\nhU9x0NwgO4uwoCROkyfkD2PN61dE2w7Wki0+1IraCzjm6I1Dt0bpAG5zgmKHJ+Za7Kz2MYYN\n5JIYy42x00pyuK+/rz/b1KDKfw5LV0/xGYEdZJnG1vg+K7b2qQSAeqa4BXaNyVxfbb4rNuFy\nRRotdp4Seqkl45Pku8A8vYQM7W0pzb1i0RXr8chlUEyTA+xeyNSdEtn6MtdiZ1H+/v5cE5rl\nuSXs14o11i4qnGAh1aOay05sSt/jOrRAMZUnEAR28EzVB1D79rG1/d4MoBpku2K9dIyaWuy8\nlCRh32JXpTulfHlK0w7bTb+wm1RRRXqLndMLGcFEdLtiHZpHM9msloDE8i+obbReK1ZvqXVo\n1bMriTZOVFt2Qu9wd3uiTfTvGwI7yMqPsQuqziCyA2ojUTKwqUh+VqzRYuc+ecLbEkx6Sawb\nyURVumK9rhWrva7pRG1b7Go/EFh7hazjqgmmNW2jWluW9s8Yb7ZzgmJ9VqwQJX+H/LcRNXcc\nu4OUPuvA4XyLnT5FxrWpr/gz7DMCO3iW8HupWCI6oLZc5xM0loyxc588obfYlTN5okoJiot4\nPoDF5Anr4xjD8ysonVtZhBBuQYnR6x3dOtOhf8aUx87i1+bkw0X0CRmKvo/NIYpKogghxP7D\nfcII7HJHk+uKpcUOEWJk4PZxrVhfe36BeqNPnnDois1dg8ZdrUYtdlZrxeYeVKu+kS+PXVds\naQBnl7i4ivRuROHwQkUrbURRaYhsPOrPZrP2mUpyM16tAl9VbwU0JyiWvH9pY+yaGxPGU1yf\nptJih6gwd4j43GRn9y0ZQFVYrqlq1pDUumI9dIyWN8buxNEdE4anJ49oN7ao+XFjlbfYeYt7\n9OQm+S12mZyNUVw1ZOqKtZN0GysZfkrBf0KYIrBsVjiMjsstKeacoNg0ecKV9nnTxthpi5fo\ngb7LE3P99SQoRvjlMySppZeePwUAUBOuDU56upN8HjvXBgmjxc7T98ApIzse+uos8xZTKFmd\nOkD+KEYXXlFhrFaecGnyrJz2Ei6zYqPfYqeWtIqZHzvMe1AVRVGMtXQL3gKl8EObkVwrNtcV\nmxFCNOe+2Ej9lXMtdnTFIkISfg+xkx2yCqA8idzKE/ZdsSURg3tXrN5iV2GflDnRUlV4mTxR\n2qdm08sm15ZTCa3U/Y6BXQy6YjV2p+C8SJ0iFC35cFHUZsS7+gQUp5cwHU0IY4xdo/cxdnTF\nIvzM35v9njzBGDuglrSkdE6BXdJId5Lb4jp5otlosausbKYxdpXWAa5L4hYpbZ+zmySh2myv\nIu0l+mXG2EW5srT4K5s29DnmFlZVYdnLmvsLKoqnYEu7HA6a8tjpSRbdnqkIEWhgR1csPMt/\nrP363DLGDqipoW3N1547adqYgXY7FC0ppiju7RamWbEVXbpVnBWrkQ8QS/sK7IahqH6kO1GE\nkYDXZh/XFUTCz2ryRP6xcy9qQlEsIz/Lj5C3rlgtQbFc+O7D+nLOCOwgy/iQJhTF5+az3Net\nKH8NBcJMUcTffXKsww6NRoJiIYRca0RTspzJE6WquKRYa1MyoSqpxoT7rkIIoyu2YIyd9T17\nxtGdyYRa03XftUNnLBO16ZL65OXoKv0ab35sOenVoCpKn1XkZ0Tdpcv+OpdFVDB5IsAlxQjs\nIMuU49H/PHY1/zYMwEEu3Yk+I15mYHh56U4smAaBVHQcIVadM+nvPjm2vaVBcv/SG7kiirdo\nlp95XIVlc2UeH+a8pFiAbUWVU0z/5raYfrBMU2dQFSVjlcrEaGb21D2qHaMwQbFSenDLYgi6\nYhEt/i9HSFwHBMtYUkyVHj/UXFaC4lJVzGPXkWroSMlGdcKqfc6PtCZ2hTHNirUrQDL6kyec\ngye9Qc4mrlXt0p3k9ndb37iwJEII68kTUk9kSTFEgPEpTfjfYldYAAA+azDSPUgHdvkWu8oi\nu6qPsZOXq3lM5R85MNXe0jBheNrnkgi9AtRXnrCLbLSu2AjXlaW1vflknBfeyDc6FG/PbTT/\nKd1nxRaMsVOFdIOoIn2N1AgtdvDM97hOdsgqgBoZmGoUQrQ2JeW7mcpLUGxJVZT+bNb/O6U2\nUczczDNiYMvL35jjczE0Wr2bzaU7sd4nEf3eDYtJsaZNfY5dsXafkHweu4LJE1I9qqVrxUqM\nsZMdrlAjBHaQZXxIjZUnfPvYEtIBwfrEuM7NX5o5eUS7NuvQW4tdNdKU9GdrmyXORoiGrGmF\nyFgl4DXoaWv8K1XVOdf2/Y4tdsb2oh2MRNOly/46laSgxS5h2u7WYqe9KC12iABTV6zfr6zk\n/wUQiBNHdwghMlnZ1ohqpTsRQm+z97+rINdCE4qqRya5rj5LIBQFLlPJ39p8Mi4tdo5zSpTC\nFHTugZ02K1bLY9eYz2Pn+sTAJ08wxg6eJfR6zrfaQzH9CyBA2mWYcE/Smk93UnmfVFBrNOlN\nLz6/rDXzyTuvPBHxFrv8v6WcV1QzwkGleHvuX09dsZqDpq5YjWvEFvgYu3B8YBEF+bVi/V95\nIgZfQ4FY0Fsj3PfM915VY4ydCKLNPlTZQ2SKEZuVJ+zy2DmsFSvsMzaUN/9G74rtE4V57Fzf\nXsX7a1UXgR1kGZ9S/7+H+NxACMCWIoTEQrGiqi12Qd0pA296MUskjKjFbUmxUJS3TM4tdtpS\nsLZp/PRPpXWLnVDM494k50DsO5xRFaXJmBUu/cSaZqt2RmAHzxK+N9klVCWpKsZ9AkBQ5Hsn\ntckTDlGIPH2NTv+7YkPUV2AE0w4tRgHOxKwWvdvUus8047hWrPMYO+ExRtd2PXA4Y/TD6k0M\nUl2xTJ5ABBgfUv+ruYSq/OCS6cPam31+XQBF1FxrhHtkZ079VSHJNBNVF9TrWmrUW+wcyqOP\nsQtHicti0WJn7orNSuWxKwp9jZV8CxYRcW94E0KIA0cyA5r0wM5Li52nZMjVRWAHz4wvPX5+\nbmeOH+zjqwGwJt8akWuxq8aLqgHFK6GaFduQtOloNInNyhMFW0yPXcbY2XzdMIaEevpTajsf\n6st0tjaaj+96EDXoFjv6tiDLnONRskUaQPwoitT4IS3dSVVub4GNsQtTHjuZrlh95YkIc765\naGPsXFvsSt6i3Nti7oqVvH9ls6JoFJDkkmIBdosT2EGW8SFVA1h6AkBYtDQkUo0J192aq9di\nF9ScgMakqij5JTSC1Zh074rVW+yiXEGXlN18OpZLwRrsx9hpx/E2xs7YtzjVtnuLnSJYUgzR\nEuDQAQCBu+vvZgxKNbru1lS9MXYa/5tAUo2JOy6e3t2Z8vl1LTUkjCXaXFrsQjIosDylqW3M\nZ6MlKLZrszSWgi1ZecJiu8SblNulMWmk2s7/6/S0oLtiCewgy5TuRFH0lm0A9WZa90CZ3bQO\nrKrc3vR8cpUfybPZxw0J4FWtGF2xTpMn9GEyfhSoluxOwHlJMeOdsQzsFKEUJCiWm9wqTBkZ\npbvmtQlGdMUiOuiKBeBKVZTGKt3ccl1pkW6JqpjRFeswxi4GkyeMbtOiLZrylhQzt0rIl8TY\ntTHfVip1EFVut9qhxQ6yjNokEZKxxADCrSmpVindiZY/oq4rLt+pjQAAIABJREFUnqRd+l2T\nGHTFapxXd7BNUGyzpJjRvesxQXHuQdEgS8mPIUuKIQr0T6lRvdZ3NQvARVOyOl8DA28CCYOk\ndB67SHeplC4pZrGP7XOtt+tLgRWtFStVEmFaQ0VyibnAJ08Q2MEzRYl2xQHAHy2NCZk1KlzJ\n3OxjzwgvHKpffa3YCCsNh+QDertYSjVNqpD/FBkHK5o84XqEwL+H0BULWcaHlK5YADIuP23c\ngSOZyo8T+J0yDEx57Gwl4hABW5+CqijOuU6EOXl+4fPNy5QlFKXP7Th6OYwWu6KVJ1xnXQTc\nYkdgB1nGh7nOR7oAkLRwxuiqHCfAWbHh0VDYIWgpkYhBV6wQRWPsFCGESCaUw31Z8z5Wz3UZ\nYye01rvc1FrXQXa5//NtpbmjuTxv0IBGIUTnAPeUQDVCYAfPFNXu8gGA6pMc2xRvDTZJ2sxy\nLXb+FKg2tMIXJJxTctlDDuf3sT5Fuxyr5k+O/KfI2C8f2MkNYfzctJHTxw4aO3iA5AtVHWPs\nICs/K1aJfFs/gAjR21qCLkegjATFDmKQ7sQubEqaT98tgCs6RkJVkqqiZS2Rn/xnlKTRY4ud\nqigBRnWCFjuUIcChAwDqkHmMVN0yzYq1fR/0dCcRfqP0Frvi6avmlIh2p2eXDTupKv928SnD\n2puF6euBe4Ji/YFpjJ0QUXh7Cewgy/gwK/oFEfqPN4A4oCtWmFrsHL5ax6ErVk9NUrRFpkEh\nv/JEyXtw6rFD9H1k3578WrEN3tKdBK6+m7ZRloRS59+cAfhKJUGxKbBr0Ve4KhWHPHbavyVn\nkDT1xLsmKHbK4azvI9/zZLTYCZuyhQ2BHTwwvjmF/oMNID7oIhCmrtiWRpfALtKDZZSSRsdc\nV6xpZoR9ImKJVr38+h1SWUtEQYJi2VcJFoEdPJP4UgQAVaPNRqzz0b2N0i120Q6BbcZTyiw7\nnE9EbL+Pl8kTuQemdCfRiJsJ7OCB9rFOqPTFAvBPVFpKairfFWvfYqfGYIxd6RZFEabTd/gU\n5MfYOcwv8ZDupGRWbEQm8RDYwYNcV2z4P9cAYoQExcLUF5myD+y0fSL9Rtl1xeZXlbAPXGVG\nYcq06uklyT0omRXr+iIBI7CDZ6Z190L/AQcQfaUpMOqQ0WTVbN8Vqy+xEOE3yn7yhPtJyaTO\nT5imzrodLffAmBWriGi8vQR28ED7ONMTC8BPtNgJIZKqor0PDmPscgmK/StU9ZXGZtoWo8FS\npivWgUNKlOKS6A9KJk+4v0qwCOzgWULVv7gEXRIA9SAqiWFrTQtuXGfFRvp9Mq/rqlOEKd2J\nVGtcZftoUo25RL+mMXa02CF+qF4B+C4qiWFrTeuNdc9jF+Uv3VaTJ4QwpztxaLGTWE7Xbtmx\nUqMHpbQHxhi7dEsy1ZiQ6RQOFitPwANFKEJkVSXS9QaAiBk4oCHd0lDn6U6EEA110GKXS3dS\nsjkpMXlCJvSXT3Pd3WkEdrkmsO+cP+WD/UfC/zkksINnRoLiaFcfACLiuxdM3Xe4jwpHtsUu\nym+UPkHBvEWIwpUn7Eg06nmYFTumc4D2oFmfPDFoQOOgAY2uxQgcgR08YKQLAP+lWxrSLQ1B\nlyJ4WnDjkO4kEZc+a4sExVKTJ9wzNhjNba7v0vCO5qakeqivv2hJsfBjjB08S6jhHzwKAHGT\n64p1H2MXYUpJV6x2v0lKtLTJpDuRj3pVRRk1KCVMXbFRQYsdPNDTnTArFgD8pnXFNruPsYtw\n3Vw6K1ZPUFwwNdVSQiIA8zRC7owJXU1J1WFQYzgR2MED7YpSabEDAN9pLXZOXbHRH2OnKZ0h\nke+KtX9WvjVOortWJsC75uyJ7juFT8QaGBEG8rOKAADV4jp5IjYrT5gjs1y6Ey+pTBzk47ko\nv0vOCOzggakrVoiIVx8AEC1JtzF2MVh5QutxLRhjJ4Qwrajm+FyJyRMSAWLUEdjBg9ysWNYU\nAwDf5Vrs7Lti1eh3xdqtx5qQymNnHMRW+LPQVY4xdvBMfhFloJ5ls9kf/ehHjz/+eCaT+eQn\nP3nxxRcnEsW3ZLt9jhw5cscdd7zwwgsffPDBxIkTlyxZMmLECCHE5s2b77jjDuPpiURi8+bN\nfp4UAtTo1hWrtdhFO92JzazYBokkdTLDhFSJmbNRR2AHD7SvSgka7AAJmzZt2rJly1e+8pVE\nIrF+/XpFUS655BLJfdauXbt9+/YlS5Z0dHRs2rTpuuuuu/XWW1Op1Lvvvjt16tR58+YFcUII\nmGuLXRzSndhsSkisFatUda3Y6CKwg2eEdYCrTCazZcuWiy666K/+6q+EEIcOHVq/fv3ChQub\nm5td9zlw4MDTTz997bXXTps2TQjx9a9//Qtf+MK2bdtOPfXUP//5z8cdd5y2HfXmvBNHdLY2\ndg5ostshBrNiFZt0Jw0yQZvRqOc0waLghWKJMXbwIvfNKf5N2UCF3nnnnT179px88snajyef\nfPL+/fvffPNNmX16e3vHjRt3zDHHaNubmpqampr+8pe/CCHefffdrq4uH88DIfKZqUfd+Lkp\nDgFJIi6zYktPIOFp5Qn74xv3rxi33NFiBw9yiSKVaFccgA+0OKyzs1P7MZVKtbS07NmzR2af\nSZMm3XTTTcZuW7du/fDDDydMmCCEePfdd7dt23bvvfcePHhw4sSJl1xyyfDhw/05I4SfGoOu\nWG2MnekWU7zyhP3dR+bGFO0BiHII7OCZWg9XBlCZ3t7exsZG82yJVCrV29vraZ9MJvOzn/3s\nzjvvPOussyZMmNDb23vw4MEjR45cccUV/f39995778qVK//lX/4llUpp+3/nO9/5+c9/rj0e\nM2bM4cOHa3iGCJ9kDLpiS7aouTx27mPsZMbP1cPti8AOHuTSneiTJ2i3AwzPPvvsd7/7Xe3x\nDTfc0Nraevjw4UwmY8Rt+/fvb21tNT/FeZ8//OEPN910065duy655JJzzz1X2/+uu+5qb2/X\nLr3x48d/8Ytf/PWvf33GGWdoT2lubm5ra9Me7969u7YnjPDRJ09EuGbOjbEzbfnEuMGfHD/4\n5O6B+g62z5UZPyeTNiXqCOzgWT3MKgK8mjJlys0336w97uzsPHLkiBBiz549gwcPFkIcPHjw\nwIEDAwcOND9F+9Fyn9/85jerV6+eOnXq6tWrjWepqtrR0WE8va2tbejQoeYA7oorrrjiiiu0\nxx0dHUcddVTNThdh1JFq+PSkoacfF+FRmKWj5D52VPqHS2b88S/7XZ+rSoz/zo/Di+99jMkT\n8CC3ViwtdUCJpqamLl0ikeju7u7o6HjppZe037700kupVMqYD6Gx26evr+873/nOpz/96ZUr\nV5pjwZdffvlrX/va3r17tR8PHDjw3nvvjRo1ypfzQwSoinL7F6YtnDE66IJUIJfHrpwExTKr\nhZla9cosYPjRYgcP8kuKlbSWAzBLJBJz5869++67hw0bpqrqhg0b5syZ09TUJIR4+OGHDx06\n1NPTY7fPtm3b9uzZc8wxxzz//PPGAUePHj1x4sRdu3bdeOONPT09TU1NmzZt6urqmj59enBn\nCVSZvvJE8XaZpcBkepPqoceJwA6e1cOFAVRuwYIFfX1969at6+/v11aV0LZv3bq1t7e3p6fH\nbp8dO3YIIdatW2c+2tKlS88555y1a9du2LDh5ptvVhRlypQpy5YtK13NAogufQB38XZPq0rI\n7MMYO0AI81qxQZcECD9FURYtWrRo0aKi7atXr3beZ968eXZrS3R1da1YsaLaJQXCwjaPnUSL\nnenWZL+erMRxoo4xdvCgaEmxGF8YAAD/6StPFN9djA0OLW0ynUkSS85GHoEdPKPBDgBQC7lW\ng5LtMl2xHlv1YovADh7oXbFCu+7if30AAHyU64otHWPnJWhzSncisYJF1BHYwTOjKxYAgCrS\nW+yK7zEyOepkbkz1sNY5gR08q4embABAEKzvL0ZXrNSqEvb71EMeOwI7eFA0K5aGOwBAFak2\n02I9rSrheHxmxQIlEiw9AQCoAbvs9wmJgExmjF095GElsIMH+XQncR6fAAAIhl13kKK4t7HJ\nxGwyue6ijsAOHhSlr4vtZQEACIJduhORb7STGWNne3wSFAMW6IoFANSCXboTocdkDncfRaYr\ntg4aJgjs4IF2JRDYAQBqwW6MndB7UR3H2Lkf3zR5Ira3MQI7eFYHQxQAAIGxjLoS9jFfbgeZ\ndCdMngDMtKuFyRMAgFpwHB7n8lxv6U48FCpiCOzgAV2xAIDaUezXq0zkxtg5JB92H0CXH2MX\n37sYgR08M33jie+VAQDwncPMV9WtK9a1SU8UJDqO7f2LwA4e5FaeiPE3HQBAcPSkWlZj7Nxm\nxSYkullZeQIopmWJVNxmJwEA4JVTV2yuxc72xqNIzHhl5QmgiJIgmgMA1EZRGnwz1wmtHlee\niC0CO3igKHqKyKBLAgCIH4fFJVSXhSdM6U7sj28EfzEO8Ajs4I05RWR8rwsAQADcx9jZP1em\nNS7B5AnATFHcU0QCAFCeUYNSbc3JY4e2lv7KdfKETPLhekhQnAy6AIgSRW4+OQAAZRjTOeCV\n1Wda/kp1mzwh083KrFigmDlFJHmKAQD+cJ26J5PuJJ+guCplCiUCO3igKIprikgAAKpOdeuK\nlUl3okqsJxt1dMXCg2O6WvcdzohYN2IDAEJIYvKE+0HqoSuWwA4e/NvFp5h/jO91AQAIl4Tb\nECCZdCcy+0QdXbEoT4wvCgBA6GhT9xzuPTK9qyQoBpzUwQUCAAgFcxZVS/nlwpxmxQrXfaKO\nwA7lIKQDAPjJdZlXmTF2JCgGrMX2ggAAhJLqsI6seQeXXHfxnzxBYIdyuF1fAABUk/ySYjIJ\nimOMwA4AAISd6xg7j12xsUVgh3LEOLUjACCEEm6zYuXSneQexPguRmCHcii5f2N7YQAAQsV1\n8oRMrKZILDsWdQR2AAAg7FT5BMUO+zB5ArAU40sCABBCVVlSzLXZLwYI7FCOXCds/C8QAEAo\nSEyecO9mVcljBwAAELhcYFdZjjpWngBsxPeSAACEUMItYJHqimXyBGBJMf0LAECt5YbHSawV\n69RiJ7FP1BHYAQCAsNO7Ym3JpDth5QnAmuI27RwAgCrKzYqVabGzD/8S+m+YPAEUiO0FAQAI\npYT75An3g9AVC1iL8SUBAAghVX7lCYmUKDFGYIfyxf/6AACEQ27uhENXrMSMV9f+3BggsEM5\nYn1RAABCx3XlCUVxj9hck+HFAIEdysG0CQCAn1zXipXZpw5WFCOwQwWI7gAA/pDpRXUdQkdX\nLGAt1hcFACB0ElJp6oSQWCs23rcwAjuUJd6XBQAgZFxnxQpTKjvbgyhuoV/0EdihfLG+NAAA\nIaL3ojrdefTk+fYHYfIEYCneVwUAIGxclxQTUl2x1SxSONXBKaIG4j3yFAAQNq557IR0V2y8\nb2EEdihHbpRCvC8OAEBouOaxExLpTmQOEnUEdgAAIOxkJk+4pjuRSYYXdQR2KIdi+hcAgFpL\nSCUoFsJ5jF38J8US2KEs8f66AwAIG5leVNcxdq47xACBHQAACDuZeQ+KW5o6Jk8A1pSC/wAA\nqC29sU2mK9ZhrVjy2AFW4v11BwAQNgmJgMW1p1VRhKLEvFWCwA4AAISdfFesS667uLdMENih\nHFo7drxbswEA4SGz8oRM0Ka65kSJOAI7lCXelwUAIGT0tWKd9pHJZqIqMc/rQGAHAADCTmbe\ng0w2k4QS88iOwA7lyM1NivOlAQAIEZmgTZFJYqzGvM+JwA7liPfXHQBA2GizYqW6Yl32ifn9\ni8AO5WBJMQCAn2RmxUp1xaoxb5ogsAMAAGGnLynmFJQpEjNnVUWJd0oHAjuUI95fdwAAYSPV\nYieT7kSJ+S2MwA7l0CdPxPriAACEhkw3q8wYO5njRBqBHQAACDuZSQ+qTPAX98AuGXQBEEm0\n1QEA/KQnKK40j117S0ODGudWLQI7lIM8dgAAP0muKiHc9tqw+JRMf7ZqxQofAjsAABB2HpYU\nc9xneHtzFUsVQnFujUQN0VYHAPCRKpHKRCbdSewR2KEcXDwAAD9JrgPrQ0lCjsAOAACEnczk\nCTW37Fhdh3cEdihHXV80AADfyXTFxn4dWBkEdiiHIjFAFQCAapGZGCET/MUegR3KUeeXDQDA\nZ/oYO8euWG5OBHaoDNcQAMAPMitGyKREiT0CO5SjzoemAgB8ps14db75kLFBENihPHV+2QAA\nfJZrjZPYp84R2KF8NNsBAPwhv/JEnWNJMZSDkA4A4Kej2ls+e9LIc04Y7rBPblZsfd+iCOwA\nAEDYJRPK2gumOO9DHjtBVyzKxQBVAEC40BUrCOxQHr4UAQDCRiXdCYEdylPfVw0AIIz0lSfq\n+h5FYIfy1fkAVQBAqJDuRBDYoTyEdACAsJFZTzb2COxQjvq+agAAYcSsWEFgh0pwAQEAwkOV\nWHYs9gjsUI46v2wAACHEEDtBYIfyaNcO4R0AIDxy6U7quz+JwA4AAMQBXbGCwA7lYVYsACBs\nSHciCOxQnsakKoRIqnx+AABh0ZjQ7k11Hd4lgy4AIumzJ41sblBnHTM46IIAAJCzcMboQQMa\nZxzdGXRBgkRgh3J0pBounNEddCkAAMgbNKBx4YzRQZciYHSlAQAAxASBHQAAQEwQ2AEAAMQE\ngR0AAEBMENgBAADEBIEdAABATBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEd\nAABATBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEdAABATBDYAQAAxASBHQAA\nQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEdAABATBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0AAEBM\nKNlsNugy1ERHR0cymVyyZEnQBQHgk0GDBi1fvjzoUoQFdSAQVy51XTambrvtNte3ZvTo0cOG\nDavmmx0+ra2t3d3dra2tQRek5kaNGjV8+PCgS+GHIUOGdHd3JxKJoAtSc14/vUcffXTQFU+I\nuNaBzc3N3d3dHR0dFf+hQqq9vb27u7ulpSXogtTQiBEjRowYEXQpaiudTnd3d6dSqaALUkOK\nonR3dw8dOlRyf+e6LrYtdplM5vHHH3fe55prrjnqqKO+8pWv+FOkQDzzzDObN28+//zzp02b\nFnRZauvaa68dPHjwV7/61aALUnP33HPPK6+8smLFivb29qDLUlvbtm37yU9+Mn/+/BkzZsjs\n39LSMnPmzFqXKipc68DXX3/9+9///hlnnDFnzhzfSuWnxx577JFHHvniF7943HHHBV2WWvmn\nf/onIcSKFSuCLkgNPfnkk1u2bLnwwgsnT54cdFlqJZPJrFy5cuzYsUuXLpXZ37muS1avYOGS\nSCT++q//2nmflStXptNp190irbe3d/PmzZMmTYr3aQohVq9e3draGvvTFEI88sgjQohZs2Z1\ndXUFXZba2r9/vxBi4sSJ9fBnrTrXOjCdTgshxo4dG9e39//+7/+EEFOnTo1xuH/TTTcJIeL6\nF9Ts3LlTCHHCCSd86lOfCrostdLX17dy5cqOjo6q/CmZPAEAABATsW2xk5FOp+PdbS+EaGxs\nTKfTDQ0NQRek5tra2gYMGBB0KfzQ0tKSTqcVRQm6IDXX0NCQTqcbGxuDLkg8JZPJdDrd1NQU\ndEFqpampKZ1OJ5Nxvs3Vw/hp7e8Y+7tYFQOS2I6xAwAAqDd0xQIAAMQEgR0AAEBMENgBAADE\nBIEdAABATBDYIXrWrl2rKMr7778fdEEAwCfUe5BEYIc4u/nmm6kKAdQV6r06R2AHAAAQEwR2\nAAAAMUFghwi49957Z86c2d7ePm3atPXr1xdl1X7ggQdOPfXUrq6utra2E0444dZbb9W2n376\n6VdeeaUQYvDgwZ///Oe1jX/84x8vvPDCsWPHptPpWbNm/cd//IfP5wIAMqj3UJ7E6tWrgy4D\n4OS73/3upZde2tLSsnjx4s7OzrVr1/7ud7/74IMPli9fnkqlNmzYsHDhwnQ6ff7550+fPv3N\nN9+88847jz766ClTppx44onJZPLZZ5+9//77/+Zv/mbIkCGvvfbaKaecsmPHjoULF5566qkv\nvPDCrbfeOnjw4OnTpwd9lgCQR72H8mWBENu9e3c6nZ46dWpvb6+25ZlnnlFVVQixe/fubDY7\nZ86cESNGHDx4UPvtoUOH0un04sWLtR/XrVtn7JnNZufNmzdmzJg9e/ZoPx45cmT27NmpVOqD\nDz7w86QAwAH1HipBVyxC7Re/+EVvb+8111zT1tambZk+ffrcuXONHe67777XXnvNWMj8L3/5\nS19f36FDh0oPtX///gcffPCiiy5SFOXDDz/88MMP9+3bt3jx4v379z/99NM+nAsAyKDeQyWS\nQRcAcPL73/9eCHHiiSeaN55wwgn/+Z//qT1ubW195ZVXfvWrX7388ssvvvji888/39fXZ3eo\nbDa7Zs2aNWvWFP3qvffeq0HZAaAc1HuoBIEdQi2ZtPiIal0Smn/+539euXLlqFGjzjvvvK99\n7WvTp0+fNWuW5aG0im/FihVnnnlm0a+OPfbY6hUZACpCvYdKENgh1MaNGyeEePHFF8ePH29s\n/J//+R/twd69e7/xjW9ccsklt99+u/HbTCZjeahjjjlGCJFMJk877TRj42uvvbZt27aTTz65\nFoUHgDJQ76ESjLFDqM2ePbujo+Nb3/rWRx99pG157rnnjP6It9566/Dhw5MnTzb2f+aZZ/70\npz9lC/MC9Pf3CyHS6fTs2bP/9V//dfv27dr2w4cPL168+B//8R9TqZQfJwMAEqj3UAnSnSDU\nWlpaUqnUnXfeed999+3cuXPz5s3Lli37+Mc//oc//GH58uUjRoy46667/vu//3vfvn07duzY\nsGHDqlWr0un0zp07J06cOH78+BdeeGHLli1CiIaGhjFjxkyZMuV73/veXXfd9fbbb7/88svL\nli3btm3b97///eOPPz7oEwWAHOo9VCTYSbmAjE2bNs2cOTOdTp900km33HLLQw89JPTJ/L/9\n7W/PPPPM9vb2UaNGLVy48I9//OOPfvSjoUOHfvrTn85ms2+//fbpp5+eSqWWLl2qHWr79u3z\n588fOXJke3v7rFmzfv7znwd5YgBgg3oP5VGyhY23AAAAiCjG2AEAAMQEgR0AAEBMENgBAADE\nBIEdAABATBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEdAABATBDYAQAAxASB\nHQAAQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEdAABATBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0A\nAEBMENgBAADEBIEdAABATBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEdAABA\nTBDYAQAAxASBHQAAQEwQ2AEAAMQEgR0AAEBMENgBAADEBIEdAABATBDYAQAAxASBHQAAQEwQ\n2AEAAMQEgR0AAEBMENgBAADEBIFdfVEU5Ve/+lXQpYiVTCYzZMiQP//5z8aW/v7+6667bsyY\nMSNHjly2bNmRI0cCLF5VtLW1PfbYY6671cNbgSii3qu6erjYo1vvJX1+PSBODh06dP311+/e\nvdu88Vvf+tb69etvv/32hoaGpUuXqqp64403BlVC3/BWAHWCi90Q0rcii3oihHjqqaeCLkVM\n3HLLLU1NTdp1tGvXLm3j4cOHhw0bdtttt2k/bty4sb29fe/evcEVswpaW1sfffRRhx3q561A\nFFHvVVH9XOzRrffoio22z3zmM/Pnzzd+XL9+/ZAhQ44cObJ9+/aenp6urq50On3qqae++OKL\n5mft27dPUZTf/va32o9vvPGGoijvv/++EOLAgQNXXnnlmDFj0un02Wef/eqrr/p5OvJaWloe\neuihM844o6Oj4/TTT3/nnXeuuuqqYcOGdXV1rVu3TtvH7lzs3pyWlpZf//rXn/3sZwcNGjR+\n/Pj77rvPuQwLFix4/vnnN27caN746quv7tq166yzztJ+nDt37ocfflj0/ofK5s2bp06dmkql\nxowZs3btWm3j9u3bzzzzzI6OjilTpjz44IOuB4nHW4GooN6j3qtQvOs9umKr76ODff/v8dcr\nPEhzY+KrnzrGdbe//du/XbJkyf79+1OplBDixz/+8cKFCxsaGj7zmc8MHz5c+8Bdf/31l156\n6bZt22Red/HixTt37rzzzjtbWlpuuOGG00477dVXXx04cKBUoR94QGzdKrWng7POErNny+x4\n9dVX33bbbf39/QsXLjz22GOXLVv2xBNPrF279h/+4R8WLVrU2dlpdy4Ob85ll122cuXKb37z\nm2vWrLnooovOOeec5uZmuwIMGTJkyJAhBw8eNG/cuXOnEGLEiBHaj+l0uq2tbdeuXZ7eg1Wr\nVvX19Xl6SqnrrrtO+1Q4eOutty644IKrrrrq9ttvf/LJJ6+++uqPf/zjU6dOPe200z72sY/d\nf//9H3zwwZVXXrl//37n49TurUBUUO9VhHqPeq96COyqb++hvtueeKPCg3SkGmQquJ6enmw2\n+1//9V/z58/fuXPnL3/5y7Vr12az2csuu2zevHljx44VQuzYseOqq66SedHt27dv3rx5586d\ngwcPFkJs3Lhx1KhRTz31VE9Pj1ShH3tM3HKL1J4OOjokK7grr7xy1qxZQoj58+c/+uija9as\nEUJce+21t99++zvvvPP+++9bnsu5557r8Oacd955n/vc54QQ119//caNG//0pz+NGzfOU/F3\n797d3Nzc0NBgbEmn0++9956ng9x4442HDh3y9JRSy5cvd63g3nzzzb6+vr//+78fP378Kaec\nMnHixJEjR95zzz0HDx786U9/mk6nhRDNzc3Gt09PqvJWICqo9ypCvUe9Vz0EdtU3uLXxwSs+\nWeFBkqois1tbW9vZZ5+9efPm+fPn33fffccdd9zJJ5+bcWtgAAAEs0lEQVQshLj88suffPLJ\nn/70p88///zDDz+sKFJHe+WVVzKZzDHH5CvWjz766PXXpb+FX321+MIXZHe2o3/RcTVmzBjt\nwcCBA43HgwYN0h7YnYuiKA5vzrRp07QHnZ2d5RV/4MCBBw8e7OvrSyZzF1dvb6/sV3/d1q1b\ns9lseQUwaNWTs5kzZ86bN2/KlClz58791Kc+ddFFF7W1tf3ud7+bMWOG8fTZs2dLfn6KVOWt\nQFRQ71WEeo96r3oI7KqvIaFOHtHu28tdcMEFl19+eV9f349//OPFixcLIfbt2zd79uwjR44s\nWLDgy1/+8nnnnfflL3/Z4QgHDhzQHvT19Q0ePPi5554z/7a9XfpcRo0So0aVcw5lMV91pVeg\n3bk4vzktLS0VlmrYsGFCiJ07d44aNUoIsXfv3o8++mj48OGeDnLSSSdVWAxJTU1N999//xtv\nvHHvvffec889K1asuPvuu436SKMoSnkVXFXeCkQF9Z4/qPcqF/t6j8kTkXfuueceOnTo3//9\n37du3XrhhRcKIX7xi1/87//+73PPPff1r3995syZmUzG8ol79uzRHhgjLSZNmrR79+4DBw50\nd3d3d3e3tLQsXbr0nXfe8edEqsvuXCTfnLJNnjx56NChjzzyiPbjo48+mk6nTznllOq+SrU8\n/vjjK1euHDdu3DXXXPPUU0/NmTPnjjvumDRp0rPPPvvRRx9p+zzxxBP9/f1lHDxabwWihXrP\nEvWejNjXewR2kTdgwIBzzjnnqquumj179siRI4UQ6XR63759P/zhD3fu3PnAAw+sWrVq3759\nO3bsMD9lyJAha9aseeGFF7Zs2XKLPkBk8uTJZ555Zk9Pz89+9rNHH310wYIFb7/99oQJE4I5\nscrYnYvrm1OhZDJ5+eWXr1q16oknnnjqqaeWLVu2ZMkS1zEfQUkkEt/+9rdvuOGGl19+eePG\njU8//fSJJ574+c9/vqWlZf78+U8++eQDDzzwpS99qbW1tYyDR+utQLRQ71mi3pMR/3rPz9wq\nqJGf/OQnQogf/OAHxpbrr79+6NChnZ2dF1xwwfbt248//vjp06dnTfmcHnrooQkTJrS2tp5x\nxhm/+c1vhBC7d+/OZrO9vb2XXnrpiBEjOjo6zjvvvLfeeiuok3LW3NxsZBhatWrVWWedpT3e\nu3evEOKll17K2p+L3ZtjPqaWcPL11193LYn2vd9IYpTNZvv7+6+55pru7m4t7XhfX1/1zrv6\nbrnllqOPPrqpqWn06NHLly8/fPhwNpv9/e9/P2fOnPb29smTJ99///3HH3+8cz4nTdTfCkQL\n9R71XtniXe8p2YrHKgIAACAM6IoFAACICWbFArZ++ctffvOb37T81cUXX6wN2a4TvBVAneBi\nN0T0raArFgAAICboigUAAIgJAjsAAICYILADAACICQI7AACAmCCwAwAAiAkCOwAAgJggsAMA\nAIgJAjsAAICYILADAACICQI7AACAmCCwAwAAiAkCOwAAgJggsAMAAIgJAjsAAICYILADAACI\nCQI7AACAmCCwAwAAiAkCOwAAgJggsAMAAIgJAjsAAICYILADAACICQI7AACAmCCwAwAAiIn/\nD/630ljXbeKXAAAAAElFTkSuQmCC", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Rolling mean and standard deviations\n", "# I only use a random sample of 1 of each class of the grouped observations to save on memory and to make the plot more readable.\n", "# The rollowing window is 10 days\n", "# I use the tq_mutate functionality from the \"tidyquant\" package to keep things in a \"tidy\" format as per the \"tidyverse\" 'rules'.\n", "# In the plot \"value\" is the returns, \"mean_10\" is the 10 day rolling mean and \"sd_10\" is the 10 day rolling standard deviation.\n", "\n", "plot0 <- df %>%\n", " filter(class == 0) %>%\n", " as_tibble() %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(1) %>%\n", " unnest() %>%\n", " mutate(variable = as.Date(variable)) %>%\n", " tq_mutate(\n", " select = value,\n", " mutate_fun = rollapply,\n", " width = 10,\n", " align = \"right\",\n", " FUN = mean,\n", " na.rm = TRUE,\n", " col_rename = \"mean_10\"\n", " ) %>%\n", " tq_mutate(\n", " select = value,\n", " mutate_fun = rollapply,\n", " width = 10,\n", " align = \"right\",\n", " FUN = sd,\n", " na.rm = TRUE,\n", " col_rename = \"sd_10\"\n", " ) %>%\n", " melt(measure.vars = 5:7) %>%\n", " setNames(c(\"row_id\", \"class\", \"dataset\", \"date\", \"variable\", \"value\")) %>%\n", " ggplot(aes(x = date)) +\n", " geom_line(aes(y = value, colour = variable)) +\n", " ggtitle(\"Synthetic Financial Time Series Rolling Mean and Standard Deviation\") +\n", " theme_classic() +\n", " scale_colour_manual(values = c(\"#1f77b4\", \"red\", \"black\")) +\n", " theme(axis.text.x = element_blank(), legend.position = \"bottom\", legend.title = element_blank())\n", "\n", "plot1 <- df %>%\n", " filter(class == 1) %>%\n", " as_tibble() %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(1) %>%\n", " unnest() %>%\n", " mutate(variable = as.Date(variable)) %>%\n", " tq_mutate(\n", " select = value,\n", " mutate_fun = rollapply,\n", " width = 10,\n", " align = \"right\",\n", " FUN = mean,\n", " na.rm = TRUE,\n", " col_rename = \"mean_10\"\n", " ) %>%\n", " tq_mutate(\n", " select = value,\n", " mutate_fun = rollapply,\n", " width = 10,\n", " align = \"right\",\n", " FUN = sd,\n", " na.rm = TRUE,\n", " col_rename = \"sd_10\"\n", " ) %>%\n", " melt(measure.vars = 5:7) %>%\n", " setNames(c(\"row_id\", \"class\", \"dataset\", \"date\", \"variable\", \"value\")) %>%\n", " ggplot(aes(x = date)) +\n", " geom_line(aes(y = value, colour = variable)) +\n", " ggtitle(\"Real Financial Time Series Rolling Mean and Standard Deviation\") +\n", " theme_classic() +\n", " scale_colour_manual(values = c(\"#1f77b4\", \"red\", \"black\")) +\n", " theme(axis.text.x = element_blank(), legend.position = \"bottom\", legend.title = element_blank()) \n", "\n", "plot_grid(plot0, plot1)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Augmented Dickey-Fuller Test \n", "alternative: stationary \n", " \n", "Type 1: no drift no trend \n", " lag ADF p.value\n", "[1,] 0 -17.11 0.01\n", "[2,] 1 -11.01 0.01\n", "[3,] 2 -9.47 0.01\n", "[4,] 3 -9.09 0.01\n", "[5,] 4 -8.61 0.01\n", "Type 2: with drift no trend \n", " lag ADF p.value\n", "[1,] 0 -17.13 0.01\n", "[2,] 1 -11.03 0.01\n", "[3,] 2 -9.50 0.01\n", "[4,] 3 -9.16 0.01\n", "[5,] 4 -8.67 0.01\n", "Type 3: with drift and trend \n", " lag ADF p.value\n", "[1,] 0 -17.16 0.01\n", "[2,] 1 -11.08 0.01\n", "[3,] 2 -9.55 0.01\n", "[4,] 3 -9.19 0.01\n", "[5,] 4 -8.78 0.01\n", "---- \n", "Note: in fact, p.value = 0.01 means p.value <= 0.01 \n" ] }, { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\n", "
A tibble: 3 × 2
row_idadf_res
<int><list>
67420.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.114508, -11.013833, -9.465615, -9.085421, -8.609105, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000
67420.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.128116, -11.033299, -9.504570, -9.157584, -8.669078, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000
67420.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.156757, -11.081321, -9.545201, -9.185512, -8.780369, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000
\n" ], "text/latex": [ "A tibble: 3 × 2\n", "\\begin{tabular}{r|ll}\n", " row\\_id & adf\\_res\\\\\n", " & \\\\\n", "\\hline\n", "\t 6742 & 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.114508, -11.013833, -9.465615, -9.085421, -8.609105, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\\\\\n", "\t 6742 & 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.128116, -11.033299, -9.504570, -9.157584, -8.669078, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\\\\\n", "\t 6742 & 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.156757, -11.081321, -9.545201, -9.185512, -8.780369, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "A tibble: 3 × 2\n", "\n", "| row_id <int> | adf_res <list> |\n", "|---|---|\n", "| 6742 | 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.114508, -11.013833, -9.465615, -9.085421, -8.609105, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000 |\n", "| 6742 | 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.128116, -11.033299, -9.504570, -9.157584, -8.669078, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000 |\n", "| 6742 | 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.156757, -11.081321, -9.545201, -9.185512, -8.780369, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000 |\n", "\n" ], "text/plain": [ " row_id\n", "1 6742 \n", "2 6742 \n", "3 6742 \n", " adf_res \n", "1 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.114508, -11.013833, -9.465615, -9.085421, -8.609105, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\n", "2 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.128116, -11.033299, -9.504570, -9.157584, -8.669078, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\n", "3 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -17.156757, -11.081321, -9.545201, -9.185512, -8.780369, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Dickey Fuller test on the 0 class\n", "# I only randomly sample 1 of the assets for the 0 class to save on output space\n", "\n", "df %>%\n", " filter(class == 0) %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(1) %>%\n", " unnest() %>%\n", " nest(-row_id) %>%\n", " mutate(adf_res = map(data, ~ adf.test(.x$value))) %>%\n", " unnest(adf_res)\n" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Augmented Dickey-Fuller Test \n", "alternative: stationary \n", " \n", "Type 1: no drift no trend \n", " lag ADF p.value\n", "[1,] 0 -16.37 0.01\n", "[2,] 1 -12.03 0.01\n", "[3,] 2 -10.19 0.01\n", "[4,] 3 -9.02 0.01\n", "[5,] 4 -7.52 0.01\n", "Type 2: with drift no trend \n", " lag ADF p.value\n", "[1,] 0 -16.50 0.01\n", "[2,] 1 -12.22 0.01\n", "[3,] 2 -10.41 0.01\n", "[4,] 3 -9.29 0.01\n", "[5,] 4 -7.82 0.01\n", "Type 3: with drift and trend \n", " lag ADF p.value\n", "[1,] 0 -16.47 0.01\n", "[2,] 1 -12.19 0.01\n", "[3,] 2 -10.39 0.01\n", "[4,] 3 -9.27 0.01\n", "[5,] 4 -7.80 0.01\n", "---- \n", "Note: in fact, p.value = 0.01 means p.value <= 0.01 \n" ] }, { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\n", "
A tibble: 3 × 2
row_idadf_res
<int><list>
110850.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.371976, -12.029433, -10.186513, -9.017429, -7.522447, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000
110850.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.501759, -12.215099, -10.411252, -9.290359, -7.819255, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000
110850.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.469330, -12.190427, -10.391839, -9.271585, -7.801521, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000
\n" ], "text/latex": [ "A tibble: 3 × 2\n", "\\begin{tabular}{r|ll}\n", " row\\_id & adf\\_res\\\\\n", " & \\\\\n", "\\hline\n", "\t 11085 & 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.371976, -12.029433, -10.186513, -9.017429, -7.522447, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\\\\\n", "\t 11085 & 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.501759, -12.215099, -10.411252, -9.290359, -7.819255, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\\\\\n", "\t 11085 & 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.469330, -12.190427, -10.391839, -9.271585, -7.801521, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "A tibble: 3 × 2\n", "\n", "| row_id <int> | adf_res <list> |\n", "|---|---|\n", "| 11085 | 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.371976, -12.029433, -10.186513, -9.017429, -7.522447, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000 |\n", "| 11085 | 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.501759, -12.215099, -10.411252, -9.290359, -7.819255, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000 |\n", "| 11085 | 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.469330, -12.190427, -10.391839, -9.271585, -7.801521, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000 |\n", "\n" ], "text/plain": [ " row_id\n", "1 11085 \n", "2 11085 \n", "3 11085 \n", " adf_res \n", "1 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.371976, -12.029433, -10.186513, -9.017429, -7.522447, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\n", "2 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.501759, -12.215099, -10.411252, -9.290359, -7.819255, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000\n", "3 0.000000, 1.000000, 2.000000, 3.000000, 4.000000, -16.469330, -12.190427, -10.391839, -9.271585, -7.801521, 0.010000, 0.010000, 0.010000, 0.010000, 0.010000" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Dickey Fuller test on the 1 class\n", "# I only randomly sample 1 of the assets for the 1 class to save on output space\n", "\n", "df %>%\n", " filter(class == 1) %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(1) %>%\n", " unnest() %>%\n", " nest(-row_id) %>%\n", " mutate(adf_res = map(data, ~ adf.test(.x$value))) %>%\n", " unnest(adf_res)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1] \"Jarque-Bera Test on the 0 - Synthetic class\"\n" ] }, { "data": { "text/plain": [ "[[1]]\n", "\n", "\tJarque Bera Test\n", "\n", "data: .x$value\n", "X-squared = 3.2039, df = 2, p-value = 0.2015\n", "\n", "\n", "\tSkewness\n", "\n", "data: .x$value\n", "statistic = 0.065126, p-value = 0.6681\n", "\n", "\n", "\tKurtosis\n", "\n", "data: .x$value\n", "statistic = 3.528, p-value = 0.08224\n", "\n" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "[1] \"Jarque-Bera Test on the 1 - Real class\"\n" ] }, { "data": { "text/plain": [ "[[1]]\n", "\n", "\tJarque Bera Test\n", "\n", "data: .x$value\n", "X-squared = 85.004, df = 2, p-value < 0.00000000000000022\n", "\n", "\n", "\tSkewness\n", "\n", "data: .x$value\n", "statistic = 0.021118, p-value = 0.8894\n", "\n", "\n", "\tKurtosis\n", "\n", "data: .x$value\n", "statistic = 5.8008, p-value < 0.00000000000000022\n", "\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "##################### Jarque-Bera Test For Normality #####\n", "\n", "# For both classes I take a random sample of 1 observation from each class (Synthetic and Real financial series)\n", "\n", "jb_zero <- df %>%\n", " filter(class == 0) %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(1) %>%\n", " unnest() %>%\n", " nest(-row_id) %>%\n", " mutate(JarqueBeraTest = map(data, ~ JarqueBera.test(.x$value)))\n", "\n", "print(\"Jarque-Bera Test on the 0 - Synthetic class\")\n", "jb_zero$JarqueBeraTest\n", "\n", "jb_one <- df %>%\n", " filter(class == 0) %>%\n", " group_by(row_id) %>%\n", " nest() %>%\n", " sample_n(1) %>%\n", " unnest() %>%\n", " nest(-row_id) %>%\n", " mutate(JarqueBeraTest = map(data, ~ JarqueBera.test(.x$value)))\n", "\n", "print(\"Jarque-Bera Test on the 1 - Real class\")\n", "jb_one$JarqueBeraTest" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAIAAAByhViMAAAACXBIWXMAABJ0AAASdAHeZh94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\nT7OquSF1wigAAAAASUVORK5CYII=", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAIAAAByhViMAAAACXBIWXMAABJ0AAASdAHeZh94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\nTyXrcHIAAAAASUVORK5CYII=", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "######################################################################\n", "################# ACF plots ##########################################\n", "\n", "# I only use 4 observations for these plots, 2 from the \"synthetic\" class and 2 from the \"real\" class.\n", "\n", "df %>%\n", " filter(row_id == 6422 | row_id == 8967 | row_id == 6080 | row_id == \t5734) %>%\n", " mutate(date = as.Date(variable)) %>%\n", " ggplot(aes(x = date)) +\n", " geom_line(aes(y = value), color = \"red\", alpha = 0.4) +\n", " geom_hline(yintercept = 0) +\n", " facet_wrap(~ row_id + class) +\n", " theme_tq()\n", "\n", "acf_data <- df %>%\n", " dplyr::filter(row_id == 6422 | row_id == 8967 | row_id == 6080 | row_id == \t5734) %>%\n", " mutate(date = as.Date(variable))\n", "\n", "df_acf <- acf_data %>%\n", " group_by(row_id) %>% \n", " summarise(list_acf = list(acf(value, plot=FALSE))) %>%\n", " mutate(acf_vals = purrr::map(list_acf, ~as.numeric(.x$acf))) %>% \n", " select(-list_acf) %>% \n", " unnest() %>% \n", " group_by(row_id) %>% \n", " mutate(lag = row_number() - 1)\n", "\n", "df_ci <- acf_data %>% \n", " group_by(row_id) %>% \n", " summarise(ci = qnorm((1 + 0.95)/2)/sqrt(n()))\n", "\n", "ggplot(df_acf, aes(x = lag, y = acf_vals)) +\n", " geom_bar(stat=\"identity\", width=.05) +\n", " geom_hline(yintercept = 0) +\n", " geom_hline(data = df_ci, aes(yintercept = -ci), color=\"blue\", linetype=\"dotted\") +\n", " geom_hline(data = df_ci, aes(yintercept = ci), color=\"blue\", linetype=\"dotted\") +\n", " labs(x=\"Lag\", y=\"ACF\") +\n", " facet_wrap(~ row_id) +\n", " theme_tq()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# ------- END OF DATA ANALYSIS --------\n", "\n", "# PART 2:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\u001b[38;5;246m# A tibble: 12,000 x 51\u001b[39m\n", "\u001b[38;5;246m# Groups: row_id [12,000]\u001b[39m\n", " row_id class trev_num_trev_n… unitroot_pp flat_spots embed2_incircle\n", " \u001b[3m\u001b[38;5;246m\u001b[39m\u001b[23m \u001b[3m\u001b[38;5;246m\u001b[39m\u001b[23m \u001b[3m\u001b[38;5;246m\u001b[39m\u001b[23m \u001b[3m\u001b[38;5;246m\u001b[39m\u001b[23m \u001b[3m\u001b[38;5;246m\u001b[39m\u001b[23m \u001b[3m\u001b[38;5;246m\u001b[39m\u001b[23m\n", "\u001b[38;5;250m 1\u001b[39m 1 0 0.121 -\u001b[31m250\u001b[39m\u001b[31m.\u001b[39m 4 0.393\n", "\u001b[38;5;250m 2\u001b[39m 2 0 -\u001b[31m0\u001b[39m\u001b[31m.\u001b[39m\u001b[31m477\u001b[39m -\u001b[31m256\u001b[39m\u001b[31m.\u001b[39m 4 0.429\n", "\u001b[38;5;250m 3\u001b[39m 3 1 -\u001b[31m0\u001b[39m\u001b[31m.\u001b[39m\u001b[31m876\u001b[39m -\u001b[31m258\u001b[39m\u001b[31m.\u001b[39m 6 0.467\n", "\u001b[38;5;250m 4\u001b[39m 4 0 -\u001b[31m0\u001b[39m\u001b[31m.\u001b[39m\u001b[31m994\u001b[39m -\u001b[31m262\u001b[39m\u001b[31m.\u001b[39m 7 0.456\n", "\u001b[38;5;250m 5\u001b[39m 5 0 -\u001b[31m0\u001b[39m\u001b[31m.\u001b[39m\u001b[31m717\u001b[39m -\u001b[31m324\u001b[39m\u001b[31m.\u001b[39m 9 0.656\n", "\u001b[38;5;250m 6\u001b[39m 6 0 0.089\u001b[4m9\u001b[24m -\u001b[31m262\u001b[39m\u001b[31m.\u001b[39m 5 0.435\n", "\u001b[38;5;250m 7\u001b[39m 7 1 0.013\u001b[4m2\u001b[24m -\u001b[31m254\u001b[39m\u001b[31m.\u001b[39m 7 0.525\n", "\u001b[38;5;250m 8\u001b[39m 8 1 0.167 -\u001b[31m243\u001b[39m\u001b[31m.\u001b[39m 6 0.399\n", "\u001b[38;5;250m 9\u001b[39m 9 0 0.288 -\u001b[31m234\u001b[39m\u001b[31m.\u001b[39m 5 0.578\n", "\u001b[38;5;250m10\u001b[39m 10 0 -\u001b[31m0\u001b[39m\u001b[31m.\u001b[39m\u001b[31m115\u001b[39m -\u001b[31m246\u001b[39m\u001b[31m.\u001b[39m 10 0.585\n", "\u001b[38;5;246m# … with 11,990 more rows, and 45 more variables: max_level_shift \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# time_level_shift \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, autocorr_features_embed2_incircle_1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# autocorr_features_embed2_incircle_2 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, autocorr_features_ac_9 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# autocorr_features_firstmin_ac \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, autocorr_features_trev_num \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# autocorr_features_motiftwo_entro3 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# autocorr_features_walker_propcross \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, std1st_der \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# lumpiness \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, arch_acf \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, garch_acf \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, arch_r2 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# garch_r2 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, dist_features_histogram_mode_10 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# dist_features_outlierinclude_mdrmd \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, unitroot_kpss \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# spreadrandomlocal_meantaul \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, alpha \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, beta \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# crossing_points \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, frequency \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, nperiods \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# seasonal_period \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, trend \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, spike \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, linearity \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# curvature \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, e_acf1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, e_acf10 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, entropy \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, x_acf1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# x_acf10 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, diff1_acf1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, diff1_acf10 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, diff2_acf1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# diff2_acf10 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, outlierinclude_mdrmd_outlierinclude_mdrmd \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# fluctanal_prop_r1_fluctanal_prop_r1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, hurst \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, x_pacf5 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# diff1x_pacf5 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m, diff2x_pacf5 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m,\n", "# scal_features_fluctanal_prop_r1 \u001b[3m\u001b[38;5;246m\u001b[38;5;246m\u001b[23m\u001b[39m\n" ] } ], "source": [ "######################################################################\n", "################# Generate Time Series Features ######################\n", "\n", "# I create some time series features from the package \"tsfeatures\". There are 40+ functions in the \"tsfeatures\" package\n", "# which can generate approximately 106 time series features.\n", "# Due to memory issues I am only able to create a few of the features, therefore I randomly sample 10 features from the\n", "# \"tsfeatures\" package. We could also add in technical indicators from the \"PerformanceAnalytics\" or \"TTR\" packages (I omit these\n", "# here, however creating 'functions2 <- ls(\"package:TTR\")' and adding it to the 'summarise' command will work.)\n", "\n", "functions <- ls(\"package:tsfeatures\")[1:42]\n", "# functions <- sample(functions, 20)\n", "\n", "Stats <- df %>%\n", " group_by(row_id, class) %>%\n", "# nest() %>%\n", "# sample_n(5) %>%\n", "# unnest() %>%\n", " nest(-row_id, -class) %>%\n", " group_by(row_id, class) %T>% \n", " {options(warn = -1)} %>%\n", " summarise(Statistics = map(data, ~ data.frame(\n", " bind_cols(\n", " tsfeatures(.x$value, functions))))) %>%\n", " unnest(Statistics)\n", "\n", "#print(\"Generated 106 Time Series features\")\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "#write.csv(Stats, \"TSfeatures_train_val.csv\")" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/html": [ "
    \n", "\t
  1. 'data.csv'
  2. \n", "\t
  3. 'ETS_model.R'
  4. \n", "\t
  5. 'ets_model_3.R'
  6. \n", "\t
  7. 'ets_notebook.ipynb'
  8. \n", "\t
  9. 'md5_hashes.docx'
  10. \n", "\t
  11. 'reto_data_science.pdf'
  12. \n", "\t
  13. 'sample_submission.csv'
  14. \n", "\t
  15. 'submission.csv'
  16. \n", "\t
  17. 'submission2.csv'
  18. \n", "\t
  19. 'test.7z'
  20. \n", "\t
  21. 'test.csv'
  22. \n", "\t
  23. 'train.7z'
  24. \n", "\t
  25. 'train.csv'
  26. \n", "\t
  27. 'TSfeatures.csv'
  28. \n", "\t
  29. 'TSfeatures_test.csv'
  30. \n", "\t
  31. 'TSfeatures_train_val.csv'
  32. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 'data.csv'\n", "\\item 'ETS\\_model.R'\n", "\\item 'ets\\_model\\_3.R'\n", "\\item 'ets\\_notebook.ipynb'\n", "\\item 'md5\\_hashes.docx'\n", "\\item 'reto\\_data\\_science.pdf'\n", "\\item 'sample\\_submission.csv'\n", "\\item 'submission.csv'\n", "\\item 'submission2.csv'\n", "\\item 'test.7z'\n", "\\item 'test.csv'\n", "\\item 'train.7z'\n", "\\item 'train.csv'\n", "\\item 'TSfeatures.csv'\n", "\\item 'TSfeatures\\_test.csv'\n", "\\item 'TSfeatures\\_train\\_val.csv'\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 'data.csv'\n", "2. 'ETS_model.R'\n", "3. 'ets_model_3.R'\n", "4. 'ets_notebook.ipynb'\n", "5. 'md5_hashes.docx'\n", "6. 'reto_data_science.pdf'\n", "7. 'sample_submission.csv'\n", "8. 'submission.csv'\n", "9. 'submission2.csv'\n", "10. 'test.7z'\n", "11. 'test.csv'\n", "12. 'train.7z'\n", "13. 'train.csv'\n", "14. 'TSfeatures.csv'\n", "15. 'TSfeatures_test.csv'\n", "16. 'TSfeatures_train_val.csv'\n", "\n", "\n" ], "text/plain": [ " [1] \"data.csv\" \"ETS_model.R\" \n", " [3] \"ets_model_3.R\" \"ets_notebook.ipynb\" \n", " [5] \"md5_hashes.docx\" \"reto_data_science.pdf\" \n", " [7] \"sample_submission.csv\" \"submission.csv\" \n", " [9] \"submission2.csv\" \"test.7z\" \n", "[11] \"test.csv\" \"train.7z\" \n", "[13] \"train.csv\" \"TSfeatures.csv\" \n", "[15] \"TSfeatures_test.csv\" \"TSfeatures_train_val.csv\"" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
Xrow_idclassac_9_ac_9acf_features_x_acf1acf_features_x_acf10acf_features_diff1_acf1acf_features_diff1_acf10acf_features_diff2_acf1acf_features_diff2_acf10...tsfeatures_entropytsfeatures_x_acf1tsfeatures_x_acf10tsfeatures_diff1_acf1tsfeatures_diff1_acf10tsfeatures_diff2_acf1tsfeatures_diff2_acf10unitroot_kpssunitroot_ppwalker_propcross
1 1 0 -0.067527460 0.0097094450.05268970 -0.5005299 0.3297018 -0.6772403 0.6124739 ... 0.9840151 0.0097094450.05268970 -0.5005299 0.3297018 -0.6772403 0.6124739 0.09938291 -249.7732 0.5405405
2 2 0 -0.042157717-0.0075901950.03874814 -0.5171529 0.3129147 -0.6727897 0.5379301 ... 0.9864332 -0.0075901950.03874814 -0.5171529 0.3129147 -0.6727897 0.5379301 0.04145992 -256.0485 0.5019305
3 3 1 0.009959832-0.0405928740.04490357 -0.5026683 0.3471209 -0.6718885 0.6109006 ... 0.9868568 -0.0405928740.04490357 -0.5026683 0.3471209 -0.6718885 0.6109006 0.07756983 -258.1295 0.5328185
4 4 0 -0.042874797-0.0443618930.06158667 -0.4571442 0.3184053 -0.5906478 0.4361178 ... 0.9790521 -0.0443618930.06158667 -0.4571442 0.3184053 -0.5906478 0.4361178 0.21296332 -262.0781 0.4903475
5 5 0 0.025931211-0.2447834960.14691301 -0.5810073 0.4796508 -0.6799229 0.6232529 ... 0.9723766 -0.2447834960.14691301 -0.5810073 0.4796508 -0.6799229 0.6232529 0.15063439 -323.5672 0.5289575
6 6 0 -0.076116613 0.0468555780.08583475 -0.5253131 0.3438031 -0.6901570 0.6130725 ... 0.9806218 0.0468555780.08583475 -0.5253131 0.3438031 -0.6901570 0.6130725 0.02594138 -262.3484 0.5250965
\n" ], "text/latex": [ "\\begin{tabular}{r|lllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllll}\n", " X & row\\_id & class & ac\\_9\\_ac\\_9 & acf\\_features\\_x\\_acf1 & acf\\_features\\_x\\_acf10 & acf\\_features\\_diff1\\_acf1 & acf\\_features\\_diff1\\_acf10 & acf\\_features\\_diff2\\_acf1 & acf\\_features\\_diff2\\_acf10 & ... & tsfeatures\\_entropy & tsfeatures\\_x\\_acf1 & tsfeatures\\_x\\_acf10 & tsfeatures\\_diff1\\_acf1 & tsfeatures\\_diff1\\_acf10 & tsfeatures\\_diff2\\_acf1 & tsfeatures\\_diff2\\_acf10 & unitroot\\_kpss & unitroot\\_pp & walker\\_propcross\\\\\n", "\\hline\n", "\t 1 & 1 & 0 & -0.067527460 & 0.009709445 & 0.05268970 & -0.5005299 & 0.3297018 & -0.6772403 & 0.6124739 & ... & 0.9840151 & 0.009709445 & 0.05268970 & -0.5005299 & 0.3297018 & -0.6772403 & 0.6124739 & 0.09938291 & -249.7732 & 0.5405405 \\\\\n", "\t 2 & 2 & 0 & -0.042157717 & -0.007590195 & 0.03874814 & -0.5171529 & 0.3129147 & -0.6727897 & 0.5379301 & ... & 0.9864332 & -0.007590195 & 0.03874814 & -0.5171529 & 0.3129147 & -0.6727897 & 0.5379301 & 0.04145992 & -256.0485 & 0.5019305 \\\\\n", "\t 3 & 3 & 1 & 0.009959832 & -0.040592874 & 0.04490357 & -0.5026683 & 0.3471209 & -0.6718885 & 0.6109006 & ... & 0.9868568 & -0.040592874 & 0.04490357 & -0.5026683 & 0.3471209 & -0.6718885 & 0.6109006 & 0.07756983 & -258.1295 & 0.5328185 \\\\\n", "\t 4 & 4 & 0 & -0.042874797 & -0.044361893 & 0.06158667 & -0.4571442 & 0.3184053 & -0.5906478 & 0.4361178 & ... & 0.9790521 & -0.044361893 & 0.06158667 & -0.4571442 & 0.3184053 & -0.5906478 & 0.4361178 & 0.21296332 & -262.0781 & 0.4903475 \\\\\n", "\t 5 & 5 & 0 & 0.025931211 & -0.244783496 & 0.14691301 & -0.5810073 & 0.4796508 & -0.6799229 & 0.6232529 & ... & 0.9723766 & -0.244783496 & 0.14691301 & -0.5810073 & 0.4796508 & -0.6799229 & 0.6232529 & 0.15063439 & -323.5672 & 0.5289575 \\\\\n", "\t 6 & 6 & 0 & -0.076116613 & 0.046855578 & 0.08583475 & -0.5253131 & 0.3438031 & -0.6901570 & 0.6130725 & ... & 0.9806218 & 0.046855578 & 0.08583475 & -0.5253131 & 0.3438031 & -0.6901570 & 0.6130725 & 0.02594138 & -262.3484 & 0.5250965 \\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| X | row_id | class | ac_9_ac_9 | acf_features_x_acf1 | acf_features_x_acf10 | acf_features_diff1_acf1 | acf_features_diff1_acf10 | acf_features_diff2_acf1 | acf_features_diff2_acf10 | ... | tsfeatures_entropy | tsfeatures_x_acf1 | tsfeatures_x_acf10 | tsfeatures_diff1_acf1 | tsfeatures_diff1_acf10 | tsfeatures_diff2_acf1 | tsfeatures_diff2_acf10 | unitroot_kpss | unitroot_pp | walker_propcross |\n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| 1 | 1 | 0 | -0.067527460 | 0.009709445 | 0.05268970 | -0.5005299 | 0.3297018 | -0.6772403 | 0.6124739 | ... | 0.9840151 | 0.009709445 | 0.05268970 | -0.5005299 | 0.3297018 | -0.6772403 | 0.6124739 | 0.09938291 | -249.7732 | 0.5405405 |\n", "| 2 | 2 | 0 | -0.042157717 | -0.007590195 | 0.03874814 | -0.5171529 | 0.3129147 | -0.6727897 | 0.5379301 | ... | 0.9864332 | -0.007590195 | 0.03874814 | -0.5171529 | 0.3129147 | -0.6727897 | 0.5379301 | 0.04145992 | -256.0485 | 0.5019305 |\n", "| 3 | 3 | 1 | 0.009959832 | -0.040592874 | 0.04490357 | -0.5026683 | 0.3471209 | -0.6718885 | 0.6109006 | ... | 0.9868568 | -0.040592874 | 0.04490357 | -0.5026683 | 0.3471209 | -0.6718885 | 0.6109006 | 0.07756983 | -258.1295 | 0.5328185 |\n", "| 4 | 4 | 0 | -0.042874797 | -0.044361893 | 0.06158667 | -0.4571442 | 0.3184053 | -0.5906478 | 0.4361178 | ... | 0.9790521 | -0.044361893 | 0.06158667 | -0.4571442 | 0.3184053 | -0.5906478 | 0.4361178 | 0.21296332 | -262.0781 | 0.4903475 |\n", "| 5 | 5 | 0 | 0.025931211 | -0.244783496 | 0.14691301 | -0.5810073 | 0.4796508 | -0.6799229 | 0.6232529 | ... | 0.9723766 | -0.244783496 | 0.14691301 | -0.5810073 | 0.4796508 | -0.6799229 | 0.6232529 | 0.15063439 | -323.5672 | 0.5289575 |\n", "| 6 | 6 | 0 | -0.076116613 | 0.046855578 | 0.08583475 | -0.5253131 | 0.3438031 | -0.6901570 | 0.6130725 | ... | 0.9806218 | 0.046855578 | 0.08583475 | -0.5253131 | 0.3438031 | -0.6901570 | 0.6130725 | 0.02594138 | -262.3484 | 0.5250965 |\n", "\n" ], "text/plain": [ " X row_id class ac_9_ac_9 acf_features_x_acf1 acf_features_x_acf10\n", "1 1 1 0 -0.067527460 0.009709445 0.05268970 \n", "2 2 2 0 -0.042157717 -0.007590195 0.03874814 \n", "3 3 3 1 0.009959832 -0.040592874 0.04490357 \n", "4 4 4 0 -0.042874797 -0.044361893 0.06158667 \n", "5 5 5 0 0.025931211 -0.244783496 0.14691301 \n", "6 6 6 0 -0.076116613 0.046855578 0.08583475 \n", " acf_features_diff1_acf1 acf_features_diff1_acf10 acf_features_diff2_acf1\n", "1 -0.5005299 0.3297018 -0.6772403 \n", "2 -0.5171529 0.3129147 -0.6727897 \n", "3 -0.5026683 0.3471209 -0.6718885 \n", "4 -0.4571442 0.3184053 -0.5906478 \n", "5 -0.5810073 0.4796508 -0.6799229 \n", "6 -0.5253131 0.3438031 -0.6901570 \n", " acf_features_diff2_acf10 ... tsfeatures_entropy tsfeatures_x_acf1\n", "1 0.6124739 ... 0.9840151 0.009709445 \n", "2 0.5379301 ... 0.9864332 -0.007590195 \n", "3 0.6109006 ... 0.9868568 -0.040592874 \n", "4 0.4361178 ... 0.9790521 -0.044361893 \n", "5 0.6232529 ... 0.9723766 -0.244783496 \n", "6 0.6130725 ... 0.9806218 0.046855578 \n", " tsfeatures_x_acf10 tsfeatures_diff1_acf1 tsfeatures_diff1_acf10\n", "1 0.05268970 -0.5005299 0.3297018 \n", "2 0.03874814 -0.5171529 0.3129147 \n", "3 0.04490357 -0.5026683 0.3471209 \n", "4 0.06158667 -0.4571442 0.3184053 \n", "5 0.14691301 -0.5810073 0.4796508 \n", "6 0.08583475 -0.5253131 0.3438031 \n", " tsfeatures_diff2_acf1 tsfeatures_diff2_acf10 unitroot_kpss unitroot_pp\n", "1 -0.6772403 0.6124739 0.09938291 -249.7732 \n", "2 -0.6727897 0.5379301 0.04145992 -256.0485 \n", "3 -0.6718885 0.6109006 0.07756983 -258.1295 \n", "4 -0.5906478 0.4361178 0.21296332 -262.0781 \n", "5 -0.6799229 0.6232529 0.15063439 -323.5672 \n", "6 -0.6901570 0.6130725 0.02594138 -262.3484 \n", " walker_propcross\n", "1 0.5405405 \n", "2 0.5019305 \n", "3 0.5328185 \n", "4 0.4903475 \n", "5 0.5289575 \n", "6 0.5250965 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "Stats <- read.csv(\"TSfeatures_train_val.csv\")\n", "list.files()\n", "head(Stats)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [], "source": [ "######################################################################\n", "################# Train and XGBoost model on the TS Features #########\n", "\n", "#Stats <- Stats %>%\n", "# select_if(~sum(!is.na(.)) > 0)\n", "\n", "# Split the training set up between train and a small validation set\n", "smp_size <- floor(0.75 * nrow(Stats))\n", "#set.seed(123)\n", "train_ind <- sample(seq_len(nrow(Stats)), size = smp_size)\n", "\n", "train <- Stats[train_ind, ]\n", "val <- Stats[-train_ind, ]" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1] \"How does the X variables look like?\"\n" ] }, { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
ac_9_ac_9acf_features_x_acf1acf_features_x_acf10acf_features_diff1_acf1acf_features_diff1_acf10acf_features_diff2_acf1acf_features_diff2_acf10ARCH.LMautocorr_features_embed2_incircle_1autocorr_features_embed2_incircle_2...tsfeatures_entropytsfeatures_x_acf1tsfeatures_x_acf10tsfeatures_diff1_acf1tsfeatures_diff1_acf10tsfeatures_diff2_acf1tsfeatures_diff2_acf10unitroot_kpssunitroot_ppwalker_propcross
0.023236441-0.0746509710.02038917 -0.5603844 0.3214731 -0.6947503 0.5228883 0.02645647 0.4749035 0.6949807 ... 0.9907267 -0.0746509710.02038917 -0.5603844 0.3214731 -0.6947503 0.5228883 0.08418223 -295.5967 0.5637066
0.089101393-0.0051550640.13301470 -0.4885461 0.4353276 -0.6773534 0.7858669 0.22605361 0.5907336 0.7451737 ... 0.9636886 -0.0051550640.13301470 -0.4885461 0.4353276 -0.6773534 0.7858669 0.07434871 -225.7176 0.4903475
-0.015845671 0.0452321470.02809074 -0.4489214 0.2210296 -0.6291573 0.4179265 0.01533997 0.5658915 0.7674419 ... 0.9918732 0.0452321470.02809074 -0.4489214 0.2210296 -0.6291573 0.4179265 0.05921490 -227.0271 0.4633205
-0.040078185 0.0242022030.06762123 -0.4316614 0.3067859 -0.6202015 0.5529502 0.09864609 0.5891473 0.7674419 ... 0.9804658 0.0242022030.06762123 -0.4316614 0.3067859 -0.6202015 0.5529502 0.09948075 -227.6157 0.5057915
-0.007340002-0.1728313000.04932286 -0.5434486 0.3170055 -0.6880143 0.5446340 0.02723756 0.3976834 0.6525097 ... 0.9837865 -0.1728313000.04932286 -0.5434486 0.3170055 -0.6880143 0.5446340 0.07212473 -274.1723 0.5482625
-0.024278095 0.0929821930.02774284 -0.4618626 0.2562180 -0.6418039 0.4921543 0.11114889 0.5234375 0.6757812 ... 0.9831277 0.0929821930.02774284 -0.4618626 0.2562180 -0.6418039 0.4921543 0.04312335 -232.2476 0.4671815
\n" ], "text/latex": [ "\\begin{tabular}{r|llllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllll}\n", " ac\\_9\\_ac\\_9 & acf\\_features\\_x\\_acf1 & acf\\_features\\_x\\_acf10 & acf\\_features\\_diff1\\_acf1 & acf\\_features\\_diff1\\_acf10 & acf\\_features\\_diff2\\_acf1 & acf\\_features\\_diff2\\_acf10 & ARCH.LM & autocorr\\_features\\_embed2\\_incircle\\_1 & autocorr\\_features\\_embed2\\_incircle\\_2 & ... & tsfeatures\\_entropy & tsfeatures\\_x\\_acf1 & tsfeatures\\_x\\_acf10 & tsfeatures\\_diff1\\_acf1 & tsfeatures\\_diff1\\_acf10 & tsfeatures\\_diff2\\_acf1 & tsfeatures\\_diff2\\_acf10 & unitroot\\_kpss & unitroot\\_pp & walker\\_propcross\\\\\n", "\\hline\n", "\t 0.023236441 & -0.074650971 & 0.02038917 & -0.5603844 & 0.3214731 & -0.6947503 & 0.5228883 & 0.02645647 & 0.4749035 & 0.6949807 & ... & 0.9907267 & -0.074650971 & 0.02038917 & -0.5603844 & 0.3214731 & -0.6947503 & 0.5228883 & 0.08418223 & -295.5967 & 0.5637066 \\\\\n", "\t 0.089101393 & -0.005155064 & 0.13301470 & -0.4885461 & 0.4353276 & -0.6773534 & 0.7858669 & 0.22605361 & 0.5907336 & 0.7451737 & ... & 0.9636886 & -0.005155064 & 0.13301470 & -0.4885461 & 0.4353276 & -0.6773534 & 0.7858669 & 0.07434871 & -225.7176 & 0.4903475 \\\\\n", "\t -0.015845671 & 0.045232147 & 0.02809074 & -0.4489214 & 0.2210296 & -0.6291573 & 0.4179265 & 0.01533997 & 0.5658915 & 0.7674419 & ... & 0.9918732 & 0.045232147 & 0.02809074 & -0.4489214 & 0.2210296 & -0.6291573 & 0.4179265 & 0.05921490 & -227.0271 & 0.4633205 \\\\\n", "\t -0.040078185 & 0.024202203 & 0.06762123 & -0.4316614 & 0.3067859 & -0.6202015 & 0.5529502 & 0.09864609 & 0.5891473 & 0.7674419 & ... & 0.9804658 & 0.024202203 & 0.06762123 & -0.4316614 & 0.3067859 & -0.6202015 & 0.5529502 & 0.09948075 & -227.6157 & 0.5057915 \\\\\n", "\t -0.007340002 & -0.172831300 & 0.04932286 & -0.5434486 & 0.3170055 & -0.6880143 & 0.5446340 & 0.02723756 & 0.3976834 & 0.6525097 & ... & 0.9837865 & -0.172831300 & 0.04932286 & -0.5434486 & 0.3170055 & -0.6880143 & 0.5446340 & 0.07212473 & -274.1723 & 0.5482625 \\\\\n", "\t -0.024278095 & 0.092982193 & 0.02774284 & -0.4618626 & 0.2562180 & -0.6418039 & 0.4921543 & 0.11114889 & 0.5234375 & 0.6757812 & ... & 0.9831277 & 0.092982193 & 0.02774284 & -0.4618626 & 0.2562180 & -0.6418039 & 0.4921543 & 0.04312335 & -232.2476 & 0.4671815 \\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| ac_9_ac_9 | acf_features_x_acf1 | acf_features_x_acf10 | acf_features_diff1_acf1 | acf_features_diff1_acf10 | acf_features_diff2_acf1 | acf_features_diff2_acf10 | ARCH.LM | autocorr_features_embed2_incircle_1 | autocorr_features_embed2_incircle_2 | ... | tsfeatures_entropy | tsfeatures_x_acf1 | tsfeatures_x_acf10 | tsfeatures_diff1_acf1 | tsfeatures_diff1_acf10 | tsfeatures_diff2_acf1 | tsfeatures_diff2_acf10 | unitroot_kpss | unitroot_pp | walker_propcross |\n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| 0.023236441 | -0.074650971 | 0.02038917 | -0.5603844 | 0.3214731 | -0.6947503 | 0.5228883 | 0.02645647 | 0.4749035 | 0.6949807 | ... | 0.9907267 | -0.074650971 | 0.02038917 | -0.5603844 | 0.3214731 | -0.6947503 | 0.5228883 | 0.08418223 | -295.5967 | 0.5637066 |\n", "| 0.089101393 | -0.005155064 | 0.13301470 | -0.4885461 | 0.4353276 | -0.6773534 | 0.7858669 | 0.22605361 | 0.5907336 | 0.7451737 | ... | 0.9636886 | -0.005155064 | 0.13301470 | -0.4885461 | 0.4353276 | -0.6773534 | 0.7858669 | 0.07434871 | -225.7176 | 0.4903475 |\n", "| -0.015845671 | 0.045232147 | 0.02809074 | -0.4489214 | 0.2210296 | -0.6291573 | 0.4179265 | 0.01533997 | 0.5658915 | 0.7674419 | ... | 0.9918732 | 0.045232147 | 0.02809074 | -0.4489214 | 0.2210296 | -0.6291573 | 0.4179265 | 0.05921490 | -227.0271 | 0.4633205 |\n", "| -0.040078185 | 0.024202203 | 0.06762123 | -0.4316614 | 0.3067859 | -0.6202015 | 0.5529502 | 0.09864609 | 0.5891473 | 0.7674419 | ... | 0.9804658 | 0.024202203 | 0.06762123 | -0.4316614 | 0.3067859 | -0.6202015 | 0.5529502 | 0.09948075 | -227.6157 | 0.5057915 |\n", "| -0.007340002 | -0.172831300 | 0.04932286 | -0.5434486 | 0.3170055 | -0.6880143 | 0.5446340 | 0.02723756 | 0.3976834 | 0.6525097 | ... | 0.9837865 | -0.172831300 | 0.04932286 | -0.5434486 | 0.3170055 | -0.6880143 | 0.5446340 | 0.07212473 | -274.1723 | 0.5482625 |\n", "| -0.024278095 | 0.092982193 | 0.02774284 | -0.4618626 | 0.2562180 | -0.6418039 | 0.4921543 | 0.11114889 | 0.5234375 | 0.6757812 | ... | 0.9831277 | 0.092982193 | 0.02774284 | -0.4618626 | 0.2562180 | -0.6418039 | 0.4921543 | 0.04312335 | -232.2476 | 0.4671815 |\n", "\n" ], "text/plain": [ " ac_9_ac_9 acf_features_x_acf1 acf_features_x_acf10 acf_features_diff1_acf1\n", "1 0.023236441 -0.074650971 0.02038917 -0.5603844 \n", "2 0.089101393 -0.005155064 0.13301470 -0.4885461 \n", "3 -0.015845671 0.045232147 0.02809074 -0.4489214 \n", "4 -0.040078185 0.024202203 0.06762123 -0.4316614 \n", "5 -0.007340002 -0.172831300 0.04932286 -0.5434486 \n", "6 -0.024278095 0.092982193 0.02774284 -0.4618626 \n", " acf_features_diff1_acf10 acf_features_diff2_acf1 acf_features_diff2_acf10\n", "1 0.3214731 -0.6947503 0.5228883 \n", "2 0.4353276 -0.6773534 0.7858669 \n", "3 0.2210296 -0.6291573 0.4179265 \n", "4 0.3067859 -0.6202015 0.5529502 \n", "5 0.3170055 -0.6880143 0.5446340 \n", "6 0.2562180 -0.6418039 0.4921543 \n", " ARCH.LM autocorr_features_embed2_incircle_1\n", "1 0.02645647 0.4749035 \n", "2 0.22605361 0.5907336 \n", "3 0.01533997 0.5658915 \n", "4 0.09864609 0.5891473 \n", "5 0.02723756 0.3976834 \n", "6 0.11114889 0.5234375 \n", " autocorr_features_embed2_incircle_2 ... tsfeatures_entropy tsfeatures_x_acf1\n", "1 0.6949807 ... 0.9907267 -0.074650971 \n", "2 0.7451737 ... 0.9636886 -0.005155064 \n", "3 0.7674419 ... 0.9918732 0.045232147 \n", "4 0.7674419 ... 0.9804658 0.024202203 \n", "5 0.6525097 ... 0.9837865 -0.172831300 \n", "6 0.6757812 ... 0.9831277 0.092982193 \n", " tsfeatures_x_acf10 tsfeatures_diff1_acf1 tsfeatures_diff1_acf10\n", "1 0.02038917 -0.5603844 0.3214731 \n", "2 0.13301470 -0.4885461 0.4353276 \n", "3 0.02809074 -0.4489214 0.2210296 \n", "4 0.06762123 -0.4316614 0.3067859 \n", "5 0.04932286 -0.5434486 0.3170055 \n", "6 0.02774284 -0.4618626 0.2562180 \n", " tsfeatures_diff2_acf1 tsfeatures_diff2_acf10 unitroot_kpss unitroot_pp\n", "1 -0.6947503 0.5228883 0.08418223 -295.5967 \n", "2 -0.6773534 0.7858669 0.07434871 -225.7176 \n", "3 -0.6291573 0.4179265 0.05921490 -227.0271 \n", "4 -0.6202015 0.5529502 0.09948075 -227.6157 \n", "5 -0.6880143 0.5446340 0.07212473 -274.1723 \n", "6 -0.6418039 0.4921543 0.04312335 -232.2476 \n", " walker_propcross\n", "1 0.5637066 \n", "2 0.4903475 \n", "3 0.4633205 \n", "4 0.5057915 \n", "5 0.5482625 \n", "6 0.4671815 " ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "[1] \"How does the Y variables look like?\"\n" ] }, { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
value
0
0
0
1
0
1
\n" ], "text/latex": [ "\\begin{tabular}{r|l}\n", " value\\\\\n", "\\hline\n", "\t 0\\\\\n", "\t 0\\\\\n", "\t 0\\\\\n", "\t 1\\\\\n", "\t 0\\\\\n", "\t 1\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| value |\n", "|---|\n", "| 0 |\n", "| 0 |\n", "| 0 |\n", "| 1 |\n", "| 0 |\n", "| 1 |\n", "\n" ], "text/plain": [ " value\n", "1 0 \n", "2 0 \n", "3 0 \n", "4 1 \n", "5 0 \n", "6 1 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# We have 106 time series features for the model to learn from.\n", "\n", "x_train <- train %>%\n", " ungroup() %>%\n", " select(-class, -row_id, -X) %>%\n", " as.matrix()\n", "\n", "x_val <- val %>%\n", " ungroup() %>%\n", " select(-class, -row_id, -X) %>%\n", " as.matrix()\n", "\n", "y_train <- train %>%\n", " ungroup() %>%\n", " pull(class)\n", "\n", "y_val <- val %>%\n", " ungroup() %>%\n", " pull(class)\n", "\n", "print(\"How does the X variables look like?\")\n", "x_train %>%\n", " as_tibble() %>%\n", " head()\n", "\n", "print(\"How does the Y variables look like?\")\n", "y_train %>%\n", " as_tibble() %>%\n", " head()" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [], "source": [ "# XGBoost expects a 'special' type of matrix\n", "dtrain <- xgb.DMatrix(data = as.matrix(x_train), label = y_train, missing = \"NaN\")\n", "dval <- xgb.DMatrix(data = as.matrix(x_val), label = y_val, missing = \"NaN\")" ] }, { "cell_type": "code", "execution_count": 47, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1]\ttrain-auc:0.709444+0.005449\ttrain-logloss:0.679352+0.000266\ttrain-error:0.356012+0.007934\ttest-auc:0.674091+0.017564\ttest-logloss:0.681697+0.001146\ttest-error:0.380111+0.011477 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:0.864482+0.003830\ttrain-logloss:0.509142+0.003445\ttrain-error:0.223012+0.004731\ttest-auc:0.735318+0.012974\ttest-logloss:0.599101+0.009049\ttest-error:0.336111+0.013809 \n", "Stopping. Best iteration:\n", "[67]\ttrain-auc:0.886833+0.004311\ttrain-logloss:0.486189+0.004434\ttrain-error:0.199753+0.005421\ttest-auc:0.738559+0.013404\ttest-logloss:0.596390+0.009818\ttest-error:0.331111+0.013690\n", "\n", "[1]\ttrain-auc:0.783311+0.005215\ttrain-logloss:0.670555+0.000708\ttrain-error:0.302667+0.004773\ttest-auc:0.660515+0.017584\ttest-logloss:0.680860+0.001373\ttest-error:0.387444+0.023878 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:0.987024+0.002600\ttrain-logloss:0.341604+0.007166\ttrain-error:0.058951+0.006592\ttest-auc:0.743563+0.018166\ttest-logloss:0.593442+0.014256\ttest-error:0.328778+0.020985 \n", "Stopping. Best iteration:\n", "[45]\ttrain-auc:0.983222+0.003323\ttrain-logloss:0.356999+0.008188\ttrain-error:0.069271+0.008111\ttest-auc:0.742024+0.017524\ttest-logloss:0.594408+0.013484\ttest-error:0.328111+0.021181\n", "\n", "[1]\ttrain-auc:0.934010+0.008853\ttrain-logloss:0.644586+0.002349\ttrain-error:0.147864+0.013444\ttest-auc:0.643095+0.021261\ttest-logloss:0.679845+0.002381\ttest-error:0.408444+0.019696 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:1.000000+0.000000\ttrain-logloss:0.107373+0.004389\ttrain-error:0.000000+0.000000\ttest-auc:0.754090+0.018546\ttest-logloss:0.595836+0.022702\ttest-error:0.318889+0.014783 \n", "Stopping. Best iteration:\n", "[69]\ttrain-auc:1.000000+0.000000\ttrain-logloss:0.077801+0.003230\ttrain-error:0.000000+0.000000\ttest-auc:0.757667+0.018102\ttest-logloss:0.599993+0.024362\ttest-error:0.314111+0.013690\n", "\n", "[1]\ttrain-auc:0.703941+0.002905\ttrain-logloss:0.686155+0.000119\ttrain-error:0.362555+0.004303\ttest-auc:0.665895+0.014237\ttest-logloss:0.687514+0.000620\ttest-error:0.383555+0.010655 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:0.821497+0.001737\ttrain-logloss:0.554493+0.001649\ttrain-error:0.266444+0.003673\ttest-auc:0.729046+0.016835\ttest-logloss:0.608759+0.009447\ttest-error:0.338333+0.014633 \n", "Stopping. Best iteration:\n", "[69]\ttrain-auc:0.840083+0.001913\ttrain-logloss:0.535131+0.001830\ttrain-error:0.248679+0.004101\ttest-auc:0.732550+0.017349\ttest-logloss:0.603786+0.010547\ttest-error:0.334556+0.014677\n", "\n", "[1]\ttrain-auc:0.786423+0.009774\ttrain-logloss:0.681408+0.000625\ttrain-error:0.300975+0.010759\ttest-auc:0.662952+0.016292\ttest-logloss:0.686516+0.000730\ttest-error:0.387111+0.009339 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "Stopping. Best iteration:\n", "[29]\ttrain-auc:0.921793+0.006983\ttrain-logloss:0.501812+0.004283\ttrain-error:0.166691+0.010027\ttest-auc:0.725392+0.013456\ttest-logloss:0.613664+0.007937\ttest-error:0.338778+0.015615\n", "\n", "[1]\ttrain-auc:0.930805+0.007333\ttrain-logloss:0.668693+0.001006\ttrain-error:0.151123+0.012435\ttest-auc:0.653053+0.022793\ttest-logloss:0.685441+0.001372\ttest-error:0.397889+0.019337 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:1.000000+0.000000\ttrain-logloss:0.208367+0.004190\ttrain-error:0.000197+0.000158\ttest-auc:0.748054+0.016423\ttest-logloss:0.592041+0.015400\ttest-error:0.323667+0.014238 \n", "Stopping. Best iteration:\n", "[54]\ttrain-auc:1.000000+0.000000\ttrain-logloss:0.198295+0.003931\ttrain-error:0.000136+0.000151\ttest-auc:0.749679+0.016039\ttest-logloss:0.591085+0.015690\ttest-error:0.320556+0.011069\n", "\n", "[1]\ttrain-auc:0.706879+0.006937\ttrain-logloss:0.656097+0.000996\ttrain-error:0.358358+0.006934\ttest-auc:0.664376+0.014192\ttest-logloss:0.664288+0.002779\ttest-error:0.386222+0.015016 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "Stopping. Best iteration:\n", "[17]\ttrain-auc:0.856229+0.004436\ttrain-logloss:0.507505+0.004026\ttrain-error:0.231247+0.005317\ttest-auc:0.723719+0.016158\ttest-logloss:0.606779+0.011660\ttest-error:0.342444+0.014227\n", "\n", "[1]\ttrain-auc:0.787977+0.005708\ttrain-logloss:0.630568+0.002147\ttrain-error:0.296852+0.006258\ttest-auc:0.670012+0.015879\ttest-logloss:0.660021+0.003552\ttest-error:0.385333+0.011757 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:0.999944+0.000023\ttrain-logloss:0.147026+0.005866\ttrain-error:0.002593+0.000694\ttest-auc:0.737500+0.013444\ttest-logloss:0.626521+0.018879\ttest-error:0.329111+0.010539 \n", "Stopping. Best iteration:\n", "[66]\ttrain-auc:0.999998+0.000003\ttrain-logloss:0.106048+0.006895\ttrain-error:0.000370+0.000322\ttest-auc:0.739784+0.012617\ttest-logloss:0.635691+0.018997\ttest-error:0.326889+0.013534\n", "\n", "[1]\ttrain-auc:0.930660+0.010723\ttrain-logloss:0.561804+0.007616\ttrain-error:0.149531+0.015951\ttest-auc:0.653138+0.011059\ttest-logloss:0.662209+0.003700\ttest-error:0.398333+0.011224 \n", "Multiple eval metrics are present. Will use test_error for early stopping.\n", "Will train until test_error hasn't improved in 10 rounds.\n", "\n", "[51]\ttrain-auc:1.000000+0.000000\ttrain-logloss:0.024778+0.000901\ttrain-error:0.000000+0.000000\ttest-auc:0.751464+0.015141\ttest-logloss:0.673285+0.031905\ttest-error:0.318889+0.011320 \n", "Stopping. Best iteration:\n", "[48]\ttrain-auc:1.000000+0.000000\ttrain-logloss:0.026861+0.001092\ttrain-error:0.000000+0.000000\ttest-auc:0.751326+0.014651\ttest-logloss:0.669634+0.030983\ttest-error:0.315111+0.010979\n", "\n" ] }, { "data": { "text/plain": [ " user system elapsed \n", "1939.63 51.14 654.69 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "######################################################################\n", "################# XGBoost Grid Search to locate Optimal Parameters ###\n", "\n", "##############################################################################################################################\n", "# NOTE: This section was taken from the first chapter of my PhD where I needed to search over a parameter space to locate the\n", "# most optimal parameters - I have just adapted it for this problem of Time Series Classification.\n", "# Its simple enough to add parameters and different values - I just optimise a few important parameters from domain knowledge\n", "# of the XGBoost model for this task, i.e depth and eta are quite important in gradient boosting.\n", "\n", "# 1) I create a \"grid\" with different parameter values or combinations of parameter values\n", "# 2) I apply cross validation over the parameter space to fine the most optimal values for the XGBoost model.\n", "# 3) I print the model parameters which give the best train / (in-sample test) results in a data table.\n", "##############################################################################################################################\n", "\n", "# Grid Search Parameters:\n", "# 1)\n", "searchGridSubCol <- expand.grid(subsample = c(1), #Range (0,1], default = 1, set to 0.5 will prevent overfitting\n", " colsample_bytree = c(1), #Range (0,1], default = 1\n", " max_depth = c(5, 8, 14), #Range (0, inf], default = 6\n", " min_child = c(1), #Range (0, inf], default = 1\n", " eta = c(0.1, 0.05, 0.3), #Range (0,1], default = 0.3\n", " gamma = c(0), #Range (0, inf], default = 0\n", " lambda = c(1), #Default = 1, L2 regularisation on weights, higher the more conservative the model\n", " alpha = c(0), #Default = 0, L1 regularisation on weights, higher the more conservative the model\n", " max_delta_step = c(0), #Range (0, inf], default = 0 (Helpful for logisitc regression when class is extremely imbalanced, set to value 1-10 may help control the update)\n", " colsample_bylevel = c(1) #Range (0,1], default = 1\n", " )\n", "\n", "ntrees = 200\n", "nfold <- 10\n", "watchlist <- list(train = dtrain, test = dval)\n", "\n", "# 2)\n", "system.time(\n", " AUCHyperparameters <- apply(searchGridSubCol, 1, function(parameterList){\n", " #Extract Parameters to test\n", " currentSubsampleRate <- parameterList[[\"subsample\"]]\n", " currentColsampleRate <- parameterList[[\"colsample_bytree\"]]\n", " currentDepth <- parameterList[[\"max_depth\"]]\n", " currentEta <- parameterList[[\"eta\"]]\n", " currentMinChild <- parameterList[[\"min_child\"]]\n", " gamma <- parameterList[[\"gamma\"]]\n", " lambda <- parameterList[[\"lambda\"]]\n", " alpha <- parameterList[[\"alpha\"]]\n", " max_delta_step <- parameterList[[\"max_delta_step\"]]\n", " colsample_bylevel <- parameterList[[\"colsample_bylevel\"]]\n", " xgboostModelCV <- xgb.cv(data = dtrain, \n", " nrounds = ntrees, \n", " nfold = nfold, \n", " showsd = TRUE,\n", " metrics = c(\"auc\", \"logloss\", \"error\"),\n", " verbose = TRUE, \n", " \"eval_metric\" = c(\"auc\", \"logloss\", \"error\"),\n", " \"objective\" = \"binary:logistic\", #Outputs a probability \"binary:logitraw\" - outputs score before logistic transformation\n", " \"max.depth\" = currentDepth, \n", " \"eta\" = currentEta,\n", " \"gamma\" = gamma,\n", " \"lambda\" = lambda,\n", " \"alpha\" = alpha,\n", " \"subsample\" = currentSubsampleRate, \n", " \"colsample_bytree\" = currentColsampleRate,\n", " print_every_n = 50, # print ever 50 trees to reduce the outputs printed.\n", " \"min_child_weight\" = currentMinChild,\n", " booster = \"gbtree\", #booster = \"dart\" #using dart can help improve accuracy.\n", " early_stopping_rounds = 10,\n", " watchlist = watchlist,\n", " seed = 1234)\n", " xvalidationScores <<- as.data.frame(xgboostModelCV$evaluation_log)\n", " train_auc_mean <- tail(xvalidationScores$train_auc_mean, 1)\n", " test_auc_mean <- tail(xvalidationScores$test_auc_mean, 1)\n", " train_logloss_mean <- tail(xvalidationScores$train_logloss_mean, 1)\n", " test_logloss_mean <- tail(xvalidationScores$test_logloss_mean, 1)\n", " train_error_mean <- tail(xvalidationScores$train_error_mean, 1)\n", " test_error_mean <- tail(xvalidationScores$test_error_mean, 1)\n", " output <- return(c(train_auc_mean, test_auc_mean, train_logloss_mean, test_logloss_mean, train_error_mean, test_error_mean, xvalidationScores, currentSubsampleRate, currentColsampleRate, currentDepth, currentEta, gamma, lambda, alpha, max_delta_step, colsample_bylevel, currentMinChild))\n", " hypemeans <- which.max(AUCHyperparameters[[1]]$test_auc_mean)\n", " output2 <- return(hypemeans)\n", " }))" ] }, { "cell_type": "code", "execution_count": 49, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
TrainAUCTestAUCTrainLoglossTestLoglossTrainErrorTestErrorSubSampRateColSampRateDepthetagammalambdaalphamax_delta_stepcol_sample_bylevelcurrentMinChild
0.89772540.73901320.47426360.59542820.18780260.33300011 1 5 0.1 0 1 0 0 1 1
0.98906970.743927 0.33192950.59328340.05362960.32933331 1 8 0.1 0 1 0 0 1 1
1 0.75841380.06623220.60392680 0.31622231 1 14 0.1 0 1 0 0 1 1
0.84933960.73367570.52632020.60218690.239679 0.33833331 1 5 0.05 0 1 0 0 1 1
0.94307870.73226550.46426750.60551510.13776530.33966681 1 8 0.05 0 1 0 0 1 1
1 0.75182960.16986820.59013760 0.32188881 1 14 0.05 0 1 0 0 1 1
0.89324110.72392990.466469 0.60636630.19254310.34644451 1 5 0.3 0 1 0 0 1 1
1 0.74075830.08689160.64236660.00007380.32988891 1 8 0.3 0 1 0 0 1 1
1 0.75200430.02125220.68146350 0.31766671 1 14 0.3 0 1 0 0 1 1
\n" ], "text/latex": [ "\\begin{tabular}{r|llllllllllllllll}\n", " TrainAUC & TestAUC & TrainLogloss & TestLogloss & TrainError & TestError & SubSampRate & ColSampRate & Depth & eta & gamma & lambda & alpha & max\\_delta\\_step & col\\_sample\\_bylevel & currentMinChild\\\\\n", "\\hline\n", "\t 0.8977254 & 0.7390132 & 0.4742636 & 0.5954282 & 0.1878026 & 0.3330001 & 1 & 1 & 5 & 0.1 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 0.9890697 & 0.743927 & 0.3319295 & 0.5932834 & 0.0536296 & 0.3293333 & 1 & 1 & 8 & 0.1 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 1 & 0.7584138 & 0.0662322 & 0.6039268 & 0 & 0.3162223 & 1 & 1 & 14 & 0.1 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 0.8493396 & 0.7336757 & 0.5263202 & 0.6021869 & 0.239679 & 0.3383333 & 1 & 1 & 5 & 0.05 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 0.9430787 & 0.7322655 & 0.4642675 & 0.6055151 & 0.1377653 & 0.3396668 & 1 & 1 & 8 & 0.05 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 1 & 0.7518296 & 0.1698682 & 0.5901376 & 0 & 0.3218888 & 1 & 1 & 14 & 0.05 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 0.8932411 & 0.7239299 & 0.466469 & 0.6063663 & 0.1925431 & 0.3464445 & 1 & 1 & 5 & 0.3 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 1 & 0.7407583 & 0.0868916 & 0.6423666 & 0.0000738 & 0.3298889 & 1 & 1 & 8 & 0.3 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\t 1 & 0.7520043 & 0.0212522 & 0.6814635 & 0 & 0.3176667 & 1 & 1 & 14 & 0.3 & 0 & 1 & 0 & 0 & 1 & 1 \\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| TrainAUC | TestAUC | TrainLogloss | TestLogloss | TrainError | TestError | SubSampRate | ColSampRate | Depth | eta | gamma | lambda | alpha | max_delta_step | col_sample_bylevel | currentMinChild |\n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| 0.8977254 | 0.7390132 | 0.4742636 | 0.5954282 | 0.1878026 | 0.3330001 | 1 | 1 | 5 | 0.1 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 0.9890697 | 0.743927 | 0.3319295 | 0.5932834 | 0.0536296 | 0.3293333 | 1 | 1 | 8 | 0.1 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 1 | 0.7584138 | 0.0662322 | 0.6039268 | 0 | 0.3162223 | 1 | 1 | 14 | 0.1 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 0.8493396 | 0.7336757 | 0.5263202 | 0.6021869 | 0.239679 | 0.3383333 | 1 | 1 | 5 | 0.05 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 0.9430787 | 0.7322655 | 0.4642675 | 0.6055151 | 0.1377653 | 0.3396668 | 1 | 1 | 8 | 0.05 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 1 | 0.7518296 | 0.1698682 | 0.5901376 | 0 | 0.3218888 | 1 | 1 | 14 | 0.05 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 0.8932411 | 0.7239299 | 0.466469 | 0.6063663 | 0.1925431 | 0.3464445 | 1 | 1 | 5 | 0.3 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 1 | 0.7407583 | 0.0868916 | 0.6423666 | 0.0000738 | 0.3298889 | 1 | 1 | 8 | 0.3 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "| 1 | 0.7520043 | 0.0212522 | 0.6814635 | 0 | 0.3176667 | 1 | 1 | 14 | 0.3 | 0 | 1 | 0 | 0 | 1 | 1 |\n", "\n" ], "text/plain": [ " TrainAUC TestAUC TrainLogloss TestLogloss TrainError TestError SubSampRate\n", "1 0.8977254 0.7390132 0.4742636 0.5954282 0.1878026 0.3330001 1 \n", "2 0.9890697 0.743927 0.3319295 0.5932834 0.0536296 0.3293333 1 \n", "3 1 0.7584138 0.0662322 0.6039268 0 0.3162223 1 \n", "4 0.8493396 0.7336757 0.5263202 0.6021869 0.239679 0.3383333 1 \n", "5 0.9430787 0.7322655 0.4642675 0.6055151 0.1377653 0.3396668 1 \n", "6 1 0.7518296 0.1698682 0.5901376 0 0.3218888 1 \n", "7 0.8932411 0.7239299 0.466469 0.6063663 0.1925431 0.3464445 1 \n", "8 1 0.7407583 0.0868916 0.6423666 0.0000738 0.3298889 1 \n", "9 1 0.7520043 0.0212522 0.6814635 0 0.3176667 1 \n", " ColSampRate Depth eta gamma lambda alpha max_delta_step col_sample_bylevel\n", "1 1 5 0.1 0 1 0 0 1 \n", "2 1 8 0.1 0 1 0 0 1 \n", "3 1 14 0.1 0 1 0 0 1 \n", "4 1 5 0.05 0 1 0 0 1 \n", "5 1 8 0.05 0 1 0 0 1 \n", "6 1 14 0.05 0 1 0 0 1 \n", "7 1 5 0.3 0 1 0 0 1 \n", "8 1 8 0.3 0 1 0 0 1 \n", "9 1 14 0.3 0 1 0 0 1 \n", " currentMinChild\n", "1 1 \n", "2 1 \n", "3 1 \n", "4 1 \n", "5 1 \n", "6 1 \n", "7 1 \n", "8 1 \n", "9 1 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# 3)\n", "output <- as.data.frame(t(sapply(AUCHyperparameters, '[', c(1:6, 20:29))))\n", "varnames <- c(\"TrainAUC\", \"TestAUC\", \"TrainLogloss\", \"TestLogloss\", \"TrainError\", \"TestError\", \"SubSampRate\", \"ColSampRate\", \"Depth\", \"eta\", \"gamma\", \"lambda\", \"alpha\", \"max_delta_step\", \"col_sample_bylevel\", \"currentMinChild\")\n", "colnames(output) <- varnames\n", "data.table(output)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Optimal Parameters:\n", "\n", "ntrees = 95,\n", "\n", "eta = 0.1,\n", "\n", "max_depth = 5,\n", "\n", "With the other parameters left to default settings for simplicity.\n", "\n", "Lets plug them into the XGB model" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [], "source": [ "#################################################################################\n", "################# XGBoost Optimal Parameters from Cross Validation ##############\n", "\n", "# This is the final training model where I use the most optimal parameters found over the grid space and plug them in here.\n", "\n", "watchlist <- list(\"train\" = dtrain)\n", "\n", "params <- list(\"eta\" = 0.1, \"max_depth\" = 5, \"colsample_bytree\" = 1, \"min_child_weight\" = 1, \"subsample\"= 1,\n", " \"objective\"=\"binary:logistic\", \"gamma\" = 1, \"lambda\" = 1, \"alpha\" = 0, \"max_delta_step\" = 0,\n", " \"colsample_bylevel\" = 1, \"eval_metric\"= \"auc\",\n", " \"set.seed\" = 176)\n", "\n", "nround <- 95" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1]\ttrain-auc:0.705973 \n", "[2]\ttrain-auc:0.719498 \n", "[3]\ttrain-auc:0.734230 \n", "[4]\ttrain-auc:0.740069 \n", "[5]\ttrain-auc:0.745137 \n", "[6]\ttrain-auc:0.746840 \n", "[7]\ttrain-auc:0.754894 \n", "[8]\ttrain-auc:0.759521 \n", "[9]\ttrain-auc:0.761794 \n", "[10]\ttrain-auc:0.764262 \n", "[11]\ttrain-auc:0.771451 \n", "[12]\ttrain-auc:0.773591 \n", "[13]\ttrain-auc:0.778484 \n", "[14]\ttrain-auc:0.781871 \n", "[15]\ttrain-auc:0.784020 \n", "[16]\ttrain-auc:0.784618 \n", "[17]\ttrain-auc:0.786377 \n", "[18]\ttrain-auc:0.789053 \n", "[19]\ttrain-auc:0.794144 \n", "[20]\ttrain-auc:0.794969 \n", "[21]\ttrain-auc:0.796448 \n", "[22]\ttrain-auc:0.800650 \n", "[23]\ttrain-auc:0.804296 \n", "[24]\ttrain-auc:0.807346 \n", "[25]\ttrain-auc:0.808742 \n", "[26]\ttrain-auc:0.810861 \n", "[27]\ttrain-auc:0.813872 \n", "[28]\ttrain-auc:0.814804 \n", "[29]\ttrain-auc:0.817461 \n", "[30]\ttrain-auc:0.819320 \n", "[31]\ttrain-auc:0.822190 \n", "[32]\ttrain-auc:0.825254 \n", "[33]\ttrain-auc:0.828159 \n", "[34]\ttrain-auc:0.829612 \n", "[35]\ttrain-auc:0.830371 \n", "[36]\ttrain-auc:0.832979 \n", "[37]\ttrain-auc:0.834608 \n", "[38]\ttrain-auc:0.836426 \n", "[39]\ttrain-auc:0.838196 \n", "[40]\ttrain-auc:0.841133 \n", "[41]\ttrain-auc:0.842343 \n", "[42]\ttrain-auc:0.843095 \n", "[43]\ttrain-auc:0.845050 \n", "[44]\ttrain-auc:0.847798 \n", "[45]\ttrain-auc:0.849663 \n", "[46]\ttrain-auc:0.850736 \n", "[47]\ttrain-auc:0.853366 \n", "[48]\ttrain-auc:0.854850 \n", "[49]\ttrain-auc:0.856072 \n", "[50]\ttrain-auc:0.857812 \n", "[51]\ttrain-auc:0.858710 \n", "[52]\ttrain-auc:0.860855 \n", "[53]\ttrain-auc:0.863436 \n", "[54]\ttrain-auc:0.866021 \n", "[55]\ttrain-auc:0.867291 \n", "[56]\ttrain-auc:0.868679 \n", "[57]\ttrain-auc:0.869634 \n", "[58]\ttrain-auc:0.870664 \n", "[59]\ttrain-auc:0.872114 \n", "[60]\ttrain-auc:0.874694 \n", "[61]\ttrain-auc:0.876226 \n", "[62]\ttrain-auc:0.876906 \n", "[63]\ttrain-auc:0.877767 \n", "[64]\ttrain-auc:0.878646 \n", "[65]\ttrain-auc:0.880100 \n", "[66]\ttrain-auc:0.881833 \n", "[67]\ttrain-auc:0.883264 \n", "[68]\ttrain-auc:0.885504 \n", "[69]\ttrain-auc:0.886447 \n", "[70]\ttrain-auc:0.888287 \n", "[71]\ttrain-auc:0.888837 \n", "[72]\ttrain-auc:0.890569 \n", "[73]\ttrain-auc:0.891640 \n", "[74]\ttrain-auc:0.893394 \n", "[75]\ttrain-auc:0.894343 \n", "[76]\ttrain-auc:0.895854 \n", "[77]\ttrain-auc:0.896927 \n", "[78]\ttrain-auc:0.897480 \n", "[79]\ttrain-auc:0.898151 \n", "[80]\ttrain-auc:0.899293 \n", "[81]\ttrain-auc:0.899657 \n", "[82]\ttrain-auc:0.901429 \n", "[83]\ttrain-auc:0.902062 \n", "[84]\ttrain-auc:0.903443 \n", "[85]\ttrain-auc:0.903960 \n", "[86]\ttrain-auc:0.905291 \n", "[87]\ttrain-auc:0.906897 \n", "[88]\ttrain-auc:0.907115 \n", "[89]\ttrain-auc:0.908098 \n", "[90]\ttrain-auc:0.908680 \n", "[91]\ttrain-auc:0.909333 \n", "[92]\ttrain-auc:0.910633 \n", "[93]\ttrain-auc:0.911237 \n", "[94]\ttrain-auc:0.912969 \n", "[95]\ttrain-auc:0.913201 \n" ] } ], "source": [ "# Train the XGBoost model\n", "\n", "xgb.model <- xgb.train(params, dtrain, nround, watchlist)\n", "# Note: Plot AUC on for the in-sample train / validation scores" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAMAAADKOT/pAAAC7lBMVEUAAAABAQECAgIDAwME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\nJEABQQIUECRAAUECFBAkQAFBAhT8f1/BRFm3JQAXAAAAAElFTkSuQmCC", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# We can obtain \"feature\" importance results from the model.\n", "xgb.imp <- xgb.importance(model = xgb.model)\n", "xgb.plot.importance(xgb.imp, top_n = 10)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "`stat_bin()` using `bins = 30`. Pick better value with `binwidth`.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAIAAAByhViMAAAACXBIWXMAABJ0AAASdAHeZh94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\nAABJRU5ErkJggg==", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# I next make the predictions on the 'in-sample' held out test set, that is, originally I took the 12,000 training samples\n", "# and split them between 75% training and 25% 'in-sample' testing (9000 training vs 3000 in-sample testing)\n", "\n", "# I plot the probabilities from the model - the \"dashed\" line is the average predicted probability.\n", "xgb.pred <- predict(xgb.model, dval, type = 'prob')\n", "\n", "results <- cbind(y_val, xgb.pred)\n", "\n", "results %>%\n", " as.tibble() %>%\n", " ggplot(aes(x = xgb.pred)) + \n", " geom_density(color = \"darkblue\", fill = \"lightblue\") +\n", " geom_vline(aes(xintercept = mean(xgb.pred)),\n", " color = \"blue\", linetype = \"dashed\", size = 1) +\n", " geom_histogram(aes(y = ..density..), colour = \"black\", fill = \"white\", alpha = 0.1, position = \"identity\") +\n", " ggtitle(\"Predicted probability density plot\") +\n", " theme_tq()" ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Confusion Matrix and Statistics\n", "\n", " Reference\n", "Prediction 0 1\n", " 0 1103 553\n", " 1 426 918\n", " \n", " Accuracy : 0.6737 \n", " 95% CI : (0.6566, 0.6904) \n", " No Information Rate : 0.5097 \n", " P-Value [Acc > NIR] : < 0.00000000000000022\n", " \n", " Kappa : 0.346 \n", " \n", " Mcnemar's Test P-Value : 0.0000565 \n", " \n", " Sensitivity : 0.7214 \n", " Specificity : 0.6241 \n", " Pos Pred Value : 0.6661 \n", " Neg Pred Value : 0.6830 \n", " Prevalence : 0.5097 \n", " Detection Rate : 0.3677 \n", " Detection Prevalence : 0.5520 \n", " Balanced Accuracy : 0.6727 \n", " \n", " 'Positive' Class : 0 \n", " " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# The average predicted probability sits around 0.48 / 0.49, for simplicity I will just select 0.50 as the cut off threshold.\n", "# That is, all observations <= 0.50 are assigned a \"0\" class or \"synthetic\" data and all observations >= are assigned a \"1\" or\n", "# \"real\" data.\n", "# Finally I output the confusion matrix on the 'in-sample' testing data.\n", "\n", "results <- results %>%\n", " as_tibble() %>%\n", " mutate(pred = case_when(\n", " xgb.pred > 0.5 ~ 1,\n", " xgb.pred <= 0.5 ~ 0\n", " ))\n", "\n", "confusionMatrix(factor(results$pred), factor(results$y_val))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# ------------ END Train - Validation model --------------\n", "\n", "# Part 3:" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "# Not a great results but not terrible either. The model was able to predict with 67% accuracy on the data it had never seen\n", "# before. With better feature selection (from other packages and not just the 'tsfeatures' package) the model will surely \n", "# increase its accuracy further.\n", "\n", "# Next I re-train the model using the whole training sample data (12,000 observations) and make the final prediction on the \"test.csv\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Create the test features" ] }, { "cell_type": "code", "execution_count": 54, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
row_idvariablevalue
1 feature1 0.033103857
1 feature2 0.008622451
1 feature3 0.004062185
1 feature4 0.008255409
1 feature5 0.055874059
1 feature6 -0.006126608
\n" ], "text/latex": [ "\\begin{tabular}{r|lll}\n", " row\\_id & variable & value\\\\\n", "\\hline\n", "\t 1 & feature1 & 0.033103857\\\\\n", "\t 1 & feature2 & 0.008622451\\\\\n", "\t 1 & feature3 & 0.004062185\\\\\n", "\t 1 & feature4 & 0.008255409\\\\\n", "\t 1 & feature5 & 0.055874059\\\\\n", "\t 1 & feature6 & -0.006126608\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| row_id | variable | value |\n", "|---|---|---|\n", "| 1 | feature1 | 0.033103857 |\n", "| 1 | feature2 | 0.008622451 |\n", "| 1 | feature3 | 0.004062185 |\n", "| 1 | feature4 | 0.008255409 |\n", "| 1 | feature5 | 0.055874059 |\n", "| 1 | feature6 | -0.006126608 |\n", "\n" ], "text/plain": [ " row_id variable value \n", "1 1 feature1 0.033103857\n", "2 1 feature2 0.008622451\n", "3 1 feature3 0.004062185\n", "4 1 feature4 0.008255409\n", "5 1 feature5 0.055874059\n", "6 1 feature6 -0.006126608" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "test_final <- read.csv(\"test.csv\") %>%\n", " mutate(row_id = row_number()) %>%\n", " melt(., measure.vars = 1:260) %>%\n", " arrange(row_id)\n", "\n", "head(test_final)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "functions <- ls(\"package:tsfeatures\")[1:42]\n", "# functions <- sample(functions, 20)\n", "\n", "test_final <- test_final %>%\n", " group_by(row_id) %>%\n", "# nest() %>%\n", "# sample_n(5) %>%\n", "# unnest() %>%\n", " nest(-row_id) %>%\n", " group_by(row_id) %T>% \n", " {options(warn = -1)} %>%\n", " summarise(Statistics = map(data, ~ data.frame(\n", " bind_cols(\n", " tsfeatures(.x$value, functions))))) %>%\n", " unnest(Statistics)\n", "\n", "#print(\"Generated 106 Time Series features\")" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [], "source": [ "# We are HERE @@@@@@@@@@@@@@@@@@@@@@@@@@@\n", "\n", "#write.csv(test_final, \"TSfeatures_test.csv\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Load in the train and test features datasets" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
A data.frame: 6 × 109
Xrow_idclassac_9_ac_9acf_features_x_acf1acf_features_x_acf10acf_features_diff1_acf1acf_features_diff1_acf10acf_features_diff2_acf1acf_features_diff2_acf10tsfeatures_entropytsfeatures_x_acf1tsfeatures_x_acf10tsfeatures_diff1_acf1tsfeatures_diff1_acf10tsfeatures_diff2_acf1tsfeatures_diff2_acf10unitroot_kpssunitroot_ppwalker_propcross
<int><int><int><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl>
110-0.067527460 0.0097094450.05268970-0.50052990.3297018-0.67724030.61247390.9840151 0.0097094450.05268970-0.50052990.3297018-0.67724030.61247390.09938291-249.77320.5405405
220-0.042157717-0.0075901950.03874814-0.51715290.3129147-0.67278970.53793010.9864332-0.0075901950.03874814-0.51715290.3129147-0.67278970.53793010.04145992-256.04850.5019305
331 0.009959832-0.0405928740.04490357-0.50266830.3471209-0.67188850.61090060.9868568-0.0405928740.04490357-0.50266830.3471209-0.67188850.61090060.07756983-258.12950.5328185
440-0.042874797-0.0443618930.06158667-0.45714420.3184053-0.59064780.43611780.9790521-0.0443618930.06158667-0.45714420.3184053-0.59064780.43611780.21296332-262.07810.4903475
550 0.025931211-0.2447834960.14691301-0.58100730.4796508-0.67992290.62325290.9723766-0.2447834960.14691301-0.58100730.4796508-0.67992290.62325290.15063439-323.56720.5289575
660-0.076116613 0.0468555780.08583475-0.52531310.3438031-0.69015700.61307250.9806218 0.0468555780.08583475-0.52531310.3438031-0.69015700.61307250.02594138-262.34840.5250965
\n" ], "text/latex": [ "A data.frame: 6 × 109\n", "\\begin{tabular}{r|lllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllll}\n", " X & row\\_id & class & ac\\_9\\_ac\\_9 & acf\\_features\\_x\\_acf1 & acf\\_features\\_x\\_acf10 & acf\\_features\\_diff1\\_acf1 & acf\\_features\\_diff1\\_acf10 & acf\\_features\\_diff2\\_acf1 & acf\\_features\\_diff2\\_acf10 & ARCH.LM & autocorr\\_features\\_embed2\\_incircle\\_1 & autocorr\\_features\\_embed2\\_incircle\\_2 & autocorr\\_features\\_ac\\_9 & autocorr\\_features\\_firstmin\\_ac & autocorr\\_features\\_trev\\_num & autocorr\\_features\\_motiftwo\\_entro3 & autocorr\\_features\\_walker\\_propcross & binarize\\_mean\\_binarize\\_mean & binarize\\_mean\\_NA & compengine\\_embed2\\_incircle\\_1 & compengine\\_embed2\\_incircle\\_2 & compengine\\_ac\\_9 & compengine\\_firstmin\\_ac & compengine\\_trev\\_num & compengine\\_motiftwo\\_entro3 & compengine\\_walker\\_propcross & compengine\\_localsimple\\_mean1 & compengine\\_localsimple\\_lfitac & compengine\\_sampen\\_first & compengine\\_std1st\\_der & compengine\\_spreadrandomlocal\\_meantaul\\_50 & compengine\\_spreadrandomlocal\\_meantaul\\_ac2 & compengine\\_histogram\\_mode\\_10 & compengine\\_outlierinclude\\_mdrmd & compengine\\_fluctanal\\_prop\\_r1 & crossing\\_points & dist\\_features\\_histogram\\_mode\\_10 & dist\\_features\\_outlierinclude\\_mdrmd & embed2\\_incircle & entropy & firstmin\\_ac & firstzero\\_ac & flat\\_spots & fluctanal\\_prop\\_r1\\_fluctanal\\_prop\\_r1 & arch\\_acf & garch\\_acf & arch\\_r2 & garch\\_r2 & histogram\\_mode & alpha & beta & hurst & hw\\_parameters\\_hw\\_parameters & hw\\_parameters\\_NA & localsimple\\_taures & lumpiness & max\\_kl\\_shift & time\\_kl\\_shift & max\\_level\\_shift & time\\_level\\_shift & max\\_var\\_shift & time\\_var\\_shift & motiftwo\\_entro3 & nonlinearity & outlierinclude\\_mdrmd & x\\_pacf5 & diff1x\\_pacf5 & diff2x\\_pacf5 & pred\\_features\\_localsimple\\_mean1 & pred\\_features\\_localsimple\\_lfitac & pred\\_features\\_sampen\\_first & sampen\\_first\\_sampen\\_first & sampenc & scal\\_features\\_fluctanal\\_prop\\_r1 & spreadrandomlocal\\_meantaul & stability & station\\_features\\_std1st\\_der & station\\_features\\_spreadrandomlocal\\_meantaul\\_50 & station\\_features\\_spreadrandomlocal\\_meantaul\\_ac2 & std1st\\_der\\_std1st\\_der & nperiods & seasonal\\_period & trend & spike & linearity & curvature & e\\_acf1 & e\\_acf10 & trev\\_num & tsfeatures\\_frequency & tsfeatures\\_nperiods & tsfeatures\\_seasonal\\_period & tsfeatures\\_trend & tsfeatures\\_spike & tsfeatures\\_linearity & tsfeatures\\_curvature & tsfeatures\\_e\\_acf1 & tsfeatures\\_e\\_acf10 & tsfeatures\\_entropy & tsfeatures\\_x\\_acf1 & tsfeatures\\_x\\_acf10 & tsfeatures\\_diff1\\_acf1 & tsfeatures\\_diff1\\_acf10 & tsfeatures\\_diff2\\_acf1 & tsfeatures\\_diff2\\_acf10 & unitroot\\_kpss & unitroot\\_pp & walker\\_propcross\\\\\n", " & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & \\\\\n", "\\hline\n", "\t 1 & 1 & 0 & -0.067527460 & 0.009709445 & 0.05268970 & -0.5005299 & 0.3297018 & -0.6772403 & 0.6124739 & 0.06278254 & 0.3929961 & 0.6147860 & -0.067527460 & 1 & 0.12087497 & 2.071663 & 0.5405405 & 1 & 1 & 0.3929961 & 0.6147860 & -0.067527460 & 1 & 0.12087497 & 2.071663 & 0.5405405 & 1 & 1 & 1.788841 & 1.408737 & 1.68 & 1.43 & -0.25 & -0.28653846 & 0.1627907 & 132 & -0.25 & -0.28653846 & 0.3929961 & 0.9840151 & 1 & 3 & 4 & 0.1627907 & 0.06525855 & 0.015440562 & 0.06278254 & 0.025336691 & -0.25 & 0.0013329600 & 0.0013329562 & 0.5000458 & NA & NA & 1 & 0.3556536 & 1.783636 & 103 & 1.297736 & 97 & 2.819828 & 46 & 2.071663 & 0.07523190 & -0.28653846 & 0.01086528 & 0.4457792 & 1.0525222 & 1 & 1 & 1.788841 & 1.788841 & 1.788841 & 0.1627907 & 1.76 & 0.05626933 & 1.408737 & 1.74 & 1.36 & 1.408737 & 0 & 1 & 0.004305203 & 0.00002605589 & 0.8421403 & -0.70691600 & 0.005238866 & 0.05883242 & 0.12087497 & 1 & 0 & 1 & 0.004305203 & 0.00002605589 & 0.8421403 & -0.70691600 & 0.005238866 & 0.05883242 & 0.9840151 & 0.009709445 & 0.05268970 & -0.5005299 & 0.3297018 & -0.6772403 & 0.6124739 & 0.09938291 & -249.7732 & 0.5405405\\\\\n", "\t 2 & 2 & 0 & -0.042157717 & -0.007590195 & 0.03874814 & -0.5171529 & 0.3129147 & -0.6727897 & 0.5379301 & 0.05580317 & 0.4285714 & 0.6563707 & -0.042157717 & 1 & -0.47652293 & 2.077581 & 0.5019305 & 1 & 1 & 0.4285714 & 0.6563707 & -0.042157717 & 1 & -0.47652293 & 2.077581 & 0.5019305 & 1 & 1 & 1.780390 & 1.419266 & 1.95 & 1.00 & 0.50 & 0.26153846 & 0.1627907 & 123 & 0.50 & 0.26153846 & 0.4285714 & 0.9864332 & 1 & 1 & 4 & 0.1627907 & 0.06643583 & 0.065785912 & 0.05580317 & 0.055435511 & 0.50 & 0.0001000030 & 0.0001000001 & 0.5000458 & NA & NA & 1 & 0.4636768 & 1.733008 & 247 & 1.311860 & 141 & 2.625772 & 221 & 2.077581 & 0.02733349 & 0.26153846 & 0.02560322 & 0.4606850 & 1.0171377 & 1 & 1 & 1.780390 & 1.780390 & 1.780390 & 0.1627907 & 2.05 & 0.08922058 & 1.419266 & 2.12 & 1.00 & 1.419266 & 0 & 1 & 0.017745971 & 0.00003985805 & 0.9249561 & 0.76654073 & -0.021805338 & 0.04118613 & -0.47652293 & 1 & 0 & 1 & 0.017745971 & 0.00003985805 & 0.9249561 & 0.76654073 & -0.021805338 & 0.04118613 & 0.9864332 & -0.007590195 & 0.03874814 & -0.5171529 & 0.3129147 & -0.6727897 & 0.5379301 & 0.04145992 & -256.0485 & 0.5019305\\\\\n", "\t 3 & 3 & 1 & 0.009959832 & -0.040592874 & 0.04490357 & -0.5026683 & 0.3471209 & -0.6718885 & 0.6109006 & 0.03254704 & 0.4671815 & 0.7065637 & 0.009959832 & 1 & -0.87551725 & 2.069233 & 0.5328185 & 1 & 0 & 0.4671815 & 0.7065637 & 0.009959832 & 1 & -0.87551725 & 2.069233 & 0.5328185 & 1 & 1 & 1.706841 & 1.443315 & 1.38 & 1.00 & -0.50 & -0.25384615 & 0.1395349 & 132 & -0.50 & -0.25384615 & 0.4671815 & 0.9868568 & 1 & 1 & 6 & 0.1395349 & 0.03885128 & 0.003916185 & 0.03254704 & 0.004190157 & -0.50 & 0.0014557272 & 0.0014557145 & 0.5000458 & NA & NA & 1 & 1.2670493 & 7.746711 & 95 & 1.403784 & 87 & 5.235499 & 84 & 2.069233 & 0.24364991 & -0.25384615 & 0.02230691 & 0.5356408 & 0.9954919 & 1 & 1 & 1.706841 & 1.706841 & 1.706841 & 0.1395349 & 1.42 & 0.07164989 & 1.443315 & 1.42 & 1.00 & 1.443315 & 0 & 1 & 0.014136848 & 0.00009292117 & 0.8414359 & -0.02593108 & -0.054748450 & 0.04929872 & -0.87551725 & 1 & 0 & 1 & 0.014136848 & 0.00009292117 & 0.8414359 & -0.02593108 & -0.054748450 & 0.04929872 & 0.9868568 & -0.040592874 & 0.04490357 & -0.5026683 & 0.3471209 & -0.6718885 & 0.6109006 & 0.07756983 & -258.1295 & 0.5328185\\\\\n", "\t 4 & 4 & 0 & -0.042874797 & -0.044361893 & 0.06158667 & -0.4571442 & 0.3184053 & -0.5906478 & 0.4361178 & 0.12755758 & 0.4555985 & 0.7027027 & -0.042874797 & 2 & -0.99438075 & 2.068744 & 0.4903475 & 0 & 0 & 0.4555985 & 0.7027027 & -0.042874797 & 2 & -0.99438075 & 2.068744 & 0.4903475 & 1 & 1 & 1.660825 & 1.445807 & 1.24 & 1.00 & 0.25 & 0.01538462 & 0.1395349 & 127 & 0.25 & 0.01538462 & 0.4555985 & 0.9790521 & 2 & 1 & 7 & 0.1395349 & 0.06942961 & 0.011270877 & 0.05791444 & 0.012388439 & 0.25 & 0.0480021052 & 0.0001000000 & 0.5000458 & NA & NA & 1 & 1.0068624 & 4.994753 & 132 & 1.258758 & 173 & 5.886911 & 156 & 2.068744 & 0.38400912 & 0.01538462 & 0.05032051 & 0.5402603 & 1.1070217 & 1 & 1 & 1.660825 & 1.660825 & 1.660825 & 0.1395349 & 1.10 & 0.10651109 & 1.445807 & 1.14 & 1.00 & 1.445807 & 0 & 1 & 0.028353954 & 0.00004817662 & -1.2297854 & 0.29218993 & -0.072815189 & 0.07523888 & -0.99438075 & 1 & 0 & 1 & 0.028353954 & 0.00004817662 & -1.2297854 & 0.29218993 & -0.072815189 & 0.07523888 & 0.9790521 & -0.044361893 & 0.06158667 & -0.4571442 & 0.3184053 & -0.5906478 & 0.4361178 & 0.21296332 & -262.0781 & 0.4903475\\\\\n", "\t 5 & 5 & 0 & 0.025931211 & -0.244783496 & 0.14691301 & -0.5810073 & 0.4796508 & -0.6799229 & 0.6232529 & 0.20148614 & 0.6563707 & 0.7992278 & 0.025931211 & 1 & -0.71670786 & 2.059764 & 0.5289575 & 1 & 0 & 0.6563707 & 0.7992278 & 0.025931211 & 1 & -0.71670786 & 2.059764 & 0.5289575 & 1 & 1 & 1.347789 & 1.580825 & 1.08 & 0.98 & -0.50 & 0.79615385 & 0.1627907 & 133 & -0.50 & 0.79615385 & 0.6563707 & 0.9723766 & 1 & 1 & 9 & 0.1627907 & 0.27180579 & 0.222937477 & 0.17651296 & 0.133076113 & -0.50 & 0.0001000054 & 0.0001000001 & 0.5000458 & NA & NA & 1 & 2.8846415 & 11.474426 & 80 & 1.772392 & 229 & 8.468236 & 236 & 2.059764 & 0.21435953 & 0.79615385 & 0.10083922 & 0.7538746 & 1.2926800 & 1 & 1 & 1.347789 & 1.347789 & 1.347789 & 0.1627907 & 1.08 & 0.07979236 & 1.580825 & 1.06 & 0.98 & 1.580825 & 0 & 1 & 0.012107238 & 0.00015683737 & -0.5488436 & 0.22555377 & -0.259976395 & 0.15582090 & -0.71670786 & 1 & 0 & 1 & 0.012107238 & 0.00015683737 & -0.5488436 & 0.22555377 & -0.259976395 & 0.15582090 & 0.9723766 & -0.244783496 & 0.14691301 & -0.5810073 & 0.4796508 & -0.6799229 & 0.6232529 & 0.15063439 & -323.5672 & 0.5289575\\\\\n", "\t 6 & 6 & 0 & -0.076116613 & 0.046855578 & 0.08583475 & -0.5253131 & 0.3438031 & -0.6901570 & 0.6130725 & 0.04326284 & 0.4352941 & 0.6627451 & -0.076116613 & 1 & 0.08986483 & 2.068914 & 0.5250965 & 1 & 1 & 0.4352941 & 0.6627451 & -0.076116613 & 1 & 0.08986483 & 2.068914 & 0.5250965 & 1 & 1 & 1.751575 & 1.381854 & 2.69 & 1.71 & -0.25 & -0.08461538 & 0.3488372 & 134 & -0.25 & -0.08461538 & 0.4352941 & 0.9806218 & 1 & 5 & 5 & 0.3488372 & 0.05008057 & 0.050215393 & 0.06279680 & 0.062087672 & -0.25 & 0.0286244343 & 0.0001000005 & 0.5188805 & NA & NA & 1 & 0.2189481 & 3.145763 & 141 & 1.447883 & 80 & 2.077936 & 84 & 2.068914 & 0.01377334 & -0.08461538 & 0.01723214 & 0.4345976 & 1.0881798 & 1 & 1 & 1.751575 & 1.751575 & 1.751575 & 0.3488372 & 2.61 & 0.14796734 & 1.381854 & 2.63 & 1.81 & 1.381854 & 0 & 1 & 0.007748059 & 0.00003287937 & -0.5473782 & 0.45058095 & 0.041006828 & 0.08734678 & 0.08986483 & 1 & 0 & 1 & 0.007748059 & 0.00003287937 & -0.5473782 & 0.45058095 & 0.041006828 & 0.08734678 & 0.9806218 & 0.046855578 & 0.08583475 & -0.5253131 & 0.3438031 & -0.6901570 & 0.6130725 & 0.02594138 & -262.3484 & 0.5250965\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "A data.frame: 6 × 109\n", "\n", "| X <int> | row_id <int> | class <int> | ac_9_ac_9 <dbl> | acf_features_x_acf1 <dbl> | acf_features_x_acf10 <dbl> | acf_features_diff1_acf1 <dbl> | acf_features_diff1_acf10 <dbl> | acf_features_diff2_acf1 <dbl> | acf_features_diff2_acf10 <dbl> | ⋯ ⋯ | tsfeatures_entropy <dbl> | tsfeatures_x_acf1 <dbl> | tsfeatures_x_acf10 <dbl> | tsfeatures_diff1_acf1 <dbl> | tsfeatures_diff1_acf10 <dbl> | tsfeatures_diff2_acf1 <dbl> | tsfeatures_diff2_acf10 <dbl> | unitroot_kpss <dbl> | unitroot_pp <dbl> | walker_propcross <dbl> |\n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| 1 | 1 | 0 | -0.067527460 | 0.009709445 | 0.05268970 | -0.5005299 | 0.3297018 | -0.6772403 | 0.6124739 | ⋯ | 0.9840151 | 0.009709445 | 0.05268970 | -0.5005299 | 0.3297018 | -0.6772403 | 0.6124739 | 0.09938291 | -249.7732 | 0.5405405 |\n", "| 2 | 2 | 0 | -0.042157717 | -0.007590195 | 0.03874814 | -0.5171529 | 0.3129147 | -0.6727897 | 0.5379301 | ⋯ | 0.9864332 | -0.007590195 | 0.03874814 | -0.5171529 | 0.3129147 | -0.6727897 | 0.5379301 | 0.04145992 | -256.0485 | 0.5019305 |\n", "| 3 | 3 | 1 | 0.009959832 | -0.040592874 | 0.04490357 | -0.5026683 | 0.3471209 | -0.6718885 | 0.6109006 | ⋯ | 0.9868568 | -0.040592874 | 0.04490357 | -0.5026683 | 0.3471209 | -0.6718885 | 0.6109006 | 0.07756983 | -258.1295 | 0.5328185 |\n", "| 4 | 4 | 0 | -0.042874797 | -0.044361893 | 0.06158667 | -0.4571442 | 0.3184053 | -0.5906478 | 0.4361178 | ⋯ | 0.9790521 | -0.044361893 | 0.06158667 | -0.4571442 | 0.3184053 | -0.5906478 | 0.4361178 | 0.21296332 | -262.0781 | 0.4903475 |\n", "| 5 | 5 | 0 | 0.025931211 | -0.244783496 | 0.14691301 | -0.5810073 | 0.4796508 | -0.6799229 | 0.6232529 | ⋯ | 0.9723766 | -0.244783496 | 0.14691301 | -0.5810073 | 0.4796508 | -0.6799229 | 0.6232529 | 0.15063439 | -323.5672 | 0.5289575 |\n", "| 6 | 6 | 0 | -0.076116613 | 0.046855578 | 0.08583475 | -0.5253131 | 0.3438031 | -0.6901570 | 0.6130725 | ⋯ | 0.9806218 | 0.046855578 | 0.08583475 | -0.5253131 | 0.3438031 | -0.6901570 | 0.6130725 | 0.02594138 | -262.3484 | 0.5250965 |\n", "\n" ], "text/plain": [ " X row_id class ac_9_ac_9 acf_features_x_acf1 acf_features_x_acf10\n", "1 1 1 0 -0.067527460 0.009709445 0.05268970 \n", "2 2 2 0 -0.042157717 -0.007590195 0.03874814 \n", "3 3 3 1 0.009959832 -0.040592874 0.04490357 \n", "4 4 4 0 -0.042874797 -0.044361893 0.06158667 \n", "5 5 5 0 0.025931211 -0.244783496 0.14691301 \n", "6 6 6 0 -0.076116613 0.046855578 0.08583475 \n", " acf_features_diff1_acf1 acf_features_diff1_acf10 acf_features_diff2_acf1\n", "1 -0.5005299 0.3297018 -0.6772403 \n", "2 -0.5171529 0.3129147 -0.6727897 \n", "3 -0.5026683 0.3471209 -0.6718885 \n", "4 -0.4571442 0.3184053 -0.5906478 \n", "5 -0.5810073 0.4796508 -0.6799229 \n", "6 -0.5253131 0.3438031 -0.6901570 \n", " acf_features_diff2_acf10 ⋯ tsfeatures_entropy tsfeatures_x_acf1\n", "1 0.6124739 ⋯ 0.9840151 0.009709445 \n", "2 0.5379301 ⋯ 0.9864332 -0.007590195 \n", "3 0.6109006 ⋯ 0.9868568 -0.040592874 \n", "4 0.4361178 ⋯ 0.9790521 -0.044361893 \n", "5 0.6232529 ⋯ 0.9723766 -0.244783496 \n", "6 0.6130725 ⋯ 0.9806218 0.046855578 \n", " tsfeatures_x_acf10 tsfeatures_diff1_acf1 tsfeatures_diff1_acf10\n", "1 0.05268970 -0.5005299 0.3297018 \n", "2 0.03874814 -0.5171529 0.3129147 \n", "3 0.04490357 -0.5026683 0.3471209 \n", "4 0.06158667 -0.4571442 0.3184053 \n", "5 0.14691301 -0.5810073 0.4796508 \n", "6 0.08583475 -0.5253131 0.3438031 \n", " tsfeatures_diff2_acf1 tsfeatures_diff2_acf10 unitroot_kpss unitroot_pp\n", "1 -0.6772403 0.6124739 0.09938291 -249.7732 \n", "2 -0.6727897 0.5379301 0.04145992 -256.0485 \n", "3 -0.6718885 0.6109006 0.07756983 -258.1295 \n", "4 -0.5906478 0.4361178 0.21296332 -262.0781 \n", "5 -0.6799229 0.6232529 0.15063439 -323.5672 \n", "6 -0.6901570 0.6130725 0.02594138 -262.3484 \n", " walker_propcross\n", "1 0.5405405 \n", "2 0.5019305 \n", "3 0.5328185 \n", "4 0.4903475 \n", "5 0.5289575 \n", "6 0.5250965 " ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "
    \n", "\t
  1. 12000
  2. \n", "\t
  3. 109
  4. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 12000\n", "\\item 109\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 12000\n", "2. 109\n", "\n", "\n" ], "text/plain": [ "[1] 12000 109" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
A data.frame: 6 × 108
Xrow_idac_9_ac_9acf_features_x_acf1acf_features_x_acf10acf_features_diff1_acf1acf_features_diff1_acf10acf_features_diff2_acf1acf_features_diff2_acf10ARCH.LMtsfeatures_entropytsfeatures_x_acf1tsfeatures_x_acf10tsfeatures_diff1_acf1tsfeatures_diff1_acf10tsfeatures_diff2_acf1tsfeatures_diff2_acf10unitroot_kpssunitroot_ppwalker_propcross
<int><int><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl><dbl>
11-0.026207279-0.0396281020.04297837-0.49642450.3379915-0.67048370.61780880.142574450.9817288-0.0396281020.04297837-0.49642450.3379915-0.67048370.61780880.88203803-252.25090.4826255
22-0.004779871 0.0544154990.04234451-0.49316530.3114689-0.69807870.65974270.111162490.9856808 0.0544154990.04234451-0.49316530.3114689-0.69807870.65974270.07222245-226.94630.5250965
33 0.037036434-0.0041962860.17812093-0.38385570.3158431-0.55350870.39483730.345020230.9627133-0.0041962860.17812093-0.38385570.3158431-0.55350870.39483730.17573108-235.07800.5598456
44-0.057602864-0.0338905650.02517169-0.49637520.2570591-0.66943370.49100060.047129570.9886539-0.0338905650.02517169-0.49637520.2570591-0.66943370.49100060.08602636-241.67520.5366795
55-0.123699426 0.0086380530.03080387-0.50253630.3330186-0.66930110.58354660.115760250.9908616 0.0086380530.03080387-0.50253630.3330186-0.66930110.58354660.11690269-266.14510.4864865
66 0.013756598-0.0889224100.06686152-0.56494360.4404459-0.70978200.71284510.075229890.9835850-0.0889224100.06686152-0.56494360.4404459-0.70978200.71284510.08699125-279.89200.5328185
\n" ], "text/latex": [ "A data.frame: 6 × 108\n", "\\begin{tabular}{r|llllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllll}\n", " X & row\\_id & ac\\_9\\_ac\\_9 & acf\\_features\\_x\\_acf1 & acf\\_features\\_x\\_acf10 & acf\\_features\\_diff1\\_acf1 & acf\\_features\\_diff1\\_acf10 & acf\\_features\\_diff2\\_acf1 & acf\\_features\\_diff2\\_acf10 & ARCH.LM & autocorr\\_features\\_embed2\\_incircle\\_1 & autocorr\\_features\\_embed2\\_incircle\\_2 & autocorr\\_features\\_ac\\_9 & autocorr\\_features\\_firstmin\\_ac & autocorr\\_features\\_trev\\_num & autocorr\\_features\\_motiftwo\\_entro3 & autocorr\\_features\\_walker\\_propcross & binarize\\_mean\\_binarize\\_mean & binarize\\_mean\\_NA & compengine\\_embed2\\_incircle\\_1 & compengine\\_embed2\\_incircle\\_2 & compengine\\_ac\\_9 & compengine\\_firstmin\\_ac & compengine\\_trev\\_num & compengine\\_motiftwo\\_entro3 & compengine\\_walker\\_propcross & compengine\\_localsimple\\_mean1 & compengine\\_localsimple\\_lfitac & compengine\\_sampen\\_first & compengine\\_std1st\\_der & compengine\\_spreadrandomlocal\\_meantaul\\_50 & compengine\\_spreadrandomlocal\\_meantaul\\_ac2 & compengine\\_histogram\\_mode\\_10 & compengine\\_outlierinclude\\_mdrmd & compengine\\_fluctanal\\_prop\\_r1 & crossing\\_points & dist\\_features\\_histogram\\_mode\\_10 & dist\\_features\\_outlierinclude\\_mdrmd & embed2\\_incircle & entropy & firstmin\\_ac & firstzero\\_ac & flat\\_spots & fluctanal\\_prop\\_r1\\_fluctanal\\_prop\\_r1 & arch\\_acf & garch\\_acf & arch\\_r2 & garch\\_r2 & histogram\\_mode & alpha & beta & hurst & hw\\_parameters\\_hw\\_parameters & hw\\_parameters\\_NA & localsimple\\_taures & lumpiness & max\\_kl\\_shift & time\\_kl\\_shift & max\\_level\\_shift & time\\_level\\_shift & max\\_var\\_shift & time\\_var\\_shift & motiftwo\\_entro3 & nonlinearity & outlierinclude\\_mdrmd & x\\_pacf5 & diff1x\\_pacf5 & diff2x\\_pacf5 & pred\\_features\\_localsimple\\_mean1 & pred\\_features\\_localsimple\\_lfitac & pred\\_features\\_sampen\\_first & sampen\\_first\\_sampen\\_first & sampenc & scal\\_features\\_fluctanal\\_prop\\_r1 & spreadrandomlocal\\_meantaul & stability & station\\_features\\_std1st\\_der & station\\_features\\_spreadrandomlocal\\_meantaul\\_50 & station\\_features\\_spreadrandomlocal\\_meantaul\\_ac2 & std1st\\_der\\_std1st\\_der & nperiods & seasonal\\_period & trend & spike & linearity & curvature & e\\_acf1 & e\\_acf10 & trev\\_num & tsfeatures\\_frequency & tsfeatures\\_nperiods & tsfeatures\\_seasonal\\_period & tsfeatures\\_trend & tsfeatures\\_spike & tsfeatures\\_linearity & tsfeatures\\_curvature & tsfeatures\\_e\\_acf1 & tsfeatures\\_e\\_acf10 & tsfeatures\\_entropy & tsfeatures\\_x\\_acf1 & tsfeatures\\_x\\_acf10 & tsfeatures\\_diff1\\_acf1 & tsfeatures\\_diff1\\_acf10 & tsfeatures\\_diff2\\_acf1 & tsfeatures\\_diff2\\_acf10 & unitroot\\_kpss & unitroot\\_pp & walker\\_propcross\\\\\n", " & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & & \\\\\n", "\\hline\n", "\t 1 & 1 & -0.026207279 & -0.039628102 & 0.04297837 & -0.4964245 & 0.3379915 & -0.6704837 & 0.6178088 & 0.14257445 & 0.5482625 & 0.7528958 & -0.026207279 & 1 & -0.5824739 & 2.063564 & 0.4826255 & 1 & 1 & 0.5482625 & 0.7528958 & -0.026207279 & 1 & -0.5824739 & 2.063564 & 0.4826255 & 1 & 1 & 1.383933 & 1.437946 & 1.91 & 1.00 & 0.50 & 0.430769231 & 0.1395349 & 117 & 0.50 & 0.430769231 & 0.5482625 & 0.9817288 & 1 & 1 & 7 & 0.1395349 & 0.19064431 & 0.04220586 & 0.14257445 & 0.04175315 & 0.50 & 0.0440489213 & 0.0001000010 & 0.5000458 & NA & NA & 1 & 1.1617874 & 4.857530 & 130 & 1.031623 & 230 & 3.967385 & 214 & 2.063564 & 0.071680168 & 0.430769231 & 0.027151555 & 0.5270423 & 0.9564642 & 1 & 1 & 1.383933 & 1.383933 & 1.383933 & 0.1395349 & 1.80 & 0.08045899 & 1.437946 & 1.89 & 1.00 & 1.437946 & 0 & 1 & 0.035554125 & 0.00005730610 & -2.6210355 & -0.09818684 & -0.074086775 & 0.06514383 & -0.5824739 & 1 & 0 & 1 & 0.035554125 & 0.00005730610 & -2.6210355 & -0.09818684 & -0.074086775 & 0.06514383 & 0.9817288 & -0.039628102 & 0.04297837 & -0.4964245 & 0.3379915 & -0.6704837 & 0.6178088 & 0.88203803 & -252.2509 & 0.4826255\\\\\n", "\t 2 & 2 & -0.004779871 & 0.054415499 & 0.04234451 & -0.4931653 & 0.3114689 & -0.6980787 & 0.6597427 & 0.11116249 & 0.4513619 & 0.6964981 & -0.004779871 & 3 & 0.2147570 & 2.068848 & 0.5250965 & 1 & 0 & 0.4513619 & 0.6964981 & -0.004779871 & 3 & 0.2147570 & 2.068848 & 0.5250965 & 1 & 1 & 1.611106 & 1.375120 & 2.15 & 1.40 & 0.25 & 0.121153846 & 0.1627907 & 142 & 0.25 & 0.121153846 & 0.4513619 & 0.9856808 & 3 & 3 & 6 & 0.1627907 & 0.13130810 & 0.04681585 & 0.09397691 & 0.04021629 & 0.25 & 0.0063703395 & 0.0001000000 & 0.5012778 & NA & NA & 1 & 0.5347516 & 6.848493 & 91 & 1.360520 & 80 & 3.586240 & 75 & 2.068848 & 0.061846109 & 0.121153846 & 0.034441458 & 0.4336405 & 0.9510320 & 1 & 1 & 1.611106 & 1.611106 & 1.611106 & 0.1627907 & 2.14 & 0.07969356 & 1.375120 & 1.82 & 1.34 & 1.375120 & 0 & 1 & 0.021606761 & 0.00003911182 & 0.1351482 & -0.34303759 & 0.033934432 & 0.05785694 & 0.2147570 & 1 & 0 & 1 & 0.021606761 & 0.00003911182 & 0.1351482 & -0.34303759 & 0.033934432 & 0.05785694 & 0.9856808 & 0.054415499 & 0.04234451 & -0.4931653 & 0.3114689 & -0.6980787 & 0.6597427 & 0.07222245 & -226.9463 & 0.5250965\\\\\n", "\t 3 & 3 & 0.037036434 & -0.004196286 & 0.17812093 & -0.3838557 & 0.3158431 & -0.5535087 & 0.3948373 & 0.34502023 & 0.6138996 & 0.7915058 & 0.037036434 & 2 & 2.9002534 & 2.067845 & 0.5598456 & 1 & 0 & 0.6138996 & 0.7915058 & 0.037036434 & 2 & 2.9002534 & 2.067845 & 0.5598456 & 1 & 1 & 1.436472 & 1.414575 & 1.24 & 1.00 & 0.50 & 0.723076923 & 0.1627907 & 139 & 0.50 & 0.723076923 & 0.6138996 & 0.9627133 & 2 & 1 & 6 & 0.1627907 & 0.47312951 & 0.03427271 & 0.22472455 & 0.03231111 & 0.50 & 0.0001000119 & 0.0001000003 & 0.5000458 & NA & NA & 1 & 3.9022555 & 33.656077 & 240 & 1.695947 & 222 & 9.122984 & 232 & 2.067845 & 0.704048942 & 0.723076923 & 0.068593900 & 0.5171369 & 1.0433489 & 1 & 1 & 1.436472 & 1.436472 & 1.436472 & 0.1627907 & 1.39 & 0.10889052 & 1.414575 & 1.43 & 1.00 & 1.414575 & 0 & 1 & 0.005864415 & 0.00012427873 & -1.1897947 & -0.47620656 & -0.008453054 & 0.18146330 & 2.9002534 & 1 & 0 & 1 & 0.005864415 & 0.00012427873 & -1.1897947 & -0.47620656 & -0.008453054 & 0.18146330 & 0.9627133 & -0.004196286 & 0.17812093 & -0.3838557 & 0.3158431 & -0.5535087 & 0.3948373 & 0.17573108 & -235.0780 & 0.5598456\\\\\n", "\t 4 & 4 & -0.057602864 & -0.033890565 & 0.02517169 & -0.4963752 & 0.2570591 & -0.6694337 & 0.4910006 & 0.04712957 & 0.3899614 & 0.6332046 & -0.057602864 & 3 & -0.1053821 & 2.075447 & 0.5366795 & 0 & 1 & 0.3899614 & 0.6332046 & -0.057602864 & 3 & -0.1053821 & 2.075447 & 0.5366795 & 1 & 1 & 1.785628 & 1.436827 & 1.52 & 1.00 & -0.25 & 0.076923077 & 0.1860465 & 137 & -0.25 & 0.076923077 & 0.3899614 & 0.9886539 & 3 & 1 & 3 & 0.1860465 & 0.05112464 & 0.05164461 & 0.04712957 & 0.04709114 & -0.25 & 0.0025845030 & 0.0025844972 & 0.5000458 & NA & NA & 1 & 0.2161135 & 2.534373 & 34 & 1.404765 & 154 & 2.213233 & 205 & 2.075447 & 0.068147316 & 0.076923077 & 0.017940077 & 0.4720756 & 0.9626432 & 1 & 1 & 1.785628 & 1.785628 & 1.785628 & 0.1860465 & 1.44 & 0.04999526 & 1.436827 & 1.42 & 1.00 & 1.436827 & 0 & 1 & 0.004208032 & 0.00002861552 & 0.9969942 & 0.18638472 & -0.037036831 & 0.02698400 & -0.1053821 & 1 & 0 & 1 & 0.004208032 & 0.00002861552 & 0.9969942 & 0.18638472 & -0.037036831 & 0.02698400 & 0.9886539 & -0.033890565 & 0.02517169 & -0.4963752 & 0.2570591 & -0.6694337 & 0.4910006 & 0.08602636 & -241.6752 & 0.5366795\\\\\n", "\t 5 & 5 & -0.123699426 & 0.008638053 & 0.03080387 & -0.5025363 & 0.3330186 & -0.6693011 & 0.5835466 & 0.11576025 & 0.4202335 & 0.7003891 & -0.123699426 & 1 & -0.0489352 & 2.058889 & 0.4864865 & 1 & 0 & 0.4202335 & 0.7003891 & -0.123699426 & 1 & -0.0489352 & 2.058889 & 0.4864865 & 1 & 1 & 1.722492 & 1.396172 & 1.69 & 1.32 & -0.50 & -0.007692308 & 0.8139535 & 120 & -0.50 & -0.007692308 & 0.4202335 & 0.9908616 & 1 & 3 & 6 & 0.8139535 & 0.05378201 & 0.05834838 & 0.11576025 & 0.11205230 & -0.50 & 0.0001609271 & 0.0001609255 & 0.5090878 & NA & NA & 1 & 0.6488028 & 3.045684 & 97 & 1.287940 & 14 & 4.338131 & 240 & 2.058889 & 0.009416539 & -0.007692308 & 0.005911386 & 0.4457371 & 0.9190563 & 1 & 1 & 1.722492 & 1.722492 & 1.722492 & 0.8139535 & 1.63 & 0.11074416 & 1.396172 & 1.75 & 1.35 & 1.396172 & 0 & 1 & 0.022928559 & 0.00005499545 & -0.6149100 & 0.21280844 & -0.012545153 & 0.03176173 & -0.0489352 & 1 & 0 & 1 & 0.022928559 & 0.00005499545 & -0.6149100 & 0.21280844 & -0.012545153 & 0.03176173 & 0.9908616 & 0.008638053 & 0.03080387 & -0.5025363 & 0.3330186 & -0.6693011 & 0.5835466 & 0.11690269 & -266.1451 & 0.4864865\\\\\n", "\t 6 & 6 & 0.013756598 & -0.088922410 & 0.06686152 & -0.5649436 & 0.4404459 & -0.7097820 & 0.7128451 & 0.07522989 & 0.5366795 & 0.6447876 & 0.013756598 & 1 & 0.3033072 & 2.064104 & 0.5328185 & 1 & 0 & 0.5366795 & 0.6447876 & 0.013756598 & 1 & 0.3033072 & 2.064104 & 0.5328185 & 1 & 1 & 1.464977 & 1.477767 & 1.53 & 1.00 & 0.25 & 0.326923077 & 0.1627907 & 136 & 0.25 & 0.326923077 & 0.5366795 & 0.9835850 & 1 & 1 & 6 & 0.1627907 & 0.10339365 & 0.02361973 & 0.07401592 & 0.02483391 & 0.25 & 0.0001000049 & 0.0001000000 & 0.5000458 & NA & NA & 1 & 0.7510236 & 12.688453 & 197 & 1.217490 & 189 & 2.987989 & 194 & 2.064104 & 0.064900135 & 0.326923077 & 0.020068782 & 0.5201834 & 1.0761503 & 1 & 1 & 1.464977 & 1.464977 & 1.464977 & 0.1627907 & 1.35 & 0.08148143 & 1.477767 & 1.36 & 1.00 & 1.477767 & 0 & 1 & 0.008114700 & 0.00004687607 & 0.6555116 & -0.04897274 & -0.097617652 & 0.07001987 & 0.3033072 & 1 & 0 & 1 & 0.008114700 & 0.00004687607 & 0.6555116 & -0.04897274 & -0.097617652 & 0.07001987 & 0.9835850 & -0.088922410 & 0.06686152 & -0.5649436 & 0.4404459 & -0.7097820 & 0.7128451 & 0.08699125 & -279.8920 & 0.5328185\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "A data.frame: 6 × 108\n", "\n", "| X <int> | row_id <int> | ac_9_ac_9 <dbl> | acf_features_x_acf1 <dbl> | acf_features_x_acf10 <dbl> | acf_features_diff1_acf1 <dbl> | acf_features_diff1_acf10 <dbl> | acf_features_diff2_acf1 <dbl> | acf_features_diff2_acf10 <dbl> | ARCH.LM <dbl> | ⋯ ⋯ | tsfeatures_entropy <dbl> | tsfeatures_x_acf1 <dbl> | tsfeatures_x_acf10 <dbl> | tsfeatures_diff1_acf1 <dbl> | tsfeatures_diff1_acf10 <dbl> | tsfeatures_diff2_acf1 <dbl> | tsfeatures_diff2_acf10 <dbl> | unitroot_kpss <dbl> | unitroot_pp <dbl> | walker_propcross <dbl> |\n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| 1 | 1 | -0.026207279 | -0.039628102 | 0.04297837 | -0.4964245 | 0.3379915 | -0.6704837 | 0.6178088 | 0.14257445 | ⋯ | 0.9817288 | -0.039628102 | 0.04297837 | -0.4964245 | 0.3379915 | -0.6704837 | 0.6178088 | 0.88203803 | -252.2509 | 0.4826255 |\n", "| 2 | 2 | -0.004779871 | 0.054415499 | 0.04234451 | -0.4931653 | 0.3114689 | -0.6980787 | 0.6597427 | 0.11116249 | ⋯ | 0.9856808 | 0.054415499 | 0.04234451 | -0.4931653 | 0.3114689 | -0.6980787 | 0.6597427 | 0.07222245 | -226.9463 | 0.5250965 |\n", "| 3 | 3 | 0.037036434 | -0.004196286 | 0.17812093 | -0.3838557 | 0.3158431 | -0.5535087 | 0.3948373 | 0.34502023 | ⋯ | 0.9627133 | -0.004196286 | 0.17812093 | -0.3838557 | 0.3158431 | -0.5535087 | 0.3948373 | 0.17573108 | -235.0780 | 0.5598456 |\n", "| 4 | 4 | -0.057602864 | -0.033890565 | 0.02517169 | -0.4963752 | 0.2570591 | -0.6694337 | 0.4910006 | 0.04712957 | ⋯ | 0.9886539 | -0.033890565 | 0.02517169 | -0.4963752 | 0.2570591 | -0.6694337 | 0.4910006 | 0.08602636 | -241.6752 | 0.5366795 |\n", "| 5 | 5 | -0.123699426 | 0.008638053 | 0.03080387 | -0.5025363 | 0.3330186 | -0.6693011 | 0.5835466 | 0.11576025 | ⋯ | 0.9908616 | 0.008638053 | 0.03080387 | -0.5025363 | 0.3330186 | -0.6693011 | 0.5835466 | 0.11690269 | -266.1451 | 0.4864865 |\n", "| 6 | 6 | 0.013756598 | -0.088922410 | 0.06686152 | -0.5649436 | 0.4404459 | -0.7097820 | 0.7128451 | 0.07522989 | ⋯ | 0.9835850 | -0.088922410 | 0.06686152 | -0.5649436 | 0.4404459 | -0.7097820 | 0.7128451 | 0.08699125 | -279.8920 | 0.5328185 |\n", "\n" ], "text/plain": [ " X row_id ac_9_ac_9 acf_features_x_acf1 acf_features_x_acf10\n", "1 1 1 -0.026207279 -0.039628102 0.04297837 \n", "2 2 2 -0.004779871 0.054415499 0.04234451 \n", "3 3 3 0.037036434 -0.004196286 0.17812093 \n", "4 4 4 -0.057602864 -0.033890565 0.02517169 \n", "5 5 5 -0.123699426 0.008638053 0.03080387 \n", "6 6 6 0.013756598 -0.088922410 0.06686152 \n", " acf_features_diff1_acf1 acf_features_diff1_acf10 acf_features_diff2_acf1\n", "1 -0.4964245 0.3379915 -0.6704837 \n", "2 -0.4931653 0.3114689 -0.6980787 \n", "3 -0.3838557 0.3158431 -0.5535087 \n", "4 -0.4963752 0.2570591 -0.6694337 \n", "5 -0.5025363 0.3330186 -0.6693011 \n", "6 -0.5649436 0.4404459 -0.7097820 \n", " acf_features_diff2_acf10 ARCH.LM ⋯ tsfeatures_entropy tsfeatures_x_acf1\n", "1 0.6178088 0.14257445 ⋯ 0.9817288 -0.039628102 \n", "2 0.6597427 0.11116249 ⋯ 0.9856808 0.054415499 \n", "3 0.3948373 0.34502023 ⋯ 0.9627133 -0.004196286 \n", "4 0.4910006 0.04712957 ⋯ 0.9886539 -0.033890565 \n", "5 0.5835466 0.11576025 ⋯ 0.9908616 0.008638053 \n", "6 0.7128451 0.07522989 ⋯ 0.9835850 -0.088922410 \n", " tsfeatures_x_acf10 tsfeatures_diff1_acf1 tsfeatures_diff1_acf10\n", "1 0.04297837 -0.4964245 0.3379915 \n", "2 0.04234451 -0.4931653 0.3114689 \n", "3 0.17812093 -0.3838557 0.3158431 \n", "4 0.02517169 -0.4963752 0.2570591 \n", "5 0.03080387 -0.5025363 0.3330186 \n", "6 0.06686152 -0.5649436 0.4404459 \n", " tsfeatures_diff2_acf1 tsfeatures_diff2_acf10 unitroot_kpss unitroot_pp\n", "1 -0.6704837 0.6178088 0.88203803 -252.2509 \n", "2 -0.6980787 0.6597427 0.07222245 -226.9463 \n", "3 -0.5535087 0.3948373 0.17573108 -235.0780 \n", "4 -0.6694337 0.4910006 0.08602636 -241.6752 \n", "5 -0.6693011 0.5835466 0.11690269 -266.1451 \n", "6 -0.7097820 0.7128451 0.08699125 -279.8920 \n", " walker_propcross\n", "1 0.4826255 \n", "2 0.5250965 \n", "3 0.5598456 \n", "4 0.5366795 \n", "5 0.4864865 \n", "6 0.5328185 " ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "
    \n", "\t
  1. 12000
  2. \n", "\t
  3. 108
  4. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 12000\n", "\\item 108\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 12000\n", "2. 108\n", "\n", "\n" ], "text/plain": [ "[1] 12000 108" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# I have already created the features for the training dataset so I can just load them right back in as \n", "train_final <- read.csv(\"TSfeatures_train_val.csv\")\n", "test_final <- read.csv(\"TSfeatures_test.csv\")\n", "\n", "head(train_final); dim(train_final)\n", "head(test_final); dim(test_final)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1]\ttrain-auc:0.708604 \n", "[2]\ttrain-auc:0.721700 \n", "[3]\ttrain-auc:0.723230 \n", "[4]\ttrain-auc:0.729888 \n", "[5]\ttrain-auc:0.735542 \n", "[6]\ttrain-auc:0.738081 \n", "[7]\ttrain-auc:0.740926 \n", "[8]\ttrain-auc:0.744105 \n", "[9]\ttrain-auc:0.746320 \n", "[10]\ttrain-auc:0.748644 \n", "[11]\ttrain-auc:0.754211 \n", "[12]\ttrain-auc:0.756892 \n", "[13]\ttrain-auc:0.761524 \n", "[14]\ttrain-auc:0.763882 \n", "[15]\ttrain-auc:0.767216 \n", "[16]\ttrain-auc:0.772009 \n", "[17]\ttrain-auc:0.772943 \n", "[18]\ttrain-auc:0.774261 \n", "[19]\ttrain-auc:0.775471 \n", "[20]\ttrain-auc:0.777801 \n", "[21]\ttrain-auc:0.780629 \n", "[22]\ttrain-auc:0.784384 \n", "[23]\ttrain-auc:0.787112 \n", "[24]\ttrain-auc:0.788946 \n", "[25]\ttrain-auc:0.791835 \n", "[26]\ttrain-auc:0.793142 \n", "[27]\ttrain-auc:0.795289 \n", "[28]\ttrain-auc:0.798502 \n", "[29]\ttrain-auc:0.799893 \n", "[30]\ttrain-auc:0.802186 \n", "[31]\ttrain-auc:0.804981 \n", "[32]\ttrain-auc:0.805649 \n", "[33]\ttrain-auc:0.807120 \n", "[34]\ttrain-auc:0.809020 \n", "[35]\ttrain-auc:0.810318 \n", "[36]\ttrain-auc:0.812637 \n", "[37]\ttrain-auc:0.814760 \n", "[38]\ttrain-auc:0.816024 \n", "[39]\ttrain-auc:0.817956 \n", "[40]\ttrain-auc:0.819350 \n", "[41]\ttrain-auc:0.821653 \n", "[42]\ttrain-auc:0.822729 \n", "[43]\ttrain-auc:0.824029 \n", "[44]\ttrain-auc:0.824765 \n", "[45]\ttrain-auc:0.826924 \n", "[46]\ttrain-auc:0.827804 \n", "[47]\ttrain-auc:0.828475 \n", "[48]\ttrain-auc:0.831018 \n", "[49]\ttrain-auc:0.832247 \n", "[50]\ttrain-auc:0.833265 \n", "[51]\ttrain-auc:0.834168 \n", "[52]\ttrain-auc:0.835535 \n", "[53]\ttrain-auc:0.836093 \n", "[54]\ttrain-auc:0.837008 \n", "[55]\ttrain-auc:0.837715 \n", "[56]\ttrain-auc:0.839537 \n", "[57]\ttrain-auc:0.840310 \n", "[58]\ttrain-auc:0.841701 \n", "[59]\ttrain-auc:0.842480 \n", "[60]\ttrain-auc:0.843106 \n", "[61]\ttrain-auc:0.844495 \n", "[62]\ttrain-auc:0.845348 \n", "[63]\ttrain-auc:0.845932 \n", "[64]\ttrain-auc:0.847843 \n", "[65]\ttrain-auc:0.849445 \n", "[66]\ttrain-auc:0.850345 \n", "[67]\ttrain-auc:0.851337 \n", "[68]\ttrain-auc:0.852121 \n", "[69]\ttrain-auc:0.852663 \n", "[70]\ttrain-auc:0.854132 \n", "[71]\ttrain-auc:0.855949 \n", "[72]\ttrain-auc:0.856758 \n", "[73]\ttrain-auc:0.857115 \n", "[74]\ttrain-auc:0.857954 \n", "[75]\ttrain-auc:0.858849 \n", "[76]\ttrain-auc:0.859527 \n", "[77]\ttrain-auc:0.859917 \n", "[78]\ttrain-auc:0.860590 \n", "[79]\ttrain-auc:0.861264 \n", "[80]\ttrain-auc:0.862359 \n", "[81]\ttrain-auc:0.863101 \n", "[82]\ttrain-auc:0.863794 \n", "[83]\ttrain-auc:0.864911 \n", "[84]\ttrain-auc:0.866293 \n", "[85]\ttrain-auc:0.866976 \n", "[86]\ttrain-auc:0.867436 \n", "[87]\ttrain-auc:0.869036 \n", "[88]\ttrain-auc:0.869469 \n", "[89]\ttrain-auc:0.869931 \n", "[90]\ttrain-auc:0.870681 \n", "[91]\ttrain-auc:0.872326 \n", "[92]\ttrain-auc:0.873706 \n", "[93]\ttrain-auc:0.875704 \n", "[94]\ttrain-auc:0.876178 \n", "[95]\ttrain-auc:0.876789 \n", "##### xgb.Booster\n", "raw: 173.8 Kb \n", "call:\n", " xgb.train(params = params, data = dtrain_final, nrounds = nround, \n", " watchlist = watchlist)\n", "params (as set within xgb.train):\n", " eta = \"0.1\", max_depth = \"5\", colsample_bytree = \"1\", min_child_weight = \"1\", subsample = \"1\", objective = \"binary:logistic\", gamma = \"1\", lambda = \"1\", alpha = \"0\", max_delta_step = \"0\", colsample_bylevel = \"1\", eval_metric = \"auc\", set_seed = \"176\", silent = \"1\"\n", "xgb.attributes:\n", " niter\n", "callbacks:\n", " cb.print.evaluation(period = print_every_n)\n", " cb.evaluation.log()\n", "# of features: 106 \n", "niter: 95\n", "nfeatures : 106 \n", "evaluation_log:\n", " iter train_auc\n", " 1 0.708604\n", " 2 0.721700\n", "--- \n", " 94 0.876178\n", " 95 0.876789\n" ] } ], "source": [ "# Here I just re-create the training and testing X features and Y features, use the optimal parameters from the cross validation\n", "# previously and run the final training model (to make predictions on the out-of-sample test data)\n", "\n", "x_train_final <- train_final %>%\n", " ungroup() %>%\n", " select(-class, -row_id, -X) %>%\n", " as.matrix()\n", "\n", "x_test_final <- test_final %>%\n", " ungroup() %>%\n", " select(-row_id, -X) %>%\n", " as.matrix()\n", "\n", "y_train_final <- train_final %>%\n", " ungroup() %>%\n", " pull(class)\n", "\n", "dtrain_final <- xgb.DMatrix(data = as.matrix(x_train_final), label = y_train_final, missing = \"NaN\")\n", "dtest_final <- xgb.DMatrix(data = as.matrix(x_test_final), missing = \"NaN\")\n", "\n", "watchlist <- list(\"train\" = dtrain_final)\n", "\n", "params <- list(\"eta\" = 0.1, \"max_depth\" = 5, \"colsample_bytree\" = 1, \"min_child_weight\" = 1, \"subsample\"= 1,\n", " \"objective\"=\"binary:logistic\", \"gamma\" = 1, \"lambda\" = 1, \"alpha\" = 0, \"max_delta_step\" = 0,\n", " \"colsample_bylevel\" = 1, \"eval_metric\"= \"auc\",\n", " \"set.seed\" = 176)\n", "\n", "nround <- 95\n", "\n", "xgb.model_final <- xgb.train(params, dtrain_final, nround, watchlist)\n", "print(xgb.model_final)" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "`stat_bin()` using `bins = 30`. Pick better value with `binwidth`.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAIAAAByhViMAAAACXBIWXMAABJ0AAASdAHeZh94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\nAIBCEOwAAAAU4v8BbIRlc3M9vAgAAAAASUVORK5CYII=", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Make the final predictions on the 'test.csv' data and plot the probability density function.\n", "\n", "xgb.pred_final <- predict(xgb.model_final, dtest_final, type = 'prob')\n", "\n", "xgb.pred_final %>%\n", " as_tibble() %>%\n", " setNames(c(\"Prediction\")) %>%\n", " ggplot(aes(x = Prediction)) + \n", " geom_density(color = \"darkblue\", fill = \"lightblue\") +\n", " geom_vline(aes(xintercept = mean(Prediction)),\n", " color = \"blue\", linetype = \"dashed\", size = 1) +\n", " geom_histogram(aes(y = ..density..), colour = \"black\", fill = \"white\", alpha = 0.1, position = \"identity\") +\n", " ggtitle(\"(Out of sample) - Predicted probability density plot\") +\n", " theme_tq()" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\n", "\n", "\t\n", "\n", "
A tibble: 1 × 1
mean
<dbl>
0.4652583
\n" ], "text/latex": [ "A tibble: 1 × 1\n", "\\begin{tabular}{r|l}\n", " mean\\\\\n", " \\\\\n", "\\hline\n", "\t 0.4652583\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "A tibble: 1 × 1\n", "\n", "| mean <dbl> |\n", "|---|\n", "| 0.4652583 |\n", "\n" ], "text/plain": [ " mean \n", "1 0.4652583" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Convert the probabilities into a binary class of 0 or 1 by a decision threshold of 0.465.\n", "# Write the predictions to \"submission.csv\"\n", "\n", "xgb.pred_final %>%\n", " as_tibble() %>%\n", " setNames(c(\"Prediction\")) %>%\n", " summarise(mean = mean(Prediction))\n", "\n", "xgb.pred_final %>%\n", " as_tibble() %>%\n", " setNames(c(\"Prediction\")) %>%\n", " mutate(pred = case_when(\n", " Prediction > 0.465 ~ 1,\n", " Prediction <= 0.465 ~ 0\n", " )) %>%\n", " write.csv(\"submission.csv\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# ------------------- END FILE -----------------\n", "\n", "Final footnote: Hopefully the out-of-sample predictions will obtain a 67% accuracy (the predictions in the \"submission.csv\" file).\n" ] } ], "metadata": { "kernelspec": { "display_name": "R", "language": "R", "name": "ir" }, "language_info": { "codemirror_mode": "r", "file_extension": ".r", "mimetype": "text/x-r-source", "name": "R", "pygments_lexer": "r", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }