{ "metadata": { "name": "", "signature": "sha256:120666a2d1856ea23fabb2d0b8bcb302c305164f1a2791a32400e792736704cc" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "Positionssytem\n", "==============\n", "\n", "Zum Bestimmen der Position mittles Schall wird eine L\u00f6sung einer Gleichung 4. Grades verlangt. \n", "Deswegen gibt es im n\u00e4chsten Abschnitt einen Solver f\u00fcr Gleichungen 4. Grades.\n", "Gleichungen 5 und h\u00f6heren Grades werden mit Newtons Tangentenverfahren gel\u00f6st.\n", "Das ist nicht immer zuverl\u00e4ssig." ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "from math import *" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "class R:\n", " def __contains__(self, other):\n", " return True\n", " def __eq__(self, other):\n", " return True\n", " \n", "R = R()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "def solve(coefficients):\n", " assert coefficients, 'need something to solve'\n", " order = len(coefficients) - 1\n", " name = \"solve_{}\".format(order)\n", " if not name in globals():\n", " return solve_n(coefficients)\n", " function = globals()[name]\n", " return function(*coefficients)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "def get_coefficients(*zeros, multiplier = 1, add = 0):\n", " l = [1]\n", " for zero in zeros:\n", " l = [1] + [l[i] * -zero + l[i + 1] for i in range(len(l) - 1)] + [l[-1] * -zero]\n", " l = [a * multiplier for a in l]\n", " l[-1] += add\n", " return l\n", "\n", "assert get_coefficients(5, -2) == [1, -3, -10], \"1x\u00b2 - 3x - 10\"\n", "\n", "def call(coefficients, x):\n", " return sum(x ** (len(coefficients) - i - 1) * c for i, c in enumerate(coefficients))\n", "\n", "assert call([1, -3, -10], -2) == 0\n", "assert call([1, -3, -10], 5) == 0\n", "assert call([1, -3, -10], 0) != 0\n", "\n", "def approximates(a, b, epsilon = 1e-10):\n", " return abs(a - b) < epsilon\n", "\n", "def test_solver(*zeros, epsilon = 1e-10, debug = False):\n", " if debug: print(\"------ Test Solver ------\")\n", " coefficients = get_coefficients(*zeros)\n", " for zero in zeros:\n", " assert approximates(call(coefficients, zero), 0, epsilon = epsilon), \\\n", " \"call({}, {}) == {} == {}\".format(coefficients, zero, call(coefficients, zero), 0)\n", " zeros = list(set(zeros))\n", " zeros.sort()\n", " solutions = list(solve(coefficients))\n", " solutions.sort()\n", " differences_are_very_small = [approximates(a, b, epsilon = epsilon) for a, b in zip(zeros, solutions)]\n", " assert len(zeros) == len(solutions), \"{} == {}\".format(zeros, solutions)\n", " assert all(differences_are_very_small), \"{} == {}\".format(zeros, solutions)\n", "\n", "def test_zeros(*zeros, epsilon = 1e-10, expected_number_of_zeros = 1, add = 0, debug = False):\n", " if debug: print(\"------ Test Zeros ------\")\n", " c = get_coefficients(*zeros, add = add)\n", " if debug: \n", " print(\"coefficients: {}\".format(c))\n", " zeros = solve(c)\n", " if debug: \n", " print(\"zeros: {}\".format(zeros))\n", " assert len(zeros) == expected_number_of_zeros\n", " for zero in zeros:\n", " assert approximates(call(c, zero), 0), \"f({}) == 0 <=> {} == 0\".format(zero, call(c, zero), 0)\n", "\n", "def test_polynom(*coefficients, epsilon = 1e-10):\n", " zeros = list(solve(coefficients))\n", " zeros.sort()\n", " for zero in zeros:\n", " assert approximates(call(coefficients, zero), 0, epsilon = epsilon), \\\n", " \"call({}, {}) == {} == {}\".format(coefficients, zero, call(coefficients, zero), 0)\n", " \n", " " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "def coefficients_to_string(coefficients):\n", " if not coefficients:\n", " return ''\n", " if len(coefficients) == 1:\n", " return \"{}\".format(coefficients[0])\n", " s = \"{} * x + {}\".format(coefficients[-2], coefficients[-1])\n", " for exponent, coefficient in enumerate(reversed(coefficients[:-2]), 2):\n", " s = s = \"{} * x**{} + \".format(coefficient, exponent) + s\n", " return s\n", "\n", "assert coefficients_to_string([3, 4, 5, 6]) == \"3 * x**3 + 4 * x**2 + 5 * x + 6\", coefficients_to_string([3, 4, 5, 6])\n", "\n", "def plot_function(coefficients, from_x = -2, to_x = 4, samples = 2000):\n", " xs = np.linspace(from_x, to_x, samples)\n", " plt.plot(xs, [call(coefficients, x )for x in (s / samples * (to_x - from_x) + from_x for s in range(samples))])\n", " return plt.title(\"y = f(x) = \" + coefficients_to_string(coefficients))\n", "\n", "plot_function([1, -12, 3, -8, 5])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ "" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAEKCAYAAAD+XoUoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "def solve_0(a):\n", " if a == 0:\n", " return [0]\n", " return []\n", "\n", "test_solver()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "\n", "def solve_1(a, b):\n", " \"x | ax + b = 0\"\n", " if a == 0:\n", " return solve_0(b)\n", " return [- b / a]\n", "\n", "\n", "test_solver(3)\n", "test_solver(-2)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "def solve_2(a, b, c):\n", " if a == 0:\n", " return solve_1(b, c)\n", " p = b / a\n", " q = c / a\n", " D = p * p / 4 - q\n", " if D < 0:\n", " return []\n", " if D == 0:\n", " return [-p / 2]\n", " return [- p / 2 + D ** 0.5, - p / 2 - D ** 0.5]\n", "\n", "test_solver(3, 2.3)\n", "test_solver(-2, 100)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "def differenciate(coefficients):\n", " return [c * (len(coefficients) - i) for i, c in enumerate(coefficients[:-1])]\n", "\n", "\n", "def newton(coefficients, epsilon = 1e-15, max_iterations = 1000000, start = 1):\n", " differenciation = differenciate(coefficients)\n", " differenciation2 = differenciate(differenciation)\n", " zero = start\n", " last_zero = None\n", " for iteration in range(1, max_iterations + 1):\n", " y = call(coefficients, zero)\n", " if abs(y) < epsilon:\n", " break\n", " last_zero = zero\n", " \n", " slope = call(differenciation, zero)\n", " #print(\"slope:\", slope)\n", " # optimized newton\n", " # http://www.tavrodir.lima-city.de/Arbeiten/Newton-%20und%20Sekantenverfahren%20Beleg.pdf\n", " assert slope\n", " slope2 = call(differenciation2, zero)\n", " #print(\"slope2:\", slope2)\n", " #print(\"x:\", zero)\n", " zero -= y / slope / (1 - y * slope2 / slope / slope)\n", " \n", " if last_zero == zero:\n", " break\n", " \n", " print(iteration)\n", " if iteration == max_iterations:\n", " raise ValueError(\"Could not complete newton({}, epsilon = {}, max_iterations = {}) at x = {} f(x) = {}\"\\\n", " .format(coefficients, epsilon, max_iterations, zero, call(coefficients, zero)))\n", " return zero\n", "\n", "def test_newton(*zeros, epsilon = 1e-15):\n", " zero = newton(get_coefficients(*zeros), epsilon = epsilon)\n", " assert any(approximates(zero, x) for x in zeros), \"{} in {}\".format(zero, zeros)\n", "\n", "\n", "#test_newton(2)\n", "#test_newton(-5, 2)\n", "#test_newton(10.3, -0.0001, 2)\n", "#test_newton(-33, 100, 2, -3, epsilon = 0.000001)\n", "test_newton(21, 22, 23)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "6651\n" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "c = get_coefficients(88, 8, 888)\n", "newton(c, start = 887.9993)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "5\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 14, "text": [ "888.0000000000001" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "def solve_3_newton(p, q, r, s):\n", " if p == 0:\n", " return solve_2(q, r, s)\n", " zero = newton([p, q, r, s])\n", " a = p\n", " b = q + p * zero\n", " c = - s / zero\n", " assert approximates(c - b * zero, r), \"r = {} = c - bz = {} - {} = {}\".format(r, c, b * zero, c - b * zero)\n", " return [zero] + solve([a, b, c])\n", "\n", "def curt(x):\n", " \"cubic root\"\n", " if x == 0:\n", " return 0\n", " sign = abs(x) / x\n", " x = abs(x)\n", " return (x ** (1./3.)) * sign\n", "\n", "def solve_3(A, B, C, D):\n", " if A == 0:\n", " return solve_2(B, C, D)\n", " # x\u00b3 + ax\u00b2 + bx + c = 0\n", " a = B / A\n", " b = C / A\n", " c = D / A\n", " \n", " # x = z - a / 3\n", " # z\u00b3 + pz + q = 0\n", " p = b - a*a / 3\n", " q = 2 * a*a*a / 27 - a * b / 3 + c\n", " \n", " D = q*q / 4 + p*p*p / 27\n", " if D > 0:\n", " #print(\"{3} -> D > 0\")\n", " #print(\"D: {}\".format(D))\n", " #print(\"sqrt_of_D: {}\".format(sqrt(D)))\n", " #print(\"minus_q_half: {}\".format(- q / 2))\n", " u = curt(- q / 2 + sqrt(D))\n", " #print(\"u: {}\".format(u))\n", " v = curt(- q / 2 - sqrt(D))\n", " #print(\"v: {}\".format(v))\n", " z = u + v\n", " #print(\"z: {}\".format(z))\n", " return [z - a/3]\n", " elif p == 0 and q == 0:\n", "# print(\"{3} -> p == 0 and q == 0\")\n", " # z = 0\n", " return [- a/3]\n", " \n", "# elif p == 0: # and q != 0\n", "# # impossible case since it implies D < 0\n", "# print(\"-> p == 0\")\n", "# z = (-q) ** (1.0 / 3.0)\n", "# return [z - a/3]\n", " elif D == 0: # and (p != 0 or q != 0)\n", "# print(\"{3} -> D == 0 and (p != 0 or q != 0)\")\n", " u = curt(- q / 2)\n", " z1 = 2 * u\n", " z23 = - u\n", " return [z1 - a/3, z23 - a/3]\n", " else: # D < 0\n", "# print(\"{3} -> D < 0\")\n", " #print(\"a: {}\".format(a))\n", " #print(\"b: {}\".format(b))\n", " #print(\"c: {}\".format(c))\n", " #print(\"p: {}\".format(p))\n", " #print(\"q: {}\".format(q))\n", " #print(\"sq3p: {}\".format(sqrt(-3 / p)))\n", " m = sqrt(-4/3 * p)\n", " #print(\"m: {}\".format(m))\n", " n = 1 / 3 * acos(-q/2 * sqrt(-27 / p/p/p))\n", " #print(\"n: {}\".format(n))\n", " z2 = -m * cos(n + pi / 3)\n", " z1 = m * cos(n)\n", " z3 = -m * cos(n - pi / 3)\n", " #print(\"z2: {}\".format(z2))\n", " #print(\"z1: {}\".format(z1))\n", " #print(\"z3: {}\".format(z3))\n", " assert z1.imag == 0\n", " assert z2.imag == 0\n", " assert z3.imag == 0\n", " return [z1 - a/3, z2 - a/3, z3 - a/3]\n", "\n", "if 1:\n", " print(\" D > 0 expected\")\n", " test_zeros(1, 2, 3, add = 100)\n", " print(\" p == 0 and q == 0 expected\")\n", " test_solver(0,0,0)\n", " print(\" D == 0 and (p != 0 or q != 0) expected\")\n", " test_zeros(0,0,3,expected_number_of_zeros = 2)\n", "\n", " print(\" D < 0 expected\")\n", " test_solver(1,2,3)\n", " test_solver(3, 5, -2222)\n", " test_solver(0.0001,-10.12333,0)\n", " test_solver(-10,-10.12333,0)\n", " test_solver(-10,0.0001,0)\n", " test_solver(-10,0.0001,-10.12333)\n", "\n", "solve_3(1, 0, 1, 30)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ " D > 0 expected\n", " p == 0 and q == 0 expected\n", " D == 0 and (p != 0 or q != 0) expected\n", " D < 0 expected\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 15, "text": [ "[-2.9999999999999742]" ] } ], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "# 1.00*x^3 + -380.00*x^2 + 22647.00*x + -369468.00\n", "#solve_3(1, -380, 22647, -369468)\n", "32.999996185302734 * 0.0000001 -33\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 16, "text": [ "-32.99999670000038" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "def sqrt_2(a):\n", " if a == 0:\n", " return 0\n", " b = 1\n", " last_b = 0\n", " while last_b != b:\n", " last_b = b\n", " b = (b + a / b) / 2\n", " return b\n", "\n", "assert approximates(sqrt_2(2), sqrt(2)), \"{} == {} <=> sqrt_2(2) == sqrt(2)\".format(sqrt_2(2), sqrt(2))\n", "\n", "def curt_2(a):\n", " if a == 0:\n", " return 0\n", " b = a\n", " last_b_1 = 0;\n", " last_b_2 = 0;\n", " while (last_b_1 != b and last_b_2 != b):\n", " last_b_1 = b;\n", " b = (b + a / b / b) / 2;\n", " last_b_2 = b;\n", " b = (b + a / b / b) / 2;\n", " return b\n", "\n", "assert approximates(curt_2(2), curt(2)), \"{} == {} <=> sqrt_2(2) == sqrt(2)\".format(curt_2(2), curt(2))\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "def sqrt_2(a):\n", " if a == 0:\n", " return 0\n", " b = 1\n", " for i in range(10):\n", " b = (b + a / b) / 2\n", " return b\n", "\n", "sqrt_2(225.03703703703704), sqrt(225.03703703703704)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 18, "text": [ "(15.001234517100151, 15.001234517100153)" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "def curt_3(a):\n", " if a == 0:\n", " return 0\n", " b = 1\n", " for i in range(50):\n", " b = (b + a / b / b) / 2;\n", " return b\n", "curt_3(15.001234517100153), 15.001234517100153** (1/3)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 19, "text": [ "(2.466279729829537, 2.466279729829537)" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "def solve_4(e, f, g, h, i):\n", " if e == 0:\n", " return solve_3(f, g, h, i)\n", " #print(\"Solving: {} = 0\".format(coefficients_to_string([e, f, g, h, i])))\n", " a = f / e\n", " b = g / e\n", " c = h / e\n", " d = i / e\n", " #print(\"Solving: {} = 0\".format(coefficients_to_string([1, a, b, c, d])))\n", " \n", " # x = z - a/4\n", " p = b - 3 / 8 * a*a\n", " q = a*a*a / 8 - a * b / 2 + c\n", " r = -3/256 * a*a*a*a + a*a * b / 16 - a * c / 4 + d\n", " #print(-3/256 * a*a*a*a)\n", " #print(-3/256 * a*a*a*a + a*a * b / 16 )\n", " #print(-3/256 * a*a*a*a + a*a * b / 16 - a * c / 4)\n", " #print(-3/256 * a*a*a*a + a*a * b / 16 - a * c / 4 + d)\n", " #print(\"p: {} q: {} r: {}\".format(p, q, r))\n", " \n", " if approximates(p, 0) and approximates(q, 0):\n", "# print(\"{4} p == 0 and q == 0\")\n", " if approximates(r, 0):\n", " return [0]\n", " elif r > 0:\n", " return []\n", " return (-r) ** 0.5\n", " elif approximates(q, 0):\n", "# print(\"{4} p != 0 and q == 0\")\n", " # v = z\u00b2\n", " vs = solve([1, p, r])\n", " xs = []\n", " #print('vs: {}'.format(vs))\n", " for v in vs:\n", " if approximates(v, 0):\n", " v = 0\n", " elif v < 0:\n", " continue\n", " z1 = v ** 0.5\n", " z2 = -z1\n", " xs.append(z1 - a / 4)\n", " xs.append(z2 - a / 4)\n", " return xs\n", " #print(\"{{4}} solve({})\".format([8, -4*p, -8*r, 4 * p * r - q*q]))\n", " Ps = solve([8, -4*p, -8*r, 4 * p * r - q*q])\n", " #print(\"Ps: {}\".format(Ps))\n", " has_solution = False\n", " for P in Ps:\n", " Q_squared = 2 * P - p\n", " R_squared = P * P - r\n", " #print(\"{{4}} P: {} Q_squared: {} R_squared: {}\".format(P, Q_squared, R_squared))\n", " if approximates(Q_squared, 0):\n", " Q_squared = 0\n", " elif Q_squared < 0:\n", " continue\n", " if approximates(R_squared, 0):\n", " R_squared = 0\n", " elif R_squared < 0:\n", " continue\n", " Q1 = sqrt(Q_squared)\n", " Q2 = -Q1\n", " R1 = sqrt(R_squared)\n", " R2 = -R1\n", " for R, Q in ((R1, Q1), (R1, Q2), (R2, Q1), (R2, Q2)):\n", "# print(\"{{4}} P: {} approximately 0: {} | {}\".format(P, Q * R + -q / 2, Q * R - -q / 2))\n", " if approximates(Q * R, -q / 2, epsilon = 1e-9):\n", "# print(\"approximation of 0: {}\".format(Q * R +q / 2))\n", " has_solution = True\n", " break\n", " break\n", " if not has_solution:\n", " #print('{4} no solution found')\n", " return []\n", " zs = solve([1, Q, P + R]) + solve([1, -Q, P - R])\n", " # x = z - a/4\n", " return [z - a / 4 for z in zs]\n", "\n", "test_solver(40,42,43,44)\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "test_solver(1,2,3,4)\n", "test_solver(0.018466806307818034, -0.02575054181030545, -18.59653702522963, -19.600040761851144)\n", "test_solver(0.002078698483378716, -0.013961191268707651, -18.91277956509588, -19.279199464702053)\n", "test_solver(--10.12333, --10, -0, -0.01, epsilon = 1e-6)\n", "test_solver(-10.12333, -10, 0, 0.0001, epsilon = 1e-6)\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "def solve_n(coefficients):\n", " \"does not work if newton failes\"\n", " if coefficients[0] == 0:\n", " return solve(coefficients[1:])\n", " zero = newton(coefficients)\n", " if zero == 0:\n", " assert coefficients[-1] == 0\n", " return [0] + solve(coefficients[:-1])\n", " less_coefficients = [coefficients[0]]\n", " for coefficient in coefficients[1:-1]:\n", " less_coefficients.append(coefficient + zero * less_coefficients[-1])\n", " assert approximates(less_coefficients[-1], -coefficients[-1] / zero), \\\n", " \"{} = {} = {} / z | z = {}\".format(less_coefficients[-1], -coefficients[-1] / zero, -coefficients[-1], zero)\n", " return [zero] + solve(less_coefficients)\n", "\n", "test_solver(1, 2, 3, 4, 5)\n", "test_solver(0, 1, 2, 3, 4, 5)\n", "#test_solver(70, 5, 30, 1, -1, -8) # should choose another epsilon" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1\n", "1\n", "1000000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] }, { "ename": "ValueError", "evalue": "Could not complete newton([1, -14, 71, -154, 120, 0], epsilon = 1e-15, max_iterations = 1000000) at x = 2.0000000000000044 f(x) = -8.526512829121202e-14", "output_type": "pyerr", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m()\u001b[0m\n\u001b[0;32m 14\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 15\u001b[0m \u001b[0mtest_solver\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m2\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m3\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m4\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m5\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 16\u001b[1;33m \u001b[0mtest_solver\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m2\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m3\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m4\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m5\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 17\u001b[0m \u001b[1;31m#test_solver(70, 5, 30, 1, -1, -8) # should choose another epsilon\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m\u001b[0m in \u001b[0;36mtest_solver\u001b[1;34m(epsilon, debug, *zeros)\u001b[0m\n\u001b[0;32m 26\u001b[0m \u001b[0mzeros\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mlist\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mset\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mzeros\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 27\u001b[0m \u001b[0mzeros\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msort\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 28\u001b[1;33m \u001b[0msolutions\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mlist\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0msolve\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 29\u001b[0m \u001b[0msolutions\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msort\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 30\u001b[0m \u001b[0mdifferences_are_very_small\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;33m[\u001b[0m\u001b[0mapproximates\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mb\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mepsilon\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mepsilon\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mb\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mzip\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mzeros\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msolutions\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m\u001b[0m in \u001b[0;36msolve\u001b[1;34m(coefficients)\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mname\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m\"solve_{}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mname\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mglobals\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 6\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0msolve_n\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 7\u001b[0m \u001b[0mfunction\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mglobals\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 8\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mfunction\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m\u001b[0m in \u001b[0;36msolve_n\u001b[1;34m(coefficients)\u001b[0m\n\u001b[0;32m 11\u001b[0m \u001b[0mless_coefficients\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mappend\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficient\u001b[0m \u001b[1;33m+\u001b[0m \u001b[0mzero\u001b[0m \u001b[1;33m*\u001b[0m \u001b[0mless_coefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 12\u001b[0m \u001b[1;32massert\u001b[0m \u001b[0mapproximates\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mless_coefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m-\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m/\u001b[0m \u001b[0mzero\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"{} = {} = {} / z | z = {}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mless_coefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m-\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m/\u001b[0m \u001b[0mzero\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m-\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mzero\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 13\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[1;33m[\u001b[0m\u001b[0mzero\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m+\u001b[0m \u001b[0msolve\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mless_coefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 14\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 15\u001b[0m \u001b[0mtest_solver\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m2\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m3\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m4\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m5\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m\u001b[0m in \u001b[0;36msolve\u001b[1;34m(coefficients)\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mname\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m\"solve_{}\"\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mname\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mglobals\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 6\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0msolve_n\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 7\u001b[0m \u001b[0mfunction\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mglobals\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 8\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mfunction\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m\u001b[0m in \u001b[0;36msolve_n\u001b[1;34m(coefficients)\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mcoefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0msolve\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 5\u001b[1;33m \u001b[0mzero\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnewton\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 6\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mzero\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 7\u001b[0m \u001b[1;32massert\u001b[0m \u001b[0mcoefficients\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m-\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;36m0\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m\u001b[0m in \u001b[0;36mnewton\u001b[1;34m(coefficients, epsilon, max_iterations, start)\u001b[0m\n\u001b[0;32m 29\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0miteration\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 30\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0miteration\u001b[0m \u001b[1;33m==\u001b[0m \u001b[0mmax_iterations\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 31\u001b[1;33m \u001b[1;32mraise\u001b[0m \u001b[0mValueError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"Could not complete newton({}, epsilon = {}, max_iterations = {}) at x = {} f(x) = {}\"\u001b[0m \u001b[1;33m.\u001b[0m\u001b[0mformat\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mepsilon\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mmax_iterations\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mzero\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcall\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcoefficients\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mzero\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 32\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mzero\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 33\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mValueError\u001b[0m: Could not complete newton([1, -14, 71, -154, 120, 0], epsilon = 1e-15, max_iterations = 1000000) at x = 2.0000000000000044 f(x) = -8.526512829121202e-14" ] } ], "prompt_number": 22 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Positionssystem\n", "===============\n", "\n", "Die Grundlage des Positionsystems ist ein Rechtwinkliges Dreieck \u22bfABC, in dem die Lautsprecher angeordnet sind. \n", "a \u22a5 b <=> \u1d67 = 90\u00b0 \n", "Durch die Schalldifferenz der Lautsprecher, entstehen 3 Hyperbeln, die die Position P(x, y) genau bestimmen. \n", "Strecke CA \u2225 CX = h2 \u22a5 h1 = Strecke XP \u2225 BC. " ] }, { "cell_type": "code", "collapsed": true, "input": [ "def get_dt(a, b, x, y):\n", " u = sqrt(x*x + y*y)\n", " w = sqrt(y*y + (b - x)*(b - x))\n", " v = sqrt((a + y)*(a + y) + x*x)\n", " \n", " #print(\"{{p}} u, v, w = {}, {}, {}\".format(u, v, w))\n", " \n", " g1 = v - u\n", " g2 = v - w\n", " g3 = u - w\n", " \n", " return g1, g2, g3\n", "\n", "def check(dt1, dt2, a, b, x, y):\n", " \"=> the error\"\n", " dt3 = dt2 - dt1\n", " \n", " g1, g2, g3 = get_dt(a, b, x, y)\n", "\n", "# if g1 == dt1 and g2 == dt2: print('{p} g1 == dt1 and g2 == dt2')\n", " error = (g1 - dt1)**2 + (g2 - dt2)**2 + (g3 - dt3)**2\n", " return error\n", "\n", "def position(dt1, dt2, a, b):\n", " \"\"\"\n", " dt1 = PB - CP\n", " dt2 = BP - AP\n", " \"\"\"\n", " g1 = dt1\n", " print(\"g1: {}\".format(g1))\n", " g2 = dt2\n", " print(\"g2: {}\".format(g2))\n", " g3 = g2 - g1 # CP - AP \n", " print(\"g3: {}\".format(g3))\n", " \n", " n = 4 * g1*g1\n", " o = 4 * a*a\n", " p = 4 * a*a*a - 4 * a * g1*g1\n", " q = a**4 - 2 * a*a * g1*g1 + g1**4\n", " print(\"n: {}\".format(n))\n", " print(\"p: {}\".format(p))\n", " print(\"q: {}\".format(q))\n", " \n", " r = 4 * g3*g3\n", " s = 4 * b*b\n", " t = -4 * b**3 + 4 * b * g3*g3\n", " v = b**4 - 2 * b*b * g3*g3 + g3**4\n", " print(\"r: {}\".format(r))\n", " print(\"s: {}\".format(s))\n", " print(\"t: {}\".format(t))\n", " print(\"v: {}\".format(v))\n", " \n", " d = o - n\n", " e = s - r\n", " \n", " print(\"d: {}\".format(d))\n", " print(\"e: {}\".format(e))\n", " \n", " Ps = []\n", " if r == 0:\n", "# print(\"{p} r == 0\")\n", " xs = solve([e, t, v])\n", " for x in xs:\n", " ys = solve([d, p, q - n * x*x])\n", " Ps.extend([(x, y) for y in ys])\n", " elif n == 0:\n", " print(\"{p} n == 0\")\n", " print(\"solving {} {} {}\".format(d, p, q))\n", " ys = solve([d, p, q])\n", " for y in ys:\n", " print(\"solving {} {} {}\".format(e, t, v - r * y*y))\n", " xs = solve([e, t, v - r * y*y])\n", " Ps.extend([(x, y) for x in xs])\n", " else:\n", " F = -2 * e * r\n", " G = 2 * e * v\n", " H = e*e / n/n\n", " K = F / n\n", " L = G / n\n", " M = t*t / n\n", "\n", " A = H * d*d + K * d + r*r\n", " B = 2 * H * d * p + K * p\n", " C = 2 * H * d * q + H * p*p + K * q + L * d - 2 * r * v - M * d\n", " D = 2 * H * p * q + L * p - M * p\n", " E = H * q*q + L * q + v*v - M * q\n", " \n", " print(\"A:\", A);\n", " print(\"B:\", B);\n", " print(\"C:\", C);\n", " print(\"D:\", D);\n", " print(\"E:\", E);\n", " \n", " ys = solve([A, B, C, D, E])\n", "# print(\"{{p}} ys: {} == solve({})\".format(ys, [A, B, C, D, E]))\n", " for y in ys:\n", " xs = solve([e, t, v - r * y*y])\n", "# print(\"{{p}} y: {} xs: {}\".format(y, xs))\n", " Ps.extend([(x, y) for x in xs])\n", " # check the results\n", " choose = lambda xy: check(dt1, dt2, a, b, xy[0], xy[1])\n", " print(\"{{p}} PS: {}\".format(\"\\n{p} \".join(map(lambda xy: \"{}\\t{}\\t{}\".format(xy[0], xy[1], choose(xy)), Ps))))\n", " x, y = min(Ps, key = choose)\n", " return x, y\n", " \n", "def assert_approximates(a, b, epsilon = 1e-15):\n", " assert approximates(a, b), \"{} == {} but difference is {}\".format(a, b, a-b)\n", "\n", "def test_position(x0, y0, a = 1, b = 2, debug = False):\n", " if debug: print(\"------ Test x: {} y: {} ------\".format(x0, y0))\n", " dt1, dt2, dt3 = get_dt(a, b, x0, y0)\n", " if debug: print(\"{{test}} dt1, dt2, dt3 = {}, {}, {}\".format(dt1, dt2, dt3))\n", " x, y = position(dt1, dt2, a, b)\n", " if debug: print(\"{{test}} x0: {} y0: {} \\n{{test}} x: {} y: {}\".format(x0, y0, x, y))\n", " assert approximates(x, x0, epsilon = 1e-8)\n", " assert approximates(y, y0, epsilon = 1e-8)\n", "\n", "if 0:\n", " # r != 0 and n != 0\n", " test_position(2, -1)\n", "\n", " test_position(100, -12)\n", " test_position(123.12312321321, -100)\n", " test_position(-333.213, -12)\n", "\n", " # r == 0\n", " test_position(1, 1)\n", " test_position(1, 0)\n", " test_position(1, -1)\n", " test_position(0, 0)\n", " test_position(1, -0.5)\n", " # n == 0\n", " test_position(12, -0.5)\n", "\n", "test_position(-333.213, -12, 20, 10)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "g1: -0.11998715618670985\n", "g2: -10.113697356467924\n", "g3: -9.993710200281214\n", "n: 0.05758767059909562\n", "p: 31998.84824658802\n", "q: 159988.48267315145\n", "r: 399.49697426881914\n", "s: 400\n", "t: -5.030257311808782\n", "v: 0.015814680389667046\n", "d: 1599.942412329401\n", "e: 0.5030257311808555\n", "A: 184305836.10572746\n", "B: 7589175139.439026\n", "C: 116068814847.07921\n", "D: 781207904655.6042\n", "E: 1952914341350.1167\n", "{p} PS: 245.3490205162642\t-8.52681486022444\t808.7020588120417\n", "{p} -235.34902051626375\t-8.52681486022444\t0.12011212203363518\n", "{p} 246.79390565496567\t-8.57809686477929\t808.5034277289541\n", "{p} -236.79390565496522\t-8.57809686477929\t0.11517534120497079\n", "{p} 343.2129999962256\t-11.999999999915298\t799.133599738705\n", "{p} -333.21299999622516\t-11.999999999915298\t2.858296757282845e-23\n", "{p} 345.24642238055645\t-12.072162749735583\t798.9939485374928\n", "{p} -335.246422380556\t-12.072162749735583\t2.557274145726705e-05\n" ] } ], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "l = [0.0332622528, -0.0665245056, -3.991614818]\n", "\n", "solve(l)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 24, "text": [ "[12.0001974401655, -10.0001974401655]" ] } ], "prompt_number": 24 }, { "cell_type": "code", "collapsed": false, "input": [ "call(differenciate(l), 1)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 25, "text": [ "-0.0332622528" ] } ], "prompt_number": 25 }, { "cell_type": "markdown", "metadata": {}, "source": [ "3d Positionierung\n", "=================\n", "\n", "Hier wird das Minimum der Abstandswerte bestimmt. " ] }, { "cell_type": "code", "collapsed": false, "input": [ "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "from math import *" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib.pyplot as plt\n", "from mpl_toolkits.mplot3d import axes3d, Axes3D #<-- Note the capitalization! \n", "fig = plt.figure()\n", "\n", "ax = Axes3D(fig) #<-- Note the difference from your original code...\n", "\n", "X = np.linspace(-5, 5, 200)\n", "Y = np.linspace(-5, 5, 300)\n", "X, Y = np.meshgrid(X, Y)\n", "Z = X**2 + Y** 2\n", "cset = ax.plot_surface(X, Y, Z,cmap=plt.cm.coolwarm, linewidth=0, antialiased=False)\n", "ax.clabel(cset, fontsize=9, inline=1)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAb4AAAEuCAYAAADx63eqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nz2ixlgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "fig, ax = plt.subplots()\n", "\n", "p = ax.pcolor(X/(2*pi), Y/(2*pi), Z, cmap=plt.cm.RdBu, vmin=abs(Z).min(), vmax=abs(Z).max())\n", "cb = fig.colorbar(p, ax=ax)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAWAAAAEACAYAAACNlawWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "\n", "def get_dt(a, b, x, y):\n", " u = sqrt(x*x + y*y)\n", " w = sqrt(y*y + (b - x)*(b - x))\n", " v = sqrt((a + y)*(a + y) + x*x)\n", " \n", " #print(\"{{p}} u, v, w = {}, {}, {}\".format(u, v, w))\n", " \n", " g1 = v - u\n", " g2 = v - w\n", " g3 = u - w\n", " \n", " return g1, g2, g3\n", "\n", "def check(dt1, dt2, a, b, x, y):\n", " \"=> the error\"\n", " dt3 = dt2 - dt1\n", " \n", " g1, g2, g3 = get_dt(a, b, x, y)\n", "\n", "# if g1 == dt1 and g2 == dt2: print('{p} g1 == dt1 and g2 == dt2')\n", " error = abs(g1 - dt1) #+ (g2 - dt2)**2 + (g3 - dt3)**2\n", " return error\n", "\n", "a = 2\n", "b = 1\n", "x0 = -3\n", "y0 = -3\n", "dt1, dt2, dt3 = get_dt(a, b, x0, y0)\n", "\n", "X = np.linspace(-30, 30, 200)\n", "Y = np.linspace(-30, 30, 200)\n", "Z = [[check(dt1, dt2, a, b, x, y) for x in X] for y in Y]\n", "\n", "fig = plt.figure()\n", "ax = Axes3D(fig) #<-- Note the difference from your original code...\n", "\n", "X, Y = np.meshgrid(X, Y)\n", "cset = ax.plot_surface(X, Y, Z,cmap=plt.cm.coolwarm, linewidth=0, antialiased=False)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAb4AAAEuCAYAAADx63eqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "The figure above shows the hyperbol form of the heard position" ] }, { "cell_type": "code", "collapsed": false, "input": [ "\n", "def get_dt(a, b, x, y):\n", " u = sqrt(x*x + y*y)\n", " w = sqrt(y*y + (b - x)**2)\n", " #v = sqrt(y*y + (a + x)**2) # in straight line\n", " v = sqrt((a + y)*(a + y) + x*x) # rectangular\n", " \n", " #print(\"{{p}} u, v, w = {}, {}, {}\".format(u, v, w))\n", " \n", " g1 = v - u\n", " g2 = v - w\n", " g3 = u - w\n", " \n", " return g1, g2, g3\n", "\n", "def check(dt1, dt2, a, b, x, y):\n", " \"=> the error\"\n", " dt3 = dt2 - dt1\n", " \n", " g1, g2, g3 = get_dt(a, b, x, y)\n", "\n", "# if g1 == dt1 and g2 == dt2: print('{p} g1 == dt1 and g2 == dt2')\n", " error = abs(g1 - dt1)**2 + abs(g2 - dt2)**2# + abs(dt3 - g3)\n", " return (error)\n", "\n", "def plot_dts(x0, y0, a, b, dim = 30):\n", " dt1, dt2, dt3 = get_dt(a, b, x0, y0)\n", "\n", " X = np.linspace(-dim, dim, 500)\n", " Y = np.linspace(dim, -dim, 500)\n", " Z = [[check(dt1, dt2, a, b, x, y) for x in X] for y in Y]\n", "\n", " fig = plt.figure()\n", " ax = Axes3D(fig) #<-- Note the difference from your original code...\n", "\n", " X, Y = np.meshgrid(X, Y)\n", " cset = ax.plot_surface(X, Y, Z,cmap=plt.cm.coolwarm, linewidth=0, antialiased=False)\n", " ax.view_init(50, 200)\n", " plt.show()\n", "\n", "plot_dts(-3, -3, 2, 1, 10)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAb4AAAEuCAYAAADx63eqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/wckq9KDidJftwAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "plot_dts(5, 5, 4, 4)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAb4AAAEuCAYAAADx63eqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text": [ "" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "from math import sqrt\n", "\n", "def get_dt(x, y, p):\n", " return sqrt((x - p[0])**2 + (y - p[1]) ** 2)\n", "\n", "def get_dts(x, y, Ps):\n", " A, B, C, D = Ps\n", " AP = get_dt(x, y, A)\n", " BP = get_dt(x, y, B)\n", " CP = get_dt(x, y, C)\n", " DP = get_dt(x, y, D)\n", " return (BP - CP), (BP - AP), (BP - DP)\n", "\n", "def error_f(x):\n", " return x * x\n", "\n", "error_f = abs\n", "\n", "use_4_points = True\n", "def get_error(x, y, dts, Ps):\n", " dts2 = get_dts(x, y, Ps)\n", " return error_f(dts2[0] - dts[0]) + error_f(dts2[1] - dts[1]) + error_f((dts2[2] - dts[2])) * use_4_points\n", "\n", "def plot_dts(x0, y0, Ps, dim = 30, middle = None):\n", " dts = get_dts(x0, y0, Ps)\n", " if middle is None:\n", " middle = x0, y0\n", "\n", " X = np.linspace(-dim + middle[0], dim + middle[0], 100)\n", " Y = np.linspace(middle[1] - dim, middle[1] + dim, 100)\n", " Z = [[get_error(x, y, dts, Ps) for x in X] for y in Y]\n", "\n", " X, Y = np.meshgrid(X, Y)\n", " Z = np.array(Z)\n", " fig, ax = plt.subplots()\n", " p = ax.pcolor(X, Y, Z, cmap=plt.cm.RdBu, vmin=min(map(min, Z)), vmax=max(map(max, Z)))\n", " cb = fig.colorbar(p, ax=ax)\n", "\n", "#plot_dts(0, 0.75, [(0,0), (0,1), (1,0)], 3)\n", "use_4_points = True\n", "plot_dts(12, -.5, [(1,0), (0,2),(0,0), (1, 2) ], 30)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAWAAAAEACAYAAACNlawWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\netTsAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 66 }, { "cell_type": "markdown", "metadata": {}, "source": [ "The piture above shows the error function for 4 points and 3 dts. The valley on the left does decrease with distance to middle." ] }, { "cell_type": "code", "collapsed": false, "input": [ "use_4_points = False\n", "plot_dts(2, -1, [(1,0), (0,2),(0,0), (1, 2) ], 2)\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAEACAYAAABmohcVAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 74 }, { "cell_type": "code", "collapsed": false, "input": [ "plot_dts(0.5, 0.5, [(1,0), (0,2),(0,0), (1, 2) ],2)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAEACAYAAABmohcVAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nA5L4pdcwAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 68 }, { "cell_type": "markdown", "metadata": {}, "source": [ "This hole above seems like the only minimum. We can use a simple minimization function to get there.\n", "The valley on the left creates problems. It decreses with distance but never reaches an optimum. The error will fall until float precision does not capture it any more. we need good startingpoints to deal with this.\n", "\n", "The following grafics suggests that for points not in the rectangle we get out of it easily." ] }, { "cell_type": "code", "collapsed": false, "input": [ "plot_dts(12, -.5, [(1,0), (0,2),(0,0), (1, 2) ], 20)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAWAAAAEACAYAAACNlawWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 71 }, { "cell_type": "code", "collapsed": false, "input": [ "def reflect(x, x0, m):\n", " return [x0[i] + m * (x0[i] - x[i]) for i in range(len(x))]\n", "\n", "def minimize(function, points, alpha = 1, gamma = 2, peta = -0.5, delta = 0.5, error = 1e-15):\n", " # Nelder Mead in Python\n", " # https://en.wikipedia.org/wiki/Nelder%E2%80%93Mead_method\n", " dim = len(points[0])\n", " x0 = None\n", " ii = 0\n", " for i in range(200):\n", " ii += 1\n", " # 1\n", " values = [(function(point), point) for point in points]\n", " values.sort()\n", " values, points = zip(*values)\n", " points = list(points)\n", " print(points)\n", " print('error:', values)\n", " # 2\n", " x0 = [sum([point[i] for point in points[:-1]]) / (len(points) - 1) for i in range(dim)]\n", " if values[0] < error:\n", " break\n", " print(\" ----- x0:\", x0, \"-----\")\n", " # 3 reflection\n", " xr = reflect(points[-1], x0, alpha)\n", " vr = function(xr)\n", " print(\"xr:\", xr, vr)\n", " if vr < values[-2] and vr >= values[0]:\n", " points[-1] = xr\n", " print('#reflection')\n", " continue\n", " # 4 expansion\n", " if values[0]> vr:\n", " xe = reflect(points[-1], x0, gamma)\n", " ve = function(xe)\n", " print(\"xe:\", xe, ve)\n", " print('#expansion')\n", " if ve < vr:\n", " points[-1] = xe\n", " continue\n", " else:\n", " points[-1] = xr\n", " continue\n", " # 5 contraction\n", " assert vr >= values[-2]\n", " xc = reflect(points[-1], x0, peta)\n", " vc = function(xc)\n", " print(\"xc:\", xc, vc)\n", " if vc < vr:\n", " print(\"#contraction\")\n", " points[-1] = xc\n", " continue\n", " # 6 reduction\n", " points[1:] = [reflect(points[i], points[0], delta) for i in range(1, len(points))]\n", " print(\"#reduction\")\n", " continue\n", " print('iterations:', ii)\n", " return x0\n", "\n", "def minimization_function(dts, Ps):\n", " return lambda p: get_error(p[0], p[1], dts, Ps)\n", "\n", "def minimization_function_form_xy(x0, y0, Ps):\n", " dts = get_dts(x0, y0, Ps)\n", " print(\"---- minimize ----\")\n", " return minimization_function(dts, Ps)\n", "\n", "middle_influence_on_starting_points = 0.99\n", "\n", "def mix(v1, v2, v3):\n", " middle = (v1 + v2 + v3) / 3\n", " return v1 * (1 - middle_influence_on_starting_points) + middle * middle_influence_on_starting_points\n", "\n", "def mixPoint(P1, P2, P3):\n", " return mix(P1[0], P2[0], P3[0]), mix(P1[1], P2[1], P3[1]), \n", "\n", "def test_minimize(x0, y0, Ps):\n", " starting_points = [mixPoint(Ps[0], Ps[1], Ps[2]), mixPoint(Ps[1], Ps[0], Ps[2]), mixPoint(Ps[2], Ps[1], Ps[0])]\n", " return minimize(minimization_function_form_xy(x0, y0, Ps), starting_points)\n", "\n", "_error_f = error_f\n", "error_f = lambda x: x*x\n", "#test_minimize(12, -.5, [(1,0), (0,2),(0,0), (1,2)])\n", "test_minimize(2, -1, [(1,0), (0,2),(0,0), (1,2) ])\n", "\n", "#test_minimize(1, 0.0000001, [(1,0), (0,2),(0,0), (1,2) ])\n", "\n", "error_f = _error_f" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "---- minimize ----\n", "[(0.33999999999999997, 0.6599999999999999), (0.32999999999999996, 0.6599999999999999), (0.32999999999999996, 0.6799999999999999)]\n", "error: (3.567557327949578, 3.597770753945049, 3.7721843050231763)\n", " ----- x0: [0.33499999999999996, 0.6599999999999999] -----\n", "xr: [0.33999999999999997, 0.6399999999999999] 3.3994245774059744\n", "xe: [0.345, 0.6199999999999999] 3.222502484797208\n", "#expansion\n", "[[0.345, 0.6199999999999999], (0.33999999999999997, 0.6599999999999999), (0.32999999999999996, 0.6599999999999999)]\n", "error: (3.222502484797208, 3.567557327949578, 3.597770753945049)\n", " ----- x0: [0.34249999999999997, 0.6399999999999999] -----\n", "xr: [0.355, 0.6199999999999999] 3.193435137478141\n", "xe: [0.3675, 0.5999999999999999] 3.0019912306588785\n", "#expansion\n", "[[0.3675, 0.5999999999999999], [0.345, 0.6199999999999999], (0.33999999999999997, 0.6599999999999999)]\n", "error: (3.0019912306588785, 3.222502484797208, 3.567557327949578)\n", " ----- x0: [0.35624999999999996, 0.6099999999999999] -----\n", "xr: [0.37249999999999994, 0.5599999999999998] 2.694619416189266\n", "xe: [0.38874999999999993, 0.5099999999999998] 2.317060859564891\n", "#expansion\n", "[[0.38874999999999993, 0.5099999999999998], [0.3675, 0.5999999999999999], [0.345, 0.6199999999999999]]\n", "error: (2.317060859564891, 3.0019912306588785, 3.222502484797208)\n", " ----- x0: [0.37812499999999993, 0.5549999999999998] -----\n", "xr: [0.4112499999999999, 0.48999999999999977] 2.1358550395770415\n", "xe: [0.44437499999999985, 0.4249999999999997] 1.6944974509897435\n", "#expansion\n", "[[0.44437499999999985, 0.4249999999999997], [0.38874999999999993, 0.5099999999999998], [0.3675, 0.5999999999999999]]\n", "error: (1.6944974509897435, 2.317060859564891, 3.0019912306588785)\n", " ----- x0: [0.4165624999999999, 0.46749999999999975] -----\n", "xr: [0.4656249999999998, 0.33499999999999963] 1.2408766244833394\n", "xe: [0.5146874999999997, 0.2024999999999995] 0.7209940214393626\n", "#expansion\n", "[[0.5146874999999997, 0.2024999999999995], [0.44437499999999985, 0.4249999999999997], [0.38874999999999993, 0.5099999999999998]]\n", "error: (0.7209940214393626, 1.6944974509897435, 2.317060859564891)\n", " ----- x0: [0.4795312499999998, 0.31374999999999964] -----\n", "xr: [0.5703124999999997, 0.1174999999999995] 0.4488740800735879\n", "xe: [0.6610937499999996, -0.07875000000000065] 0.14945787723676793\n", "#expansion\n", "[[0.6610937499999996, -0.07875000000000065], [0.5146874999999997, 0.2024999999999995], [0.44437499999999985, 0.4249999999999997]]\n", "error: (0.14945787723676793, 0.7209940214393626, 1.6944974509897435)\n", " ----- x0: [0.5878906249999997, 0.06187499999999943] -----\n", "xr: [0.7314062499999995, -0.3012500000000008] 0.09710192885603265\n", "xe: [0.8749218749999993, -0.664375000000001] 0.11706103121048016\n", "#expansion\n", "[[0.7314062499999995, -0.3012500000000008], [0.6610937499999996, -0.07875000000000065], [0.5146874999999997, 0.2024999999999995]]\n", "error: (0.09710192885603265, 0.14945787723676793, 0.7209940214393626)\n", " ----- x0: [0.6962499999999996, -0.19000000000000072] -----\n", "xr: [0.8778124999999994, -0.5825000000000009] 0.09626800658359042\n", "xe: [1.0593749999999993, -0.9750000000000012] 0.12172936726622967\n", "#expansion\n", "[[0.8778124999999994, -0.5825000000000009], [0.7314062499999995, -0.3012500000000008], [0.6610937499999996, -0.07875000000000065]]\n", "error: (0.09626800658359042, 0.09710192885603265, 0.14945787723676793)\n", " ----- x0: [0.8046093749999994, -0.44187500000000085] -----\n", "xr: [0.9481249999999992, -0.805000000000001] 0.12223847654324427\n", "xc: [0.7328515624999995, -0.2603125000000007] 0.0878363120685515\n", "#contraction\n", "[[0.7328515624999995, -0.2603125000000007], [0.8778124999999994, -0.5825000000000009], [0.7314062499999995, -0.3012500000000008]]\n", "error: (0.0878363120685515, 0.09626800658359042, 0.09710192885603265)\n", " ----- x0: [0.8053320312499994, -0.4214062500000008] -----\n", "xr: [0.8792578124999993, -0.5415625000000008] 0.08572256624024802\n", "xe: [0.9531835937499993, -0.6617187500000008] 0.08920249877862851\n", "#expansion\n", "[[0.8792578124999993, -0.5415625000000008], [0.7328515624999995, -0.2603125000000007], [0.8778124999999994, -0.5825000000000009]]\n", "error: (0.08572256624024802, 0.0878363120685515, 0.09626800658359042)\n", " ----- x0: [0.8060546874999994, -0.4009375000000008] -----\n", "xr: [0.7342968749999994, -0.21937500000000065] 0.08002700501870935\n", "xe: [0.6625390624999994, -0.037812500000000526] 0.16265776007358052\n", "#expansion\n", "[[0.7342968749999994, -0.21937500000000065], [0.8792578124999993, -0.5415625000000008], [0.7328515624999995, -0.2603125000000007]]\n", "error: (0.08002700501870935, 0.08572256624024802, 0.0878363120685515)\n", " ----- x0: [0.8067773437499994, -0.38046875000000074] -----\n", "xr: [0.8807031249999994, -0.5006250000000008] 0.07517661773640709\n", "xe: [0.9546289062499993, -0.6207812500000007] 0.07957846110793954\n", "#expansion\n", "[[0.8807031249999994, -0.5006250000000008], [0.7342968749999994, -0.21937500000000065], [0.8792578124999993, -0.5415625000000008]]\n", "error: (0.07517661773640709, 0.08002700501870935, 0.08572256624024802)\n", " ----- x0: [0.8074999999999994, -0.3600000000000007] -----\n", "xr: [0.7357421874999995, -0.17843750000000058] 0.07431711799297423\n", "xe: [0.6639843749999996, 0.003124999999999545] 0.18395130038836038\n", "#expansion\n", "[[0.7357421874999995, -0.17843750000000058], [0.8807031249999994, -0.5006250000000008], [0.7342968749999994, -0.21937500000000065]]\n", "error: (0.07431711799297423, 0.07517661773640709, 0.08002700501870935)\n", " ----- x0: [0.8082226562499994, -0.3395312500000007] -----\n", "xr: [0.8821484374999995, -0.4596875000000007] 0.06472565295904391\n", "xe: [0.9560742187499995, -0.5798437500000007] 0.06997719802727304\n", "#expansion\n", "[[0.8821484374999995, -0.4596875000000007], [0.7357421874999995, -0.17843750000000058], [0.8807031249999994, -0.5006250000000008]]\n", "error: (0.06472565295904391, 0.07431711799297423, 0.07517661773640709)\n", " ----- x0: [0.8089453124999995, -0.31906250000000064] -----\n", "xr: [0.7371874999999996, -0.1375000000000005] 0.07155090672410658\n", "#reflection\n", "[[0.8821484374999995, -0.4596875000000007], [0.7371874999999996, -0.1375000000000005], [0.7357421874999995, -0.17843750000000058]]\n", "error: (0.06472565295904391, 0.07155090672410658, 0.07431711799297423)\n", " ----- x0: [0.8096679687499995, -0.2985937500000006] -----\n", "xr: [0.8835937499999994, -0.4187500000000006] 0.05448513775830273\n", "xe: [0.9575195312499993, -0.5389062500000006] 0.06047601897708571\n", "#expansion\n", "[[0.8835937499999994, -0.4187500000000006], [0.8821484374999995, -0.4596875000000007], [0.7371874999999996, -0.1375000000000005]]\n", "error: (0.05448513775830273, 0.06472565295904391, 0.07155090672410658)\n", " ----- x0: [0.8828710937499995, -0.43921875000000066] -----\n", "xr: [1.0285546874999993, -0.7409375000000008] 0.08438616605064611\n", "xc: [0.8100292968749996, -0.2883593750000006] 0.051192852392358386\n", "#contraction\n", "[[0.8100292968749996, -0.2883593750000006], [0.8835937499999994, -0.4187500000000006], [0.8821484374999995, -0.4596875000000007]]\n", "error: (0.051192852392358386, 0.05448513775830273, 0.06472565295904391)\n", " ----- x0: [0.8468115234374995, -0.35355468750000063] -----\n", "xr: [0.8114746093749996, -0.24742187500000057] 0.04220582101191696\n", "xe: [0.7761376953124997, -0.1412890625000005] 0.04606037575818465\n", "#expansion\n", "[[0.8114746093749996, -0.24742187500000057], [0.8100292968749996, -0.2883593750000006], [0.8835937499999994, -0.4187500000000006]]\n", "error: (0.04220582101191696, 0.051192852392358386, 0.05448513775830273)\n", " ----- x0: [0.8107519531249996, -0.2678906250000006] -----\n", "xr: [0.7379101562499998, -0.11703125000000059] 0.07160319543248124\n", "xc: [0.8471728515624994, -0.3433203125000006] 0.04902020635992824\n", "#contraction\n", "[[0.8114746093749996, -0.24742187500000057], [0.8471728515624994, -0.3433203125000006], [0.8100292968749996, -0.2883593750000006]]\n", "error: (0.04220582101191696, 0.04902020635992824, 0.051192852392358386)\n", " ----- x0: [0.8293237304687495, -0.2953710937500006] -----\n", "xr: [0.8486181640624995, -0.3023828125000006] 0.0393777385679667\n", "xe: [0.8679125976562494, -0.3093945312500006] 0.03472651880783037\n", "#expansion\n", "[[0.8679125976562494, -0.3093945312500006], [0.8114746093749996, -0.24742187500000057], [0.8471728515624994, -0.3433203125000006]]\n", "error: (0.03472651880783037, 0.04220582101191696, 0.04902020635992824)\n", " ----- x0: [0.8396936035156245, -0.2784082031250006] -----\n", "xr: [0.8322143554687496, -0.21349609375000056] 0.028154388589202668\n", "xe: [0.8247351074218746, -0.14858398437500053] 0.023146505943798155\n", "#expansion\n", "[[0.8247351074218746, -0.14858398437500053], [0.8679125976562494, -0.3093945312500006], [0.8114746093749996, -0.24742187500000057]]\n", "error: (0.023146505943798155, 0.03472651880783037, 0.04220582101191696)\n", " ----- x0: [0.846323852539062, -0.22898925781250057] -----\n", "xr: [0.8811730957031243, -0.21055664062500057] 0.013719063340603\n", "xe: [0.9160223388671866, -0.19212402343750057] 0.005588226500145451\n", "#expansion\n", "[[0.9160223388671866, -0.19212402343750057], [0.8247351074218746, -0.14858398437500053], [0.8679125976562494, -0.3093945312500006]]\n", "error: (0.005588226500145451, 0.023146505943798155, 0.03472651880783037)\n", " ----- x0: [0.8703787231445306, -0.17035400390625055] -----\n", "xr: [0.8728448486328118, -0.0313134765625005] 0.013430573199333183\n", "#reflection\n", "[[0.9160223388671866, -0.19212402343750057], [0.8728448486328118, -0.0313134765625005], [0.8247351074218746, -0.14858398437500053]]\n", "error: (0.005588226500145451, 0.013430573199333183, 0.023146505943798155)\n", " ----- x0: [0.8944335937499992, -0.11171875000000053] -----\n", "xr: [0.9641320800781238, -0.07485351562500053] 0.0025461878657244814\n", "xe: [1.0338305664062484, -0.03798828125000053] 0.015962560482479092\n", "#expansion\n", "[[0.9641320800781238, -0.07485351562500053], [0.9160223388671866, -0.19212402343750057], [0.8728448486328118, -0.0313134765625005]]\n", "error: (0.0025461878657244814, 0.005588226500145451, 0.013430573199333183)\n", " ----- x0: [0.9400772094726553, -0.13348876953125055] -----\n", "xr: [1.0073095703124988, -0.2356640625000006] 0.0029406831103553077\n", "#reflection\n", "[[0.9641320800781238, -0.07485351562500053], [1.0073095703124988, -0.2356640625000006], [0.9160223388671866, -0.19212402343750057]]\n", "error: (0.0025461878657244814, 0.0029406831103553077, 0.005588226500145451)\n", " ----- x0: [0.9857208251953113, -0.15525878906250057] -----\n", "xr: [1.055419311523436, -0.11839355468750057] 0.006192685178671064\n", "xc: [0.950871582031249, -0.17369140625000057] 0.0013314754964706024\n", "#contraction\n", "[[0.950871582031249, -0.17369140625000057], [0.9641320800781238, -0.07485351562500053], [1.0073095703124988, -0.2356640625000006]]\n", "error: (0.0013314754964706024, 0.0025461878657244814, 0.0029406831103553077)\n", " ----- x0: [0.9575018310546863, -0.12427246093750055] -----\n", "xr: [0.9076940917968739, -0.012880859375000497] 0.01063419364684844\n", "xc: [0.9824057006835926, -0.17996826171875058] 0.0008816854447736241\n", "#contraction\n", "[[0.9824057006835926, -0.17996826171875058], [0.950871582031249, -0.17369140625000057], [0.9641320800781238, -0.07485351562500053]]\n", "error: (0.0008816854447736241, 0.0013314754964706024, 0.0025461878657244814)\n", " ----- x0: [0.9666386413574208, -0.17682983398437557] -----\n", "xr: [0.9691452026367179, -0.2788061523437506] 0.00970120704095365\n", "xc: [0.9653853607177723, -0.12584167480468805] 0.00023521243282375378\n", "#contraction\n", "[[0.9653853607177723, -0.12584167480468805], [0.9824057006835926, -0.17996826171875058], [0.950871582031249, -0.17369140625000057]]\n", "error: (0.00023521243282375378, 0.0008816854447736241, 0.0013314754964706024)\n", " ----- x0: [0.9738955307006825, -0.15290496826171932] -----\n", "xr: [0.9969194793701159, -0.13211853027343806] 0.001370831850993627\n", "xc: [0.9623835563659657, -0.16329818725585993] 0.0005651156058341884\n", "#contraction\n", "[[0.9653853607177723, -0.12584167480468805], [0.9623835563659657, -0.16329818725585993], [0.9824057006835926, -0.17996826171875058]]\n", "error: (0.00023521243282375378, 0.0005651156058341884, 0.0008816854447736241)\n", " ----- x0: [0.963884458541869, -0.144569931030274] -----\n", "xr: [0.9453632164001454, -0.1091716003417974] 0.00010002573316609938\n", "xe: [0.9268419742584219, -0.07377326965332082] 0.001336960914102282\n", "#expansion\n", "[[0.9453632164001454, -0.1091716003417974], [0.9653853607177723, -0.12584167480468805], [0.9623835563659657, -0.16329818725585993]]\n", "error: (0.00010002573316609938, 0.00023521243282375378, 0.0005651156058341884)\n", " ----- x0: [0.9553742885589589, -0.11750663757324273] -----\n", "xr: [0.9483650207519521, -0.07171508789062553] 0.0017732550506173023\n", "xc: [0.9588789224624623, -0.14040241241455131] 0.0001058621761042048\n", "#contraction\n", "[[0.9453632164001454, -0.1091716003417974], [0.9588789224624623, -0.14040241241455131], [0.9653853607177723, -0.12584167480468805]]\n", "error: (0.00010002573316609938, 0.0001058621761042048, 0.00023521243282375378)\n", " ----- x0: [0.9521210694313038, -0.12478700637817436] -----\n", "xr: [0.9388567781448354, -0.12373233795166066] 8.476917952664252e-05\n", "xe: [0.9255924868583669, -0.12267766952514697] 0.0005224089432561776\n", "#expansion\n", "[[0.9388567781448354, -0.12373233795166066], [0.9453632164001454, -0.1091716003417974], [0.9588789224624623, -0.14040241241455131]]\n", "error: (8.476917952664252e-05, 0.00010002573316609938, 0.0001058621761042048)\n", " ----- x0: [0.9421099972724905, -0.11645196914672903] -----\n", "xr: [0.9253410720825186, -0.09250152587890675] 0.0006258923776300859\n", "xc: [0.9504944598674764, -0.1284271907806402] 9.938912407551278e-06\n", "#contraction\n", "[[0.9504944598674764, -0.1284271907806402], [0.9388567781448354, -0.12373233795166066], [0.9453632164001454, -0.1091716003417974]]\n", "error: (9.938912407551278e-06, 8.476917952664252e-05, 0.00010002573316609938)\n", " ----- x0: [0.9446756190061558, -0.12607976436615043] -----\n", "xr: [0.9439880216121662, -0.14298792839050345] 0.000314855778943972\n", "xc: [0.9450194177031506, -0.11762568235397391] 1.3344122577957499e-05\n", "#contraction\n", "[[0.9504944598674764, -0.1284271907806402], [0.9450194177031506, -0.11762568235397391], [0.9388567781448354, -0.12373233795166066]]\n", "error: (9.938912407551278e-06, 1.3344122577957499e-05, 8.476917952664252e-05)\n", " ----- x0: [0.9477569387853135, -0.12302643656730705] -----\n", "xr: [0.9566570994257917, -0.12232053518295344] 8.256021822722524e-05\n", "xc: [0.9433068584650744, -0.12337938725948386] 2.029051885732362e-05\n", "#contraction\n", "[[0.9504944598674764, -0.1284271907806402], [0.9450194177031506, -0.11762568235397391], [0.9433068584650744, -0.12337938725948386]]\n", "error: (9.938912407551278e-06, 1.3344122577957499e-05, 2.029051885732362e-05)\n", " ----- x0: [0.9477569387853135, -0.12302643656730705] -----\n", "xr: [0.9522070191055526, -0.12267348587513024] 2.163740575220506e-05\n", "xc: [0.9455318986251939, -0.12320291191339545] 4.789101510540189e-06\n", "#contraction\n", "[[0.9455318986251939, -0.12320291191339545], [0.9504944598674764, -0.1284271907806402], [0.9450194177031506, -0.11762568235397391]]\n", "error: (4.789101510540189e-06, 9.938912407551278e-06, 1.3344122577957499e-05)\n", " ----- x0: [0.9480131792463351, -0.12581505134701781] -----\n", "xr: [0.9510069407895196, -0.13400442034006171] 4.6703536355394394e-05\n", "xc: [0.9465162984747428, -0.12172036685049586] 1.3107298585212362e-06\n", "#contraction\n", "[[0.9465162984747428, -0.12172036685049586], [0.9455318986251939, -0.12320291191339545], [0.9504944598674764, -0.1284271907806402]]\n", "error: (1.3107298585212362e-06, 4.789101510540189e-06, 9.938912407551278e-06)\n", " ----- x0: [0.9460240985499684, -0.12246163938194565] -----\n", "xr: [0.9415537372324604, -0.11649608798325112] 2.99849042204015e-05\n", "xc: [0.9482592792087223, -0.12544441508129292] 2.021998866328808e-06\n", "#contraction\n", "[[0.9465162984747428, -0.12172036685049586], [0.9482592792087223, -0.12544441508129292], [0.9455318986251939, -0.12320291191339545]]\n", "error: (1.3107298585212362e-06, 2.021998866328808e-06, 4.789101510540189e-06)\n", " ----- x0: [0.9473877888417326, -0.12358239096589439] -----\n", "xr: [0.9492436790582712, -0.12396187001839333] 1.4312675353914908e-06\n", "#reflection\n", "[[0.9465162984747428, -0.12172036685049586], [0.9492436790582712, -0.12396187001839333], [0.9482592792087223, -0.12544441508129292]]\n", "error: (1.3107298585212362e-06, 1.4312675353914908e-06, 2.021998866328808e-06)\n", " ----- x0: [0.947879988766507, -0.12284111843444459] -----\n", "xr: [0.9475006983242917, -0.12023782178759626] 5.171088804960252e-06\n", "xc: [0.9480696339876147, -0.12414276675786876] 2.663552747567006e-07\n", "#contraction\n", "[[0.9480696339876147, -0.12414276675786876], [0.9465162984747428, -0.12172036685049586], [0.9492436790582712, -0.12396187001839333]]\n", "error: (2.663552747567006e-07, 1.3107298585212362e-06, 1.4312675353914908e-06)\n", " ----- x0: [0.9472929662311788, -0.12293156680418231] -----\n", "xr: [0.9453422534040863, -0.12190126358997129] 3.986996212918106e-06\n", "xc: [0.948268322644725, -0.12344671841128782] 1.781976795813939e-07\n", "#contraction\n", "[[0.948268322644725, -0.12344671841128782], [0.9480696339876147, -0.12414276675786876], [0.9465162984747428, -0.12172036685049586]]\n", "error: (1.781976795813939e-07, 2.663552747567006e-07, 1.3107298585212362e-06)\n", " ----- x0: [0.9481689783161699, -0.12379474258457829] -----\n", "xr: [0.9498216581575969, -0.1258691183186607] 3.094025973576465e-06\n", "xc: [0.9473426383954564, -0.12275755471753708] 1.6398049776816287e-07\n", "#contraction\n", "[[0.9473426383954564, -0.12275755471753708], [0.948268322644725, -0.12344671841128782], [0.9480696339876147, -0.12414276675786876]]\n", "error: (1.6398049776816287e-07, 1.781976795813939e-07, 2.663552747567006e-07)\n", " ----- x0: [0.9478054805200907, -0.12310213656441245] -----\n", "xr: [0.9475413270525668, -0.12206150637095614] 7.658755199645084e-07\n", "xc: [0.9479375572538526, -0.12362245166114061] 3.2085520650762965e-08\n", "#contraction\n", "[[0.9479375572538526, -0.12362245166114061], [0.9473426383954564, -0.12275755471753708], [0.948268322644725, -0.12344671841128782]]\n", "error: (3.2085520650762965e-08, 1.6398049776816287e-07, 1.781976795813939e-07)\n", " ----- x0: [0.9476400978246545, -0.12319000318933884] -----\n", "xr: [0.947011873004584, -0.12293328796738986] 4.083719235201221e-07\n", "xc: [0.9479542102346898, -0.12331836080031333] 2.8031353127652525e-08\n", "#contraction\n", "[[0.9479542102346898, -0.12331836080031333], [0.9479375572538526, -0.12362245166114061], [0.9473426383954564, -0.12275755471753708]]\n", "error: (2.8031353127652525e-08, 3.2085520650762965e-08, 1.6398049776816287e-07)\n", " ----- x0: [0.9479458837442712, -0.12347040623072697] -----\n", "xr: [0.9485491290930861, -0.12418325774391686] 3.9933880012379706e-07\n", "xc: [0.9476442610698639, -0.12311398047413202] 2.158317282627181e-08\n", "#contraction\n", "[[0.9476442610698639, -0.12311398047413202], [0.9479542102346898, -0.12331836080031333], [0.9479375572538526, -0.12362245166114061]]\n", "error: (2.158317282627181e-08, 2.8031353127652525e-08, 3.2085520650762965e-08)\n", " ----- x0: [0.9477992356522769, -0.12321617063722268] -----\n", "xr: [0.9476609140507011, -0.12280988961330475] 1.232902674476558e-07\n", "xc: [0.9478683964530648, -0.12341931114918164] 3.866170423707493e-09\n", "#contraction\n", "[[0.9478683964530648, -0.12341931114918164], [0.9476442610698639, -0.12311398047413202], [0.9479542102346898, -0.12331836080031333]]\n", "error: (3.866170423707493e-09, 2.158317282627181e-08, 2.8031353127652525e-08)\n", " ----- x0: [0.9477563287614643, -0.12326664581165683] -----\n", "xr: [0.9475584472882388, -0.12321493082300033] 3.681197069200203e-08\n", "xc: [0.9478552694980771, -0.12329250330598508] 7.4161378276023025e-09\n", "#contraction\n", "[[0.9478683964530648, -0.12341931114918164], [0.9478552694980771, -0.12329250330598508], [0.9476442610698639, -0.12311398047413202]]\n", "error: (3.866170423707493e-09, 7.4161378276023025e-09, 2.158317282627181e-08)\n", " ----- x0: [0.9478618329755709, -0.12335590722758336] -----\n", "xr: [0.948079404881278, -0.12359783398103469] 5.2025732712747136e-08\n", "xc: [0.9477530470227173, -0.12323494385085769] 4.183530411143511e-09\n", "#contraction\n", "[[0.9478683964530648, -0.12341931114918164], [0.9477530470227173, -0.12323494385085769], [0.9478552694980771, -0.12329250330598508]]\n", "error: (3.866170423707493e-09, 4.183530411143511e-09, 7.4161378276023025e-09)\n", " ----- x0: [0.947810721737891, -0.12332712750001967] -----\n", "xr: [0.947766173977705, -0.12336175169405426] 1.7714252354728633e-09\n", "xe: [0.947721626217519, -0.12339637588808886] 1.127234122457603e-08\n", "#expansion\n", "[[0.947766173977705, -0.12336175169405426], [0.9478683964530648, -0.12341931114918164], [0.9477530470227173, -0.12323494385085769]]\n", "error: (1.7714252354728633e-09, 3.866170423707493e-09, 4.183530411143511e-09)\n", " ----- x0: [0.9478172852153849, -0.12339053142161796] -----\n", "xr: [0.9478815234080524, -0.12354611899237823] 1.732865052902221e-08\n", "xc: [0.9477851661190511, -0.12331273763623782] 2.4355355149778405e-10\n", "#contraction\n", "[[0.9477851661190511, -0.12331273763623782], [0.947766173977705, -0.12336175169405426], [0.9478683964530648, -0.12341931114918164]]\n", "error: (2.4355355149778405e-10, 1.7714252354728633e-09, 3.866170423707493e-09)\n", " ----- x0: [0.947775670048378, -0.12333724466514603] -----\n", "xr: [0.9476829436436913, -0.12325517818111043] 7.764191586911505e-09\n", "xc: [0.9478220332507215, -0.12337827790716384] 7.326586182320066e-10\n", "#contraction\n", "[[0.9477851661190511, -0.12331273763623782], [0.9478220332507215, -0.12337827790716384], [0.947766173977705, -0.12336175169405426]]\n", "error: (2.4355355149778405e-10, 7.326586182320066e-10, 1.7714252354728633e-09)\n", " ----- x0: [0.9478035996848863, -0.12334550777170084] -----\n", "xr: [0.9478410253920675, -0.1233292638493474] 2.5314471955483703e-09\n", "xc: [0.9477848868312957, -0.12335362973287756] 3.9029951800590476e-10\n", "#contraction\n", "[[0.9477851661190511, -0.12331273763623782], [0.9477848868312957, -0.12335362973287756], [0.9478220332507215, -0.12337827790716384]]\n", "error: (2.4355355149778405e-10, 3.9029951800590476e-10, 7.326586182320066e-10)\n", " ----- x0: [0.9477850264751734, -0.12333318368455769] -----\n", "xr: [0.9477480196996253, -0.12328808946195154] 1.460997075996243e-09\n", "xc: [0.9478035298629475, -0.12335573079586076] 1.3524777282385514e-10\n", "#contraction\n", "[[0.9478035298629475, -0.12335573079586076], [0.9477851661190511, -0.12331273763623782], [0.9477848868312957, -0.12335362973287756]]\n", "error: (1.3524777282385514e-10, 2.4355355149778405e-10, 3.9029951800590476e-10)\n", " ----- x0: [0.9477943479909993, -0.12333423421604929] -----\n", "xr: [0.9478038091507028, -0.12331483869922102] 6.001208059786977e-10\n", "xc: [0.9477896174111475, -0.12334393197446342] 7.592841497902612e-11\n", "#contraction\n", "[[0.9477896174111475, -0.12334393197446342], [0.9478035298629475, -0.12335573079586076], [0.9477851661190511, -0.12331273763623782]]\n", "error: (7.592841497902612e-11, 1.3524777282385514e-10, 2.4355355149778405e-10)\n", " ----- x0: [0.9477965736370475, -0.12334983138516209] -----\n", "xr: [0.9478079811550439, -0.12338692513408636] 1.0671689820533232e-09\n", "xc: [0.9477908698780493, -0.12333128451069995] 1.4170588853635575e-11\n", "#contraction\n", "[[0.9477908698780493, -0.12333128451069995], [0.9477896174111475, -0.12334393197446342], [0.9478035298629475, -0.12335573079586076]]\n", "error: (1.4170588853635575e-11, 7.592841497902612e-11, 1.3524777282385514e-10)\n", " ----- x0: [0.9477902436445984, -0.12333760824258169] -----\n", "xr: [0.9477769574262493, -0.12331948568930262] 1.9938817426710398e-10\n", "xc: [0.9477968867537729, -0.12334666951922123] 3.810668682245979e-11\n", "#contraction\n", "[[0.9477908698780493, -0.12333128451069995], [0.9477968867537729, -0.12334666951922123], [0.9477896174111475, -0.12334393197446342]]\n", "error: (1.4170588853635575e-11, 3.810668682245979e-11, 7.592841497902612e-11)\n", " ----- x0: [0.9477938783159111, -0.12333897701496059] -----\n", "xr: [0.9477981392206747, -0.12333402205545776] 3.371842908474786e-11\n", "#reflection\n", "[[0.9477908698780493, -0.12333128451069995], [0.9477981392206747, -0.12333402205545776], [0.9477968867537729, -0.12334666951922123]]\n", "error: (1.4170588853635575e-11, 3.371842908474786e-11, 3.810668682245979e-11)\n", " ----- x0: [0.947794504549362, -0.12333265328307885] -----\n", "xr: [0.947792122344951, -0.12331863704693648] 1.8160497282002648e-10\n", "xc: [0.9477956956515674, -0.12333966140115005] 2.4684073843588673e-12\n", "#contraction\n", "[[0.9477956956515674, -0.12333966140115005], [0.9477908698780493, -0.12333128451069995], [0.9477981392206747, -0.12333402205545776]]\n", "error: (2.4684073843588673e-12, 1.4170588853635575e-11, 3.371842908474786e-11)\n", " ----- x0: [0.9477932827648083, -0.12333547295592501] -----\n", "xr: [0.947788426308942, -0.12333692385639225] 3.0486084803828616e-11\n", "xc: [0.9477957109927415, -0.12333474750569139] 9.752816051518152e-12\n", "#contraction\n", "[[0.9477956956515674, -0.12333966140115005], [0.9477957109927415, -0.12333474750569139], [0.9477908698780493, -0.12333128451069995]]\n", "error: (2.4684073843588673e-12, 9.752816051518152e-12, 1.4170588853635575e-11)\n", " ----- x0: [0.9477957033221545, -0.12333720445342072] -----\n", "xr: [0.9478005367662596, -0.12334312439614149] 2.6214895141661657e-11\n", "xc: [0.9477932866001019, -0.12333424448206035] 3.822494180290415e-12\n", "#contraction\n", "[[0.9477956956515674, -0.12333966140115005], [0.9477932866001019, -0.12333424448206035], [0.9477957109927415, -0.12333474750569139]]\n", "error: (2.4684073843588673e-12, 3.822494180290415e-12, 9.752816051518152e-12)\n", " ----- x0: [0.9477944911258347, -0.1233369529416052] -----\n", "xr: [0.9477932712589279, -0.123339158377519] 4.62221298468443e-12\n", "xc: [0.9477951010592881, -0.12333585022364829] 3.2637415544279835e-12\n", "#contraction\n", "[[0.9477956956515674, -0.12333966140115005], [0.9477951010592881, -0.12333585022364829], [0.9477932866001019, -0.12333424448206035]]\n", "error: (2.4684073843588673e-12, 3.2637415544279835e-12, 3.822494180290415e-12)\n", " ----- x0: [0.9477953983554277, -0.12333775581239917] -----\n", "xr: [0.9477975101107535, -0.12334126714273799] 8.432669494668112e-12\n", "xc: [0.9477943424777648, -0.12333600014722976] 1.183865709625325e-12\n", "#contraction\n", "[[0.9477943424777648, -0.12333600014722976], [0.9477956956515674, -0.12333966140115005], [0.9477951010592881, -0.12333585022364829]]\n", "error: (1.183865709625325e-12, 2.4684073843588673e-12, 3.2637415544279835e-12)\n", " ----- x0: [0.9477950190646661, -0.1233378307741899] -----\n", "xr: [0.9477949370700441, -0.12333981132473151] 2.8108506408508435e-12\n", "xc: [0.9477950600619771, -0.1233368404989191] 1.2235527852869303e-12\n", "#contraction\n", "[[0.9477943424777648, -0.12333600014722976], [0.9477950600619771, -0.1233368404989191], [0.9477956956515674, -0.12333966140115005]]\n", "error: (1.183865709625325e-12, 1.2235527852869303e-12, 2.4684073843588673e-12)\n", " ----- x0: [0.947794701269871, -0.12333642032307443] -----\n", "xr: [0.9477937068881745, -0.12333317924499881] 8.617469748954597e-12\n", "xc: [0.9477951984607191, -0.12333804086211224] 6.804812089619629e-13\n", "#contraction\n", "[[0.9477951984607191, -0.12333804086211224], [0.9477943424777648, -0.12333600014722976], [0.9477950600619771, -0.1233368404989191]]\n", "error: (6.804812089619629e-13, 1.183865709625325e-12, 1.2235527852869303e-12)\n", " ----- x0: [0.947794770469242, -0.12333702050467099] -----\n", "xr: [0.9477944808765069, -0.12333720051042288] 1.1374314805336478e-13\n", "xe: [0.9477941912837717, -0.12333738051617477] 9.564553125849579e-15\n", "#expansion\n", "[[0.9477941912837717, -0.12333738051617477], [0.9477951984607191, -0.12333804086211224], [0.9477943424777648, -0.12333600014722976]]\n", "error: (9.564553125849579e-15, 6.804812089619629e-13, 1.183865709625325e-12)\n", " ----- x0: [0.9477946948722454, -0.12333771068914351] -----\n", "xr: [0.947795047266726, -0.12333942123105726] 1.9071653455676448e-12\n", "xc: [0.9477945186750052, -0.12333685541818663] 3.439870877160463e-13\n", "#contraction\n", "[[0.9477941912837717, -0.12333738051617477], [0.9477945186750052, -0.12333685541818663], [0.9477951984607191, -0.12333804086211224]]\n", "error: (9.564553125849579e-15, 3.439870877160463e-13, 6.804812089619629e-13)\n", " ----- x0: [0.9477943549793885, -0.12333711796718069] -----\n", "xr: [0.9477935114980578, -0.12333619507224915] 4.4854386841214583e-13\n", "xc: [0.9477947767200539, -0.12333757941464646] 2.5035267748156887e-13\n", "#contraction\n", "[[0.9477941912837717, -0.12333738051617477], [0.9477947767200539, -0.12333757941464646], [0.9477945186750052, -0.12333685541818663]]\n", "error: (9.564553125849579e-15, 2.5035267748156887e-13, 3.439870877160463e-13)\n", " ----- x0: [0.9477944840019128, -0.12333747996541061] -----\n", "xr: [0.9477944493288204, -0.12333810451263459] 3.1263800239102516e-13\n", "xc: [0.947794501338459, -0.12333716769179862] 1.4030867200641002e-13\n", "#contraction\n", "[[0.9477941912837717, -0.12333738051617477], [0.947794501338459, -0.12333716769179862], [0.9477947767200539, -0.12333757941464646]]\n", "error: (9.564553125849579e-15, 1.4030867200641002e-13, 2.5035267748156887e-13)\n", " ----- x0: [0.9477943463111154, -0.12333727410398669] -----\n", "xr: [0.9477939159021769, -0.12333696879332692] 4.064608890586861e-14\n", "#reflection\n", "[[0.9477941912837717, -0.12333738051617477], [0.9477939159021769, -0.12333696879332692], [0.947794501338459, -0.12333716769179862]]\n", "error: (9.564553125849579e-15, 4.064608890586861e-14, 1.4030867200641002e-13)\n", " ----- x0: [0.9477940535929743, -0.12333717465475084] -----\n", "xr: [0.9477936058474896, -0.12333718161770307] 2.7092035817505186e-13\n", "xc: [0.9477942774657167, -0.12333717117327472] 2.3878099250732096e-14\n", "#contraction\n", "[[0.9477941912837717, -0.12333738051617477], [0.9477942774657167, -0.12333717117327472], [0.9477939159021769, -0.12333696879332692]]\n", "error: (9.564553125849579e-15, 2.3878099250732096e-14, 4.064608890586861e-14)\n", " ----- x0: [0.9477942343747442, -0.12333727584472474] -----\n", "xr: [0.9477945528473115, -0.12333758289612257] 9.989754828103379e-14\n", "xc: [0.9477940751384606, -0.12333712231902583] 5.742723938490118e-15\n", "#contraction\n", "[[0.9477940751384606, -0.12333712231902583], [0.9477941912837717, -0.12333738051617477], [0.9477942774657167, -0.12333717117327472]]\n", "error: (5.742723938490118e-15, 9.564553125849579e-15, 2.3878099250732096e-14)\n", " ----- x0: [0.9477941332111162, -0.1233372514176003] -----\n", "xr: [0.9477939889565157, -0.12333733166192587] 4.833000190070062e-14\n", "xc: [0.9477942053384164, -0.12333721129543751] 3.715522082636485e-15\n", "#contraction\n", "[[0.9477942053384164, -0.12333721129543751], [0.9477940751384606, -0.12333712231902583], [0.9477941912837717, -0.12333738051617477]]\n", "error: (3.715522082636485e-15, 5.742723938490118e-15, 9.564553125849579e-15)\n", " ----- x0: [0.9477941402384384, -0.12333716680723167] -----\n", "xr: [0.9477940891931051, -0.12333695309828857] 3.556123521039264e-14\n", "xc: [0.9477941657611051, -0.12333727366170322] 6.866967183731575e-16\n", "#contraction\n", "[[0.9477941657611051, -0.12333727366170322], [0.9477942053384164, -0.12333721129543751], [0.9477940751384606, -0.12333712231902583]]\n", "error: (6.866967183731575e-16, 3.715522082636485e-15, 5.742723938490118e-15)\n", "iterations: 74\n" ] } ], "prompt_number": 73 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }