{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "### Installation" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "scrolled": true }, "outputs": [], "source": [ "# if (!requireNamespace(\"BiocManager\", quietly = TRUE))\n", "# install.packages(\"BiocManager\")\n", "# BiocManager::install(\"chromVAR\", version = \"3.8\")\n", "# BiocManager::install(\"motifmatchr\", version = \"3.8\")\n", "# BiocManager::install(\"BSgenome.Hsapiens.UCSC.hg19\", version = \"3.8\")\n", "# BiocManager::install(\"JASPAR2016\", version = \"3.8\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Import packages" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "scrolled": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "\n", "Loading required package: GenomicRanges\n", "Loading required package: stats4\n", "Loading required package: BiocGenerics\n", "Loading required package: parallel\n", "\n", "Attaching package: ‘BiocGenerics’\n", "\n", "The following objects are masked from ‘package:parallel’:\n", "\n", " clusterApply, clusterApplyLB, clusterCall, clusterEvalQ,\n", " clusterExport, clusterMap, parApply, parCapply, parLapply,\n", " parLapplyLB, parRapply, parSapply, parSapplyLB\n", "\n", "The following objects are masked from ‘package:Matrix’:\n", "\n", " colMeans, colSums, rowMeans, rowSums, which\n", "\n", "The following objects are masked from ‘package:stats’:\n", "\n", " IQR, mad, sd, var, xtabs\n", "\n", "The following objects are masked from ‘package:base’:\n", "\n", " anyDuplicated, append, as.data.frame, basename, cbind, colMeans,\n", " colnames, colSums, dirname, do.call, duplicated, eval, evalq,\n", " Filter, Find, get, grep, grepl, intersect, is.unsorted, lapply,\n", " lengths, Map, mapply, match, mget, order, paste, pmax, pmax.int,\n", " pmin, pmin.int, Position, rank, rbind, Reduce, rowMeans, rownames,\n", " rowSums, sapply, setdiff, sort, table, tapply, union, unique,\n", " unsplit, which, which.max, which.min\n", "\n", "Loading required package: S4Vectors\n", "\n", "Attaching package: ‘S4Vectors’\n", "\n", "The following object is masked from ‘package:Matrix’:\n", "\n", " expand\n", "\n", "The following object is masked from ‘package:base’:\n", "\n", " expand.grid\n", "\n", "Loading required package: IRanges\n", "Loading required package: GenomeInfoDb\n", "Loading required package: Biobase\n", "Welcome to Bioconductor\n", "\n", " Vignettes contain introductory material; view with\n", " 'browseVignettes()'. To cite Bioconductor, see\n", " 'citation(\"Biobase\")', and for packages 'citation(\"pkgname\")'.\n", "\n", "Loading required package: DelayedArray\n", "Loading required package: matrixStats\n", "\n", "Attaching package: ‘matrixStats’\n", "\n", "The following objects are masked from ‘package:Biobase’:\n", "\n", " anyMissing, rowMedians\n", "\n", "Loading required package: BiocParallel\n", "\n", "Attaching package: ‘DelayedArray’\n", "\n", "The following objects are masked from ‘package:matrixStats’:\n", "\n", " colMaxs, colMins, colRanges, rowMaxs, rowMins, rowRanges\n", "\n", "The following objects are masked from ‘package:base’:\n", "\n", " aperm, apply\n", "\n", "Loading required package: BSgenome\n", "Loading required package: Biostrings\n", "Loading required package: XVector\n", "\n", "Attaching package: ‘Biostrings’\n", "\n", "The following object is masked from ‘package:DelayedArray’:\n", "\n", " type\n", "\n", "The following object is masked from ‘package:base’:\n", "\n", " strsplit\n", "\n", "Loading required package: rtracklayer\n" ] } ], "source": [ "library(chromVAR)\n", "library(motifmatchr)\n", "library(Matrix)\n", "library(SummarizedExperiment)\n", "library(BiocParallel)\n", "library('JASPAR2016')\n", "library(BSgenome.Hsapiens.UCSC.hg19)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[1] ‘1.4.1’" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "packageVersion(\"chromVAR\")" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "register(MulticoreParam(10))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Obtain Feature Matrix" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "start_time <- Sys.time()" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "set.seed(2019)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "metadata <- read.table('../../input/metadata.tsv',\n", " header = TRUE,\n", " stringsAsFactors=FALSE,quote=\"\",row.names=1)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "scrolled": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Peaks sorted\n" ] } ], "source": [ "peakfile <- \"../../input/GSE96769_PeakFile_20160207.bed\"\n", "peaks <- getPeaks(peakfile, sort_peaks = TRUE)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "`width=500` will cause the error: Error in loadFUN(x, seqname, ranges): trying to load regions beyond the boundaries of non-circular sequence \"chr17\"" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "peaks <- resize(peaks, width = 450, fix = \"center\")" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [], "source": [ "bamfile <- list.files(path = \"../../input/sc-bams_nodup/\", pattern = \"\\\\.bam$\")" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/html": [ "2034" ], "text/latex": [ "2034" ], "text/markdown": [ "2034" ], "text/plain": [ "[1] 2034" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "length(bamfile)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "cellnames <- sapply(strsplit(bamfile,'.',fixed = TRUE), \"[[\", 1)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "text/html": [ "
    \n", "\t
  1. 'BM1077-CLP-Frozen-160106-13'
  2. \n", "\t
  3. 'BM1077-CLP-Frozen-160106-14'
  4. \n", "\t
  5. 'BM1077-CLP-Frozen-160106-2'
  6. \n", "\t
  7. 'BM1077-CLP-Frozen-160106-21'
  8. \n", "\t
  9. 'BM1077-CLP-Frozen-160106-27'
  10. \n", "\t
  11. 'BM1077-CLP-Frozen-160106-3'
  12. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 'BM1077-CLP-Frozen-160106-13'\n", "\\item 'BM1077-CLP-Frozen-160106-14'\n", "\\item 'BM1077-CLP-Frozen-160106-2'\n", "\\item 'BM1077-CLP-Frozen-160106-21'\n", "\\item 'BM1077-CLP-Frozen-160106-27'\n", "\\item 'BM1077-CLP-Frozen-160106-3'\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 'BM1077-CLP-Frozen-160106-13'\n", "2. 'BM1077-CLP-Frozen-160106-14'\n", "3. 'BM1077-CLP-Frozen-160106-2'\n", "4. 'BM1077-CLP-Frozen-160106-21'\n", "5. 'BM1077-CLP-Frozen-160106-27'\n", "6. 'BM1077-CLP-Frozen-160106-3'\n", "\n", "\n" ], "text/plain": [ "[1] \"BM1077-CLP-Frozen-160106-13\" \"BM1077-CLP-Frozen-160106-14\"\n", "[3] \"BM1077-CLP-Frozen-160106-2\" \"BM1077-CLP-Frozen-160106-21\"\n", "[5] \"BM1077-CLP-Frozen-160106-27\" \"BM1077-CLP-Frozen-160106-3\" " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(cellnames)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "text/html": [ "2034" ], "text/latex": [ "2034" ], "text/markdown": [ "2034" ], "text/plain": [ "[1] 2034" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sum(cellnames == rownames(metadata))" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-94.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CLP-Frozen-160106-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-88.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-CMP-Frozen-160106-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-GMP-Frozen-160107-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-48.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-HSC-Frozen-160105-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-70.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-LMPP-Frozen-160107-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MEP-Frozen-160107-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-39.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/BM1077-MPP-Frozen-160105-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-80.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160808-scATAC-BM1137-GMP2mid-LS-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160809-scATAC-BM1137-GMP1low-LS-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-20.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160818-BM1137-pDC-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-46.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-94.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160819-BM1137-CMP-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-74.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-160822-BM1137-CMP-LS-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-94.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1077-CMP-HYC-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-13.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-94.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160617-scATAC-BM1214-CMP-LS-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-29.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-94.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1137-GMP3high-HYC-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-4.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-20160726-scATAC-BM1214-CMP-LS-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-71.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-LS-160219-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-94.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-HSC-SIM-160219-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0106-UNK-160418-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-61.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CLP-frozen-151103-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-85.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-CMP-frozen-151118-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-GMP-151027-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-3.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-fresh-151027-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-67.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-HSC-frozen-151027-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-78.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-LMPP-frozen-151105-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MEP-160420-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-38.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM0828-MPP-frozen-151103-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-61.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-69.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-79.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-80.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-91.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-94.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-GMP-160421-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-1.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-16.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-17.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-26.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-27.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-3.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-32.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-4.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-40.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-41.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-42.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-49.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-65.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-71.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-73.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-77.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-87.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-92.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-93.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-BM1214-MCP-frozen-160128-96.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-12.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-19.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-36.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-45.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-60.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-67.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-7.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-73.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-88.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-9.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-MEP-141017-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-10.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-11.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-13.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-14.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-15.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-18.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-2.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-20.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-21.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-22.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-23.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-24.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-25.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-28.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-29.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-30.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-31.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-33.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-34.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-35.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-37.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-38.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-39.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-43.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-44.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-46.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-47.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-48.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-5.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-50.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-51.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-52.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-53.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-54.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-55.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-56.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-57.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-58.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-59.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-6.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-61.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-62.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-63.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-64.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-66.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-68.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-70.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-72.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-74.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-75.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-76.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-78.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-79.dedup.st.bam\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-8.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-81.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-82.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-83.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-84.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-85.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-86.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-89.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-90.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-95.dedup.st.bam\n", "Reading in file: ../../input/sc-bams_nodup/singles-PB1022-mono-160128-96.dedup.st.bam\n" ] } ], "source": [ "fragment_counts <- getCounts(paste0(\"../../input/sc-bams_nodup/\",bamfile), \n", " peaks, \n", " paired = TRUE, \n", " by_rg = TRUE, \n", " format = \"bam\", \n", " colData = data.frame(celltype = cellnames))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "class: RangedSummarizedExperiment \n", "dim: 491437 2034 \n", "metadata(0):\n", "assays(1): counts\n", "rownames: NULL\n", "rowData names(0):\n", "colnames(2034): BM1077-CLP-Frozen-160106-13 BM1077-CLP-Frozen-160106-14\n", " ... singles-PB1022-mono-160128-95 singles-PB1022-mono-160128-96\n", "colData names(2): celltype depth" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fragment_counts" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "scrolled": true }, "outputs": [], "source": [ "fragment_counts <- addGCBias(fragment_counts, genome = BSgenome.Hsapiens.UCSC.hg19)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "DataFrame with 6 rows and 1 column\n", " bias\n", " \n", "1 0.673333333333333\n", "2 0.582222222222222\n", "3 0.522222222222222\n", "4 0.782222222222222\n", "5 0.46\n", "6 0.4" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(rowData(fragment_counts))" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [], "source": [ "counts_filtered <- filterPeaks(fragment_counts, non_overlapping = TRUE)" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [], "source": [ "bg <- getBackgroundPeaks(counts_filtered)\n", "# Potentially save the bg object\n", "saveRDS(bg, file = \"background_peaks_motifs.rds\")" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [], "source": [ "motifs <- getJasparMotifs()" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "PFMatrixList of length 386\n", "names(386): MA0025.1_NFIL3 MA0030.1_FOXF2 ... MA0909.1_HOXD13 MA0914.1_ISL2" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "motifs" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [], "source": [ "motif_ix <- matchMotifs(motifs, counts_filtered, genome = BSgenome.Hsapiens.UCSC.hg19)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [], "source": [ "dev <- computeDeviations(object = counts_filtered, annotations = motif_ix,\n", " background_peaks = bg)" ] }, { "cell_type": "code", "execution_count": 25, "metadata": {}, "outputs": [], "source": [ "end_time <- Sys.time()" ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Time difference of 28.29907 mins" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "end_time - start_time" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [], "source": [ "df_zscores = dev@assays[[1]]" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [], "source": [ "saveRDS(df_zscores, file = '../../output/feature_matrices/FM_chromVAR_buenrostro2018bulkpeaks_motifs.rds')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Downstream Analysis" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAMAAADKOT/pAAADAFBMVEUAAAABAQECAgIDAwME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", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "variability <- computeVariability(dev)\n", "plotVariability(variability, use_plotly = FALSE)" ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
namevariabilitybootstrap_lower_boundbootstrap_upper_boundp_valuep_value_adj
MA0025.1_NFIL3NFIL3 1.440665 1.385741 1.493494 4.230162e-1552.401239e-154
MA0030.1_FOXF2FOXF2 1.180256 1.138548 1.217987 2.142905e-29 6.172845e-29
MA0031.1_FOXD1FOXD1 1.101977 1.068060 1.136270 7.307642e-11 1.362681e-10
MA0051.1_IRF2IRF2 2.548169 2.435168 2.654706 0.000000e+00 0.000000e+00
MA0056.1_MZF1MZF1 1.166003 1.123621 1.208466 2.539954e-25 6.808487e-25
MA0057.1_MZF1(var.2)MZF1(var.2) 1.219118 1.177662 1.260462 4.777484e-42 1.661359e-41
\n" ], "text/latex": [ "\\begin{tabular}{r|llllll}\n", " & name & variability & bootstrap\\_lower\\_bound & bootstrap\\_upper\\_bound & p\\_value & p\\_value\\_adj\\\\\n", "\\hline\n", "\tMA0025.1\\_NFIL3 & NFIL3 & 1.440665 & 1.385741 & 1.493494 & 4.230162e-155 & 2.401239e-154\\\\\n", "\tMA0030.1\\_FOXF2 & FOXF2 & 1.180256 & 1.138548 & 1.217987 & 2.142905e-29 & 6.172845e-29\\\\\n", "\tMA0031.1\\_FOXD1 & FOXD1 & 1.101977 & 1.068060 & 1.136270 & 7.307642e-11 & 1.362681e-10\\\\\n", "\tMA0051.1\\_IRF2 & IRF2 & 2.548169 & 2.435168 & 2.654706 & 0.000000e+00 & 0.000000e+00\\\\\n", "\tMA0056.1\\_MZF1 & MZF1 & 1.166003 & 1.123621 & 1.208466 & 2.539954e-25 & 6.808487e-25\\\\\n", "\tMA0057.1\\_MZF1(var.2) & MZF1(var.2) & 1.219118 & 1.177662 & 1.260462 & 4.777484e-42 & 1.661359e-41\\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| | name | variability | bootstrap_lower_bound | bootstrap_upper_bound | p_value | p_value_adj |\n", "|---|---|---|---|---|---|---|\n", "| MA0025.1_NFIL3 | NFIL3 | 1.440665 | 1.385741 | 1.493494 | 4.230162e-155 | 2.401239e-154 |\n", "| MA0030.1_FOXF2 | FOXF2 | 1.180256 | 1.138548 | 1.217987 | 2.142905e-29 | 6.172845e-29 |\n", "| MA0031.1_FOXD1 | FOXD1 | 1.101977 | 1.068060 | 1.136270 | 7.307642e-11 | 1.362681e-10 |\n", "| MA0051.1_IRF2 | IRF2 | 2.548169 | 2.435168 | 2.654706 | 0.000000e+00 | 0.000000e+00 |\n", "| MA0056.1_MZF1 | MZF1 | 1.166003 | 1.123621 | 1.208466 | 2.539954e-25 | 6.808487e-25 |\n", "| MA0057.1_MZF1(var.2) | MZF1(var.2) | 1.219118 | 1.177662 | 1.260462 | 4.777484e-42 | 1.661359e-41 |\n", "\n" ], "text/plain": [ " name variability bootstrap_lower_bound\n", "MA0025.1_NFIL3 NFIL3 1.440665 1.385741 \n", "MA0030.1_FOXF2 FOXF2 1.180256 1.138548 \n", "MA0031.1_FOXD1 FOXD1 1.101977 1.068060 \n", "MA0051.1_IRF2 IRF2 2.548169 2.435168 \n", "MA0056.1_MZF1 MZF1 1.166003 1.123621 \n", "MA0057.1_MZF1(var.2) MZF1(var.2) 1.219118 1.177662 \n", " bootstrap_upper_bound p_value p_value_adj \n", "MA0025.1_NFIL3 1.493494 4.230162e-155 2.401239e-154\n", "MA0030.1_FOXF2 1.217987 2.142905e-29 6.172845e-29\n", "MA0031.1_FOXD1 1.136270 7.307642e-11 1.362681e-10\n", "MA0051.1_IRF2 2.654706 0.000000e+00 0.000000e+00\n", "MA0056.1_MZF1 1.208466 2.539954e-25 6.808487e-25\n", "MA0057.1_MZF1(var.2) 1.260462 4.777484e-42 1.661359e-41" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "
    \n", "\t
  1. 386
  2. \n", "\t
  3. 6
  4. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 386\n", "\\item 6\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 386\n", "2. 6\n", "\n", "\n" ], "text/plain": [ "[1] 386 6" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "head(variability)\n", "dim(variability)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [], "source": [ "dev$celltype <- metadata[colnames(dev),]" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [], "source": [ "tsne_results <- deviationsTsne(dev, threshold = 1.5, perplexity = 10)" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "scrolled": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAIAAAByhViMAAAACXBIWXMAABJ0AAASdAHeZh94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\nQmCC", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "tsne_plots <- plotDeviationsTsne(dev, tsne_results, \n", " sample_column = \"celltype\", \n", " shiny = FALSE)\n", "tsne_plots[[1]]" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/html": [ "
    \n", "\t
  1. 386
  2. \n", "\t
  3. 2034
  4. \n", "
\n" ], "text/latex": [ "\\begin{enumerate*}\n", "\\item 386\n", "\\item 2034\n", "\\end{enumerate*}\n" ], "text/markdown": [ "1. 386\n", "2. 2034\n", "\n", "\n" ], "text/plain": [ "[1] 386 2034" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dim(df_zscores)" ] }, { "cell_type": "code", "execution_count": 36, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "\n", "\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\t\n", "\n", "
BM1077-CLP-Frozen-160106-13BM1077-CLP-Frozen-160106-14BM1077-CLP-Frozen-160106-2BM1077-CLP-Frozen-160106-21BM1077-CLP-Frozen-160106-27BM1077-CLP-Frozen-160106-3BM1077-CLP-Frozen-160106-36BM1077-CLP-Frozen-160106-42BM1077-CLP-Frozen-160106-44BM1077-CLP-Frozen-160106-50singles-PB1022-mono-160128-81singles-PB1022-mono-160128-82singles-PB1022-mono-160128-83singles-PB1022-mono-160128-84singles-PB1022-mono-160128-85singles-PB1022-mono-160128-86singles-PB1022-mono-160128-89singles-PB1022-mono-160128-90singles-PB1022-mono-160128-95singles-PB1022-mono-160128-96
MA0025.1_NFIL3-0.278286306 0.112060407 -0.062820655 -0.095424368 -0.241401268 -0.146683756 -0.46696091 -0.093062738 0.02790989 -0.045261996 0.13481378 0.145741386 0.242564130 0.168850335 1.563188e-01 0.153548082 -0.01677525 0.1003697868 0.128253618 0.21343678
MA0030.1_FOXF2 0.176107788 -0.041292032 0.083806952 0.071055290 -0.026868251 -0.016681841 0.25217463 0.322402750 0.07806533 -0.136876382 -0.06775071 -0.043569814 -0.036818578 0.077240782 -7.383318e-02-0.038127675 -0.28104413 -0.0354117096 0.097489307 0.02635869
MA0031.1_FOXD1 0.137372699 0.320349282 -0.044740691 0.265338659 0.120922454 0.087623809 0.15717723 0.058841446 0.02189066 -0.018605675 0.01972595 0.066022231 0.130188834 -0.152699447 2.360461e-02 0.087566273 -0.19234792 0.0799305100-0.043302657 0.08417807
MA0051.1_IRF2 0.186088512 0.087081618 -0.093170188 -0.016353638 0.080477333 -0.068178466 -0.09325282 0.035428898 -0.04332944 0.031101308 -0.04765824 -0.035892845 0.049456672 -0.018141407 1.653424e-01 0.103547945 0.02895408 0.0605930663 0.019730997 0.15465001
MA0056.1_MZF1 0.043169020 0.005143543 0.020813319 0.067516503 0.066275258 0.048243312 -0.04758388 0.024988734 0.07235243 0.005218608 0.01948856 0.012385242 0.002283871 0.046608515 -1.946971e-02 0.018550620 0.05927516 -0.0099887530 0.018046868 0.02474473
MA0057.1_MZF1(var.2)-0.012046558 -0.006548824 -0.038311677 0.071768380 0.073498366 0.206747382 -0.06369253 -0.007861454 0.05305304 -0.023704580 0.03581185 -0.027117960 0.019596763 -0.015524691 9.426235e-03 0.009220927 0.01582054 0.0356467673-0.064516525 0.03311147
MA0059.1_MAX::MYC-0.015787019 0.279716115 -0.150114857 0.101505864 -0.038989330 -0.094811918 0.40090114 -0.018070061 -0.14777544 0.046349850 -0.01847779 0.037663298 -0.012841728 -0.011199335 -2.066885e-02 0.025627562 0.15034220 0.0045406819 0.066166860 0.03340522
MA0066.1_PPARG-0.187958929 0.121913976 0.012575795 -0.142084446 -0.065372733 -0.031127239 -0.21616530 0.126454286 -0.08706308 0.108288161 0.03095655 -0.089809777 0.003586521 -0.049970714 3.204046e-02 0.053691540 -0.09360979 -0.0001362711 0.048281223 0.03802346
MA0069.1_Pax6 0.596173015 0.230655335 0.370569217 0.453930383 -0.141180307 0.131632401 0.13235302 0.050229787 0.19545248 0.013564385 0.04373420 0.072504939 0.070016785 0.131576982 -9.746760e-02-0.068999174 -0.07635095 -0.1544655950 0.162426458 0.11849640
MA0070.1_PBX1 0.202754212 0.219980998 -0.031583472 0.165123362 -0.046128855 0.259367846 0.17498791 -0.119071719 0.09171916 0.006070545 -0.19005973 -0.132853464 -0.026653248 -0.308763783 -3.523839e-02-0.081182414 -0.34846124 -0.1676740202-0.150369897 -0.11543671
MA0071.1_RORA-0.266119533 -0.047623220 -0.148584335 -0.174454280 0.073634625 -0.033949740 -0.06371840 0.151473060 -0.06288927 0.085228361 0.17777477 0.013823022 0.032071758 0.001364582 1.368786e-01 0.070271408 0.37209942 0.1294124568 0.079454447 -0.14938865
MA0072.1_RORA(var.2)-0.136783694 0.206965274 -0.004195812 0.100551572 0.083786110 -0.050955098 0.05238094 0.018403549 -0.05504961 -0.170265700 0.19348422 -0.025047325 -0.006816712 0.234636534 5.305329e-02 0.022524760 0.39992460 0.0049094884 0.010510019 -0.01564047
MA0073.1_RREB1-0.007604542 0.040177011 0.014440694 0.021455646 0.121776998 -0.011152097 -0.02650740 -0.058821568 0.03247069 -0.001253444 -0.08304069 -0.018932988 -0.083548654 -0.088336074 -3.136272e-02-0.038228954 -0.07789600 -0.0457038867-0.046970581 -0.07100112
MA0074.1_RXRA::VDR 0.220302974 0.142302171 -0.039667024 -0.295103503 0.094603465 0.188969687 -0.05167839 0.068567549 0.14098733 -0.076874758 0.23314852 0.136126491 0.060952190 -0.036067086 -1.139785e-02 0.051561336 0.16646612 0.1172426665-0.030598785 0.13159648
MA0077.1_SOX9-0.118514672 -0.121884910 -0.193360410 -0.097618038 -0.131818121 -0.016615617 0.37344544 0.142628214 0.02381523 -0.198335318 -0.03767817 -0.091687624 0.036839891 -0.098733305 3.322150e-02 0.036920918 -0.04117830 0.0892446598-0.024967589 0.04638439
MA0081.1_SPIB 0.042329346 -0.060184450 0.001143387 0.028479942 0.078403319 0.048835694 0.12271353 -0.023190267 0.06264291 -0.016980326 0.11238223 0.111059925 0.120802182 0.115758194 9.815750e-02 0.149837277 0.25288784 0.0816969402 0.054179010 0.09655980
MA0084.1_SRY-0.079395161 -0.078939955 -0.199792409 0.078470279 0.086794981 0.009358462 0.02968484 -0.098390154 -0.03192836 -0.166952986 -0.13079862 0.055714174 0.008083941 -0.091123898 4.734624e-02-0.060433096 -0.11885097 0.0500990088 0.126885127 -0.17105376
MA0091.1_TAL1::TCF3 0.208489498 0.137297335 0.171174398 0.210347513 -0.008088996 0.050237538 0.13578840 0.082646684 0.47538998 0.465800355 -0.14601107 -0.189915150 -0.250985855 -0.088928000 -1.740693e-01-0.173615759 -0.31764023 -0.1889441892-0.072286127 -0.14261791
MA0101.1_REL 0.161356263 0.117430960 0.181243180 0.059727312 -0.075915931 0.327814577 0.19549948 -0.007679846 0.05380546 -0.034160523 0.10938595 0.027136830 0.135703176 0.207937948 7.758215e-02 0.035182539 -0.01358166 0.1738854922 0.199881111 0.11578084
MA0107.1_RELA 0.181455552 0.137588969 0.173834197 0.038551329 -0.030032634 0.331739457 0.17023242 0.203539525 0.12956061 -0.001339762 0.05992531 0.043265773 0.148288436 0.219681585 1.973664e-02 0.052150629 -0.06203584 0.1437677410 0.120571957 0.14680822
MA0115.1_NR1H2::RXRA-0.293437760 0.130816388 -0.052926579 0.070867192 0.095861029 0.065616000 -0.16131334 0.036588170 -0.11753108 0.118956228 0.02022375 -0.026663729 0.126443191 0.232336631 9.562221e-02 0.101288777 0.32397082 0.0703086918 0.150743932 0.06993282
MA0119.1_NFIC::TLX1-0.052900581 0.050291624 -0.092244795 -0.122940118 0.018777270 0.030734011 0.03122871 0.101796064 0.13620788 0.050274810 -0.05382939 -0.107461323 -0.032576949 -0.054099073 -6.042293e-02-0.046060535 -0.02838627 -0.0809439643-0.020171724 0.04177152
MA0130.1_ZNF354C 0.005188305 -0.017669018 -0.152085230 -0.134019403 -0.064198980 -0.081284401 -0.17298704 0.035350861 -0.03711607 -0.096713937 -0.05935571 0.041887717 -0.002657542 0.039154796 3.151837e-02-0.020107028 -0.08711687 -0.0061819309-0.098337973 0.05414328
MA0139.1_CTCF-0.084676850 -0.051178499 -0.036005922 -0.086794702 -0.015371909 -0.131243790 0.07822379 -0.136774187 -0.02721801 -0.006423746 -0.13001316 0.003001482 0.011837176 -0.186159223 -4.050256e-02-0.037795075 -0.04942765 -0.0210662435 0.007047290 -0.03570913
MA0149.1_EWSR1-FLI1 0.018444109 0.056793033 0.017475421 -0.020219307 0.064690135 0.063591767 0.08797232 0.042605240 0.02478830 0.012136720 0.01934475 0.022608652 0.028311361 0.023741123 9.850244e-03 0.037097598 0.09179507 0.0352917531 0.034020206 0.00638227
MA0138.2_REST-0.053412908 -0.003550585 -0.049598826 0.059618092 0.100008425 -0.035291966 0.11806179 0.118902199 0.04048403 0.056758893 -0.03646770 0.010847133 -0.055489337 -0.018159366 -7.524059e-02-0.051693139 0.02739262 -0.0236165246 0.005004647 -0.10107793
MA0152.1_NFATC2-0.050171176 -0.047669588 0.025196716 -0.101906084 -0.039622005 0.016395631 0.02904126 -0.126005048 -0.08257423 -0.116799230 -0.10483280 -0.022973182 -0.027936512 0.017534863 -1.449250e-02-0.015293550 -0.05483907 -0.0135917780-0.061295643 -0.01045936
MA0155.1_INSM1-0.004789045 0.015465293 0.008406725 0.003069333 0.076613471 0.032704693 -0.14566923 0.086653734 0.06305523 0.030875857 -0.02481051 -0.003204395 -0.056427787 -0.122835750 -2.789429e-02-0.038054714 0.05954874 -0.0152485958 0.028442210 -0.07864131
MA0158.1_HOXA5-0.134249196 -0.163402723 0.095348713 0.039772960 -0.028645682 0.061609192 -0.32642418 -0.311396777 -0.14929465 -0.148663329 0.05767512 -0.092134545 0.063693809 0.148613670 -9.621540e-02 0.042954827 -0.24200716 0.0107650676 0.096706404 0.13071068
MA0159.1_RARA::RXRA-0.031260293 -0.129116928 -0.117513281 -0.098695468 0.072652296 0.012590067 0.03566136 -0.161875255 -0.04918649 0.102777639 0.17329211 0.005022977 0.024019975 -0.108585558 5.863554e-05 0.020895094 0.17974046 0.0591855849-0.007587204 0.00937093
MA0871.1_TFEC-0.14634132 0.066570106 0.12001946 0.19575259 -0.226321740 0.15442572 0.11629729 -0.066802374 0.035317508 0.220076449 -0.016321029 0.025111198 0.09480519 0.170341794 1.626320e-01 0.178122385 0.203757841 0.088990410 0.07601572 0.172638622
MA0872.1_TFAP2A(var.3) 0.05774085 0.058544027 0.16550958 0.07759289 0.075149048 0.04314611 0.02047263 0.070878086 -0.006015515 0.030459330 0.054579330 0.004217702 -0.01487784 0.142437200 -2.679264e-02-0.044256494 -0.027247165 -0.023691035 0.02192153 -0.027958927
MA0028.2_ELK1-0.15906232 -0.062767553 0.15833186 -0.07365416 0.065308974 -0.05111763 -0.07496890 -0.089762120 -0.005730514 0.038030854 0.032060183 0.071195234 0.01249072 0.024116053 1.064639e-01 0.068349490 0.024416750 0.045532742 0.13277979 0.111847763
MA0873.1_HOXD12-0.12775553 0.008923930 0.35843532 0.13620705 -0.101392536 -0.12342080 0.25066395 -0.229891992 0.246646383 0.008036917 0.061260139 0.014240695 -0.02150113 0.051394784 -7.473627e-02-0.079886650 0.286152440 -0.221184463 -0.24178625 0.189398283
MA0875.1_BARX1 0.14793902 0.709716010 -0.47769764 -0.66274733 -0.124133183 0.14377506 -0.25641188 0.193131229 -0.097622888 0.136350427 -0.007924248 0.104463375 0.17533939 -0.095297295 -4.780962e-06 0.047199080 -0.069593920 0.015014061 0.04666739 -0.252774185
MA0876.1_BSX 0.21465467 -0.111410762 0.03846318 0.34664801 -0.117627840 0.02093102 0.06180829 -0.206250174 0.014056855 -0.128288804 0.043319461 -0.113226000 0.12343328 0.047916446 5.287371e-02 0.077211191 -0.030837194 -0.021315180 0.03776577 0.179663602
MA0878.1_CDX1-0.12072243 -0.014053254 -0.07861139 0.14525289 -0.031064134 -0.02879686 -0.01119621 -0.079742678 -0.069832010 -0.032237257 -0.035483965 -0.035327068 -0.18870704 -0.026005761 -6.307832e-02-0.119610592 -0.084402100 -0.059148939 -0.22935981 0.046997707
MA0882.1_DLX6-0.24248625 -0.169546726 -0.05870034 -0.28879116 -0.394008544 -0.10911349 0.42351944 -0.202972320 -0.057441306 -0.420965973 -0.128890327 0.079550798 0.05306252 0.028733518 -1.251831e-02-0.011272027 0.188056903 -0.041320742 -0.04961374 -0.143202595
MA0884.1_DUXA-0.09672099 -0.113691013 -0.31699465 -0.05530839 0.102764665 0.04121388 -0.28069038 -0.193226492 0.039564810 -0.105209556 -0.059950004 0.016655417 0.13678554 -0.167209078 1.557974e-01 0.116524423 0.142077847 0.038267190 0.02782098 0.312905334
MA0886.1_EMX2 0.01574425 -0.212812007 -0.65410902 -0.02201622 -0.301845911 -0.02866295 -0.31376443 0.279560435 -0.188304295 -0.145177028 -0.113540294 0.002054146 0.13022404 0.337070516 -5.974743e-02-0.089453600 -0.076449419 -0.062498697 -0.03986776 -0.008800940
MA0887.1_EVX1-0.20086354 -0.384424822 -0.33927688 -0.16069463 -0.312114811 -0.08450889 -0.10576369 -0.086231596 -0.268307676 -0.262400520 -0.036013524 0.043848976 0.11044349 -0.016126274 -7.293367e-02-0.093493360 -0.264712384 -0.065748170 0.04210924 -0.015333505
MA0888.1_EVX2-0.02753772 -0.203167081 0.19766941 -0.06102155 0.007846498 -0.15919536 0.18660672 -0.098523748 -0.250388997 -0.361869920 -0.124650160 0.061869559 0.07403763 0.170256435 -6.031878e-02-0.124691393 -0.129809617 -0.109097668 0.04257975 -0.127261186
MA0889.1_GBX1 0.18094484 -0.222844039 -0.16479918 0.02408114 -0.163651689 0.20860643 -0.17456901 -0.018811529 -0.025377272 -0.145076367 0.186476580 0.098686322 0.21036080 0.062226493 -3.086634e-02 0.033364485 -0.127375705 0.013264035 0.11335225 0.131462642
MA0890.1_GBX2 0.23870647 -0.012274636 -0.27307433 -0.27465336 -0.343136027 0.13794594 -0.07133402 -0.209020746 0.052866561 0.246035573 -0.004733438 -0.005108518 0.15891958 -0.043122026 -3.344937e-02 0.053139315 -0.090281113 0.028775147 0.12896070 -0.002879009
MA0891.1_GSC2-0.04862127 -0.076492803 -0.24291285 0.07660482 -0.066545023 -0.08177689 -0.08312721 -0.111216307 0.014770843 0.219691317 -0.161886188 -0.170632733 -0.07016214 -0.214354095 1.739894e-02-0.035529368 -0.008983777 0.006479997 0.30648530 0.111480399
MA0892.1_GSX1 0.06927218 -0.030279312 -0.24971487 0.17163171 -0.099720110 0.12763478 -0.23722973 0.082225525 0.070733897 0.218664380 0.050837142 -0.001945899 0.05355951 -0.050344854 -3.782962e-02 0.066565034 -0.154420988 0.033589418 -0.25545208 -0.071226383
MA0893.1_GSX2 0.14712425 0.053942718 -0.19394819 0.10937240 -0.067397956 0.14269538 -0.08522696 0.012955859 0.029604482 0.161798994 -0.102633445 -0.017679078 0.16953593 0.080179568 -4.975284e-02 0.006083594 -0.013246876 -0.024221534 -0.11985482 -0.050812766
MA0894.1_HESX1 0.40558421 -0.145747512 -0.11599052 0.10493879 -0.229084527 -0.06317528 0.47541916 -0.186641165 -0.041126085 0.094140459 0.045703687 0.078725864 0.08088502 0.022996390 4.026856e-03 0.047115292 -0.096270633 -0.049171920 0.38606935 0.084930973
MA0895.1_HMBOX1-0.22315932 -0.029457783 -0.23033995 0.12921377 -0.346245096 0.05550736 0.11841829 0.217337492 -0.044215205 0.091343663 0.093031584 -0.031663140 -0.12259399 -0.172540260 1.020798e-02 0.091788159 0.004989367 0.020798047 -0.10184126 0.004429796
MA0899.1_HOXA10-0.10818177 0.082986863 -0.08699698 0.17056769 -0.197312622 0.05099599 -0.02551159 -0.011123000 0.004805885 -0.016221400 -0.008333057 -0.040560401 -0.08388539 -0.111314662 -4.249689e-02-0.047333761 0.019586340 -0.021337717 -0.19834757 0.098937271
MA0900.1_HOXA2-0.07604372 -0.214381077 -0.08278743 0.06589026 -0.206770064 -0.25978268 -0.05883062 0.397132511 -0.193871329 -0.244070554 -0.118886610 0.053500945 0.19584846 -0.017725461 -5.107058e-02-0.114169090 -0.033089155 -0.014936717 0.11787636 -0.056507366
MA0901.1_HOXB13 0.13465700 0.074757165 -0.03963025 0.11267993 0.003976515 -0.02594910 -0.19880308 -0.025615610 -0.216429711 -0.064972506 -0.095080224 0.001604744 -0.02106152 -0.035023210 1.568107e-02-0.025621563 0.180161201 -0.060765002 -0.06879943 0.102528596
MA0902.1_HOXB2 0.22237394 -0.249538367 -0.20305096 -0.13952229 -0.250911596 -0.05764741 -0.05833719 0.059544410 -0.029569012 -0.134089990 -0.111706863 0.042019371 0.07367793 0.125409784 -4.836746e-02-0.026967896 -0.278648211 -0.067825862 0.05089708 -0.151738484
MA0903.1_HOXB3 0.28530234 -0.422523578 -0.16921277 -0.08362707 -0.185106775 0.18892226 0.29924900 0.011571377 0.058458496 0.044011330 -0.073189302 -0.026890501 0.05791327 0.093189438 1.555975e-02 0.024565860 -0.181278486 -0.048360870 0.01048187 -0.104324723
MA0905.1_HOXC10-0.12434161 0.126384743 0.13051511 -0.09391866 0.021687461 0.06316643 -0.04998308 -0.122111106 0.157738891 -0.220809695 0.078928344 -0.098363605 -0.10356298 0.007708063 -8.447346e-02-0.091825060 0.240265212 -0.159000346 -0.14469834 -0.071259112
MA0906.1_HOXC12-0.21370628 0.011682790 0.64663600 0.27024233 -0.030073210 -0.13817354 0.30665893 -0.158221935 -0.105520489 0.011246729 0.153530001 -0.012364093 0.06858168 0.133583848 3.243726e-02-0.032438496 -0.008387730 -0.003906886 -0.27523346 0.139909410
MA0907.1_HOXC13-0.29099810 0.145301035 0.12628186 -0.01990421 -0.263548792 -0.12423641 -0.01701355 -0.210204628 -0.014260815 -0.068821878 -0.393609004 0.058372060 -0.01644511 -0.009450912 -6.110267e-02-0.077361660 0.236760406 -0.016906352 -0.14936973 0.058169324
MA0908.1_HOXD11-0.10011570 0.077979312 0.21932721 0.08111124 -0.085794015 -0.02223892 0.27398673 -0.086986790 0.109507097 -0.127090591 0.169820201 -0.007717135 -0.02512356 0.107187362 -2.045897e-02-0.072442160 0.198908553 -0.141408078 -0.09387106 0.019470691
MA0909.1_HOXD13 0.16255879 0.003293621 -0.11790846 -0.01783751 -0.051481491 -0.12011617 -0.22191084 0.007987309 -0.173065257 -0.009109211 -0.039866900 -0.003364388 -0.01562135 -0.024379797 2.638071e-02 0.033390464 0.123331687 -0.022609340 -0.16636040 0.086615687
MA0914.1_ISL2-0.01366004 -0.228779437 0.03874400 0.30708817 -0.175245148 -0.03583749 0.13178858 -0.327207404 0.003580948 0.003301255 0.123926066 0.033454774 -0.02498390 0.109909832 5.962488e-02-0.068120285 -0.072155511 -0.047982981 0.04733289 -0.029793195
\n" ], "text/latex": [ "\\begin{tabular}{r|llllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllllll}\n", " & BM1077-CLP-Frozen-160106-13 & BM1077-CLP-Frozen-160106-14 & BM1077-CLP-Frozen-160106-2 & BM1077-CLP-Frozen-160106-21 & BM1077-CLP-Frozen-160106-27 & BM1077-CLP-Frozen-160106-3 & BM1077-CLP-Frozen-160106-36 & BM1077-CLP-Frozen-160106-42 & BM1077-CLP-Frozen-160106-44 & BM1077-CLP-Frozen-160106-50 & ⋯ & singles-PB1022-mono-160128-81 & singles-PB1022-mono-160128-82 & singles-PB1022-mono-160128-83 & singles-PB1022-mono-160128-84 & singles-PB1022-mono-160128-85 & singles-PB1022-mono-160128-86 & singles-PB1022-mono-160128-89 & singles-PB1022-mono-160128-90 & singles-PB1022-mono-160128-95 & singles-PB1022-mono-160128-96\\\\\n", "\\hline\n", "\tMA0025.1\\_NFIL3 & -0.278286306 & 0.112060407 & -0.062820655 & -0.095424368 & -0.241401268 & -0.146683756 & -0.46696091 & -0.093062738 & 0.02790989 & -0.045261996 & ⋯ & 0.13481378 & 0.145741386 & 0.242564130 & 0.168850335 & 1.563188e-01 & 0.153548082 & -0.01677525 & 0.1003697868 & 0.128253618 & 0.21343678 \\\\\n", "\tMA0030.1\\_FOXF2 & 0.176107788 & -0.041292032 & 0.083806952 & 0.071055290 & -0.026868251 & -0.016681841 & 0.25217463 & 0.322402750 & 0.07806533 & -0.136876382 & ⋯ & -0.06775071 & -0.043569814 & -0.036818578 & 0.077240782 & -7.383318e-02 & -0.038127675 & -0.28104413 & -0.0354117096 & 0.097489307 & 0.02635869 \\\\\n", "\tMA0031.1\\_FOXD1 & 0.137372699 & 0.320349282 & -0.044740691 & 0.265338659 & 0.120922454 & 0.087623809 & 0.15717723 & 0.058841446 & 0.02189066 & -0.018605675 & ⋯ & 0.01972595 & 0.066022231 & 0.130188834 & -0.152699447 & 2.360461e-02 & 0.087566273 & -0.19234792 & 0.0799305100 & -0.043302657 & 0.08417807 \\\\\n", "\tMA0051.1\\_IRF2 & 0.186088512 & 0.087081618 & -0.093170188 & -0.016353638 & 0.080477333 & -0.068178466 & -0.09325282 & 0.035428898 & -0.04332944 & 0.031101308 & ⋯ & -0.04765824 & -0.035892845 & 0.049456672 & -0.018141407 & 1.653424e-01 & 0.103547945 & 0.02895408 & 0.0605930663 & 0.019730997 & 0.15465001 \\\\\n", "\tMA0056.1\\_MZF1 & 0.043169020 & 0.005143543 & 0.020813319 & 0.067516503 & 0.066275258 & 0.048243312 & -0.04758388 & 0.024988734 & 0.07235243 & 0.005218608 & ⋯ & 0.01948856 & 0.012385242 & 0.002283871 & 0.046608515 & -1.946971e-02 & 0.018550620 & 0.05927516 & -0.0099887530 & 0.018046868 & 0.02474473 \\\\\n", "\tMA0057.1\\_MZF1(var.2) & -0.012046558 & -0.006548824 & -0.038311677 & 0.071768380 & 0.073498366 & 0.206747382 & -0.06369253 & -0.007861454 & 0.05305304 & -0.023704580 & ⋯ & 0.03581185 & -0.027117960 & 0.019596763 & -0.015524691 & 9.426235e-03 & 0.009220927 & 0.01582054 & 0.0356467673 & -0.064516525 & 0.03311147 \\\\\n", "\tMA0059.1\\_MAX::MYC & -0.015787019 & 0.279716115 & -0.150114857 & 0.101505864 & -0.038989330 & -0.094811918 & 0.40090114 & -0.018070061 & -0.14777544 & 0.046349850 & ⋯ & -0.01847779 & 0.037663298 & -0.012841728 & -0.011199335 & -2.066885e-02 & 0.025627562 & 0.15034220 & 0.0045406819 & 0.066166860 & 0.03340522 \\\\\n", "\tMA0066.1\\_PPARG & -0.187958929 & 0.121913976 & 0.012575795 & -0.142084446 & -0.065372733 & -0.031127239 & -0.21616530 & 0.126454286 & -0.08706308 & 0.108288161 & ⋯ & 0.03095655 & -0.089809777 & 0.003586521 & -0.049970714 & 3.204046e-02 & 0.053691540 & -0.09360979 & -0.0001362711 & 0.048281223 & 0.03802346 \\\\\n", "\tMA0069.1\\_Pax6 & 0.596173015 & 0.230655335 & 0.370569217 & 0.453930383 & -0.141180307 & 0.131632401 & 0.13235302 & 0.050229787 & 0.19545248 & 0.013564385 & ⋯ & 0.04373420 & 0.072504939 & 0.070016785 & 0.131576982 & -9.746760e-02 & -0.068999174 & -0.07635095 & -0.1544655950 & 0.162426458 & 0.11849640 \\\\\n", "\tMA0070.1\\_PBX1 & 0.202754212 & 0.219980998 & -0.031583472 & 0.165123362 & -0.046128855 & 0.259367846 & 0.17498791 & -0.119071719 & 0.09171916 & 0.006070545 & ⋯ & -0.19005973 & -0.132853464 & -0.026653248 & -0.308763783 & -3.523839e-02 & -0.081182414 & -0.34846124 & -0.1676740202 & -0.150369897 & -0.11543671 \\\\\n", "\tMA0071.1\\_RORA & -0.266119533 & -0.047623220 & -0.148584335 & -0.174454280 & 0.073634625 & -0.033949740 & -0.06371840 & 0.151473060 & -0.06288927 & 0.085228361 & ⋯ & 0.17777477 & 0.013823022 & 0.032071758 & 0.001364582 & 1.368786e-01 & 0.070271408 & 0.37209942 & 0.1294124568 & 0.079454447 & -0.14938865 \\\\\n", "\tMA0072.1\\_RORA(var.2) & -0.136783694 & 0.206965274 & -0.004195812 & 0.100551572 & 0.083786110 & -0.050955098 & 0.05238094 & 0.018403549 & -0.05504961 & -0.170265700 & ⋯ & 0.19348422 & -0.025047325 & -0.006816712 & 0.234636534 & 5.305329e-02 & 0.022524760 & 0.39992460 & 0.0049094884 & 0.010510019 & -0.01564047 \\\\\n", "\tMA0073.1\\_RREB1 & -0.007604542 & 0.040177011 & 0.014440694 & 0.021455646 & 0.121776998 & -0.011152097 & -0.02650740 & -0.058821568 & 0.03247069 & -0.001253444 & ⋯ & -0.08304069 & -0.018932988 & -0.083548654 & -0.088336074 & -3.136272e-02 & -0.038228954 & -0.07789600 & -0.0457038867 & -0.046970581 & -0.07100112 \\\\\n", "\tMA0074.1\\_RXRA::VDR & 0.220302974 & 0.142302171 & -0.039667024 & -0.295103503 & 0.094603465 & 0.188969687 & -0.05167839 & 0.068567549 & 0.14098733 & -0.076874758 & ⋯ & 0.23314852 & 0.136126491 & 0.060952190 & -0.036067086 & -1.139785e-02 & 0.051561336 & 0.16646612 & 0.1172426665 & -0.030598785 & 0.13159648 \\\\\n", "\tMA0077.1\\_SOX9 & -0.118514672 & -0.121884910 & -0.193360410 & -0.097618038 & -0.131818121 & -0.016615617 & 0.37344544 & 0.142628214 & 0.02381523 & -0.198335318 & ⋯ & -0.03767817 & -0.091687624 & 0.036839891 & -0.098733305 & 3.322150e-02 & 0.036920918 & -0.04117830 & 0.0892446598 & -0.024967589 & 0.04638439 \\\\\n", "\tMA0081.1\\_SPIB & 0.042329346 & -0.060184450 & 0.001143387 & 0.028479942 & 0.078403319 & 0.048835694 & 0.12271353 & -0.023190267 & 0.06264291 & -0.016980326 & ⋯ & 0.11238223 & 0.111059925 & 0.120802182 & 0.115758194 & 9.815750e-02 & 0.149837277 & 0.25288784 & 0.0816969402 & 0.054179010 & 0.09655980 \\\\\n", "\tMA0084.1\\_SRY & -0.079395161 & -0.078939955 & -0.199792409 & 0.078470279 & 0.086794981 & 0.009358462 & 0.02968484 & -0.098390154 & -0.03192836 & -0.166952986 & ⋯ & -0.13079862 & 0.055714174 & 0.008083941 & -0.091123898 & 4.734624e-02 & -0.060433096 & -0.11885097 & 0.0500990088 & 0.126885127 & -0.17105376 \\\\\n", "\tMA0091.1\\_TAL1::TCF3 & 0.208489498 & 0.137297335 & 0.171174398 & 0.210347513 & -0.008088996 & 0.050237538 & 0.13578840 & 0.082646684 & 0.47538998 & 0.465800355 & ⋯ & -0.14601107 & -0.189915150 & -0.250985855 & -0.088928000 & -1.740693e-01 & -0.173615759 & -0.31764023 & -0.1889441892 & -0.072286127 & -0.14261791 \\\\\n", "\tMA0101.1\\_REL & 0.161356263 & 0.117430960 & 0.181243180 & 0.059727312 & -0.075915931 & 0.327814577 & 0.19549948 & -0.007679846 & 0.05380546 & -0.034160523 & ⋯ & 0.10938595 & 0.027136830 & 0.135703176 & 0.207937948 & 7.758215e-02 & 0.035182539 & -0.01358166 & 0.1738854922 & 0.199881111 & 0.11578084 \\\\\n", "\tMA0107.1\\_RELA & 0.181455552 & 0.137588969 & 0.173834197 & 0.038551329 & -0.030032634 & 0.331739457 & 0.17023242 & 0.203539525 & 0.12956061 & -0.001339762 & ⋯ & 0.05992531 & 0.043265773 & 0.148288436 & 0.219681585 & 1.973664e-02 & 0.052150629 & -0.06203584 & 0.1437677410 & 0.120571957 & 0.14680822 \\\\\n", "\tMA0115.1\\_NR1H2::RXRA & -0.293437760 & 0.130816388 & -0.052926579 & 0.070867192 & 0.095861029 & 0.065616000 & -0.16131334 & 0.036588170 & -0.11753108 & 0.118956228 & ⋯ & 0.02022375 & -0.026663729 & 0.126443191 & 0.232336631 & 9.562221e-02 & 0.101288777 & 0.32397082 & 0.0703086918 & 0.150743932 & 0.06993282 \\\\\n", "\tMA0119.1\\_NFIC::TLX1 & -0.052900581 & 0.050291624 & -0.092244795 & -0.122940118 & 0.018777270 & 0.030734011 & 0.03122871 & 0.101796064 & 0.13620788 & 0.050274810 & ⋯ & -0.05382939 & -0.107461323 & -0.032576949 & -0.054099073 & -6.042293e-02 & -0.046060535 & -0.02838627 & -0.0809439643 & -0.020171724 & 0.04177152 \\\\\n", "\tMA0130.1\\_ZNF354C & 0.005188305 & -0.017669018 & -0.152085230 & -0.134019403 & -0.064198980 & -0.081284401 & -0.17298704 & 0.035350861 & -0.03711607 & -0.096713937 & ⋯ & -0.05935571 & 0.041887717 & -0.002657542 & 0.039154796 & 3.151837e-02 & -0.020107028 & -0.08711687 & -0.0061819309 & -0.098337973 & 0.05414328 \\\\\n", "\tMA0139.1\\_CTCF & -0.084676850 & -0.051178499 & -0.036005922 & -0.086794702 & -0.015371909 & -0.131243790 & 0.07822379 & -0.136774187 & -0.02721801 & -0.006423746 & ⋯ & -0.13001316 & 0.003001482 & 0.011837176 & -0.186159223 & -4.050256e-02 & -0.037795075 & -0.04942765 & -0.0210662435 & 0.007047290 & -0.03570913 \\\\\n", "\tMA0149.1\\_EWSR1-FLI1 & 0.018444109 & 0.056793033 & 0.017475421 & -0.020219307 & 0.064690135 & 0.063591767 & 0.08797232 & 0.042605240 & 0.02478830 & 0.012136720 & ⋯ & 0.01934475 & 0.022608652 & 0.028311361 & 0.023741123 & 9.850244e-03 & 0.037097598 & 0.09179507 & 0.0352917531 & 0.034020206 & 0.00638227 \\\\\n", "\tMA0138.2\\_REST & -0.053412908 & -0.003550585 & -0.049598826 & 0.059618092 & 0.100008425 & -0.035291966 & 0.11806179 & 0.118902199 & 0.04048403 & 0.056758893 & ⋯ & -0.03646770 & 0.010847133 & -0.055489337 & -0.018159366 & -7.524059e-02 & -0.051693139 & 0.02739262 & -0.0236165246 & 0.005004647 & -0.10107793 \\\\\n", "\tMA0152.1\\_NFATC2 & -0.050171176 & -0.047669588 & 0.025196716 & -0.101906084 & -0.039622005 & 0.016395631 & 0.02904126 & -0.126005048 & -0.08257423 & -0.116799230 & ⋯ & -0.10483280 & -0.022973182 & -0.027936512 & 0.017534863 & -1.449250e-02 & -0.015293550 & -0.05483907 & -0.0135917780 & -0.061295643 & -0.01045936 \\\\\n", "\tMA0155.1\\_INSM1 & -0.004789045 & 0.015465293 & 0.008406725 & 0.003069333 & 0.076613471 & 0.032704693 & -0.14566923 & 0.086653734 & 0.06305523 & 0.030875857 & ⋯ & -0.02481051 & -0.003204395 & -0.056427787 & -0.122835750 & -2.789429e-02 & -0.038054714 & 0.05954874 & -0.0152485958 & 0.028442210 & -0.07864131 \\\\\n", "\tMA0158.1\\_HOXA5 & -0.134249196 & -0.163402723 & 0.095348713 & 0.039772960 & -0.028645682 & 0.061609192 & -0.32642418 & -0.311396777 & -0.14929465 & -0.148663329 & ⋯ & 0.05767512 & -0.092134545 & 0.063693809 & 0.148613670 & -9.621540e-02 & 0.042954827 & -0.24200716 & 0.0107650676 & 0.096706404 & 0.13071068 \\\\\n", "\tMA0159.1\\_RARA::RXRA & -0.031260293 & -0.129116928 & -0.117513281 & -0.098695468 & 0.072652296 & 0.012590067 & 0.03566136 & -0.161875255 & -0.04918649 & 0.102777639 & ⋯ & 0.17329211 & 0.005022977 & 0.024019975 & -0.108585558 & 5.863554e-05 & 0.020895094 & 0.17974046 & 0.0591855849 & -0.007587204 & 0.00937093 \\\\\n", "\t⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋱ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮ & ⋮\\\\\n", "\tMA0871.1\\_TFEC & -0.14634132 & 0.066570106 & 0.12001946 & 0.19575259 & -0.226321740 & 0.15442572 & 0.11629729 & -0.066802374 & 0.035317508 & 0.220076449 & ⋯ & -0.016321029 & 0.025111198 & 0.09480519 & 0.170341794 & 1.626320e-01 & 0.178122385 & 0.203757841 & 0.088990410 & 0.07601572 & 0.172638622 \\\\\n", "\tMA0872.1\\_TFAP2A(var.3) & 0.05774085 & 0.058544027 & 0.16550958 & 0.07759289 & 0.075149048 & 0.04314611 & 0.02047263 & 0.070878086 & -0.006015515 & 0.030459330 & ⋯ & 0.054579330 & 0.004217702 & -0.01487784 & 0.142437200 & -2.679264e-02 & -0.044256494 & -0.027247165 & -0.023691035 & 0.02192153 & -0.027958927 \\\\\n", "\tMA0028.2\\_ELK1 & -0.15906232 & -0.062767553 & 0.15833186 & -0.07365416 & 0.065308974 & -0.05111763 & -0.07496890 & -0.089762120 & -0.005730514 & 0.038030854 & ⋯ & 0.032060183 & 0.071195234 & 0.01249072 & 0.024116053 & 1.064639e-01 & 0.068349490 & 0.024416750 & 0.045532742 & 0.13277979 & 0.111847763 \\\\\n", "\tMA0873.1\\_HOXD12 & -0.12775553 & 0.008923930 & 0.35843532 & 0.13620705 & -0.101392536 & -0.12342080 & 0.25066395 & -0.229891992 & 0.246646383 & 0.008036917 & ⋯ & 0.061260139 & 0.014240695 & -0.02150113 & 0.051394784 & -7.473627e-02 & -0.079886650 & 0.286152440 & -0.221184463 & -0.24178625 & 0.189398283 \\\\\n", "\tMA0875.1\\_BARX1 & 0.14793902 & 0.709716010 & -0.47769764 & -0.66274733 & -0.124133183 & 0.14377506 & -0.25641188 & 0.193131229 & -0.097622888 & 0.136350427 & ⋯ & -0.007924248 & 0.104463375 & 0.17533939 & -0.095297295 & -4.780962e-06 & 0.047199080 & -0.069593920 & 0.015014061 & 0.04666739 & -0.252774185 \\\\\n", "\tMA0876.1\\_BSX & 0.21465467 & -0.111410762 & 0.03846318 & 0.34664801 & -0.117627840 & 0.02093102 & 0.06180829 & -0.206250174 & 0.014056855 & -0.128288804 & ⋯ & 0.043319461 & -0.113226000 & 0.12343328 & 0.047916446 & 5.287371e-02 & 0.077211191 & -0.030837194 & -0.021315180 & 0.03776577 & 0.179663602 \\\\\n", "\tMA0878.1\\_CDX1 & -0.12072243 & -0.014053254 & -0.07861139 & 0.14525289 & -0.031064134 & -0.02879686 & -0.01119621 & -0.079742678 & -0.069832010 & -0.032237257 & ⋯ & -0.035483965 & -0.035327068 & -0.18870704 & -0.026005761 & -6.307832e-02 & -0.119610592 & -0.084402100 & -0.059148939 & -0.22935981 & 0.046997707 \\\\\n", "\tMA0882.1\\_DLX6 & -0.24248625 & -0.169546726 & -0.05870034 & -0.28879116 & -0.394008544 & -0.10911349 & 0.42351944 & -0.202972320 & -0.057441306 & -0.420965973 & ⋯ & -0.128890327 & 0.079550798 & 0.05306252 & 0.028733518 & -1.251831e-02 & -0.011272027 & 0.188056903 & -0.041320742 & -0.04961374 & -0.143202595 \\\\\n", "\tMA0884.1\\_DUXA & -0.09672099 & -0.113691013 & -0.31699465 & -0.05530839 & 0.102764665 & 0.04121388 & -0.28069038 & -0.193226492 & 0.039564810 & -0.105209556 & ⋯ & -0.059950004 & 0.016655417 & 0.13678554 & -0.167209078 & 1.557974e-01 & 0.116524423 & 0.142077847 & 0.038267190 & 0.02782098 & 0.312905334 \\\\\n", "\tMA0886.1\\_EMX2 & 0.01574425 & -0.212812007 & -0.65410902 & -0.02201622 & -0.301845911 & -0.02866295 & -0.31376443 & 0.279560435 & -0.188304295 & -0.145177028 & ⋯ & -0.113540294 & 0.002054146 & 0.13022404 & 0.337070516 & -5.974743e-02 & -0.089453600 & -0.076449419 & -0.062498697 & -0.03986776 & -0.008800940 \\\\\n", "\tMA0887.1\\_EVX1 & -0.20086354 & -0.384424822 & -0.33927688 & -0.16069463 & -0.312114811 & -0.08450889 & -0.10576369 & -0.086231596 & -0.268307676 & -0.262400520 & ⋯ & -0.036013524 & 0.043848976 & 0.11044349 & -0.016126274 & -7.293367e-02 & -0.093493360 & -0.264712384 & -0.065748170 & 0.04210924 & -0.015333505 \\\\\n", "\tMA0888.1\\_EVX2 & -0.02753772 & -0.203167081 & 0.19766941 & -0.06102155 & 0.007846498 & -0.15919536 & 0.18660672 & -0.098523748 & -0.250388997 & -0.361869920 & ⋯ & -0.124650160 & 0.061869559 & 0.07403763 & 0.170256435 & -6.031878e-02 & -0.124691393 & -0.129809617 & -0.109097668 & 0.04257975 & -0.127261186 \\\\\n", "\tMA0889.1\\_GBX1 & 0.18094484 & -0.222844039 & -0.16479918 & 0.02408114 & -0.163651689 & 0.20860643 & -0.17456901 & -0.018811529 & -0.025377272 & -0.145076367 & ⋯ & 0.186476580 & 0.098686322 & 0.21036080 & 0.062226493 & -3.086634e-02 & 0.033364485 & -0.127375705 & 0.013264035 & 0.11335225 & 0.131462642 \\\\\n", "\tMA0890.1\\_GBX2 & 0.23870647 & -0.012274636 & -0.27307433 & -0.27465336 & -0.343136027 & 0.13794594 & -0.07133402 & -0.209020746 & 0.052866561 & 0.246035573 & ⋯ & -0.004733438 & -0.005108518 & 0.15891958 & -0.043122026 & -3.344937e-02 & 0.053139315 & -0.090281113 & 0.028775147 & 0.12896070 & -0.002879009 \\\\\n", "\tMA0891.1\\_GSC2 & -0.04862127 & -0.076492803 & -0.24291285 & 0.07660482 & -0.066545023 & -0.08177689 & -0.08312721 & -0.111216307 & 0.014770843 & 0.219691317 & ⋯ & -0.161886188 & -0.170632733 & -0.07016214 & -0.214354095 & 1.739894e-02 & -0.035529368 & -0.008983777 & 0.006479997 & 0.30648530 & 0.111480399 \\\\\n", "\tMA0892.1\\_GSX1 & 0.06927218 & -0.030279312 & -0.24971487 & 0.17163171 & -0.099720110 & 0.12763478 & -0.23722973 & 0.082225525 & 0.070733897 & 0.218664380 & ⋯ & 0.050837142 & -0.001945899 & 0.05355951 & -0.050344854 & -3.782962e-02 & 0.066565034 & -0.154420988 & 0.033589418 & -0.25545208 & -0.071226383 \\\\\n", "\tMA0893.1\\_GSX2 & 0.14712425 & 0.053942718 & -0.19394819 & 0.10937240 & -0.067397956 & 0.14269538 & -0.08522696 & 0.012955859 & 0.029604482 & 0.161798994 & ⋯ & -0.102633445 & -0.017679078 & 0.16953593 & 0.080179568 & -4.975284e-02 & 0.006083594 & -0.013246876 & -0.024221534 & -0.11985482 & -0.050812766 \\\\\n", "\tMA0894.1\\_HESX1 & 0.40558421 & -0.145747512 & -0.11599052 & 0.10493879 & -0.229084527 & -0.06317528 & 0.47541916 & -0.186641165 & -0.041126085 & 0.094140459 & ⋯ & 0.045703687 & 0.078725864 & 0.08088502 & 0.022996390 & 4.026856e-03 & 0.047115292 & -0.096270633 & -0.049171920 & 0.38606935 & 0.084930973 \\\\\n", "\tMA0895.1\\_HMBOX1 & -0.22315932 & -0.029457783 & -0.23033995 & 0.12921377 & -0.346245096 & 0.05550736 & 0.11841829 & 0.217337492 & -0.044215205 & 0.091343663 & ⋯ & 0.093031584 & -0.031663140 & -0.12259399 & -0.172540260 & 1.020798e-02 & 0.091788159 & 0.004989367 & 0.020798047 & -0.10184126 & 0.004429796 \\\\\n", "\tMA0899.1\\_HOXA10 & -0.10818177 & 0.082986863 & -0.08699698 & 0.17056769 & -0.197312622 & 0.05099599 & -0.02551159 & -0.011123000 & 0.004805885 & -0.016221400 & ⋯ & -0.008333057 & -0.040560401 & -0.08388539 & -0.111314662 & -4.249689e-02 & -0.047333761 & 0.019586340 & -0.021337717 & -0.19834757 & 0.098937271 \\\\\n", "\tMA0900.1\\_HOXA2 & -0.07604372 & -0.214381077 & -0.08278743 & 0.06589026 & -0.206770064 & -0.25978268 & -0.05883062 & 0.397132511 & -0.193871329 & -0.244070554 & ⋯ & -0.118886610 & 0.053500945 & 0.19584846 & -0.017725461 & -5.107058e-02 & -0.114169090 & -0.033089155 & -0.014936717 & 0.11787636 & -0.056507366 \\\\\n", "\tMA0901.1\\_HOXB13 & 0.13465700 & 0.074757165 & -0.03963025 & 0.11267993 & 0.003976515 & -0.02594910 & -0.19880308 & -0.025615610 & -0.216429711 & -0.064972506 & ⋯ & -0.095080224 & 0.001604744 & -0.02106152 & -0.035023210 & 1.568107e-02 & -0.025621563 & 0.180161201 & -0.060765002 & -0.06879943 & 0.102528596 \\\\\n", "\tMA0902.1\\_HOXB2 & 0.22237394 & -0.249538367 & -0.20305096 & -0.13952229 & -0.250911596 & -0.05764741 & -0.05833719 & 0.059544410 & -0.029569012 & -0.134089990 & ⋯ & -0.111706863 & 0.042019371 & 0.07367793 & 0.125409784 & -4.836746e-02 & -0.026967896 & -0.278648211 & -0.067825862 & 0.05089708 & -0.151738484 \\\\\n", "\tMA0903.1\\_HOXB3 & 0.28530234 & -0.422523578 & -0.16921277 & -0.08362707 & -0.185106775 & 0.18892226 & 0.29924900 & 0.011571377 & 0.058458496 & 0.044011330 & ⋯ & -0.073189302 & -0.026890501 & 0.05791327 & 0.093189438 & 1.555975e-02 & 0.024565860 & -0.181278486 & -0.048360870 & 0.01048187 & -0.104324723 \\\\\n", "\tMA0905.1\\_HOXC10 & -0.12434161 & 0.126384743 & 0.13051511 & -0.09391866 & 0.021687461 & 0.06316643 & -0.04998308 & -0.122111106 & 0.157738891 & -0.220809695 & ⋯ & 0.078928344 & -0.098363605 & -0.10356298 & 0.007708063 & -8.447346e-02 & -0.091825060 & 0.240265212 & -0.159000346 & -0.14469834 & -0.071259112 \\\\\n", "\tMA0906.1\\_HOXC12 & -0.21370628 & 0.011682790 & 0.64663600 & 0.27024233 & -0.030073210 & -0.13817354 & 0.30665893 & -0.158221935 & -0.105520489 & 0.011246729 & ⋯ & 0.153530001 & -0.012364093 & 0.06858168 & 0.133583848 & 3.243726e-02 & -0.032438496 & -0.008387730 & -0.003906886 & -0.27523346 & 0.139909410 \\\\\n", "\tMA0907.1\\_HOXC13 & -0.29099810 & 0.145301035 & 0.12628186 & -0.01990421 & -0.263548792 & -0.12423641 & -0.01701355 & -0.210204628 & -0.014260815 & -0.068821878 & ⋯ & -0.393609004 & 0.058372060 & -0.01644511 & -0.009450912 & -6.110267e-02 & -0.077361660 & 0.236760406 & -0.016906352 & -0.14936973 & 0.058169324 \\\\\n", "\tMA0908.1\\_HOXD11 & -0.10011570 & 0.077979312 & 0.21932721 & 0.08111124 & -0.085794015 & -0.02223892 & 0.27398673 & -0.086986790 & 0.109507097 & -0.127090591 & ⋯ & 0.169820201 & -0.007717135 & -0.02512356 & 0.107187362 & -2.045897e-02 & -0.072442160 & 0.198908553 & -0.141408078 & -0.09387106 & 0.019470691 \\\\\n", "\tMA0909.1\\_HOXD13 & 0.16255879 & 0.003293621 & -0.11790846 & -0.01783751 & -0.051481491 & -0.12011617 & -0.22191084 & 0.007987309 & -0.173065257 & -0.009109211 & ⋯ & -0.039866900 & -0.003364388 & -0.01562135 & -0.024379797 & 2.638071e-02 & 0.033390464 & 0.123331687 & -0.022609340 & -0.16636040 & 0.086615687 \\\\\n", "\tMA0914.1\\_ISL2 & -0.01366004 & -0.228779437 & 0.03874400 & 0.30708817 & -0.175245148 & -0.03583749 & 0.13178858 & -0.327207404 & 0.003580948 & 0.003301255 & ⋯ & 0.123926066 & 0.033454774 & -0.02498390 & 0.109909832 & 5.962488e-02 & -0.068120285 & -0.072155511 & -0.047982981 & 0.04733289 & -0.029793195 \\\\\n", "\\end{tabular}\n" ], "text/markdown": [ "\n", "| | BM1077-CLP-Frozen-160106-13 | BM1077-CLP-Frozen-160106-14 | BM1077-CLP-Frozen-160106-2 | BM1077-CLP-Frozen-160106-21 | BM1077-CLP-Frozen-160106-27 | BM1077-CLP-Frozen-160106-3 | BM1077-CLP-Frozen-160106-36 | BM1077-CLP-Frozen-160106-42 | BM1077-CLP-Frozen-160106-44 | BM1077-CLP-Frozen-160106-50 | ⋯ | singles-PB1022-mono-160128-81 | singles-PB1022-mono-160128-82 | singles-PB1022-mono-160128-83 | singles-PB1022-mono-160128-84 | singles-PB1022-mono-160128-85 | singles-PB1022-mono-160128-86 | singles-PB1022-mono-160128-89 | singles-PB1022-mono-160128-90 | singles-PB1022-mono-160128-95 | singles-PB1022-mono-160128-96 |\n", "|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|\n", "| MA0025.1_NFIL3 | -0.278286306 | 0.112060407 | -0.062820655 | -0.095424368 | -0.241401268 | -0.146683756 | -0.46696091 | -0.093062738 | 0.02790989 | -0.045261996 | ⋯ | 0.13481378 | 0.145741386 | 0.242564130 | 0.168850335 | 1.563188e-01 | 0.153548082 | -0.01677525 | 0.1003697868 | 0.128253618 | 0.21343678 |\n", "| MA0030.1_FOXF2 | 0.176107788 | -0.041292032 | 0.083806952 | 0.071055290 | -0.026868251 | -0.016681841 | 0.25217463 | 0.322402750 | 0.07806533 | -0.136876382 | ⋯ | -0.06775071 | -0.043569814 | -0.036818578 | 0.077240782 | -7.383318e-02 | -0.038127675 | -0.28104413 | -0.0354117096 | 0.097489307 | 0.02635869 |\n", "| MA0031.1_FOXD1 | 0.137372699 | 0.320349282 | -0.044740691 | 0.265338659 | 0.120922454 | 0.087623809 | 0.15717723 | 0.058841446 | 0.02189066 | -0.018605675 | ⋯ | 0.01972595 | 0.066022231 | 0.130188834 | -0.152699447 | 2.360461e-02 | 0.087566273 | -0.19234792 | 0.0799305100 | -0.043302657 | 0.08417807 |\n", "| MA0051.1_IRF2 | 0.186088512 | 0.087081618 | -0.093170188 | -0.016353638 | 0.080477333 | -0.068178466 | -0.09325282 | 0.035428898 | -0.04332944 | 0.031101308 | ⋯ | -0.04765824 | -0.035892845 | 0.049456672 | -0.018141407 | 1.653424e-01 | 0.103547945 | 0.02895408 | 0.0605930663 | 0.019730997 | 0.15465001 |\n", "| MA0056.1_MZF1 | 0.043169020 | 0.005143543 | 0.020813319 | 0.067516503 | 0.066275258 | 0.048243312 | -0.04758388 | 0.024988734 | 0.07235243 | 0.005218608 | ⋯ | 0.01948856 | 0.012385242 | 0.002283871 | 0.046608515 | -1.946971e-02 | 0.018550620 | 0.05927516 | -0.0099887530 | 0.018046868 | 0.02474473 |\n", "| MA0057.1_MZF1(var.2) | -0.012046558 | -0.006548824 | -0.038311677 | 0.071768380 | 0.073498366 | 0.206747382 | -0.06369253 | -0.007861454 | 0.05305304 | -0.023704580 | ⋯ | 0.03581185 | -0.027117960 | 0.019596763 | -0.015524691 | 9.426235e-03 | 0.009220927 | 0.01582054 | 0.0356467673 | -0.064516525 | 0.03311147 |\n", "| MA0059.1_MAX::MYC | -0.015787019 | 0.279716115 | -0.150114857 | 0.101505864 | -0.038989330 | -0.094811918 | 0.40090114 | -0.018070061 | -0.14777544 | 0.046349850 | ⋯ | -0.01847779 | 0.037663298 | -0.012841728 | -0.011199335 | -2.066885e-02 | 0.025627562 | 0.15034220 | 0.0045406819 | 0.066166860 | 0.03340522 |\n", "| MA0066.1_PPARG | -0.187958929 | 0.121913976 | 0.012575795 | -0.142084446 | -0.065372733 | -0.031127239 | -0.21616530 | 0.126454286 | -0.08706308 | 0.108288161 | ⋯ | 0.03095655 | -0.089809777 | 0.003586521 | -0.049970714 | 3.204046e-02 | 0.053691540 | -0.09360979 | -0.0001362711 | 0.048281223 | 0.03802346 |\n", "| MA0069.1_Pax6 | 0.596173015 | 0.230655335 | 0.370569217 | 0.453930383 | -0.141180307 | 0.131632401 | 0.13235302 | 0.050229787 | 0.19545248 | 0.013564385 | ⋯ | 0.04373420 | 0.072504939 | 0.070016785 | 0.131576982 | -9.746760e-02 | -0.068999174 | -0.07635095 | -0.1544655950 | 0.162426458 | 0.11849640 |\n", "| MA0070.1_PBX1 | 0.202754212 | 0.219980998 | -0.031583472 | 0.165123362 | -0.046128855 | 0.259367846 | 0.17498791 | -0.119071719 | 0.09171916 | 0.006070545 | ⋯ | -0.19005973 | -0.132853464 | -0.026653248 | -0.308763783 | -3.523839e-02 | -0.081182414 | -0.34846124 | -0.1676740202 | -0.150369897 | -0.11543671 |\n", "| MA0071.1_RORA | -0.266119533 | -0.047623220 | -0.148584335 | -0.174454280 | 0.073634625 | -0.033949740 | -0.06371840 | 0.151473060 | -0.06288927 | 0.085228361 | ⋯ | 0.17777477 | 0.013823022 | 0.032071758 | 0.001364582 | 1.368786e-01 | 0.070271408 | 0.37209942 | 0.1294124568 | 0.079454447 | -0.14938865 |\n", "| MA0072.1_RORA(var.2) | -0.136783694 | 0.206965274 | -0.004195812 | 0.100551572 | 0.083786110 | -0.050955098 | 0.05238094 | 0.018403549 | -0.05504961 | -0.170265700 | ⋯ | 0.19348422 | -0.025047325 | -0.006816712 | 0.234636534 | 5.305329e-02 | 0.022524760 | 0.39992460 | 0.0049094884 | 0.010510019 | -0.01564047 |\n", "| MA0073.1_RREB1 | -0.007604542 | 0.040177011 | 0.014440694 | 0.021455646 | 0.121776998 | -0.011152097 | -0.02650740 | -0.058821568 | 0.03247069 | -0.001253444 | ⋯ | -0.08304069 | -0.018932988 | -0.083548654 | -0.088336074 | -3.136272e-02 | -0.038228954 | -0.07789600 | -0.0457038867 | -0.046970581 | -0.07100112 |\n", "| MA0074.1_RXRA::VDR | 0.220302974 | 0.142302171 | -0.039667024 | -0.295103503 | 0.094603465 | 0.188969687 | -0.05167839 | 0.068567549 | 0.14098733 | -0.076874758 | ⋯ | 0.23314852 | 0.136126491 | 0.060952190 | -0.036067086 | -1.139785e-02 | 0.051561336 | 0.16646612 | 0.1172426665 | -0.030598785 | 0.13159648 |\n", "| MA0077.1_SOX9 | -0.118514672 | -0.121884910 | -0.193360410 | -0.097618038 | -0.131818121 | -0.016615617 | 0.37344544 | 0.142628214 | 0.02381523 | -0.198335318 | ⋯ | -0.03767817 | -0.091687624 | 0.036839891 | -0.098733305 | 3.322150e-02 | 0.036920918 | -0.04117830 | 0.0892446598 | -0.024967589 | 0.04638439 |\n", "| MA0081.1_SPIB | 0.042329346 | -0.060184450 | 0.001143387 | 0.028479942 | 0.078403319 | 0.048835694 | 0.12271353 | -0.023190267 | 0.06264291 | -0.016980326 | ⋯ | 0.11238223 | 0.111059925 | 0.120802182 | 0.115758194 | 9.815750e-02 | 0.149837277 | 0.25288784 | 0.0816969402 | 0.054179010 | 0.09655980 |\n", "| MA0084.1_SRY | -0.079395161 | -0.078939955 | -0.199792409 | 0.078470279 | 0.086794981 | 0.009358462 | 0.02968484 | -0.098390154 | -0.03192836 | -0.166952986 | ⋯ | -0.13079862 | 0.055714174 | 0.008083941 | -0.091123898 | 4.734624e-02 | -0.060433096 | -0.11885097 | 0.0500990088 | 0.126885127 | -0.17105376 |\n", "| MA0091.1_TAL1::TCF3 | 0.208489498 | 0.137297335 | 0.171174398 | 0.210347513 | -0.008088996 | 0.050237538 | 0.13578840 | 0.082646684 | 0.47538998 | 0.465800355 | ⋯ | -0.14601107 | -0.189915150 | -0.250985855 | -0.088928000 | -1.740693e-01 | -0.173615759 | -0.31764023 | -0.1889441892 | -0.072286127 | -0.14261791 |\n", "| MA0101.1_REL | 0.161356263 | 0.117430960 | 0.181243180 | 0.059727312 | -0.075915931 | 0.327814577 | 0.19549948 | -0.007679846 | 0.05380546 | -0.034160523 | ⋯ | 0.10938595 | 0.027136830 | 0.135703176 | 0.207937948 | 7.758215e-02 | 0.035182539 | -0.01358166 | 0.1738854922 | 0.199881111 | 0.11578084 |\n", "| MA0107.1_RELA | 0.181455552 | 0.137588969 | 0.173834197 | 0.038551329 | -0.030032634 | 0.331739457 | 0.17023242 | 0.203539525 | 0.12956061 | -0.001339762 | ⋯ | 0.05992531 | 0.043265773 | 0.148288436 | 0.219681585 | 1.973664e-02 | 0.052150629 | -0.06203584 | 0.1437677410 | 0.120571957 | 0.14680822 |\n", "| MA0115.1_NR1H2::RXRA | -0.293437760 | 0.130816388 | -0.052926579 | 0.070867192 | 0.095861029 | 0.065616000 | -0.16131334 | 0.036588170 | -0.11753108 | 0.118956228 | ⋯ | 0.02022375 | -0.026663729 | 0.126443191 | 0.232336631 | 9.562221e-02 | 0.101288777 | 0.32397082 | 0.0703086918 | 0.150743932 | 0.06993282 |\n", "| MA0119.1_NFIC::TLX1 | -0.052900581 | 0.050291624 | -0.092244795 | -0.122940118 | 0.018777270 | 0.030734011 | 0.03122871 | 0.101796064 | 0.13620788 | 0.050274810 | ⋯ | -0.05382939 | -0.107461323 | -0.032576949 | -0.054099073 | -6.042293e-02 | -0.046060535 | -0.02838627 | -0.0809439643 | -0.020171724 | 0.04177152 |\n", "| MA0130.1_ZNF354C | 0.005188305 | -0.017669018 | -0.152085230 | -0.134019403 | -0.064198980 | -0.081284401 | -0.17298704 | 0.035350861 | -0.03711607 | -0.096713937 | ⋯ | -0.05935571 | 0.041887717 | -0.002657542 | 0.039154796 | 3.151837e-02 | -0.020107028 | -0.08711687 | -0.0061819309 | -0.098337973 | 0.05414328 |\n", "| MA0139.1_CTCF | -0.084676850 | -0.051178499 | -0.036005922 | -0.086794702 | -0.015371909 | -0.131243790 | 0.07822379 | -0.136774187 | -0.02721801 | -0.006423746 | ⋯ | -0.13001316 | 0.003001482 | 0.011837176 | -0.186159223 | -4.050256e-02 | -0.037795075 | -0.04942765 | -0.0210662435 | 0.007047290 | -0.03570913 |\n", "| MA0149.1_EWSR1-FLI1 | 0.018444109 | 0.056793033 | 0.017475421 | -0.020219307 | 0.064690135 | 0.063591767 | 0.08797232 | 0.042605240 | 0.02478830 | 0.012136720 | ⋯ | 0.01934475 | 0.022608652 | 0.028311361 | 0.023741123 | 9.850244e-03 | 0.037097598 | 0.09179507 | 0.0352917531 | 0.034020206 | 0.00638227 |\n", "| MA0138.2_REST | -0.053412908 | -0.003550585 | -0.049598826 | 0.059618092 | 0.100008425 | -0.035291966 | 0.11806179 | 0.118902199 | 0.04048403 | 0.056758893 | ⋯ | -0.03646770 | 0.010847133 | -0.055489337 | -0.018159366 | -7.524059e-02 | -0.051693139 | 0.02739262 | -0.0236165246 | 0.005004647 | -0.10107793 |\n", "| MA0152.1_NFATC2 | -0.050171176 | -0.047669588 | 0.025196716 | -0.101906084 | -0.039622005 | 0.016395631 | 0.02904126 | -0.126005048 | -0.08257423 | -0.116799230 | ⋯ | -0.10483280 | -0.022973182 | -0.027936512 | 0.017534863 | -1.449250e-02 | -0.015293550 | -0.05483907 | -0.0135917780 | -0.061295643 | -0.01045936 |\n", "| MA0155.1_INSM1 | -0.004789045 | 0.015465293 | 0.008406725 | 0.003069333 | 0.076613471 | 0.032704693 | -0.14566923 | 0.086653734 | 0.06305523 | 0.030875857 | ⋯ | -0.02481051 | -0.003204395 | -0.056427787 | -0.122835750 | -2.789429e-02 | -0.038054714 | 0.05954874 | -0.0152485958 | 0.028442210 | -0.07864131 |\n", "| MA0158.1_HOXA5 | -0.134249196 | -0.163402723 | 0.095348713 | 0.039772960 | -0.028645682 | 0.061609192 | -0.32642418 | -0.311396777 | -0.14929465 | -0.148663329 | ⋯ | 0.05767512 | -0.092134545 | 0.063693809 | 0.148613670 | -9.621540e-02 | 0.042954827 | -0.24200716 | 0.0107650676 | 0.096706404 | 0.13071068 |\n", "| MA0159.1_RARA::RXRA | -0.031260293 | -0.129116928 | -0.117513281 | -0.098695468 | 0.072652296 | 0.012590067 | 0.03566136 | -0.161875255 | -0.04918649 | 0.102777639 | ⋯ | 0.17329211 | 0.005022977 | 0.024019975 | -0.108585558 | 5.863554e-05 | 0.020895094 | 0.17974046 | 0.0591855849 | -0.007587204 | 0.00937093 |\n", "| ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋱ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ | ⋮ |\n", "| MA0871.1_TFEC | -0.14634132 | 0.066570106 | 0.12001946 | 0.19575259 | -0.226321740 | 0.15442572 | 0.11629729 | -0.066802374 | 0.035317508 | 0.220076449 | ⋯ | -0.016321029 | 0.025111198 | 0.09480519 | 0.170341794 | 1.626320e-01 | 0.178122385 | 0.203757841 | 0.088990410 | 0.07601572 | 0.172638622 |\n", "| MA0872.1_TFAP2A(var.3) | 0.05774085 | 0.058544027 | 0.16550958 | 0.07759289 | 0.075149048 | 0.04314611 | 0.02047263 | 0.070878086 | -0.006015515 | 0.030459330 | ⋯ | 0.054579330 | 0.004217702 | -0.01487784 | 0.142437200 | -2.679264e-02 | -0.044256494 | -0.027247165 | -0.023691035 | 0.02192153 | -0.027958927 |\n", "| MA0028.2_ELK1 | -0.15906232 | -0.062767553 | 0.15833186 | -0.07365416 | 0.065308974 | -0.05111763 | -0.07496890 | -0.089762120 | -0.005730514 | 0.038030854 | ⋯ | 0.032060183 | 0.071195234 | 0.01249072 | 0.024116053 | 1.064639e-01 | 0.068349490 | 0.024416750 | 0.045532742 | 0.13277979 | 0.111847763 |\n", "| MA0873.1_HOXD12 | -0.12775553 | 0.008923930 | 0.35843532 | 0.13620705 | -0.101392536 | -0.12342080 | 0.25066395 | -0.229891992 | 0.246646383 | 0.008036917 | ⋯ | 0.061260139 | 0.014240695 | -0.02150113 | 0.051394784 | -7.473627e-02 | -0.079886650 | 0.286152440 | -0.221184463 | -0.24178625 | 0.189398283 |\n", "| MA0875.1_BARX1 | 0.14793902 | 0.709716010 | -0.47769764 | -0.66274733 | -0.124133183 | 0.14377506 | -0.25641188 | 0.193131229 | -0.097622888 | 0.136350427 | ⋯ | -0.007924248 | 0.104463375 | 0.17533939 | -0.095297295 | -4.780962e-06 | 0.047199080 | -0.069593920 | 0.015014061 | 0.04666739 | -0.252774185 |\n", "| MA0876.1_BSX | 0.21465467 | -0.111410762 | 0.03846318 | 0.34664801 | -0.117627840 | 0.02093102 | 0.06180829 | -0.206250174 | 0.014056855 | -0.128288804 | ⋯ | 0.043319461 | -0.113226000 | 0.12343328 | 0.047916446 | 5.287371e-02 | 0.077211191 | -0.030837194 | -0.021315180 | 0.03776577 | 0.179663602 |\n", "| MA0878.1_CDX1 | -0.12072243 | -0.014053254 | -0.07861139 | 0.14525289 | -0.031064134 | -0.02879686 | -0.01119621 | -0.079742678 | -0.069832010 | -0.032237257 | ⋯ | -0.035483965 | -0.035327068 | -0.18870704 | -0.026005761 | -6.307832e-02 | -0.119610592 | -0.084402100 | -0.059148939 | -0.22935981 | 0.046997707 |\n", "| MA0882.1_DLX6 | -0.24248625 | -0.169546726 | -0.05870034 | -0.28879116 | -0.394008544 | -0.10911349 | 0.42351944 | -0.202972320 | -0.057441306 | -0.420965973 | ⋯ | -0.128890327 | 0.079550798 | 0.05306252 | 0.028733518 | -1.251831e-02 | -0.011272027 | 0.188056903 | -0.041320742 | -0.04961374 | -0.143202595 |\n", "| MA0884.1_DUXA | -0.09672099 | -0.113691013 | -0.31699465 | -0.05530839 | 0.102764665 | 0.04121388 | -0.28069038 | -0.193226492 | 0.039564810 | -0.105209556 | ⋯ | -0.059950004 | 0.016655417 | 0.13678554 | -0.167209078 | 1.557974e-01 | 0.116524423 | 0.142077847 | 0.038267190 | 0.02782098 | 0.312905334 |\n", "| MA0886.1_EMX2 | 0.01574425 | -0.212812007 | -0.65410902 | -0.02201622 | -0.301845911 | -0.02866295 | -0.31376443 | 0.279560435 | -0.188304295 | -0.145177028 | ⋯ | -0.113540294 | 0.002054146 | 0.13022404 | 0.337070516 | -5.974743e-02 | -0.089453600 | -0.076449419 | -0.062498697 | -0.03986776 | -0.008800940 |\n", "| MA0887.1_EVX1 | -0.20086354 | -0.384424822 | -0.33927688 | -0.16069463 | -0.312114811 | -0.08450889 | -0.10576369 | -0.086231596 | -0.268307676 | -0.262400520 | ⋯ | -0.036013524 | 0.043848976 | 0.11044349 | -0.016126274 | -7.293367e-02 | -0.093493360 | -0.264712384 | -0.065748170 | 0.04210924 | -0.015333505 |\n", "| MA0888.1_EVX2 | -0.02753772 | -0.203167081 | 0.19766941 | -0.06102155 | 0.007846498 | -0.15919536 | 0.18660672 | -0.098523748 | -0.250388997 | -0.361869920 | ⋯ | -0.124650160 | 0.061869559 | 0.07403763 | 0.170256435 | -6.031878e-02 | -0.124691393 | -0.129809617 | -0.109097668 | 0.04257975 | -0.127261186 |\n", "| MA0889.1_GBX1 | 0.18094484 | -0.222844039 | -0.16479918 | 0.02408114 | -0.163651689 | 0.20860643 | -0.17456901 | -0.018811529 | -0.025377272 | -0.145076367 | ⋯ | 0.186476580 | 0.098686322 | 0.21036080 | 0.062226493 | -3.086634e-02 | 0.033364485 | -0.127375705 | 0.013264035 | 0.11335225 | 0.131462642 |\n", "| MA0890.1_GBX2 | 0.23870647 | -0.012274636 | -0.27307433 | -0.27465336 | -0.343136027 | 0.13794594 | -0.07133402 | -0.209020746 | 0.052866561 | 0.246035573 | ⋯ | -0.004733438 | -0.005108518 | 0.15891958 | -0.043122026 | -3.344937e-02 | 0.053139315 | -0.090281113 | 0.028775147 | 0.12896070 | -0.002879009 |\n", "| MA0891.1_GSC2 | -0.04862127 | -0.076492803 | -0.24291285 | 0.07660482 | -0.066545023 | -0.08177689 | -0.08312721 | -0.111216307 | 0.014770843 | 0.219691317 | ⋯ | -0.161886188 | -0.170632733 | -0.07016214 | -0.214354095 | 1.739894e-02 | -0.035529368 | -0.008983777 | 0.006479997 | 0.30648530 | 0.111480399 |\n", "| MA0892.1_GSX1 | 0.06927218 | -0.030279312 | -0.24971487 | 0.17163171 | -0.099720110 | 0.12763478 | -0.23722973 | 0.082225525 | 0.070733897 | 0.218664380 | ⋯ | 0.050837142 | -0.001945899 | 0.05355951 | -0.050344854 | -3.782962e-02 | 0.066565034 | -0.154420988 | 0.033589418 | -0.25545208 | -0.071226383 |\n", "| MA0893.1_GSX2 | 0.14712425 | 0.053942718 | -0.19394819 | 0.10937240 | -0.067397956 | 0.14269538 | -0.08522696 | 0.012955859 | 0.029604482 | 0.161798994 | ⋯ | -0.102633445 | -0.017679078 | 0.16953593 | 0.080179568 | -4.975284e-02 | 0.006083594 | -0.013246876 | -0.024221534 | -0.11985482 | -0.050812766 |\n", "| MA0894.1_HESX1 | 0.40558421 | -0.145747512 | -0.11599052 | 0.10493879 | -0.229084527 | -0.06317528 | 0.47541916 | -0.186641165 | -0.041126085 | 0.094140459 | ⋯ | 0.045703687 | 0.078725864 | 0.08088502 | 0.022996390 | 4.026856e-03 | 0.047115292 | -0.096270633 | -0.049171920 | 0.38606935 | 0.084930973 |\n", "| MA0895.1_HMBOX1 | -0.22315932 | -0.029457783 | -0.23033995 | 0.12921377 | -0.346245096 | 0.05550736 | 0.11841829 | 0.217337492 | -0.044215205 | 0.091343663 | ⋯ | 0.093031584 | -0.031663140 | -0.12259399 | -0.172540260 | 1.020798e-02 | 0.091788159 | 0.004989367 | 0.020798047 | -0.10184126 | 0.004429796 |\n", "| MA0899.1_HOXA10 | -0.10818177 | 0.082986863 | -0.08699698 | 0.17056769 | -0.197312622 | 0.05099599 | -0.02551159 | -0.011123000 | 0.004805885 | -0.016221400 | ⋯ | -0.008333057 | -0.040560401 | -0.08388539 | -0.111314662 | -4.249689e-02 | -0.047333761 | 0.019586340 | -0.021337717 | -0.19834757 | 0.098937271 |\n", "| MA0900.1_HOXA2 | -0.07604372 | -0.214381077 | -0.08278743 | 0.06589026 | -0.206770064 | -0.25978268 | -0.05883062 | 0.397132511 | -0.193871329 | -0.244070554 | ⋯ | -0.118886610 | 0.053500945 | 0.19584846 | -0.017725461 | -5.107058e-02 | -0.114169090 | -0.033089155 | -0.014936717 | 0.11787636 | -0.056507366 |\n", "| MA0901.1_HOXB13 | 0.13465700 | 0.074757165 | -0.03963025 | 0.11267993 | 0.003976515 | -0.02594910 | -0.19880308 | -0.025615610 | -0.216429711 | -0.064972506 | ⋯ | -0.095080224 | 0.001604744 | -0.02106152 | -0.035023210 | 1.568107e-02 | -0.025621563 | 0.180161201 | -0.060765002 | -0.06879943 | 0.102528596 |\n", "| MA0902.1_HOXB2 | 0.22237394 | -0.249538367 | -0.20305096 | -0.13952229 | -0.250911596 | -0.05764741 | -0.05833719 | 0.059544410 | -0.029569012 | -0.134089990 | ⋯ | -0.111706863 | 0.042019371 | 0.07367793 | 0.125409784 | -4.836746e-02 | -0.026967896 | -0.278648211 | -0.067825862 | 0.05089708 | -0.151738484 |\n", "| MA0903.1_HOXB3 | 0.28530234 | -0.422523578 | -0.16921277 | -0.08362707 | -0.185106775 | 0.18892226 | 0.29924900 | 0.011571377 | 0.058458496 | 0.044011330 | ⋯ | -0.073189302 | -0.026890501 | 0.05791327 | 0.093189438 | 1.555975e-02 | 0.024565860 | -0.181278486 | -0.048360870 | 0.01048187 | -0.104324723 |\n", "| MA0905.1_HOXC10 | -0.12434161 | 0.126384743 | 0.13051511 | -0.09391866 | 0.021687461 | 0.06316643 | -0.04998308 | -0.122111106 | 0.157738891 | -0.220809695 | ⋯ | 0.078928344 | -0.098363605 | -0.10356298 | 0.007708063 | -8.447346e-02 | -0.091825060 | 0.240265212 | -0.159000346 | -0.14469834 | -0.071259112 |\n", "| MA0906.1_HOXC12 | -0.21370628 | 0.011682790 | 0.64663600 | 0.27024233 | -0.030073210 | -0.13817354 | 0.30665893 | -0.158221935 | -0.105520489 | 0.011246729 | ⋯ | 0.153530001 | -0.012364093 | 0.06858168 | 0.133583848 | 3.243726e-02 | -0.032438496 | -0.008387730 | -0.003906886 | -0.27523346 | 0.139909410 |\n", "| MA0907.1_HOXC13 | -0.29099810 | 0.145301035 | 0.12628186 | -0.01990421 | -0.263548792 | -0.12423641 | -0.01701355 | -0.210204628 | -0.014260815 | -0.068821878 | ⋯ | -0.393609004 | 0.058372060 | -0.01644511 | -0.009450912 | -6.110267e-02 | -0.077361660 | 0.236760406 | -0.016906352 | -0.14936973 | 0.058169324 |\n", "| MA0908.1_HOXD11 | -0.10011570 | 0.077979312 | 0.21932721 | 0.08111124 | -0.085794015 | -0.02223892 | 0.27398673 | -0.086986790 | 0.109507097 | -0.127090591 | ⋯ | 0.169820201 | -0.007717135 | -0.02512356 | 0.107187362 | -2.045897e-02 | -0.072442160 | 0.198908553 | -0.141408078 | -0.09387106 | 0.019470691 |\n", "| MA0909.1_HOXD13 | 0.16255879 | 0.003293621 | -0.11790846 | -0.01783751 | -0.051481491 | -0.12011617 | -0.22191084 | 0.007987309 | -0.173065257 | -0.009109211 | ⋯ | -0.039866900 | -0.003364388 | -0.01562135 | -0.024379797 | 2.638071e-02 | 0.033390464 | 0.123331687 | -0.022609340 | -0.16636040 | 0.086615687 |\n", "| MA0914.1_ISL2 | -0.01366004 | -0.228779437 | 0.03874400 | 0.30708817 | -0.175245148 | -0.03583749 | 0.13178858 | -0.327207404 | 0.003580948 | 0.003301255 | ⋯ | 0.123926066 | 0.033454774 | -0.02498390 | 0.109909832 | 5.962488e-02 | -0.068120285 | -0.072155511 | -0.047982981 | 0.04733289 | -0.029793195 |\n", "\n" ], "text/plain": [ " BM1077-CLP-Frozen-160106-13 BM1077-CLP-Frozen-160106-14\n", "MA0025.1_NFIL3 -0.278286306 0.112060407 \n", "MA0030.1_FOXF2 0.176107788 -0.041292032 \n", "MA0031.1_FOXD1 0.137372699 0.320349282 \n", "MA0051.1_IRF2 0.186088512 0.087081618 \n", "MA0056.1_MZF1 0.043169020 0.005143543 \n", "MA0057.1_MZF1(var.2) -0.012046558 -0.006548824 \n", "MA0059.1_MAX::MYC -0.015787019 0.279716115 \n", "MA0066.1_PPARG -0.187958929 0.121913976 \n", "MA0069.1_Pax6 0.596173015 0.230655335 \n", "MA0070.1_PBX1 0.202754212 0.219980998 \n", "MA0071.1_RORA -0.266119533 -0.047623220 \n", "MA0072.1_RORA(var.2) -0.136783694 0.206965274 \n", "MA0073.1_RREB1 -0.007604542 0.040177011 \n", "MA0074.1_RXRA::VDR 0.220302974 0.142302171 \n", "MA0077.1_SOX9 -0.118514672 -0.121884910 \n", "MA0081.1_SPIB 0.042329346 -0.060184450 \n", "MA0084.1_SRY -0.079395161 -0.078939955 \n", "MA0091.1_TAL1::TCF3 0.208489498 0.137297335 \n", "MA0101.1_REL 0.161356263 0.117430960 \n", "MA0107.1_RELA 0.181455552 0.137588969 \n", "MA0115.1_NR1H2::RXRA -0.293437760 0.130816388 \n", "MA0119.1_NFIC::TLX1 -0.052900581 0.050291624 \n", "MA0130.1_ZNF354C 0.005188305 -0.017669018 \n", "MA0139.1_CTCF -0.084676850 -0.051178499 \n", "MA0149.1_EWSR1-FLI1 0.018444109 0.056793033 \n", "MA0138.2_REST -0.053412908 -0.003550585 \n", "MA0152.1_NFATC2 -0.050171176 -0.047669588 \n", "MA0155.1_INSM1 -0.004789045 0.015465293 \n", "MA0158.1_HOXA5 -0.134249196 -0.163402723 \n", "MA0159.1_RARA::RXRA -0.031260293 -0.129116928 \n", "⋮ ⋮ ⋮ \n", "MA0871.1_TFEC -0.14634132 0.066570106 \n", "MA0872.1_TFAP2A(var.3) 0.05774085 0.058544027 \n", "MA0028.2_ELK1 -0.15906232 -0.062767553 \n", "MA0873.1_HOXD12 -0.12775553 0.008923930 \n", "MA0875.1_BARX1 0.14793902 0.709716010 \n", "MA0876.1_BSX 0.21465467 -0.111410762 \n", "MA0878.1_CDX1 -0.12072243 -0.014053254 \n", "MA0882.1_DLX6 -0.24248625 -0.169546726 \n", "MA0884.1_DUXA -0.09672099 -0.113691013 \n", "MA0886.1_EMX2 0.01574425 -0.212812007 \n", "MA0887.1_EVX1 -0.20086354 -0.384424822 \n", "MA0888.1_EVX2 -0.02753772 -0.203167081 \n", "MA0889.1_GBX1 0.18094484 -0.222844039 \n", "MA0890.1_GBX2 0.23870647 -0.012274636 \n", "MA0891.1_GSC2 -0.04862127 -0.076492803 \n", "MA0892.1_GSX1 0.06927218 -0.030279312 \n", "MA0893.1_GSX2 0.14712425 0.053942718 \n", "MA0894.1_HESX1 0.40558421 -0.145747512 \n", "MA0895.1_HMBOX1 -0.22315932 -0.029457783 \n", "MA0899.1_HOXA10 -0.10818177 0.082986863 \n", "MA0900.1_HOXA2 -0.07604372 -0.214381077 \n", "MA0901.1_HOXB13 0.13465700 0.074757165 \n", "MA0902.1_HOXB2 0.22237394 -0.249538367 \n", "MA0903.1_HOXB3 0.28530234 -0.422523578 \n", "MA0905.1_HOXC10 -0.12434161 0.126384743 \n", "MA0906.1_HOXC12 -0.21370628 0.011682790 \n", "MA0907.1_HOXC13 -0.29099810 0.145301035 \n", "MA0908.1_HOXD11 -0.10011570 0.077979312 \n", "MA0909.1_HOXD13 0.16255879 0.003293621 \n", "MA0914.1_ISL2 -0.01366004 -0.228779437 \n", " BM1077-CLP-Frozen-160106-2 BM1077-CLP-Frozen-160106-21\n", "MA0025.1_NFIL3 -0.062820655 -0.095424368 \n", "MA0030.1_FOXF2 0.083806952 0.071055290 \n", "MA0031.1_FOXD1 -0.044740691 0.265338659 \n", "MA0051.1_IRF2 -0.093170188 -0.016353638 \n", "MA0056.1_MZF1 0.020813319 0.067516503 \n", "MA0057.1_MZF1(var.2) -0.038311677 0.071768380 \n", "MA0059.1_MAX::MYC -0.150114857 0.101505864 \n", "MA0066.1_PPARG 0.012575795 -0.142084446 \n", "MA0069.1_Pax6 0.370569217 0.453930383 \n", "MA0070.1_PBX1 -0.031583472 0.165123362 \n", "MA0071.1_RORA -0.148584335 -0.174454280 \n", "MA0072.1_RORA(var.2) -0.004195812 0.100551572 \n", "MA0073.1_RREB1 0.014440694 0.021455646 \n", "MA0074.1_RXRA::VDR -0.039667024 -0.295103503 \n", "MA0077.1_SOX9 -0.193360410 -0.097618038 \n", "MA0081.1_SPIB 0.001143387 0.028479942 \n", "MA0084.1_SRY -0.199792409 0.078470279 \n", "MA0091.1_TAL1::TCF3 0.171174398 0.210347513 \n", "MA0101.1_REL 0.181243180 0.059727312 \n", "MA0107.1_RELA 0.173834197 0.038551329 \n", "MA0115.1_NR1H2::RXRA -0.052926579 0.070867192 \n", "MA0119.1_NFIC::TLX1 -0.092244795 -0.122940118 \n", "MA0130.1_ZNF354C -0.152085230 -0.134019403 \n", "MA0139.1_CTCF -0.036005922 -0.086794702 \n", "MA0149.1_EWSR1-FLI1 0.017475421 -0.020219307 \n", "MA0138.2_REST -0.049598826 0.059618092 \n", "MA0152.1_NFATC2 0.025196716 -0.101906084 \n", "MA0155.1_INSM1 0.008406725 0.003069333 \n", "MA0158.1_HOXA5 0.095348713 0.039772960 \n", "MA0159.1_RARA::RXRA -0.117513281 -0.098695468 \n", "⋮ ⋮ ⋮ \n", "MA0871.1_TFEC 0.12001946 0.19575259 \n", "MA0872.1_TFAP2A(var.3) 0.16550958 0.07759289 \n", "MA0028.2_ELK1 0.15833186 -0.07365416 \n", "MA0873.1_HOXD12 0.35843532 0.13620705 \n", "MA0875.1_BARX1 -0.47769764 -0.66274733 \n", "MA0876.1_BSX 0.03846318 0.34664801 \n", "MA0878.1_CDX1 -0.07861139 0.14525289 \n", "MA0882.1_DLX6 -0.05870034 -0.28879116 \n", "MA0884.1_DUXA -0.31699465 -0.05530839 \n", "MA0886.1_EMX2 -0.65410902 -0.02201622 \n", "MA0887.1_EVX1 -0.33927688 -0.16069463 \n", "MA0888.1_EVX2 0.19766941 -0.06102155 \n", "MA0889.1_GBX1 -0.16479918 0.02408114 \n", "MA0890.1_GBX2 -0.27307433 -0.27465336 \n", "MA0891.1_GSC2 -0.24291285 0.07660482 \n", "MA0892.1_GSX1 -0.24971487 0.17163171 \n", "MA0893.1_GSX2 -0.19394819 0.10937240 \n", "MA0894.1_HESX1 -0.11599052 0.10493879 \n", "MA0895.1_HMBOX1 -0.23033995 0.12921377 \n", "MA0899.1_HOXA10 -0.08699698 0.17056769 \n", "MA0900.1_HOXA2 -0.08278743 0.06589026 \n", "MA0901.1_HOXB13 -0.03963025 0.11267993 \n", "MA0902.1_HOXB2 -0.20305096 -0.13952229 \n", "MA0903.1_HOXB3 -0.16921277 -0.08362707 \n", "MA0905.1_HOXC10 0.13051511 -0.09391866 \n", "MA0906.1_HOXC12 0.64663600 0.27024233 \n", "MA0907.1_HOXC13 0.12628186 -0.01990421 \n", "MA0908.1_HOXD11 0.21932721 0.08111124 \n", "MA0909.1_HOXD13 -0.11790846 -0.01783751 \n", "MA0914.1_ISL2 0.03874400 0.30708817 \n", " BM1077-CLP-Frozen-160106-27 BM1077-CLP-Frozen-160106-3\n", "MA0025.1_NFIL3 -0.241401268 -0.146683756 \n", "MA0030.1_FOXF2 -0.026868251 -0.016681841 \n", "MA0031.1_FOXD1 0.120922454 0.087623809 \n", "MA0051.1_IRF2 0.080477333 -0.068178466 \n", "MA0056.1_MZF1 0.066275258 0.048243312 \n", "MA0057.1_MZF1(var.2) 0.073498366 0.206747382 \n", "MA0059.1_MAX::MYC -0.038989330 -0.094811918 \n", "MA0066.1_PPARG -0.065372733 -0.031127239 \n", "MA0069.1_Pax6 -0.141180307 0.131632401 \n", "MA0070.1_PBX1 -0.046128855 0.259367846 \n", "MA0071.1_RORA 0.073634625 -0.033949740 \n", "MA0072.1_RORA(var.2) 0.083786110 -0.050955098 \n", "MA0073.1_RREB1 0.121776998 -0.011152097 \n", "MA0074.1_RXRA::VDR 0.094603465 0.188969687 \n", "MA0077.1_SOX9 -0.131818121 -0.016615617 \n", "MA0081.1_SPIB 0.078403319 0.048835694 \n", "MA0084.1_SRY 0.086794981 0.009358462 \n", "MA0091.1_TAL1::TCF3 -0.008088996 0.050237538 \n", "MA0101.1_REL -0.075915931 0.327814577 \n", "MA0107.1_RELA -0.030032634 0.331739457 \n", "MA0115.1_NR1H2::RXRA 0.095861029 0.065616000 \n", "MA0119.1_NFIC::TLX1 0.018777270 0.030734011 \n", "MA0130.1_ZNF354C -0.064198980 -0.081284401 \n", "MA0139.1_CTCF -0.015371909 -0.131243790 \n", "MA0149.1_EWSR1-FLI1 0.064690135 0.063591767 \n", "MA0138.2_REST 0.100008425 -0.035291966 \n", "MA0152.1_NFATC2 -0.039622005 0.016395631 \n", "MA0155.1_INSM1 0.076613471 0.032704693 \n", "MA0158.1_HOXA5 -0.028645682 0.061609192 \n", "MA0159.1_RARA::RXRA 0.072652296 0.012590067 \n", "⋮ ⋮ ⋮ \n", "MA0871.1_TFEC -0.226321740 0.15442572 \n", "MA0872.1_TFAP2A(var.3) 0.075149048 0.04314611 \n", "MA0028.2_ELK1 0.065308974 -0.05111763 \n", "MA0873.1_HOXD12 -0.101392536 -0.12342080 \n", "MA0875.1_BARX1 -0.124133183 0.14377506 \n", "MA0876.1_BSX -0.117627840 0.02093102 \n", "MA0878.1_CDX1 -0.031064134 -0.02879686 \n", "MA0882.1_DLX6 -0.394008544 -0.10911349 \n", "MA0884.1_DUXA 0.102764665 0.04121388 \n", "MA0886.1_EMX2 -0.301845911 -0.02866295 \n", "MA0887.1_EVX1 -0.312114811 -0.08450889 \n", "MA0888.1_EVX2 0.007846498 -0.15919536 \n", "MA0889.1_GBX1 -0.163651689 0.20860643 \n", "MA0890.1_GBX2 -0.343136027 0.13794594 \n", "MA0891.1_GSC2 -0.066545023 -0.08177689 \n", "MA0892.1_GSX1 -0.099720110 0.12763478 \n", "MA0893.1_GSX2 -0.067397956 0.14269538 \n", "MA0894.1_HESX1 -0.229084527 -0.06317528 \n", "MA0895.1_HMBOX1 -0.346245096 0.05550736 \n", "MA0899.1_HOXA10 -0.197312622 0.05099599 \n", "MA0900.1_HOXA2 -0.206770064 -0.25978268 \n", "MA0901.1_HOXB13 0.003976515 -0.02594910 \n", "MA0902.1_HOXB2 -0.250911596 -0.05764741 \n", "MA0903.1_HOXB3 -0.185106775 0.18892226 \n", "MA0905.1_HOXC10 0.021687461 0.06316643 \n", "MA0906.1_HOXC12 -0.030073210 -0.13817354 \n", "MA0907.1_HOXC13 -0.263548792 -0.12423641 \n", "MA0908.1_HOXD11 -0.085794015 -0.02223892 \n", "MA0909.1_HOXD13 -0.051481491 -0.12011617 \n", "MA0914.1_ISL2 -0.175245148 -0.03583749 \n", " BM1077-CLP-Frozen-160106-36 BM1077-CLP-Frozen-160106-42\n", "MA0025.1_NFIL3 -0.46696091 -0.093062738 \n", "MA0030.1_FOXF2 0.25217463 0.322402750 \n", "MA0031.1_FOXD1 0.15717723 0.058841446 \n", "MA0051.1_IRF2 -0.09325282 0.035428898 \n", "MA0056.1_MZF1 -0.04758388 0.024988734 \n", "MA0057.1_MZF1(var.2) -0.06369253 -0.007861454 \n", "MA0059.1_MAX::MYC 0.40090114 -0.018070061 \n", "MA0066.1_PPARG -0.21616530 0.126454286 \n", "MA0069.1_Pax6 0.13235302 0.050229787 \n", "MA0070.1_PBX1 0.17498791 -0.119071719 \n", "MA0071.1_RORA -0.06371840 0.151473060 \n", "MA0072.1_RORA(var.2) 0.05238094 0.018403549 \n", "MA0073.1_RREB1 -0.02650740 -0.058821568 \n", "MA0074.1_RXRA::VDR -0.05167839 0.068567549 \n", "MA0077.1_SOX9 0.37344544 0.142628214 \n", "MA0081.1_SPIB 0.12271353 -0.023190267 \n", "MA0084.1_SRY 0.02968484 -0.098390154 \n", "MA0091.1_TAL1::TCF3 0.13578840 0.082646684 \n", "MA0101.1_REL 0.19549948 -0.007679846 \n", "MA0107.1_RELA 0.17023242 0.203539525 \n", "MA0115.1_NR1H2::RXRA -0.16131334 0.036588170 \n", "MA0119.1_NFIC::TLX1 0.03122871 0.101796064 \n", "MA0130.1_ZNF354C -0.17298704 0.035350861 \n", "MA0139.1_CTCF 0.07822379 -0.136774187 \n", "MA0149.1_EWSR1-FLI1 0.08797232 0.042605240 \n", "MA0138.2_REST 0.11806179 0.118902199 \n", "MA0152.1_NFATC2 0.02904126 -0.126005048 \n", "MA0155.1_INSM1 -0.14566923 0.086653734 \n", "MA0158.1_HOXA5 -0.32642418 -0.311396777 \n", "MA0159.1_RARA::RXRA 0.03566136 -0.161875255 \n", "⋮ ⋮ ⋮ \n", "MA0871.1_TFEC 0.11629729 -0.066802374 \n", "MA0872.1_TFAP2A(var.3) 0.02047263 0.070878086 \n", "MA0028.2_ELK1 -0.07496890 -0.089762120 \n", "MA0873.1_HOXD12 0.25066395 -0.229891992 \n", "MA0875.1_BARX1 -0.25641188 0.193131229 \n", "MA0876.1_BSX 0.06180829 -0.206250174 \n", "MA0878.1_CDX1 -0.01119621 -0.079742678 \n", "MA0882.1_DLX6 0.42351944 -0.202972320 \n", "MA0884.1_DUXA -0.28069038 -0.193226492 \n", "MA0886.1_EMX2 -0.31376443 0.279560435 \n", "MA0887.1_EVX1 -0.10576369 -0.086231596 \n", "MA0888.1_EVX2 0.18660672 -0.098523748 \n", "MA0889.1_GBX1 -0.17456901 -0.018811529 \n", "MA0890.1_GBX2 -0.07133402 -0.209020746 \n", "MA0891.1_GSC2 -0.08312721 -0.111216307 \n", "MA0892.1_GSX1 -0.23722973 0.082225525 \n", "MA0893.1_GSX2 -0.08522696 0.012955859 \n", "MA0894.1_HESX1 0.47541916 -0.186641165 \n", "MA0895.1_HMBOX1 0.11841829 0.217337492 \n", "MA0899.1_HOXA10 -0.02551159 -0.011123000 \n", "MA0900.1_HOXA2 -0.05883062 0.397132511 \n", "MA0901.1_HOXB13 -0.19880308 -0.025615610 \n", "MA0902.1_HOXB2 -0.05833719 0.059544410 \n", "MA0903.1_HOXB3 0.29924900 0.011571377 \n", "MA0905.1_HOXC10 -0.04998308 -0.122111106 \n", "MA0906.1_HOXC12 0.30665893 -0.158221935 \n", "MA0907.1_HOXC13 -0.01701355 -0.210204628 \n", "MA0908.1_HOXD11 0.27398673 -0.086986790 \n", "MA0909.1_HOXD13 -0.22191084 0.007987309 \n", "MA0914.1_ISL2 0.13178858 -0.327207404 \n", " BM1077-CLP-Frozen-160106-44 BM1077-CLP-Frozen-160106-50\n", "MA0025.1_NFIL3 0.02790989 -0.045261996 \n", "MA0030.1_FOXF2 0.07806533 -0.136876382 \n", "MA0031.1_FOXD1 0.02189066 -0.018605675 \n", "MA0051.1_IRF2 -0.04332944 0.031101308 \n", "MA0056.1_MZF1 0.07235243 0.005218608 \n", "MA0057.1_MZF1(var.2) 0.05305304 -0.023704580 \n", "MA0059.1_MAX::MYC -0.14777544 0.046349850 \n", "MA0066.1_PPARG -0.08706308 0.108288161 \n", "MA0069.1_Pax6 0.19545248 0.013564385 \n", "MA0070.1_PBX1 0.09171916 0.006070545 \n", "MA0071.1_RORA -0.06288927 0.085228361 \n", "MA0072.1_RORA(var.2) -0.05504961 -0.170265700 \n", "MA0073.1_RREB1 0.03247069 -0.001253444 \n", "MA0074.1_RXRA::VDR 0.14098733 -0.076874758 \n", "MA0077.1_SOX9 0.02381523 -0.198335318 \n", "MA0081.1_SPIB 0.06264291 -0.016980326 \n", "MA0084.1_SRY -0.03192836 -0.166952986 \n", "MA0091.1_TAL1::TCF3 0.47538998 0.465800355 \n", "MA0101.1_REL 0.05380546 -0.034160523 \n", "MA0107.1_RELA 0.12956061 -0.001339762 \n", "MA0115.1_NR1H2::RXRA -0.11753108 0.118956228 \n", "MA0119.1_NFIC::TLX1 0.13620788 0.050274810 \n", "MA0130.1_ZNF354C -0.03711607 -0.096713937 \n", "MA0139.1_CTCF -0.02721801 -0.006423746 \n", "MA0149.1_EWSR1-FLI1 0.02478830 0.012136720 \n", "MA0138.2_REST 0.04048403 0.056758893 \n", "MA0152.1_NFATC2 -0.08257423 -0.116799230 \n", "MA0155.1_INSM1 0.06305523 0.030875857 \n", "MA0158.1_HOXA5 -0.14929465 -0.148663329 \n", "MA0159.1_RARA::RXRA -0.04918649 0.102777639 \n", "⋮ ⋮ ⋮ \n", "MA0871.1_TFEC 0.035317508 0.220076449 \n", "MA0872.1_TFAP2A(var.3) -0.006015515 0.030459330 \n", "MA0028.2_ELK1 -0.005730514 0.038030854 \n", "MA0873.1_HOXD12 0.246646383 0.008036917 \n", "MA0875.1_BARX1 -0.097622888 0.136350427 \n", "MA0876.1_BSX 0.014056855 -0.128288804 \n", "MA0878.1_CDX1 -0.069832010 -0.032237257 \n", "MA0882.1_DLX6 -0.057441306 -0.420965973 \n", "MA0884.1_DUXA 0.039564810 -0.105209556 \n", "MA0886.1_EMX2 -0.188304295 -0.145177028 \n", "MA0887.1_EVX1 -0.268307676 -0.262400520 \n", "MA0888.1_EVX2 -0.250388997 -0.361869920 \n", "MA0889.1_GBX1 -0.025377272 -0.145076367 \n", "MA0890.1_GBX2 0.052866561 0.246035573 \n", "MA0891.1_GSC2 0.014770843 0.219691317 \n", "MA0892.1_GSX1 0.070733897 0.218664380 \n", "MA0893.1_GSX2 0.029604482 0.161798994 \n", "MA0894.1_HESX1 -0.041126085 0.094140459 \n", "MA0895.1_HMBOX1 -0.044215205 0.091343663 \n", "MA0899.1_HOXA10 0.004805885 -0.016221400 \n", "MA0900.1_HOXA2 -0.193871329 -0.244070554 \n", "MA0901.1_HOXB13 -0.216429711 -0.064972506 \n", "MA0902.1_HOXB2 -0.029569012 -0.134089990 \n", "MA0903.1_HOXB3 0.058458496 0.044011330 \n", "MA0905.1_HOXC10 0.157738891 -0.220809695 \n", "MA0906.1_HOXC12 -0.105520489 0.011246729 \n", "MA0907.1_HOXC13 -0.014260815 -0.068821878 \n", "MA0908.1_HOXD11 0.109507097 -0.127090591 \n", "MA0909.1_HOXD13 -0.173065257 -0.009109211 \n", "MA0914.1_ISL2 0.003580948 0.003301255 \n", " ⋯ singles-PB1022-mono-160128-81\n", "MA0025.1_NFIL3 ⋯ 0.13481378 \n", "MA0030.1_FOXF2 ⋯ -0.06775071 \n", "MA0031.1_FOXD1 ⋯ 0.01972595 \n", "MA0051.1_IRF2 ⋯ -0.04765824 \n", "MA0056.1_MZF1 ⋯ 0.01948856 \n", "MA0057.1_MZF1(var.2) ⋯ 0.03581185 \n", "MA0059.1_MAX::MYC ⋯ -0.01847779 \n", "MA0066.1_PPARG ⋯ 0.03095655 \n", "MA0069.1_Pax6 ⋯ 0.04373420 \n", "MA0070.1_PBX1 ⋯ -0.19005973 \n", "MA0071.1_RORA ⋯ 0.17777477 \n", "MA0072.1_RORA(var.2) ⋯ 0.19348422 \n", "MA0073.1_RREB1 ⋯ -0.08304069 \n", "MA0074.1_RXRA::VDR ⋯ 0.23314852 \n", "MA0077.1_SOX9 ⋯ -0.03767817 \n", "MA0081.1_SPIB ⋯ 0.11238223 \n", "MA0084.1_SRY ⋯ -0.13079862 \n", "MA0091.1_TAL1::TCF3 ⋯ -0.14601107 \n", "MA0101.1_REL ⋯ 0.10938595 \n", "MA0107.1_RELA ⋯ 0.05992531 \n", "MA0115.1_NR1H2::RXRA ⋯ 0.02022375 \n", "MA0119.1_NFIC::TLX1 ⋯ -0.05382939 \n", "MA0130.1_ZNF354C ⋯ -0.05935571 \n", "MA0139.1_CTCF ⋯ -0.13001316 \n", "MA0149.1_EWSR1-FLI1 ⋯ 0.01934475 \n", "MA0138.2_REST ⋯ -0.03646770 \n", "MA0152.1_NFATC2 ⋯ -0.10483280 \n", "MA0155.1_INSM1 ⋯ -0.02481051 \n", "MA0158.1_HOXA5 ⋯ 0.05767512 \n", "MA0159.1_RARA::RXRA ⋯ 0.17329211 \n", "⋮ ⋱ ⋮ \n", "MA0871.1_TFEC ⋯ -0.016321029 \n", "MA0872.1_TFAP2A(var.3) ⋯ 0.054579330 \n", "MA0028.2_ELK1 ⋯ 0.032060183 \n", "MA0873.1_HOXD12 ⋯ 0.061260139 \n", "MA0875.1_BARX1 ⋯ -0.007924248 \n", "MA0876.1_BSX ⋯ 0.043319461 \n", "MA0878.1_CDX1 ⋯ -0.035483965 \n", "MA0882.1_DLX6 ⋯ -0.128890327 \n", "MA0884.1_DUXA ⋯ -0.059950004 \n", "MA0886.1_EMX2 ⋯ -0.113540294 \n", "MA0887.1_EVX1 ⋯ -0.036013524 \n", "MA0888.1_EVX2 ⋯ -0.124650160 \n", "MA0889.1_GBX1 ⋯ 0.186476580 \n", "MA0890.1_GBX2 ⋯ -0.004733438 \n", "MA0891.1_GSC2 ⋯ -0.161886188 \n", "MA0892.1_GSX1 ⋯ 0.050837142 \n", "MA0893.1_GSX2 ⋯ -0.102633445 \n", "MA0894.1_HESX1 ⋯ 0.045703687 \n", "MA0895.1_HMBOX1 ⋯ 0.093031584 \n", "MA0899.1_HOXA10 ⋯ -0.008333057 \n", "MA0900.1_HOXA2 ⋯ -0.118886610 \n", "MA0901.1_HOXB13 ⋯ -0.095080224 \n", "MA0902.1_HOXB2 ⋯ -0.111706863 \n", "MA0903.1_HOXB3 ⋯ -0.073189302 \n", "MA0905.1_HOXC10 ⋯ 0.078928344 \n", "MA0906.1_HOXC12 ⋯ 0.153530001 \n", "MA0907.1_HOXC13 ⋯ -0.393609004 \n", "MA0908.1_HOXD11 ⋯ 0.169820201 \n", "MA0909.1_HOXD13 ⋯ -0.039866900 \n", "MA0914.1_ISL2 ⋯ 0.123926066 \n", " singles-PB1022-mono-160128-82\n", "MA0025.1_NFIL3 0.145741386 \n", "MA0030.1_FOXF2 -0.043569814 \n", "MA0031.1_FOXD1 0.066022231 \n", "MA0051.1_IRF2 -0.035892845 \n", "MA0056.1_MZF1 0.012385242 \n", "MA0057.1_MZF1(var.2) -0.027117960 \n", "MA0059.1_MAX::MYC 0.037663298 \n", "MA0066.1_PPARG -0.089809777 \n", "MA0069.1_Pax6 0.072504939 \n", "MA0070.1_PBX1 -0.132853464 \n", "MA0071.1_RORA 0.013823022 \n", "MA0072.1_RORA(var.2) -0.025047325 \n", "MA0073.1_RREB1 -0.018932988 \n", "MA0074.1_RXRA::VDR 0.136126491 \n", "MA0077.1_SOX9 -0.091687624 \n", "MA0081.1_SPIB 0.111059925 \n", "MA0084.1_SRY 0.055714174 \n", "MA0091.1_TAL1::TCF3 -0.189915150 \n", "MA0101.1_REL 0.027136830 \n", "MA0107.1_RELA 0.043265773 \n", "MA0115.1_NR1H2::RXRA -0.026663729 \n", "MA0119.1_NFIC::TLX1 -0.107461323 \n", "MA0130.1_ZNF354C 0.041887717 \n", "MA0139.1_CTCF 0.003001482 \n", "MA0149.1_EWSR1-FLI1 0.022608652 \n", "MA0138.2_REST 0.010847133 \n", "MA0152.1_NFATC2 -0.022973182 \n", "MA0155.1_INSM1 -0.003204395 \n", "MA0158.1_HOXA5 -0.092134545 \n", "MA0159.1_RARA::RXRA 0.005022977 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.025111198 \n", "MA0872.1_TFAP2A(var.3) 0.004217702 \n", "MA0028.2_ELK1 0.071195234 \n", "MA0873.1_HOXD12 0.014240695 \n", "MA0875.1_BARX1 0.104463375 \n", "MA0876.1_BSX -0.113226000 \n", "MA0878.1_CDX1 -0.035327068 \n", "MA0882.1_DLX6 0.079550798 \n", "MA0884.1_DUXA 0.016655417 \n", "MA0886.1_EMX2 0.002054146 \n", "MA0887.1_EVX1 0.043848976 \n", "MA0888.1_EVX2 0.061869559 \n", "MA0889.1_GBX1 0.098686322 \n", "MA0890.1_GBX2 -0.005108518 \n", "MA0891.1_GSC2 -0.170632733 \n", "MA0892.1_GSX1 -0.001945899 \n", "MA0893.1_GSX2 -0.017679078 \n", "MA0894.1_HESX1 0.078725864 \n", "MA0895.1_HMBOX1 -0.031663140 \n", "MA0899.1_HOXA10 -0.040560401 \n", "MA0900.1_HOXA2 0.053500945 \n", "MA0901.1_HOXB13 0.001604744 \n", "MA0902.1_HOXB2 0.042019371 \n", "MA0903.1_HOXB3 -0.026890501 \n", "MA0905.1_HOXC10 -0.098363605 \n", "MA0906.1_HOXC12 -0.012364093 \n", "MA0907.1_HOXC13 0.058372060 \n", "MA0908.1_HOXD11 -0.007717135 \n", "MA0909.1_HOXD13 -0.003364388 \n", "MA0914.1_ISL2 0.033454774 \n", " singles-PB1022-mono-160128-83\n", "MA0025.1_NFIL3 0.242564130 \n", "MA0030.1_FOXF2 -0.036818578 \n", "MA0031.1_FOXD1 0.130188834 \n", "MA0051.1_IRF2 0.049456672 \n", "MA0056.1_MZF1 0.002283871 \n", "MA0057.1_MZF1(var.2) 0.019596763 \n", "MA0059.1_MAX::MYC -0.012841728 \n", "MA0066.1_PPARG 0.003586521 \n", "MA0069.1_Pax6 0.070016785 \n", "MA0070.1_PBX1 -0.026653248 \n", "MA0071.1_RORA 0.032071758 \n", "MA0072.1_RORA(var.2) -0.006816712 \n", "MA0073.1_RREB1 -0.083548654 \n", "MA0074.1_RXRA::VDR 0.060952190 \n", "MA0077.1_SOX9 0.036839891 \n", "MA0081.1_SPIB 0.120802182 \n", "MA0084.1_SRY 0.008083941 \n", "MA0091.1_TAL1::TCF3 -0.250985855 \n", "MA0101.1_REL 0.135703176 \n", "MA0107.1_RELA 0.148288436 \n", "MA0115.1_NR1H2::RXRA 0.126443191 \n", "MA0119.1_NFIC::TLX1 -0.032576949 \n", "MA0130.1_ZNF354C -0.002657542 \n", "MA0139.1_CTCF 0.011837176 \n", "MA0149.1_EWSR1-FLI1 0.028311361 \n", "MA0138.2_REST -0.055489337 \n", "MA0152.1_NFATC2 -0.027936512 \n", "MA0155.1_INSM1 -0.056427787 \n", "MA0158.1_HOXA5 0.063693809 \n", "MA0159.1_RARA::RXRA 0.024019975 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.09480519 \n", "MA0872.1_TFAP2A(var.3) -0.01487784 \n", "MA0028.2_ELK1 0.01249072 \n", "MA0873.1_HOXD12 -0.02150113 \n", "MA0875.1_BARX1 0.17533939 \n", "MA0876.1_BSX 0.12343328 \n", "MA0878.1_CDX1 -0.18870704 \n", "MA0882.1_DLX6 0.05306252 \n", "MA0884.1_DUXA 0.13678554 \n", "MA0886.1_EMX2 0.13022404 \n", "MA0887.1_EVX1 0.11044349 \n", "MA0888.1_EVX2 0.07403763 \n", "MA0889.1_GBX1 0.21036080 \n", "MA0890.1_GBX2 0.15891958 \n", "MA0891.1_GSC2 -0.07016214 \n", "MA0892.1_GSX1 0.05355951 \n", "MA0893.1_GSX2 0.16953593 \n", "MA0894.1_HESX1 0.08088502 \n", "MA0895.1_HMBOX1 -0.12259399 \n", "MA0899.1_HOXA10 -0.08388539 \n", "MA0900.1_HOXA2 0.19584846 \n", "MA0901.1_HOXB13 -0.02106152 \n", "MA0902.1_HOXB2 0.07367793 \n", "MA0903.1_HOXB3 0.05791327 \n", "MA0905.1_HOXC10 -0.10356298 \n", "MA0906.1_HOXC12 0.06858168 \n", "MA0907.1_HOXC13 -0.01644511 \n", "MA0908.1_HOXD11 -0.02512356 \n", "MA0909.1_HOXD13 -0.01562135 \n", "MA0914.1_ISL2 -0.02498390 \n", " singles-PB1022-mono-160128-84\n", "MA0025.1_NFIL3 0.168850335 \n", "MA0030.1_FOXF2 0.077240782 \n", "MA0031.1_FOXD1 -0.152699447 \n", "MA0051.1_IRF2 -0.018141407 \n", "MA0056.1_MZF1 0.046608515 \n", "MA0057.1_MZF1(var.2) -0.015524691 \n", "MA0059.1_MAX::MYC -0.011199335 \n", "MA0066.1_PPARG -0.049970714 \n", "MA0069.1_Pax6 0.131576982 \n", "MA0070.1_PBX1 -0.308763783 \n", "MA0071.1_RORA 0.001364582 \n", "MA0072.1_RORA(var.2) 0.234636534 \n", "MA0073.1_RREB1 -0.088336074 \n", "MA0074.1_RXRA::VDR -0.036067086 \n", "MA0077.1_SOX9 -0.098733305 \n", "MA0081.1_SPIB 0.115758194 \n", "MA0084.1_SRY -0.091123898 \n", "MA0091.1_TAL1::TCF3 -0.088928000 \n", "MA0101.1_REL 0.207937948 \n", "MA0107.1_RELA 0.219681585 \n", "MA0115.1_NR1H2::RXRA 0.232336631 \n", "MA0119.1_NFIC::TLX1 -0.054099073 \n", "MA0130.1_ZNF354C 0.039154796 \n", "MA0139.1_CTCF -0.186159223 \n", "MA0149.1_EWSR1-FLI1 0.023741123 \n", "MA0138.2_REST -0.018159366 \n", "MA0152.1_NFATC2 0.017534863 \n", "MA0155.1_INSM1 -0.122835750 \n", "MA0158.1_HOXA5 0.148613670 \n", "MA0159.1_RARA::RXRA -0.108585558 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.170341794 \n", "MA0872.1_TFAP2A(var.3) 0.142437200 \n", "MA0028.2_ELK1 0.024116053 \n", "MA0873.1_HOXD12 0.051394784 \n", "MA0875.1_BARX1 -0.095297295 \n", "MA0876.1_BSX 0.047916446 \n", "MA0878.1_CDX1 -0.026005761 \n", "MA0882.1_DLX6 0.028733518 \n", "MA0884.1_DUXA -0.167209078 \n", "MA0886.1_EMX2 0.337070516 \n", "MA0887.1_EVX1 -0.016126274 \n", "MA0888.1_EVX2 0.170256435 \n", "MA0889.1_GBX1 0.062226493 \n", "MA0890.1_GBX2 -0.043122026 \n", "MA0891.1_GSC2 -0.214354095 \n", "MA0892.1_GSX1 -0.050344854 \n", "MA0893.1_GSX2 0.080179568 \n", "MA0894.1_HESX1 0.022996390 \n", "MA0895.1_HMBOX1 -0.172540260 \n", "MA0899.1_HOXA10 -0.111314662 \n", "MA0900.1_HOXA2 -0.017725461 \n", "MA0901.1_HOXB13 -0.035023210 \n", "MA0902.1_HOXB2 0.125409784 \n", "MA0903.1_HOXB3 0.093189438 \n", "MA0905.1_HOXC10 0.007708063 \n", "MA0906.1_HOXC12 0.133583848 \n", "MA0907.1_HOXC13 -0.009450912 \n", "MA0908.1_HOXD11 0.107187362 \n", "MA0909.1_HOXD13 -0.024379797 \n", "MA0914.1_ISL2 0.109909832 \n", " singles-PB1022-mono-160128-85\n", "MA0025.1_NFIL3 1.563188e-01 \n", "MA0030.1_FOXF2 -7.383318e-02 \n", "MA0031.1_FOXD1 2.360461e-02 \n", "MA0051.1_IRF2 1.653424e-01 \n", "MA0056.1_MZF1 -1.946971e-02 \n", "MA0057.1_MZF1(var.2) 9.426235e-03 \n", "MA0059.1_MAX::MYC -2.066885e-02 \n", "MA0066.1_PPARG 3.204046e-02 \n", "MA0069.1_Pax6 -9.746760e-02 \n", "MA0070.1_PBX1 -3.523839e-02 \n", "MA0071.1_RORA 1.368786e-01 \n", "MA0072.1_RORA(var.2) 5.305329e-02 \n", "MA0073.1_RREB1 -3.136272e-02 \n", "MA0074.1_RXRA::VDR -1.139785e-02 \n", "MA0077.1_SOX9 3.322150e-02 \n", "MA0081.1_SPIB 9.815750e-02 \n", "MA0084.1_SRY 4.734624e-02 \n", "MA0091.1_TAL1::TCF3 -1.740693e-01 \n", "MA0101.1_REL 7.758215e-02 \n", "MA0107.1_RELA 1.973664e-02 \n", "MA0115.1_NR1H2::RXRA 9.562221e-02 \n", "MA0119.1_NFIC::TLX1 -6.042293e-02 \n", "MA0130.1_ZNF354C 3.151837e-02 \n", "MA0139.1_CTCF -4.050256e-02 \n", "MA0149.1_EWSR1-FLI1 9.850244e-03 \n", "MA0138.2_REST -7.524059e-02 \n", "MA0152.1_NFATC2 -1.449250e-02 \n", "MA0155.1_INSM1 -2.789429e-02 \n", "MA0158.1_HOXA5 -9.621540e-02 \n", "MA0159.1_RARA::RXRA 5.863554e-05 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 1.626320e-01 \n", "MA0872.1_TFAP2A(var.3) -2.679264e-02 \n", "MA0028.2_ELK1 1.064639e-01 \n", "MA0873.1_HOXD12 -7.473627e-02 \n", "MA0875.1_BARX1 -4.780962e-06 \n", "MA0876.1_BSX 5.287371e-02 \n", "MA0878.1_CDX1 -6.307832e-02 \n", "MA0882.1_DLX6 -1.251831e-02 \n", "MA0884.1_DUXA 1.557974e-01 \n", "MA0886.1_EMX2 -5.974743e-02 \n", "MA0887.1_EVX1 -7.293367e-02 \n", "MA0888.1_EVX2 -6.031878e-02 \n", "MA0889.1_GBX1 -3.086634e-02 \n", "MA0890.1_GBX2 -3.344937e-02 \n", "MA0891.1_GSC2 1.739894e-02 \n", "MA0892.1_GSX1 -3.782962e-02 \n", "MA0893.1_GSX2 -4.975284e-02 \n", "MA0894.1_HESX1 4.026856e-03 \n", "MA0895.1_HMBOX1 1.020798e-02 \n", "MA0899.1_HOXA10 -4.249689e-02 \n", "MA0900.1_HOXA2 -5.107058e-02 \n", "MA0901.1_HOXB13 1.568107e-02 \n", "MA0902.1_HOXB2 -4.836746e-02 \n", "MA0903.1_HOXB3 1.555975e-02 \n", "MA0905.1_HOXC10 -8.447346e-02 \n", "MA0906.1_HOXC12 3.243726e-02 \n", "MA0907.1_HOXC13 -6.110267e-02 \n", "MA0908.1_HOXD11 -2.045897e-02 \n", "MA0909.1_HOXD13 2.638071e-02 \n", "MA0914.1_ISL2 5.962488e-02 \n", " singles-PB1022-mono-160128-86\n", "MA0025.1_NFIL3 0.153548082 \n", "MA0030.1_FOXF2 -0.038127675 \n", "MA0031.1_FOXD1 0.087566273 \n", "MA0051.1_IRF2 0.103547945 \n", "MA0056.1_MZF1 0.018550620 \n", "MA0057.1_MZF1(var.2) 0.009220927 \n", "MA0059.1_MAX::MYC 0.025627562 \n", "MA0066.1_PPARG 0.053691540 \n", "MA0069.1_Pax6 -0.068999174 \n", "MA0070.1_PBX1 -0.081182414 \n", "MA0071.1_RORA 0.070271408 \n", "MA0072.1_RORA(var.2) 0.022524760 \n", "MA0073.1_RREB1 -0.038228954 \n", "MA0074.1_RXRA::VDR 0.051561336 \n", "MA0077.1_SOX9 0.036920918 \n", "MA0081.1_SPIB 0.149837277 \n", "MA0084.1_SRY -0.060433096 \n", "MA0091.1_TAL1::TCF3 -0.173615759 \n", "MA0101.1_REL 0.035182539 \n", "MA0107.1_RELA 0.052150629 \n", "MA0115.1_NR1H2::RXRA 0.101288777 \n", "MA0119.1_NFIC::TLX1 -0.046060535 \n", "MA0130.1_ZNF354C -0.020107028 \n", "MA0139.1_CTCF -0.037795075 \n", "MA0149.1_EWSR1-FLI1 0.037097598 \n", "MA0138.2_REST -0.051693139 \n", "MA0152.1_NFATC2 -0.015293550 \n", "MA0155.1_INSM1 -0.038054714 \n", "MA0158.1_HOXA5 0.042954827 \n", "MA0159.1_RARA::RXRA 0.020895094 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.178122385 \n", "MA0872.1_TFAP2A(var.3) -0.044256494 \n", "MA0028.2_ELK1 0.068349490 \n", "MA0873.1_HOXD12 -0.079886650 \n", "MA0875.1_BARX1 0.047199080 \n", "MA0876.1_BSX 0.077211191 \n", "MA0878.1_CDX1 -0.119610592 \n", "MA0882.1_DLX6 -0.011272027 \n", "MA0884.1_DUXA 0.116524423 \n", "MA0886.1_EMX2 -0.089453600 \n", "MA0887.1_EVX1 -0.093493360 \n", "MA0888.1_EVX2 -0.124691393 \n", "MA0889.1_GBX1 0.033364485 \n", "MA0890.1_GBX2 0.053139315 \n", "MA0891.1_GSC2 -0.035529368 \n", "MA0892.1_GSX1 0.066565034 \n", "MA0893.1_GSX2 0.006083594 \n", "MA0894.1_HESX1 0.047115292 \n", "MA0895.1_HMBOX1 0.091788159 \n", "MA0899.1_HOXA10 -0.047333761 \n", "MA0900.1_HOXA2 -0.114169090 \n", "MA0901.1_HOXB13 -0.025621563 \n", "MA0902.1_HOXB2 -0.026967896 \n", "MA0903.1_HOXB3 0.024565860 \n", "MA0905.1_HOXC10 -0.091825060 \n", "MA0906.1_HOXC12 -0.032438496 \n", "MA0907.1_HOXC13 -0.077361660 \n", "MA0908.1_HOXD11 -0.072442160 \n", "MA0909.1_HOXD13 0.033390464 \n", "MA0914.1_ISL2 -0.068120285 \n", " singles-PB1022-mono-160128-89\n", "MA0025.1_NFIL3 -0.01677525 \n", "MA0030.1_FOXF2 -0.28104413 \n", "MA0031.1_FOXD1 -0.19234792 \n", "MA0051.1_IRF2 0.02895408 \n", "MA0056.1_MZF1 0.05927516 \n", "MA0057.1_MZF1(var.2) 0.01582054 \n", "MA0059.1_MAX::MYC 0.15034220 \n", "MA0066.1_PPARG -0.09360979 \n", "MA0069.1_Pax6 -0.07635095 \n", "MA0070.1_PBX1 -0.34846124 \n", "MA0071.1_RORA 0.37209942 \n", "MA0072.1_RORA(var.2) 0.39992460 \n", "MA0073.1_RREB1 -0.07789600 \n", "MA0074.1_RXRA::VDR 0.16646612 \n", "MA0077.1_SOX9 -0.04117830 \n", "MA0081.1_SPIB 0.25288784 \n", "MA0084.1_SRY -0.11885097 \n", "MA0091.1_TAL1::TCF3 -0.31764023 \n", "MA0101.1_REL -0.01358166 \n", "MA0107.1_RELA -0.06203584 \n", "MA0115.1_NR1H2::RXRA 0.32397082 \n", "MA0119.1_NFIC::TLX1 -0.02838627 \n", "MA0130.1_ZNF354C -0.08711687 \n", "MA0139.1_CTCF -0.04942765 \n", "MA0149.1_EWSR1-FLI1 0.09179507 \n", "MA0138.2_REST 0.02739262 \n", "MA0152.1_NFATC2 -0.05483907 \n", "MA0155.1_INSM1 0.05954874 \n", "MA0158.1_HOXA5 -0.24200716 \n", "MA0159.1_RARA::RXRA 0.17974046 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.203757841 \n", "MA0872.1_TFAP2A(var.3) -0.027247165 \n", "MA0028.2_ELK1 0.024416750 \n", "MA0873.1_HOXD12 0.286152440 \n", "MA0875.1_BARX1 -0.069593920 \n", "MA0876.1_BSX -0.030837194 \n", "MA0878.1_CDX1 -0.084402100 \n", "MA0882.1_DLX6 0.188056903 \n", "MA0884.1_DUXA 0.142077847 \n", "MA0886.1_EMX2 -0.076449419 \n", "MA0887.1_EVX1 -0.264712384 \n", "MA0888.1_EVX2 -0.129809617 \n", "MA0889.1_GBX1 -0.127375705 \n", "MA0890.1_GBX2 -0.090281113 \n", "MA0891.1_GSC2 -0.008983777 \n", "MA0892.1_GSX1 -0.154420988 \n", "MA0893.1_GSX2 -0.013246876 \n", "MA0894.1_HESX1 -0.096270633 \n", "MA0895.1_HMBOX1 0.004989367 \n", "MA0899.1_HOXA10 0.019586340 \n", "MA0900.1_HOXA2 -0.033089155 \n", "MA0901.1_HOXB13 0.180161201 \n", "MA0902.1_HOXB2 -0.278648211 \n", "MA0903.1_HOXB3 -0.181278486 \n", "MA0905.1_HOXC10 0.240265212 \n", "MA0906.1_HOXC12 -0.008387730 \n", "MA0907.1_HOXC13 0.236760406 \n", "MA0908.1_HOXD11 0.198908553 \n", "MA0909.1_HOXD13 0.123331687 \n", "MA0914.1_ISL2 -0.072155511 \n", " singles-PB1022-mono-160128-90\n", "MA0025.1_NFIL3 0.1003697868 \n", "MA0030.1_FOXF2 -0.0354117096 \n", "MA0031.1_FOXD1 0.0799305100 \n", "MA0051.1_IRF2 0.0605930663 \n", "MA0056.1_MZF1 -0.0099887530 \n", "MA0057.1_MZF1(var.2) 0.0356467673 \n", "MA0059.1_MAX::MYC 0.0045406819 \n", "MA0066.1_PPARG -0.0001362711 \n", "MA0069.1_Pax6 -0.1544655950 \n", "MA0070.1_PBX1 -0.1676740202 \n", "MA0071.1_RORA 0.1294124568 \n", "MA0072.1_RORA(var.2) 0.0049094884 \n", "MA0073.1_RREB1 -0.0457038867 \n", "MA0074.1_RXRA::VDR 0.1172426665 \n", "MA0077.1_SOX9 0.0892446598 \n", "MA0081.1_SPIB 0.0816969402 \n", "MA0084.1_SRY 0.0500990088 \n", "MA0091.1_TAL1::TCF3 -0.1889441892 \n", "MA0101.1_REL 0.1738854922 \n", "MA0107.1_RELA 0.1437677410 \n", "MA0115.1_NR1H2::RXRA 0.0703086918 \n", "MA0119.1_NFIC::TLX1 -0.0809439643 \n", "MA0130.1_ZNF354C -0.0061819309 \n", "MA0139.1_CTCF -0.0210662435 \n", "MA0149.1_EWSR1-FLI1 0.0352917531 \n", "MA0138.2_REST -0.0236165246 \n", "MA0152.1_NFATC2 -0.0135917780 \n", "MA0155.1_INSM1 -0.0152485958 \n", "MA0158.1_HOXA5 0.0107650676 \n", "MA0159.1_RARA::RXRA 0.0591855849 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.088990410 \n", "MA0872.1_TFAP2A(var.3) -0.023691035 \n", "MA0028.2_ELK1 0.045532742 \n", "MA0873.1_HOXD12 -0.221184463 \n", "MA0875.1_BARX1 0.015014061 \n", "MA0876.1_BSX -0.021315180 \n", "MA0878.1_CDX1 -0.059148939 \n", "MA0882.1_DLX6 -0.041320742 \n", "MA0884.1_DUXA 0.038267190 \n", "MA0886.1_EMX2 -0.062498697 \n", "MA0887.1_EVX1 -0.065748170 \n", "MA0888.1_EVX2 -0.109097668 \n", "MA0889.1_GBX1 0.013264035 \n", "MA0890.1_GBX2 0.028775147 \n", "MA0891.1_GSC2 0.006479997 \n", "MA0892.1_GSX1 0.033589418 \n", "MA0893.1_GSX2 -0.024221534 \n", "MA0894.1_HESX1 -0.049171920 \n", "MA0895.1_HMBOX1 0.020798047 \n", "MA0899.1_HOXA10 -0.021337717 \n", "MA0900.1_HOXA2 -0.014936717 \n", "MA0901.1_HOXB13 -0.060765002 \n", "MA0902.1_HOXB2 -0.067825862 \n", "MA0903.1_HOXB3 -0.048360870 \n", "MA0905.1_HOXC10 -0.159000346 \n", "MA0906.1_HOXC12 -0.003906886 \n", "MA0907.1_HOXC13 -0.016906352 \n", "MA0908.1_HOXD11 -0.141408078 \n", "MA0909.1_HOXD13 -0.022609340 \n", "MA0914.1_ISL2 -0.047982981 \n", " singles-PB1022-mono-160128-95\n", "MA0025.1_NFIL3 0.128253618 \n", "MA0030.1_FOXF2 0.097489307 \n", "MA0031.1_FOXD1 -0.043302657 \n", "MA0051.1_IRF2 0.019730997 \n", "MA0056.1_MZF1 0.018046868 \n", "MA0057.1_MZF1(var.2) -0.064516525 \n", "MA0059.1_MAX::MYC 0.066166860 \n", "MA0066.1_PPARG 0.048281223 \n", "MA0069.1_Pax6 0.162426458 \n", "MA0070.1_PBX1 -0.150369897 \n", "MA0071.1_RORA 0.079454447 \n", "MA0072.1_RORA(var.2) 0.010510019 \n", "MA0073.1_RREB1 -0.046970581 \n", "MA0074.1_RXRA::VDR -0.030598785 \n", "MA0077.1_SOX9 -0.024967589 \n", "MA0081.1_SPIB 0.054179010 \n", "MA0084.1_SRY 0.126885127 \n", "MA0091.1_TAL1::TCF3 -0.072286127 \n", "MA0101.1_REL 0.199881111 \n", "MA0107.1_RELA 0.120571957 \n", "MA0115.1_NR1H2::RXRA 0.150743932 \n", "MA0119.1_NFIC::TLX1 -0.020171724 \n", "MA0130.1_ZNF354C -0.098337973 \n", "MA0139.1_CTCF 0.007047290 \n", "MA0149.1_EWSR1-FLI1 0.034020206 \n", "MA0138.2_REST 0.005004647 \n", "MA0152.1_NFATC2 -0.061295643 \n", "MA0155.1_INSM1 0.028442210 \n", "MA0158.1_HOXA5 0.096706404 \n", "MA0159.1_RARA::RXRA -0.007587204 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.07601572 \n", "MA0872.1_TFAP2A(var.3) 0.02192153 \n", "MA0028.2_ELK1 0.13277979 \n", "MA0873.1_HOXD12 -0.24178625 \n", "MA0875.1_BARX1 0.04666739 \n", "MA0876.1_BSX 0.03776577 \n", "MA0878.1_CDX1 -0.22935981 \n", "MA0882.1_DLX6 -0.04961374 \n", "MA0884.1_DUXA 0.02782098 \n", "MA0886.1_EMX2 -0.03986776 \n", "MA0887.1_EVX1 0.04210924 \n", "MA0888.1_EVX2 0.04257975 \n", "MA0889.1_GBX1 0.11335225 \n", "MA0890.1_GBX2 0.12896070 \n", "MA0891.1_GSC2 0.30648530 \n", "MA0892.1_GSX1 -0.25545208 \n", "MA0893.1_GSX2 -0.11985482 \n", "MA0894.1_HESX1 0.38606935 \n", "MA0895.1_HMBOX1 -0.10184126 \n", "MA0899.1_HOXA10 -0.19834757 \n", "MA0900.1_HOXA2 0.11787636 \n", "MA0901.1_HOXB13 -0.06879943 \n", "MA0902.1_HOXB2 0.05089708 \n", "MA0903.1_HOXB3 0.01048187 \n", "MA0905.1_HOXC10 -0.14469834 \n", "MA0906.1_HOXC12 -0.27523346 \n", "MA0907.1_HOXC13 -0.14936973 \n", "MA0908.1_HOXD11 -0.09387106 \n", "MA0909.1_HOXD13 -0.16636040 \n", "MA0914.1_ISL2 0.04733289 \n", " singles-PB1022-mono-160128-96\n", "MA0025.1_NFIL3 0.21343678 \n", "MA0030.1_FOXF2 0.02635869 \n", "MA0031.1_FOXD1 0.08417807 \n", "MA0051.1_IRF2 0.15465001 \n", "MA0056.1_MZF1 0.02474473 \n", "MA0057.1_MZF1(var.2) 0.03311147 \n", "MA0059.1_MAX::MYC 0.03340522 \n", "MA0066.1_PPARG 0.03802346 \n", "MA0069.1_Pax6 0.11849640 \n", "MA0070.1_PBX1 -0.11543671 \n", "MA0071.1_RORA -0.14938865 \n", "MA0072.1_RORA(var.2) -0.01564047 \n", "MA0073.1_RREB1 -0.07100112 \n", "MA0074.1_RXRA::VDR 0.13159648 \n", "MA0077.1_SOX9 0.04638439 \n", "MA0081.1_SPIB 0.09655980 \n", "MA0084.1_SRY -0.17105376 \n", "MA0091.1_TAL1::TCF3 -0.14261791 \n", "MA0101.1_REL 0.11578084 \n", "MA0107.1_RELA 0.14680822 \n", "MA0115.1_NR1H2::RXRA 0.06993282 \n", "MA0119.1_NFIC::TLX1 0.04177152 \n", "MA0130.1_ZNF354C 0.05414328 \n", "MA0139.1_CTCF -0.03570913 \n", "MA0149.1_EWSR1-FLI1 0.00638227 \n", "MA0138.2_REST -0.10107793 \n", "MA0152.1_NFATC2 -0.01045936 \n", "MA0155.1_INSM1 -0.07864131 \n", "MA0158.1_HOXA5 0.13071068 \n", "MA0159.1_RARA::RXRA 0.00937093 \n", "⋮ ⋮ \n", "MA0871.1_TFEC 0.172638622 \n", "MA0872.1_TFAP2A(var.3) -0.027958927 \n", "MA0028.2_ELK1 0.111847763 \n", "MA0873.1_HOXD12 0.189398283 \n", "MA0875.1_BARX1 -0.252774185 \n", "MA0876.1_BSX 0.179663602 \n", "MA0878.1_CDX1 0.046997707 \n", "MA0882.1_DLX6 -0.143202595 \n", "MA0884.1_DUXA 0.312905334 \n", "MA0886.1_EMX2 -0.008800940 \n", "MA0887.1_EVX1 -0.015333505 \n", "MA0888.1_EVX2 -0.127261186 \n", "MA0889.1_GBX1 0.131462642 \n", "MA0890.1_GBX2 -0.002879009 \n", "MA0891.1_GSC2 0.111480399 \n", "MA0892.1_GSX1 -0.071226383 \n", "MA0893.1_GSX2 -0.050812766 \n", "MA0894.1_HESX1 0.084930973 \n", "MA0895.1_HMBOX1 0.004429796 \n", "MA0899.1_HOXA10 0.098937271 \n", "MA0900.1_HOXA2 -0.056507366 \n", "MA0901.1_HOXB13 0.102528596 \n", "MA0902.1_HOXB2 -0.151738484 \n", "MA0903.1_HOXB3 -0.104324723 \n", "MA0905.1_HOXC10 -0.071259112 \n", "MA0906.1_HOXC12 0.139909410 \n", "MA0907.1_HOXC13 0.058169324 \n", "MA0908.1_HOXD11 0.019470691 \n", "MA0909.1_HOXD13 0.086615687 \n", "MA0914.1_ISL2 -0.029793195 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df_zscores" ] }, { "cell_type": "code", "execution_count": 37, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "R version 3.5.1 (2018-07-02)\n", "Platform: x86_64-conda_cos6-linux-gnu (64-bit)\n", "Running under: CentOS Linux 7 (Core)\n", "\n", "Matrix products: default\n", "BLAS/LAPACK: /data/pinello/SHARED_SOFTWARE/anaconda3/envs/ATACseq_chromVAR/lib/R/lib/libRblas.so\n", "\n", "locale:\n", " [1] LC_CTYPE=en_US.UTF-8 LC_NUMERIC=C \n", " [3] LC_TIME=en_US.UTF-8 LC_COLLATE=en_US.UTF-8 \n", " [5] LC_MONETARY=en_US.UTF-8 LC_MESSAGES=en_US.UTF-8 \n", " [7] LC_PAPER=en_US.UTF-8 LC_NAME=C \n", " [9] LC_ADDRESS=C LC_TELEPHONE=C \n", "[11] LC_MEASUREMENT=en_US.UTF-8 LC_IDENTIFICATION=C \n", "\n", "attached base packages:\n", "[1] parallel stats4 stats graphics grDevices utils datasets \n", "[8] methods base \n", "\n", "other attached packages:\n", " [1] BSgenome.Hsapiens.UCSC.hg19_1.4.0 BSgenome_1.50.0 \n", " [3] rtracklayer_1.42.2 Biostrings_2.50.2 \n", " [5] XVector_0.22.0 JASPAR2016_1.10.0 \n", " [7] SummarizedExperiment_1.12.0 DelayedArray_0.8.0 \n", " [9] BiocParallel_1.16.6 matrixStats_0.54.0 \n", "[11] Biobase_2.42.0 GenomicRanges_1.34.0 \n", "[13] GenomeInfoDb_1.18.2 IRanges_2.16.0 \n", "[15] S4Vectors_0.20.1 BiocGenerics_0.28.0 \n", "[17] Matrix_1.2-17 motifmatchr_1.4.0 \n", "[19] chromVAR_1.4.1 \n", "\n", "loaded via a namespace (and not attached):\n", " [1] bitops_1.0-6 DirichletMultinomial_1.24.1\n", " [3] TFBSTools_1.20.0 bit64_0.9-7 \n", " [5] httr_1.4.0 repr_0.19.2 \n", " [7] tools_3.5.1 R6_2.4.0 \n", " [9] DT_0.5 seqLogo_1.48.0 \n", "[11] DBI_1.0.0 lazyeval_0.2.2 \n", "[13] colorspace_1.4-1 tidyselect_0.2.5 \n", "[15] bit_1.1-14 compiler_3.5.1 \n", "[17] plotly_4.8.0 labeling_0.3 \n", "[19] caTools_1.17.1.2 scales_1.0.0 \n", "[21] readr_1.3.1 nabor_0.5.0 \n", "[23] pbdZMQ_0.3-3 stringr_1.4.0 \n", "[25] digest_0.6.18 Rsamtools_1.34.1 \n", "[27] R.utils_2.8.0 base64enc_0.1-3 \n", "[29] pkgconfig_2.0.2 htmltools_0.3.6 \n", "[31] htmlwidgets_1.3 rlang_0.3.3 \n", "[33] RSQLite_2.1.1 VGAM_1.1-1 \n", "[35] shiny_1.2.0 jsonlite_1.6 \n", "[37] gtools_3.8.1 dplyr_0.8.0.1 \n", "[39] R.oo_1.22.0 RCurl_1.95-4.12 \n", "[41] magrittr_1.5 GO.db_3.7.0 \n", "[43] GenomeInfoDbData_1.2.0 Rcpp_1.0.1 \n", "[45] IRkernel_0.8.15 munsell_0.5.0 \n", "[47] R.methodsS3_1.7.1 stringi_1.4.3 \n", "[49] zlibbioc_1.28.0 Rtsne_0.15 \n", "[51] plyr_1.8.4 grid_3.5.1 \n", "[53] blob_1.1.1 promises_1.0.1 \n", "[55] crayon_1.3.4 miniUI_0.1.1.1 \n", "[57] CNEr_1.18.1 lattice_0.20-38 \n", "[59] IRdisplay_0.7.0 splines_3.5.1 \n", "[61] annotate_1.60.1 hms_0.4.2 \n", "[63] KEGGREST_1.22.0 pillar_1.3.1 \n", "[65] uuid_0.1-2 codetools_0.2-16 \n", "[67] reshape2_1.4.3 TFMPvalue_0.0.8 \n", "[69] XML_3.98-1.19 glue_1.3.1 \n", "[71] evaluate_0.13 data.table_1.12.0 \n", "[73] png_0.1-7 httpuv_1.4.5.1 \n", "[75] tidyr_0.8.3 gtable_0.3.0 \n", "[77] poweRlaw_0.70.2 purrr_0.3.2 \n", "[79] assertthat_0.2.1 ggplot2_3.1.0 \n", "[81] mime_0.6 xtable_1.8-3 \n", "[83] later_0.8.0 viridisLite_0.3.0 \n", "[85] tibble_2.1.1 GenomicAlignments_1.18.1 \n", "[87] AnnotationDbi_1.44.0 memoise_1.1.0 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sessionInfo()" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [], "source": [ "save.image(file = 'chromVAR_buenrostro2018bulkpeaks_motifs.RData')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "R [conda env:ATACseq_chromVAR]", "language": "R", "name": "conda-env-ATACseq_chromVAR-r" }, "language_info": { "codemirror_mode": "r", "file_extension": ".r", "mimetype": "text/x-r-source", "name": "R", "pygments_lexer": "r", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 2 }