{ "metadata": { "name": "bold_QC" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Playing with time series images - Part I: quality check " ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Converting Deanna's dicom file:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "%pylab inline\n", "import nibabel as nib" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Welcome to pylab, a matplotlib-based Python environment [backend: module://IPython.kernel.zmq.pylab.backend_inline].\n", "For more information, type 'help(pylab)'.\n" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "import nibabel" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np\n", "import nibabel as nib\n", "import nibabel.nicom as nic\n", "import scipy as sc\n", "import os\n", "from os.path import join as pjoin\n", "\n", "os.path.realpath(nib.__file__)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stderr", "text": [ "-c:3: UserWarning: The DICOM readers are highly experimental, unstable, and only work for Siemens time-series at the moment\n", "Please use with caution. We would be grateful for your help in improving them\n" ] }, { "output_type": "pyout", "prompt_number": 4, "text": [ "'/home/jb/.local/lib/python2.7/site-packages/nibabel/__init__.pyc'" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "pwd" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 5, "text": [ "u'/home/jb/data/qcpna'" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "CURDIR = os.path.abspath(os.path.curdir)\n", "ddata = os.path.join(CURDIR,\"bolddata\")\n", "print os.listdir(ddata)\n", "print os.listdir(CURDIR)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "['smallbold.nii.gz', 'epi_01', 'dimg.nii.gz', 'bold.nii.gz']\n", "['bold_QC_teacher.ipynb', 'bolddata', 'bold_QC.ipynb']\n" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "%alias\n", "# install mricron ... \n", "# change to directory with epi_01 : os.chdir( pjoin(CURDIR,bolddata) )\n", "#!dcm2nii epi_01\n", "# move back : os.chdir( CURDIR )" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Total number of aliases: 12\n" ] }, { "output_type": "pyout", "prompt_number": 7, "text": [ "[('cat', 'cat'),\n", " ('cp', 'cp -i'),\n", " ('ldir', 'ls -F -o --color %l | grep /$'),\n", " ('lf', 'ls -F -o --color %l | grep ^-'),\n", " ('lk', 'ls -F -o --color %l | grep ^l'),\n", " ('ll', 'ls -F -o --color'),\n", " ('ls', 'ls -F --color'),\n", " ('lx', 'ls -F -o --color %l | grep ^-..x'),\n", " ('mkdir', 'mkdir'),\n", " ('mv', 'mv -i'),\n", " ('rm', 'rm -i'),\n", " ('rmdir', 'rmdir')]" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "ls\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "\u001b[0m\u001b[01;34mbolddata\u001b[0m/ bold_QC.ipynb bold_QC_teacher.ipynb\r\n" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "# !mv epi_01/20090421_155120EPI9613TRSAGs005a001.nii.gz dimg.nii.gz" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 9 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "We are going to use a smaller data set to get started" ] }, { "cell_type": "code", "collapsed": false, "input": [ "fimg = pjoin(ddata, \"bold.nii.gz\")\n", "print fimg\n", "img = nib.load(fimg)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "/home/jb/data/qcpna/bolddata/bold.nii.gz\n" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "print img.shape\n", "arr = img.get_data()\n", "print arr.shape" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35, 165)\n", "(64, 64, 35, 165)" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 11 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's reduce the size of this time series : take the 42 first scans" ] }, { "cell_type": "code", "collapsed": false, "input": [ "nib.nifti1.Nifti1Image?" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "small_arr = arr[:,:,:,:42]\n", "print small_arr.shape\n", "\n", "aff = img.get_affine()\n", "print aff\n", "#\n", "fname_small_img = pjoin(ddata, \"smallbold.nii.gz\")\n", "small_img = nib.nifti1.Nifti1Image(small_arr, aff)\n", "nib.save(small_img, fname_small_img)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35, 42)\n", "[[ 3. 0. 0. -93. ]\n", " [ 0. 3. 0. -103.41815186]\n", " [ 0. 0. 3. -45.47966003]\n", " [ 0. 0. 0. 1. ]]\n" ] } ], "prompt_number": 13 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Check that our small time series image is ok: " ] }, { "cell_type": "code", "collapsed": false, "input": [ "plt.gray()\n", "print fname_small_img\n", "simg = nib.load(fname_small_img)\n", "imshow(simg.get_data()[:,:,17,5])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "/home/jb/data/qcpna/bolddata/smallbold.nii.gz\n" ] }, { "output_type": "pyout", "prompt_number": 14, "text": [ "" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAP0AAAD7CAYAAAChbJLhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(simg.get_data()[24,12,17,:])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 15, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAD9CAYAAAC2l2x5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nUxLwQgjhpyTghRDCT/1/c2lX5EZ3wDIAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 15 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Variance of the images across TR: " ] }, { "cell_type": "code", "collapsed": false, "input": [ "arr = simg.get_data()\n", "n_scans = arr.shape[3]\n", "#\n", "temporal_mean = arr.mean(axis=3)\n", "print temporal_mean.shape\n", "print temporal_mean.mean()\n", "print arr.mean()\n", "#\n", "imshow(simg.get_data()[:,:,17,5] - temporal_mean[:,:,17])\n", "\n", "print \"temporal_mean[:,:,1].shape : \", temporal_mean[:,:,1].shape" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35)\n", "273.428762921\n", "273.428762921" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "temporal_mean[:,:,1].shape : (64, 64)\n" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAP0AAAD7CAYAAAChbJLhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "t = 12\n", "arr12 = temporal_mean - arr[:,:,:,t]\n", "print arr12.shape\n", "arr12sq = arr12**2\n", "print arr12sq.shape\n", "print np.sqrt(arr12sq.mean())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35)\n", "(64, 64, 35)\n", "15.4273905419\n" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "rms = np.zeros(n_scans) # initialize an array to hold our rms values\n", "for t in range(n_scans):\n", " squared_difference = (temporal_mean - arr[:,:,:,t])**2\n", " rms[t] = np.sqrt(np.mean(squared_difference))\n", "plt.plot(rms)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 18, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXIAAAD9CAYAAAChtfywAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCI5Au3ZtwgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "ashape = np.asarray(arr.shape)\n", "\n", "print ashape, 64*64*35, ashape[:3].prod()\n", "print ashape[:3].prod(),ashape[3]\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[64 64 35 42] 143360 143360\n", "143360 42\n" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "# another way ?\n", "ashape = np.asarray(arr.shape)\n", "arr_reshaped = arr.reshape(ashape[:3].prod(),ashape[3])\n", "print arr_reshaped.shape\n", "#plot(arr_reshaped.std(axis=0))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(143360, 42)\n" ] } ], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "arr_demeaned = arr - arr.mean(axis=3)\n" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "ValueError", "evalue": "operands could not be broadcast together with shapes (64,64,35,42) (64,64,35) ", "output_type": "pyerr", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0marr_demeaned\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0marr\u001b[0m \u001b[1;33m-\u001b[0m \u001b[0marr\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmean\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m3\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mValueError\u001b[0m: operands could not be broadcast together with shapes (64,64,35,42) (64,64,35) " ] } ], "prompt_number": 21 }, { "cell_type": "markdown", "metadata": {}, "source": [ "So - that didn't work. Any idea why ? - how to correct ? " ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Getting confused - and then understanding what is reshaping" ] }, { "cell_type": "code", "collapsed": false, "input": [ "tmp = np.arange(24)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "tmp" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 23, "text": [ "array([ 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16,\n", " 17, 18, 19, 20, 21, 22, 23])" ] } ], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "tmp.shape = 2, 3*4\n", "print tmp\n", "print tmp.ravel()\n", "\n", "# reshape \n", "print tmp.reshape(3*4, 2)\n", "\n", "tmp.shape = 2, 3*4\n", "print tmp\n", "\n", "# transpose \n", "print tmp.T\n", "print tmp.T.ravel()\n", "\n", "print tmp" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[ 0 1 2 3 4 5 6 7 8 9 10 11]\n", " [12 13 14 15 16 17 18 19 20 21 22 23]]\n", "[ 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23]\n", "[[ 0 1]\n", " [ 2 3]\n", " [ 4 5]\n", " [ 6 7]\n", " [ 8 9]\n", " [10 11]\n", " [12 13]\n", " [14 15]\n", " [16 17]\n", " [18 19]\n", " [20 21]\n", " [22 23]]\n", "[[ 0 1 2 3 4 5 6 7 8 9 10 11]\n", " [12 13 14 15 16 17 18 19 20 21 22 23]]\n", "[[ 0 12]\n", " [ 1 13]\n", " [ 2 14]\n", " [ 3 15]\n", " [ 4 16]\n", " [ 5 17]\n", " [ 6 18]\n", " [ 7 19]\n", " [ 8 20]\n", " [ 9 21]\n", " [10 22]\n", " [11 23]]\n", "[ 0 12 1 13 2 14 3 15 4 16 5 17 6 18 7 19 8 20 9 21 10 22 11 23]\n", "[[ 0 1 2 3 4 5 6 7 8 9 10 11]\n", " [12 13 14 15 16 17 18 19 20 21 22 23]]\n" ] } ], "prompt_number": 53 }, { "cell_type": "code", "collapsed": false, "input": [ "# has our array changed ? \n", "tmp.shape = 2, 3, 4\n", "print tmp\n", "print tmp.ravel()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[[ 0 1 2 3]\n", " [ 4 5 6 7]\n", " [ 8 9 10 11]]\n", "\n", " [[12 13 14 15]\n", " [16 17 18 19]\n", " [20 21 22 23]]]\n", "[ 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23]\n" ] } ], "prompt_number": 58 }, { "cell_type": "code", "collapsed": false, "input": [ "print tmp[0].shape, \"\\n\", tmp[0], \"\\n\", tmp[-1] \n", "print tmp[:,:,0].shape, tmp[:,:,-1].shape, \"\\n\", tmp[:,:,0] \n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(3, 4) \n", "[[ 0 1 2 3]\n", " [ 4 5 6 7]\n", " [ 8 9 10 11]] \n", "[[12 13 14 15]\n", " [16 17 18 19]\n", " [20 21 22 23]]\n", "(2, 3) (2, 3) \n", "[[ 0 4 8]\n", " [12 16 20]]\n" ] } ], "prompt_number": 59 }, { "cell_type": "code", "collapsed": false, "input": [ "tmp.shape = 2, 3, 4\n", "print tmp\n", "\n", "tmp = tmp.reshape(4, 3, 2)\n", "# could also be done with tmp.shape = 4, 2, 3 \n", "print tmp\n", "print tmp.ravel()\n", "\n", "\n", "tmp = tmp.reshape(2, 3, 4)\n", "# could also be done with tmp.shape = 4, 2, 3 \n", "print tmp\n", "print tmp.ravel()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[[ 0 1 2 3]\n", " [ 4 5 6 7]\n", " [ 8 9 10 11]]\n", "\n", " [[12 13 14 15]\n", " [16 17 18 19]\n", " [20 21 22 23]]]\n", "[[[ 0 1]\n", " [ 2 3]\n", " [ 4 5]]\n", "\n", " [[ 6 7]\n", " [ 8 9]\n", " [10 11]]\n", "\n", " [[12 13]\n", " [14 15]\n", " [16 17]]\n", "\n", " [[18 19]\n", " [20 21]\n", " [22 23]]]\n", "[ 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23]\n", "[[[ 0 1 2 3]\n", " [ 4 5 6 7]\n", " [ 8 9 10 11]]\n", "\n", " [[12 13 14 15]\n", " [16 17 18 19]\n", " [20 21 22 23]]]\n", "[ 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23]\n" ] } ], "prompt_number": 60 }, { "cell_type": "code", "collapsed": false, "input": [ "# what does transpose do ? \n", "tmp.shape = 2, 3, 4\n", "print tmp\n", "\n", "print tmp.T.shape\n", "print tmp.T\n", "print tmp.T.ravel()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[[ 0 1 2 3]\n", " [ 4 5 6 7]\n", " [ 8 9 10 11]]\n", "\n", " [[12 13 14 15]\n", " [16 17 18 19]\n", " [20 21 22 23]]]\n", "(4, 3, 2)\n", "[[[ 0 12]\n", " [ 4 16]\n", " [ 8 20]]\n", "\n", " [[ 1 13]\n", " [ 5 17]\n", " [ 9 21]]\n", "\n", " [[ 2 14]\n", " [ 6 18]\n", " [10 22]]\n", "\n", " [[ 3 15]\n", " [ 7 19]\n", " [11 23]]]\n", "[ 0 12 4 16 8 20 1 13 5 17 9 21 2 14 6 18 10 22 3 15 7 19 11 23]\n" ] } ], "prompt_number": 61 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "And what about np.rollaxis ?" ] }, { "cell_type": "code", "collapsed": false, "input": [ "print tmp.shape, tmp.ravel()\n", "\n", "print np.rollaxis(tmp, 2, 0).shape, np.rollaxis(tmp, 2, 0).ravel()\n", "print np.rollaxis(np.rollaxis(tmp, 2, 0), 2, 1).shape, \\\n", " np.rollaxis(np.rollaxis(tmp, 2, 0), 2, 1).ravel()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(2, 3, 4) [ 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23]\n", "(4, 2, 3) [ 0 4 8 12 16 20 1 5 9 13 17 21 2 6 10 14 18 22 3 7 11 15 19 23]\n", "(4, 2, 3) [ 0 4 8 12 16 20 1 5 9 13 17 21 2 6 10 14 18 22 3 7 11 15 19 23]\n", "(4, 3, 2) [ 0 12 4 16 8 20 1 13 5 17 9 21 2 14 6 18 10 22 3 15 7 19 11 23]\n" ] } ], "prompt_number": 76 }, { "cell_type": "code", "collapsed": false, "input": [ "#if more than 3 dim\n", "tmp4d_shape = (2,3,4,5)\n", "tmp4d = np.arange(np.prod(tmp4d_shape)).reshape(tmp4d_shape)\n", "print tmp4d[0,0:2,:,:]\n", "print np.prod(tmp4d_shape)\n", "print tmp4d.T.shape\n", "print tmp4d.T[0,0:2,:,:]\n", "print tmp4d.T.ravel()[:21]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[[ 0 1 2 3 4]\n", " [ 5 6 7 8 9]\n", " [10 11 12 13 14]\n", " [15 16 17 18 19]]\n", "\n", " [[20 21 22 23 24]\n", " [25 26 27 28 29]\n", " [30 31 32 33 34]\n", " [35 36 37 38 39]]]\n", "120\n", "(5, 4, 3, 2)\n", "[[[ 0 60]\n", " [ 20 80]\n", " [ 40 100]]\n", "\n", " [[ 5 65]\n", " [ 25 85]\n", " [ 45 105]]]\n", "[ 0 60 20 80 40 100 5 65 25 85 45 105 10 70 30 90 50 110\n", " 15 75 35]\n" ] } ], "prompt_number": 63 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Now, we understand (almost) fully numpy arrays. Let's apply this powerful knowledge to our problem:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "mean_img = arr.mean(axis=3)\n", "mean_img_shape = np.asarray(mean_img.shape)\n", "print mean_img.shape, mean_img_shape\n", "\n", "tximg = arr.reshape(mean_img_shape.prod(),arr.shape[3]).T\n", "print tximg.shape\n", "\n", "# last dimension is the same: broadcasting\n", "tximg_centred = tximg - mean_img.ravel()\n", "\n", "print tximg_centred.shape\n", "print mean_img.ravel().shape\n", "\n", "#fig = figure()\n", "ax = subplots(1,1)\n", "\n", "ax[1].plot(tximg_centred.std(axis=1))\n", "ax[1].set_xlabel('TR')\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35) [64 64 35]\n", "(42, 143360)" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "(42, 143360)\n", "(143360,)\n" ] }, { "output_type": "pyout", "prompt_number": 65, "text": [ "" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXIAAAEKCAYAAAAPVd6lAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nDHIiIp1jkBMR6RyDnIhI5xjkREQ69/+xZo/EN/oNxgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 65 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Now - can we go back ?" ] }, { "cell_type": "code", "collapsed": false, "input": [ "tximg = tximg_centred + mean_img.ravel()\n", "arr2 = tximg.T.reshape(arr.shape)\n", "print (arr - arr2).max(), (arr - arr2).min()\n", "print np.allclose(arr,arr2)\n", "print np.all((arr-arr2) == 0)\n", "print np.any(arr-arr2)\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.0 " ] }, { "output_type": "stream", "stream": "stdout", "text": [ "0.0\n", "True" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "True" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "False" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 67 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Summary image ?" ] }, { "cell_type": "code", "collapsed": false, "input": [ "arr_std = arr.std(axis = 3)\n", "(fig,axes) = subplots(3,3)\n", "\n", "for i in range(3):\n", " for j in range(3):\n", " axes[i,j].imshow(arr_std[:,:,(3*i + j)*4])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAU0AAAD9CAYAAAA4TSUFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYWFhkQb+B1MKL9Byeja3AAAAAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 77 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Are there big jumps between two consecutive volumes ? " ] }, { "cell_type": "code", "collapsed": false, "input": [ "diff_arr = arr[:,:,:,:-1] - arr[:,:,:,1:] " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 78 }, { "cell_type": "code", "collapsed": false, "input": [ "print arr.shape, diff_arr.shape\n", "\n", "imagedim = np.prod(arr.shape[:3])\n", "print imagedim\n", "\n", "squarediff = (diff_arr.reshape(imagedim, arr.shape[3]-1))**2\n", "print squarediff.shape\n", "m2diff = squarediff.mean(axis=0)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35, 42) (64, 64, 35, 41)\n", "143360\n", "(143360, 41)" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 79 }, { "cell_type": "code", "collapsed": false, "input": [ "figure(num=None, figsize=(12,2))\n", "plot(m2diff)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 80, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAscAAACOCAYAAADdPqWjAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 80 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Select the biggest difference:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "print np.argmax(m2diff)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "18\n" ] } ], "prompt_number": 81 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create a vector that you would put in the design matrix" ] }, { "cell_type": "code", "collapsed": false, "input": [ "nuisance = np.zeros(n_scans)\n", "nuisance[np.argmax(m2diff)] = 1\n", "print nuisance" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[ 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0.\n", " 1. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0. 0.\n", " 0. 0. 0. 0. 0. 0.]\n" ] } ], "prompt_number": 82 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Exercice : create a volume that will show a big difference - check that the covariate is indeed taking out this volume. This is the concept of a unit test !" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Computing the variance per slice across time" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# get the variance per slice - no difference between adjacent slices\n", "ash = arr.shape\n", "print ash\n", "\n", "# slice std : mean taken within slice\n", "slice_std = arr.reshape((ash[0]*ash[1], ash[2], ash[3])).std(axis=0)\n", "slice_mean = arr.reshape((ash[0]*ash[1], ash[2], ash[3])).mean(axis=0)\n", "print slice_std.shape\n", "#(fig, axes) = subplots(1,2)\n", "#axes[0].plot(slice_std.T)\n", "#axes[1].plot(slice_mean.T)\n", "\n", "\n", "# display slice std across TR: mean taken across slice\n", "m = arr.mean(axis=3)\n", "m_shape = np.asarray(m.shape)\n", "tximg = arr.reshape(m_shape[0:3].prod(),-1)\n", "tximg_T = np.rollaxis(tximg, 0, 2)\n", "print np.rollaxis(tximg, 0, 2).shape\n", "\n", "arr_demean = tximg_T - tximg_T.mean(axis=0)\n", "arr_demean_reshaped = arr_demean.reshape((ash[-1],)+ash[:3]) \n", "print (ash[-1],)+ash[:3]\n", "print 'arr_demean_reshaped.shape', arr_demean_reshaped.shape\n", "\n", "print arr_demean_reshaped[:,32,32,17].mean()\n", "\n", "arr_demean_reshaped_std = arr_demean_reshaped.std(axis=0)\n", "\n", "plot(arr_demean_reshaped_std.reshape(64*64, ash[2]).mean(axis=0))\n", "\n", "# do it for each time ?\n", "\n", "# do it for the difference between adjacent scans ?\n", "# print diff_arr.shape\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35, 42)\n", "(35, 42)" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "(42, 143360)" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "(42, 64, 64, 35)\n", "arr_demean_reshaped.shape (42, 64, 64, 35)\n", "5.41365893912e-15\n" ] }, { "output_type": "pyout", "prompt_number": 83, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXEAAAD9CAYAAABKgkezAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nB1MRFxFxsP8H7tK8SlW2oY4AAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 83 }, { "cell_type": "code", "collapsed": false, "input": [ "import nipy.algorithms.diagnostics as nads\n", "\n", "tdiff = nads.time_slice_diffs(arr, time_axis=-1, slice_axis=-2)\n", "nads.plot_tsdiffs(tdiff)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 84, "text": [ "[,\n", " ,\n", " ,\n", " ]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAnYAAAJZCAYAAAAkrngaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "" ] } ], "prompt_number": 84 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Singular value decomposition to detect artifacts" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import scipy.linalg as lin" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 85 }, { "cell_type": "code", "collapsed": false, "input": [ "print ash\n", "tximg = arr.reshape(np.prod(ash[:3]),ash[3]).T\n", "print tximg.shape\n", "aTa = tximg.dot(tximg.T)\n", "u,s,vh = lin.svd(aTa)\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(64, 64, 35, 42)\n", "(42, 143360)" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 98 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(s)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 99, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYsAAAD9CAYAAABN7FvjAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text": [ "" ] } ], "prompt_number": 99 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(u[:,0])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 100, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAD9CAYAAABQvqc9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n", "text": [ "" ] } ], "prompt_number": 100 }, { "cell_type": "code", "collapsed": false, "input": [ "tximg_centred = tximg - tximg.mean(axis=0)\n", "print tximg_centred.shape\n", "aTa = tximg_centred.dot(tximg_centred.T)\n", "v,s,vh = lin.svd(aTa)\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(42, 143360)\n" ] } ], "prompt_number": 101 }, { "cell_type": "code", "collapsed": false, "input": [ "plot(s)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 91, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAWwAAAEECAYAAAAMOA6OAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nqLMw2DEAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 91 }, { "cell_type": "code", "collapsed": false, "input": [ "u1 = tximg_centred.T.dot(v[:,0])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 103 }, { "cell_type": "code", "collapsed": false, "input": [ "print u1.shape\n", "print tximg_centred.shape\n", "u1 = u1.reshape(ash[:3])\n", "print u1.shape\n", "imshow(u1[:,:,17])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(143360,)\n", "(42, 143360)\n", "(64, 64, 35)\n" ] }, { "output_type": "pyout", "prompt_number": 104, "text": [ "" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAP0AAAD7CAYAAAChbJLhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ng4j5NfUAAAAASUVORK5CYII=\n", "text": [ "" ] } ], "prompt_number": 104 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Exercise : write a script that replace the most suspicious scan by the average of surrounding scans " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First - write a test !" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# load the image again - to be sure\n", "fimg = pjoin(ddata, \"smallbold.nii.gz\")\n", "print fimg\n", "img = nib.load(fimg)\n", "arr = img.get_data()\n", "\n", "# increase the mean at volume 18\n", "arr[:,:,:,18] = arr[:,:,:,18] + 30\n", "\n", "def std_diff_ts(a4d, timeaxis = 'last'):\n", " \"\"\"\n", " Takes a 4d numpy array, take the diff between adjacent times, \n", " compute and returns the std of the diff volume time series\n", "\n", " Inputs\n", " ------\n", " arr4d: numpy array\n", " The array on which we compute the std of the diff time series\n", " timeaxis: integer or in ['last', 'first']\n", " The axis where the time is\n", "\n", " Outputs\n", " -------\n", " (Ntmes,) numpy array\n", " The array with the std of the diff volume time series\n", " \"\"\"\n", "# pass\n", " ash = np.asarray(a4d.shape)\n", " if len(a4d.shape) != 4:\n", " raise ValueError(\" Array is not of shape 4\")\n", " \n", " if timeaxis == 'first':\n", " timeaxis = 0\n", " if timeaxis != 'last':\n", " np.rollaxis(a4d, timeaxis, -1)\n", " \n", " print ash, ash[:3].prod(),ash[3]\n", " diff_arr = (a4d[:,:,:,:-1] - a4d[:,:,:,1:])**2\n", " diff_arr = diff_arr.reshape(ash[:3].prod(),ash[3]-1)\n", " return diff_arr.mean(axis=0)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "/home/jb/data/qcpna/bolddata/smallbold.nii.gz\n" ] } ], "prompt_number": 148 }, { "cell_type": "code", "collapsed": false, "input": [ "len(arr.shape)\n", "\n", "stdts = std_diff_ts(arr)\n", "plot(stdts)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[64 64 35 42] 143360 42\n" ] }, { "output_type": "pyout", "prompt_number": 149, "text": [ "[]" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAAD9CAYAAAC7iRw+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nXEbBLyLiMgp+ERGXUfCLiLjM/wfH3aw3tb3bKgAAAABJRU5ErkJggg==\n", "text": [ "" ] } ], "prompt_number": 149 }, { "cell_type": "code", "collapsed": false, "input": [ "print np.argmax(stdts)\n", "rk = np.argsort(stdts)\n", "print argmax([stdts[rk[-1]-1],stdts[rk[-1]+1]])" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "17\n", "1\n" ] } ], "prompt_number": 155 }, { "cell_type": "code", "collapsed": false, "input": [ "bad_volume = np.argmax(stdts) + argmax([stdts[rk[-1]-1],stdts[rk[-1]+1]])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 158 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }