{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# HEOM solver - Two level system\n", "## An exact solution to a system-bath evolution using the hierarchical equations of motion and the Drude-Lorentz model for spectral density" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Authors: Alexander Pitchford (alex.pitchford@gmail.com),\n", " Neill Lambert (nwlambert@gmail.com)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Introduction\n", "### Hierarchical equations of motion\n", "\n", "The HEOM (hierarchical equations of motion) method can compute open system dynamics without using any Markovian or rotating wave approximation (RWA) for systems where the bath correlations can be approximated to a sum of complex eponentials. The bath is described in an abstract way, via a formal expansion of the full system-bath equation of motion, which results in a set of coupled \"density matrices\" for the system. A overview of the method is given in [1].\n", "\n", "The HEOM solver will compute the dynamics of a quantum system coupled to a bath with a complete Hamiltonian given by\n", "\n", "\\begin{equation*}\n", "H = H_s + Q\\sum_{j}g_j(a_j^{\\dagger}+a_j) + \\sum_{j}\\omega_j a_j^{\\dagger}a_j\n", "\\end{equation*}\n", "\n", "The dynamics of the quantum system of interest, if independent of the environment, would be described the system Hamiltonian $H_s$. The $a_j^{\\dagger}$ and $a_j$ are the creation and annihilation operators of the bosonic modes of frequency $\\omega_j$ of the bath that models the environment. The system operator Q couples with the bath with a strength $g_j$ for each mode." ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Drude-Lorentz spectral density\n", "The spectral density determines the strength of the interact for specific modes. The Drude-Lorentz (DL) model for the spectral density is given by\n", "\n", "$$J(\\omega)=\\omega \\frac{2\\lambda\\gamma}{{\\gamma}^2 + \\omega^2}$$\n", "\n", "where $\\lambda$ is an overall scale factor for the coupling strength, and $\\gamma$ is a cut-off frequency\n", "\n", "The correlation function can be calculated via Fourier transform and gives an infinite exponential series of the form\n", "\n", "\\begin{equation*}\n", "L(t)=\\sum_{k=0}^{k=\\infty} c_k e^{-\\nu_k t}\n", "\\end{equation*}\n", "\n", "as this is in the form of a sum of exponentials it allows us to use the HEOM. Some cut-off for $k$ will be required in order for it to be computable; in practice this is typically quite small ($<5$). The series term frequencies and coefficients (known as Matsubara terms, frequencies and coefficients in the DL model) as given by\n", "\n", "\\begin{equation*}\n", " \\nu_k = \\begin{cases}\n", " \\gamma & k = 0\\\\\n", " {2 \\pi k} / {\\beta \\hbar} & k \\geq 1\\\\\n", " \\end{cases}\n", "\\end{equation*}\n", "\n", "\\begin{equation*}\n", " c_k = \\begin{cases}\n", " \\lambda \\gamma (\\cot(\\beta \\gamma / 2) - i) / \\hbar & k = 0\\\\\n", " 4 \\lambda \\gamma \\nu_k / \\{(nu_k^2 - \\gamma^2)\\beta \\hbar^2 \\} & k \\geq 1\\\\\n", " \\end{cases}\n", "\\end{equation*}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Two-level system example\n", "The example system in this notebook is taken from [2], which also gives an overview of the HEOM method in its appendix D. Here we look to compute the dynamics of a system where\n", "\n", "\\begin{equation*}\n", "H_s = \\frac{\\epsilon}{2}\\sigma_z + \\frac{\\Delta}{2}\\sigma_x\n", "\\end{equation*}\n", "\n", "and the coupling operator $Q=\\sigma_z$. The energy of the two level system is given by $\\epsilon$, the strength of the tunnelling effect by $\\Delta$. This model is of much interest in the study of the potential quantum effects at work in photosynthesis, as discussed in some of the papers cited in [2]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### References\n", " 1. link.aps.org/doi/10.1103/PhysRevLett.104.250401 \n", " 2. link.aps.org/doi/10.1103/PhysRevA.90.032114" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "source": [ "%pylab inline\n", "import numpy as np\n", "from qutip import sigmax, sigmay, sigmaz, basis, expect\n", "from qutip.nonmarkov.heom import HSolverDL\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Defining the system Hamiltonian\n", "eps = 0.5 # Energy of the 2-level system.\n", "Del = 1.0 # Tunnelling term\n", "Hsys = 0.5*eps*sigmaz() + 0.5*Del* sigmax()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Bath description parameters (for HEOM)\n", "temperature = 1.0/0.95 # in units where Boltzmann factor is 1\n", "Nk = 2 # number of exponentials in approximation of the the spectral density\n", "Ncut = 30 # cut off parameter for the bath" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# System-bath coupling (Drude-Lorentz spectral density)\n", "Q = sigmaz() # coupling operator\n", "gam = 0.05 # cut off frequency\n", "lam = 0.05 # coupling strenght" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "# Configure the solver\n", "hsolver = HSolverDL(Hsys, Q, lam, temperature, Ncut, Nk, gam, stats=True)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Hierarchy Solver Stats\n", "======================\n", "\n", "config\n", "------\n", "### Timings:\n", " - 0:00:00.444370 (96.54% section, 17.80% total)\thierarchy contruct\n", " - 0:00:00.001411 (0.31% section, 0.06% total)\tLiouvillian contruct\n", " - 0:00:00.460291\tconfig total time\n", "### Counts:\n", " - 496\tNum hierarchy elements\n", " - 1860\tNum he interactions\n", "### Messages:\n", " - options:\trenormalisation;boundary cutoff approx\n", "\n", "run\n", "---\n", "### Timings:\n", " - 0:00:00.001269 (0.06% section, 0.05% total)\tinitialize\n", " - 0:00:02.035409 (99.94% section, 81.51% total)\tintegrate\n", " - 0:00:02.036704\trun total time\n", "### Counts:\n", "### Messages:\n", "\n", "Summary\n", "-------\n", "0:00:02.496995\t solver total time\n" ] } ], "source": [ "# Initial state of the system.\n", "rho0 = basis(2,0) * basis(2,0).dag() \n", "# Times to record state\n", "tlist = np.linspace(0, 40, 600)\n", "# run the solver\n", "result = hsolver.run(rho0, tlist)\n", "hsolver.stats.report()" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "# Define some operators with which we will measure the system\n", "# 1,1 element of density matrix - corresonding to groundstate\n", "P11p=basis(2,0) * basis(2,0).dag()\n", "# 1,2 element of density matrix - corresonding to coherence\n", "P12p=basis(2,0) * basis(2,1).dag()\n", "# Calculate expectation values in the bases\n", "P11exp = expect(result.states, P11p)\n", "P12exp = expect(result.states, P12p)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAecAAAH1CAYAAADMEzbEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nzpIkTYzDWZKkiXE4S5I0Mf8HrpxWQHAFeo8AAAAASUVORK5CYII=\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Plot the results\n", "fig, axes = plt.subplots(1, 1, sharex=True, figsize=(8,8))\n", "axes.plot(tlist, np.real(P11exp), 'b', linewidth=2, label=\"P11\")\n", "axes.plot(tlist, np.real(P12exp), 'r', linewidth=2, label=\"P12\")\n", "axes.set_xlabel(r't', fontsize=28)\n", "axes.legend(loc=0, fontsize=12)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Software versions" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/html": [ "
SoftwareVersion
QuTiP4.2.0
Numpy1.13.1
SciPy0.19.1
matplotlib2.0.2
Cython0.25.2
Number of CPUs2
BLAS InfoINTEL MKL
IPython6.1.0
Python3.6.1 |Anaconda custom (x86_64)| (default, May 11 2017, 13:04:09) \n", "[GCC 4.2.1 Compatible Apple LLVM 6.0 (clang-600.0.57)]
OSposix [darwin]
Wed Jul 19 22:17:21 2017 MDT
" ], "text/plain": [ "" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from qutip.ipynbtools import version_table\n", "\n", "version_table()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }