{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Инфраструктура Python. Взаимодействие с системой" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Информация о системе" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Получить информацию о версии Python и ОС, в которой он исполняется, можно с помощью встроенной библиотеки `platform`." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import platform, os, sys, psutil, datetime, itertools" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2.7.12\n", "sys.version_info(major=2, minor=7, micro=12, releaselevel='final', serial=0)\n", "Windows\n", "Windows-7-6.1.7601-SP1\n", "Intel64 Family 6 Model 158 Stepping 9, GenuineIntel\n", "('Windows', 'PC', '7', '6.1.7601', 'AMD64', 'Intel64 Family 6 Model 158 Stepping 9, GenuineIntel')\n" ] } ], "source": [ "print platform.python_version()\n", "print sys.version_info\n", "print platform.system()\n", "print platform.platform()\n", "print platform.processor()\n", "print platform.uname()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Список путей, по которым Python ищет модули" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\pcapy-0.10.10-py2.7-win-amd64.egg',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\xgboost-0.6-py2.7.egg',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\lightgbm-0.1-py2.7.egg',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\matrix_client-0.0.5-py2.7.egg',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\python27.zip',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\DLLs',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\plat-win',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\lib-tk',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2',\n", " 'c:\\\\users\\\\user\\\\anaconda2\\\\lib\\\\site-packages\\\\mako-1.0.7-py2.7.egg',\n", " 'c:\\\\users\\\\user\\\\anaconda2\\\\lib\\\\site-packages\\\\sphinx-1.3.5-py2.7.egg',\n", " 'c:\\\\users\\\\user\\\\anaconda2\\\\lib\\\\site-packages\\\\pydot_ng-1.0.1.dev0-py2.7.egg',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\win32',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\win32\\\\lib',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\Pythonwin',\n", " 'C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\IPython\\\\extensions',\n", " 'C:\\\\Users\\\\User\\\\.ipython']" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sys.path" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Библиотека `psutil`, входящая в Anaconda, предоставляет доступ к подробной информации о системе, включая данные о загрузке процессора, памяти, дисков, о сетевых соединениях и интерфейсах, подробные данные о запущенных процессах." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "41.2\n", "scputimes(user=109018.74043359999, system=53550.77527200058, idle=4543771.6129544, interrupt=8653.203868900002, dpc=3676.3663662999993)\n", "svmem(total=17058418688L, available=11550265344L, percent=32.3, used=5508153344L, free=11550265344L)\n", "pmem(rss=41066496L, vms=34725888L, num_page_faults=10191, peak_wset=41066496L, wset=41066496L, peak_paged_pool=185792L, paged_pool=185616L, peak_nonpaged_pool=64820L, nonpaged_pool=62964L, pagefile=34725888L, peak_pagefile=34725888L, private=34725888L)\n" ] } ], "source": [ "print psutil.cpu_percent()\n", "print psutil.cpu_times()\n", "print psutil.virtual_memory()\n", "print psutil.Process(os.getpid()).memory_info()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2018-07-26 18:39:11\n" ] } ], "source": [ "print datetime.datetime.fromtimestamp(psutil.boot_time())" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sdiskpart(device='C:\\\\', mountpoint='C:\\\\', fstype='NTFS', opts='rw,fixed') sdiskusage(total=255820034048L, used=255219888128L, free=600145920L, percent=99.8)\n", "sdiskpart(device='D:\\\\', mountpoint='D:\\\\', fstype='NTFS', opts='rw,fixed') sdiskusage(total=2000397529088L, used=1999680503808L, free=717025280L, percent=100.0)\n", "sdiskpart(device='E:\\\\', mountpoint='E:\\\\', fstype='', opts='cdrom') [Error 21] The device is not ready\n" ] } ], "source": [ "def disk_usage(path):\n", " try:\n", " return psutil.disk_usage(path)\n", " except OSError as e:\n", " return str(e)\n", "for part in psutil.disk_partitions():\n", " print part, disk_usage(part.mountpoint)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "sdiskio(read_count=657629, write_count=4007933, read_bytes=24969614336L, write_bytes=76023567872L, read_time=21427L, write_time=526499L)" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "psutil.disk_io_counters()" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'VMware Network Adapter VMnet1': [snic(family=-1, address='00-50-56-C0-00-01', netmask=None, broadcast=None, ptp=None),\n", " snic(family=2, address='192.168.127.1', netmask='255.255.255.0', broadcast=None, ptp=None),\n", " snic(family=23, address='2620:9b::196b:c813', netmask=None, broadcast=None, ptp=None),\n", " snic(family=23, address='fe80::ac53:1540:52b5:1f5d', netmask=None, broadcast=None, ptp=None)],\n", " 'VMware Network Adapter VMnet8': [snic(family=-1, address='00-50-56-C0-00-08', netmask=None, broadcast=None, ptp=None),\n", " snic(family=2, address='192.168.204.1', netmask='255.255.255.0', broadcast=None, ptp=None),\n", " snic(family=23, address='fe80::841a:d187:63d8:f451', netmask=None, broadcast=None, ptp=None)]}" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "{k:v for k,v in psutil.net_if_addrs().items() if k.startswith('VMware')}" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "snetio(bytes_sent=4352045869L, bytes_recv=13650795437L, packets_sent=5419572L, packets_recv=12934791L, errin=0L, errout=0L, dropin=0L, dropout=0L)" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "psutil.net_io_counters()" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'Local Area Connection 2': snetio(bytes_sent=0L, bytes_recv=0L, packets_sent=0L, packets_recv=0L, errin=0L, errout=0L, dropin=0L, dropout=0L),\n", " 'Loopback Pseudo-Interface 1': snetio(bytes_sent=0L, bytes_recv=0L, packets_sent=0L, packets_recv=0L, errin=0L, errout=0L, dropin=0L, dropout=0L),\n", " 'VMware Network Adapter VMnet1': snetio(bytes_sent=971L, bytes_recv=0L, packets_sent=971L, packets_recv=0L, errin=0L, errout=0L, dropin=0L, dropout=0L),\n", " 'VMware Network Adapter VMnet8': snetio(bytes_sent=827L, bytes_recv=0L, packets_sent=827L, packets_recv=0L, errin=0L, errout=0L, dropin=0L, dropout=0L),\n", " 'Wireless Network Connection 2': snetio(bytes_sent=4352044071L, bytes_recv=13650795437L, packets_sent=5417774L, packets_recv=12934791L, errin=0L, errout=0L, dropin=0L, dropout=0L),\n", " 'Wireless Network Connection 3': snetio(bytes_sent=0L, bytes_recv=0L, packets_sent=0L, packets_recv=0L, errin=0L, errout=0L, dropin=0L, dropout=0L)}" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "psutil.net_io_counters(pernic=True)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=135), raddr=(), status='LISTEN', pid=856),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=902), raddr=(), status='LISTEN', pid=1300),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=912), raddr=(), status='LISTEN', pid=1300),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=1025), raddr=(), status='LISTEN', pid=600),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=1026), raddr=(), status='LISTEN', pid=924),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=1027), raddr=(), status='LISTEN', pid=1068),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=1028), raddr=(), status='LISTEN', pid=660),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=1029), raddr=(), status='LISTEN', pid=676),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='0.0.0.0', port=3401), raddr=(), status='LISTEN', pid=3704),\n", " sconn(fd=-1, family=2, type=1, laddr=addr(ip='127.0.0.1', port=1037), raddr=addr(ip='127.0.0.1', port=1038), status='ESTABLISHED', pid=2324)]" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sorted(psutil.net_connections())[:10]" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "psutil.Process(pid=0, name='System Idle Process', started='2018-07-26 18:39:11')\n", "psutil.Process(pid=4, name='System', started='2018-07-26 18:39:11')\n", "psutil.Process(pid=200, name='winlogon.exe', started='2018-07-26 18:39:37')\n", "psutil.Process(pid=236, name='svchost.exe', started='2018-07-26 18:39:45')\n", "psutil.Process(pid=392, name='smss.exe', started='2018-07-26 18:39:30')\n", "psutil.Process(pid=556, name='csrss.exe', started='2018-07-26 18:39:35')\n", "psutil.Process(pid=600, name='wininit.exe', started='2018-07-26 18:39:36')\n", "psutil.Process(pid=616, name='csrss.exe', started='2018-07-26 18:39:36')\n", "psutil.Process(pid=660, name='services.exe', started='2018-07-26 18:39:36')\n", "psutil.Process(pid=676, name='lsass.exe', started='2018-07-26 18:39:36')\n", "...\n" ] } ], "source": [ "for process in itertools.islice(psutil.process_iter(), 0, 10):\n", " print process\n", "print '...'" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "cmdline ['C:\\\\Users\\\\User\\\\Anaconda2\\\\python.exe', '-m', 'ipykernel', '-f', 'C:\\\\Users\\\\User\\\\AppData\\\\Roaming\\\\jupyter\\\\runtime\\\\kernel-dd367fc1-bb51-4a86-a42c-61fa2c10773a.json']\n", "connections [pconn(fd=-1, family=2, type=1, laddr=addr(ip='127.0.0.1', port=5905), raddr=addr(ip='127.0.0.1', port=10379), status='ESTABLISHED'), pconn(fd=-1, family=2, type=1, laddr=addr(ip='127.0.0.1', port=6275), raddr=(), status='LISTEN'), pconn(fd=-1, family=2, type=1, laddr=addr(ip='127.0.0.1', port=6275), raddr=addr(ip='127.0.0.1', port=10373), status='ESTABLISHED')]\n", "cpu_affinity [0, 1, 2, 3, 4, 5, 6, 7]\n", "cpu_percent 0.0\n", "cpu_times pcputimes(user=0.4212027, system=0.2652017, children_user=0.0, children_system=0.0)\n", "create_time 1533658373.0\n", "cwd C:\\Temp\\jupyter_code\\infrastructure\n", "environ {'TMP': 'C:\\\\Users\\\\User\\\\AppData\\\\Local\\\\Temp', 'COMPUTERNAME': 'PC', 'VS140COMNTOOLS': 'C:\\\\Program Files (x86)\\\\Microsoft Visual Studio 14.0\\\\Common7\\\\Tools\\\\'}\n", "exe C:\\Users\\User\\Anaconda2\\python.exe\n", "io_counters pio(read_count=5710L, write_count=237L, read_bytes=6179826L, write_bytes=116546L, other_count=37932L, other_bytes=1568390L)\n", "ionice 2\n", "memory_full_info pfullmem(rss=42369024L, vms=35725312L, num_page_faults=11445, peak_wset=42520576L, wset=42369024L, peak_paged_pool=186264L, paged_pool=186144L, peak_nonpaged_pool=65436L, nonpaged_pool=63492L, pagefile=35725312L, peak_pagefile=35840000L, private=35725312L, uss=32997376L)\n", "memory_info pmem(rss=42332160L, vms=35557376L, num_page_faults=12392, peak_wset=42520576L, wset=42332160L, peak_paged_pool=186264L, paged_pool=186144L, peak_nonpaged_pool=65436L, nonpaged_pool=63492L, pagefile=35557376L, peak_pagefile=35840000L, private=35557376L)\n", "memory_maps [pmmap_grouped(path='C:\\\\Windows\\\\System32\\\\oleaut32.dll', rss=880640), pmmap_grouped(path='C:\\\\Windows\\\\System32\\\\devobj.dll', rss=106496), pmmap_grouped(path='C:\\\\Users\\\\User\\\\Anaconda2\\\\DLLs\\\\_ssl.pyd', rss=2125824)]\n", "memory_percent 0.248159930731\n", "name python.exe\n", "nice 32\n", "num_ctx_switches pctxsw(voluntary=1158, involuntary=0)\n", "num_handles 293\n", "num_threads 9\n", "open_files [popenfile(path='C:\\\\Users\\\\User\\\\.ipython\\\\profile_default\\\\history.sqlite', fd=-1), popenfile(path='C:\\\\Windows\\\\System32\\\\en-US\\\\KernelBase.dll.mui', fd=-1)]\n", "pid 3804\n", "ppid 5656\n", "status running\n", "threads [pthread(id=6956, user_time=0.3900025, system_time=0.2652017), pthread(id=7116, user_time=0.0, system_time=0.0), pthread(id=7024, user_time=0.0, system_time=0.0)]\n", "username PC\\User\n" ] } ], "source": [ "process = psutil.Process(os.getpid())\n", "for k, v in sorted(process.as_dict().items()):\n", " if k in 'connections memory_maps threads'.split():\n", " print '%-20s' % k, v[:3]\n", " elif k == 'environ':\n", " print '%-20s' % k, dict(v.items()[:3])\n", " else:\n", " print '%-20s' % k, v" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "WindowsService(name='hidserv', display_name='Human Interface Device Access') running manual\n", "WindowsService(name='hkmsvc', display_name='Health Key and Certificate Management') stopped manual\n" ] } ], "source": [ "for service in psutil.win_service_iter():\n", " if service.name().startswith('h'):\n", " print service, service.status(), service.start_type()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Производительность" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### CPU" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Производительность Питона **непростительно низка** в век, когда JavaScript на многих задачах работает быстрее Java и всего процентов на 20 медленнее C++. Проиллюстрируем это 2D ДП, вычисляющим расстояние Левенштейна. " ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def levenshtein_distance(a, b):\n", " dp = [[0 for j in range(len(b) + 1)] for i in range(len(a) + 1)]\n", " for i in range(len(a) + 1):\n", " dp[i][0] = i\n", " for j in range(len(b) + 1):\n", " dp[0][j] = j\n", "\n", " for i in range(1, len(a) + 1):\n", " for j in range(1, len(b) + 1):\n", " dp[i][j] = min([\n", " dp[i - 1][j - 1] + (a[i - 1] != b[j - 1]),\n", " dp[i - 1][j] + 1,\n", " dp[i][j - 1] + 1,\n", " ])\n", " print dp[len(a)][len(b)]" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import random, string\n", "\n", "def rndstr(alpha, length):\n", " return ''.join([alpha[random.randint(0, len(alpha) - 1)] for i in range(length)])\n", "\n", "random.seed(0)\n", "a = rndstr(string.lowercase, 2000)\n", "b = rndstr(string.lowercase, 2000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Для получения деталей о:\n", "\n", "* времени исполнения\n", " * только в ней самой (`tottime`)/в ней и всех вызываемых из нее (`cumtime`);\n", " * всего/за вызов (`percall`)\n", "* количестве вызовов\n", "\n", "можно использовать стандартную библиотеку `cProfile` https://docs.python.org/2/library/profile.html . Тот же вывод можно получить, исполнив модуль `cProfile` как `__main__` и передав ему задание и измеряемый скрипт в командной строке:\n", "\n", "```bash\n", "python -m cProfile -s time file.py\n", "```" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1766\n", "Wall time: 2.25 s\n" ] } ], "source": [ "%%time\n", "levenshtein_distance(a, b)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import cProfile" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1766\n", " 4008035 function calls in 2.574 seconds\n", "\n", " Ordered by: standard name\n", "\n", " ncalls tottime percall cumtime percall filename:lineno(function)\n", " 1 2.042 2.042 2.544 2.544 :1(levenshtein_distance)\n", " 1 0.030 0.030 2.574 2.574 :1()\n", " 2 0.000 0.000 0.000 0.000 iostream.py:102(_check_mp_mode)\n", " 2 0.000 0.000 0.000 0.000 iostream.py:207(write)\n", " 2 0.000 0.000 0.000 0.000 iostream.py:93(_is_master_process)\n", " 2 0.000 0.000 0.000 0.000 utf_8.py:15(decode)\n", " 2 0.000 0.000 0.000 0.000 {_codecs.utf_8_decode}\n", " 2 0.000 0.000 0.000 0.000 {isinstance}\n", " 4007 0.000 0.000 0.000 0.000 {len}\n", " 2 0.000 0.000 0.000 0.000 {method 'decode' of 'str' objects}\n", " 1 0.000 0.000 0.000 0.000 {method 'disable' of '_lsprof.Profiler' objects}\n", " 2 0.000 0.000 0.000 0.000 {method 'write' of '_io.StringIO' objects}\n", " 4000000 0.454 0.000 0.454 0.000 {min}\n", " 2 0.000 0.000 0.000 0.000 {nt.getpid}\n", " 4005 0.048 0.000 0.048 0.000 {range}\n", " 2 0.000 0.000 0.000 0.000 {time.time}\n", "\n", "\n" ] } ], "source": [ "cProfile.run('levenshtein_distance(a, b)')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Для сравнения библиотека `python-Levenshtein`, написанная на C, работает примерно в 200 раз быстрее." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import Levenshtein" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Wall time: 14 ms\n" ] }, { "data": { "text/plain": [ "1766" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%%time\n", "Levenshtein.distance(a, b)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Обычно несколько более полезный результат выдает построчный профайлинг, для которого следует поставить библиотеку `line_profiler`. Впрочем, он добавляет значительный оверхед, в несколько раз увеличивая время исполнения." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```\n", "pip install line_profiler\n", "```" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import line_profiler" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1766\n", "Timer unit: 3.64637e-07 s\n", "\n", "Total time: 10.1364 s\n", "File: \n", "Function: levenshtein_distance at line 1\n", "\n", "Line # Hits Time Per Hit % Time Line Contents\n", "==============================================================\n", " 1 def levenshtein_distance(a, b):\n", " 2 4006003 3418257.0 0.9 12.3 dp = [[0 for j in range(len(b) + 1)] for i in range(len(a) + 1)]\n", " 3 2002 1625.0 0.8 0.0 for i in range(len(a) + 1):\n", " 4 2001 2336.0 1.2 0.0 dp[i][0] = i\n", " 5 2002 1524.0 0.8 0.0 for j in range(len(b) + 1):\n", " 6 2001 1868.0 0.9 0.0 dp[0][j] = j\n", " 7 \n", " 8 2001 2470.0 1.2 0.0 for i in range(1, len(a) + 1):\n", " 9 4002000 3414580.0 0.9 12.3 for j in range(1, len(b) + 1):\n", " 10 4000000 3317047.0 0.8 11.9 dp[i][j] = min([\n", " 11 4000000 6207357.0 1.6 22.3 dp[i - 1][j - 1] + (a[i - 1] != b[j - 1]),\n", " 12 4000000 4162805.0 1.0 15.0 dp[i - 1][j] + 1,\n", " 13 4000000 7268546.0 1.8 26.1 dp[i][j - 1] + 1,\n", " 14 ])\n", " 15 1 119.0 119.0 0.0 print dp[len(a)][len(b)]\n", "\n" ] } ], "source": [ "line_profiler.LineProfiler(levenshtein_distance).run('levenshtein_distance(a, b)').print_stats()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Советы по оптимизации кода на Python:\n", "* Грубо говоря, если C++ может выполнить строку 1ккк раз за секунду, Python может 10кк https://benchmarksgame-team.pages.debian.net/benchmarksgame/faster/python3-gpp.html\n", "* Пишите код кратко, выражая намерения. Большинство кулхацкерских методик из C++ неприменимы в Python\n", "* Предпочитайте библиотечные вызовы своему коду, особенно с циклами — библиотеки часто реализованы на значительно более производительном языке\n", "* Векторизуйте вычисления, насколько это возможно\n", "* Если вычисления в основном числовые, можно попробовать `@numba.jit` или `@parakeet.jit` (не придумал рабочий бенчмарк)\n", "* Если все тяжело, перепишите затратную часть кода на C++, используя для взаимодействия Boost.Python или просто консольный вывод." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Память" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Переменные в Python представлены довольно сложными объектами, весящими почти всегда больше своих си-аналогов. Если нужно хранить много информации, стоит хранить ее, например, в `numpy`-массивах, которые используют машинные типы хранения, а не в `list`, а то и обратиться к разреженным матрицам из `scipy.sparse`." ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "24 \t0\n", "36 \t1208925819614629174706176L\n", "33 \t''\n", "133 \t'aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa'\n", "64 \t[]\n", "144 \t[0, 0, 0, 0, 0, 0, 0, 0, 0, 0]\n", "864 \t[0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0]\n", "272 \t{}\n", "272 \t{'a': 100}\n", "3344 \t{'a': 100, 'c': 100, 'b': 100, 'e': 100, 'd': 100, 'g': 100, 'f': 100, 'i': 100, 'h': 100, 'k': 100, 'j': 100, 'm': 100, 'l': 100, 'o': 100, 'n': 100, 'q': 100, 'p': 100, 's': 100, 'r': 100, 'u': 100, 't': 100, 'w': 100, 'v': 100, 'y': 100, 'x': 100, 'z': 100}\n" ] } ], "source": [ "for item in [0, 2 ** 80, '', 'a' * 100, [], [0] * 10, [0] * 100, {}, {'a':100}, {k:100 for k in string.lowercase}]:\n", " print sys.getsizeof(item), '\\t', repr(item)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Пример демонстрирует, как создается большой `numpy`-массив (на 8 МБ), при этом выделенная процессу виртуальная память увеличивается на 8 МБ, но физическая увеличивается ненамного, потому что реальные данные еще не начали записываться на выделенные нулевые страницы (если `numpy.zeros` заменить на `numpy.ones`, `rss` вырастет сразу). Затем в массив пишутся данные и `rss` вырастает на примерно те же 8 МБ. После этого переменная удаляется оператором `del` (фактически происходит уменьшение счетчика ссылок до 0 и сборка сборщиком мусора, который можно позвать принудительно `gc.collect()`) и использование и физической и виртуальной памяти сокращается на все выделенные ранее 8 МБ.\n", "\n", "Функция `sys.getsizeof`, примененная к значениям в `globals()` может помочь отыскать жирные переменные, которые больше не нужны. Вы не хотите знать, как выглядит более полный ответ на вопрос «Кто в Python сожрал мою память?»." ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": true }, "outputs": [], "source": [ "was_memory = None\n", "def calc_memory():\n", " global was_memory\n", " mem = psutil.Process(os.getpid()).memory_info()\n", " print mem\n", " if was_memory:\n", " print 'Δrss %+d Δvms %+d' % (mem.rss - was_memory.rss, mem.vms - was_memory.vms)\n", " print\n", " was_memory = mem" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "pmem(rss=155652096L, vms=385712128L, num_page_faults=61003, peak_wset=174006272L, wset=155652096L, peak_paged_pool=268152L, paged_pool=268152L, peak_nonpaged_pool=69008L, nonpaged_pool=67664L, pagefile=385712128L, peak_pagefile=386719744L, private=385712128L)\n", "\n", "pmem(rss=155656192L, vms=393728000L, num_page_faults=61004, peak_wset=174006272L, wset=155656192L, peak_paged_pool=268152L, paged_pool=268152L, peak_nonpaged_pool=69008L, nonpaged_pool=67784L, pagefile=393728000L, peak_pagefile=393728000L, private=393728000L)\n", "Δrss +4096 Δvms +8015872\n", "\n", "pmem(rss=163676160L, vms=393728000L, num_page_faults=62962, peak_wset=174006272L, wset=163676160L, peak_paged_pool=268152L, paged_pool=268152L, peak_nonpaged_pool=69008L, nonpaged_pool=67784L, pagefile=393728000L, peak_pagefile=393728000L, private=393728000L)\n", "Δrss +8019968 Δvms +0\n", "\n", "[(8000112, 'LARGE', ), (3344, 'item', ), (2033, 'b', ), (2033, 'a', ), (1040, '_oh', )]\n", "\n", "pmem(rss=155664384L, vms=385712128L, num_page_faults=62963, peak_wset=174006272L, wset=155664384L, peak_paged_pool=268152L, paged_pool=268152L, peak_nonpaged_pool=69096L, nonpaged_pool=67664L, pagefile=385712128L, peak_pagefile=393728000L, private=385712128L)\n", "Δrss -8011776 Δvms -8015872\n", "\n" ] } ], "source": [ "calc_memory()\n", "LARGE = numpy.zeros((1000, 1000), dtype=numpy.float64)\n", "calc_memory()\n", "for i in xrange(1000):\n", " for j in xrange(1000):\n", " LARGE[i,j] = i * j\n", "calc_memory()\n", "print sorted([(sys.getsizeof(v), k, type(v)) for k, v in globals().iteritems()], reverse=True)[:5]\n", "print\n", "del LARGE\n", "calc_memory()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Отладка" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Python включает в себя стандартную библиотеку `pdb` для отладки кода в консольном отладчике, похожем на `gdb`. Отладчик активируется при вызове `pdb.set_trace()` или при исключении, после чего запрашивает из stdin команды или Python-код для исполнения в текущем контексте.\n", "\n", "Популярные команды:\n", "* `?` — подсказка\n", "* `c` — continue, продолжить исполнение\n", "* `q` — quit, прервать исполнение и отладку\n", "* `s` — step inside, шаг с заходом\n", "* `n` — next, step over, шаг без захода\n", "* `r` — return, step out, исполнить до конца текущей функции\n", "* `p`, `pp` — print, pretty print\n", "* `bt` — backtrace, стек\n", "* `u`, `d` — up, down, подняться или спуститься по стеку\n", "* `l` — list, окрестность текущей строки\n", "\n", "Подробнее https://docs.python.org/3/library/pdb.html https://habr.com/post/104086/\n", "\n", "Следует отметить, что IPython парсит код верхнего уровня в ячейке в AST, так что для вменяемых шагов трейса следует заключить исполняемые строки в тело функции." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "todo Нормальный пример трейса" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pdb" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "> (4)run()\n", "-> for i in range(1, 6):\n", "(Pdb) n\n", "> (5)run()\n", "-> result *= i\n", "(Pdb) p i\n", "1\n", "(Pdb) p result\n", "1\n", "(Pdb) n\n", "> (4)run()\n", "-> for i in range(1, 6):\n", "(Pdb) p result\n", "1\n", "(Pdb) print i, result\n", "1 1\n", "(Pdb) n\n", "> (5)run()\n", "-> result *= i\n", "(Pdb) print i, result\n", "2 1\n", "(Pdb) n\n", "> (4)run()\n", "-> for i in range(1, 6):\n", "(Pdb) print i, result\n", "2 2\n", "(Pdb) n\n", "> (5)run()\n", "-> result *= i\n", "(Pdb) \n", "> (4)run()\n", "-> for i in range(1, 6):\n", "(Pdb) \n", "> (5)run()\n", "-> result *= i\n", "(Pdb) \n", "> (4)run()\n", "-> for i in range(1, 6):\n", "(Pdb) \n", "> (5)run()\n", "-> result *= i\n", "(Pdb) print i, result\n", "5 24\n", "(Pdb) n\n", "> (4)run()\n", "-> for i in range(1, 6):\n", "(Pdb) pp result\n", "120\n", "(Pdb) n\n", "> (6)run()\n", "-> print result\n", "(Pdb) n\n", "120\n", "--Return--\n", "> (6)run()->None\n", "-> print result\n", "(Pdb) n\n", "--Call--\n", "> c:\\users\\user\\anaconda2\\lib\\site-packages\\ipython\\core\\displayhook.py(236)__call__()\n", "-> def __call__(self, result=None):\n", "(Pdb) c\n" ] } ], "source": [ "def run():\n", " result = 1\n", " pdb.set_trace()\n", " for i in range(1, 6):\n", " result *= i\n", " print result\n", "run()" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "--Return--\n", "> (1)()->None\n", "-> pdb.set_trace()\n", "(Pdb) c\n" ] }, { "ename": "Exception", "evalue": "wat", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mException\u001b[0m Traceback (most recent call last)", "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m()\u001b[0m\n\u001b[0;32m 7\u001b[0m \u001b[0mresult\u001b[0m \u001b[1;33m*=\u001b[0m \u001b[0mi\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 8\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mbr\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 9\u001b[1;33m \u001b[1;32mraise\u001b[0m \u001b[0mException\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'wat'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 10\u001b[0m \u001b[1;32mprint\u001b[0m \u001b[0mresult\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mException\u001b[0m: wat" ] } ], "source": [ "pdb.set_trace()\n", "br = False\n", "result = 1\n", "for i in range(1, 6):\n", " if i == 5:\n", " br = True\n", " result *= i\n", " if br:\n", " raise Exception('wat')\n", "print result" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "> \u001b[1;32m\u001b[0m(8)\u001b[0;36m\u001b[1;34m()\u001b[0m\n", "\u001b[1;32m 7 \u001b[1;33m \u001b[1;32mif\u001b[0m \u001b[0mbr\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0m\u001b[1;32m----> 8 \u001b[1;33m \u001b[1;32mraise\u001b[0m \u001b[0mException\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'wat'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0m\u001b[1;32m 9 \u001b[1;33m\u001b[1;32mprint\u001b[0m \u001b[0mresult\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0m\n", "ipdb> j 9\n", "*** Jump failed: f_lineno can only be set by a line trace function\n", "ipdb> c\n" ] } ], "source": [ "%debug" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sys.exc_clear()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Непохоже, что есть способ восстановиться из дебаггера при исключении" ] }, { "cell_type": "code", "execution_count": 69, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Automatic pdb calling has been turned OFF\n" ] } ], "source": [ "%pdb" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "При исключениях Python показывает стек вызовов функции, в которой исключение было выброшено. Это удобное сообщение можно получить, используя встроенную библиотеку `traceback`. Легко заметить, что IPython фильтрует большую часть стека с его собственными функциями, которые и приводят к принятию пользовательского кода из ячейки IPython в браузере (через процесс-диспетчер) на его исполнение в контексте процесса-кернеля." ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\runpy.py', 174, '_run_module_as_main', '\"__main__\", fname, loader, pkg_name)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\runpy.py', 72, '_run_code', 'exec code in run_globals'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\__main__.py', 3, '', 'app.launch_new_instance()'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\traitlets\\\\config\\\\application.py', 658, 'launch_instance', 'app.start()'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\kernelapp.py', 405, 'start', 'ioloop.IOLoop.instance().start()'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\zmq\\\\eventloop\\\\ioloop.py', 162, 'start', 'super(ZMQIOLoop, self).start()'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\tornado\\\\ioloop.py', 883, 'start', 'handler_func(fd_obj, events)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\tornado\\\\stack_context.py', 275, 'null_wrapper', 'return fn(*args, **kwargs)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\zmq\\\\eventloop\\\\zmqstream.py', 440, '_handle_events', 'self._handle_recv()'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\zmq\\\\eventloop\\\\zmqstream.py', 472, '_handle_recv', 'self._run_callback(callback, msg)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\zmq\\\\eventloop\\\\zmqstream.py', 414, '_run_callback', 'callback(*args, **kwargs)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\tornado\\\\stack_context.py', 275, 'null_wrapper', 'return fn(*args, **kwargs)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\kernelbase.py', 260, 'dispatcher', 'return self.dispatch_shell(stream, msg)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\kernelbase.py', 212, 'dispatch_shell', 'handler(stream, idents, msg)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\kernelbase.py', 370, 'execute_request', 'user_expressions, allow_stdin)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\ipkernel.py', 175, 'do_execute', 'shell.run_cell(code, store_history=store_history, silent=silent)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\IPython\\\\core\\\\interactiveshell.py', 2902, 'run_cell', 'interactivity=interactivity, compiler=compiler, result=result)'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\IPython\\\\core\\\\interactiveshell.py', 3012, 'run_ast_nodes', 'if self.run_code(code, result):'), ('C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\IPython\\\\core\\\\interactiveshell.py', 3066, 'run_code', 'exec(code_obj, self.user_global_ns, self.user_ns)'), ('', 8, '', u'foo()'), ('', 5, 'foo', u'print traceback.extract_stack()')]\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ " File \"C:\\Users\\User\\Anaconda2\\lib\\runpy.py\", line 174, in _run_module_as_main\n", " \"__main__\", fname, loader, pkg_name)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\runpy.py\", line 72, in _run_code\n", " exec code in run_globals\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\ipykernel\\__main__.py\", line 3, in \n", " app.launch_new_instance()\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\traitlets\\config\\application.py\", line 658, in launch_instance\n", " app.start()\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\ipykernel\\kernelapp.py\", line 405, in start\n", " ioloop.IOLoop.instance().start()\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\zmq\\eventloop\\ioloop.py\", line 162, in start\n", " super(ZMQIOLoop, self).start()\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\tornado\\ioloop.py\", line 883, in start\n", " handler_func(fd_obj, events)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\tornado\\stack_context.py\", line 275, in null_wrapper\n", " return fn(*args, **kwargs)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\zmq\\eventloop\\zmqstream.py\", line 440, in _handle_events\n", " self._handle_recv()\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\zmq\\eventloop\\zmqstream.py\", line 472, in _handle_recv\n", " self._run_callback(callback, msg)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\zmq\\eventloop\\zmqstream.py\", line 414, in _run_callback\n", " callback(*args, **kwargs)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\tornado\\stack_context.py\", line 275, in null_wrapper\n", " return fn(*args, **kwargs)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\ipykernel\\kernelbase.py\", line 260, in dispatcher\n", " return self.dispatch_shell(stream, msg)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\ipykernel\\kernelbase.py\", line 212, in dispatch_shell\n", " handler(stream, idents, msg)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\ipykernel\\kernelbase.py\", line 370, in execute_request\n", " user_expressions, allow_stdin)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\ipykernel\\ipkernel.py\", line 175, in do_execute\n", " shell.run_cell(code, store_history=store_history, silent=silent)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\IPython\\core\\interactiveshell.py\", line 2902, in run_cell\n", " interactivity=interactivity, compiler=compiler, result=result)\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\IPython\\core\\interactiveshell.py\", line 3012, in run_ast_nodes\n", " if self.run_code(code, result):\n", " File \"C:\\Users\\User\\Anaconda2\\lib\\site-packages\\IPython\\core\\interactiveshell.py\", line 3066, in run_code\n", " exec(code_obj, self.user_global_ns, self.user_ns)\n", " File \"\", line 8, in \n", " foo()\n", " File \"\", line 6, in foo\n", " traceback.print_stack()\n" ] }, { "ename": "Exception", "evalue": "traceback", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mException\u001b[0m Traceback (most recent call last)", "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m()\u001b[0m\n\u001b[0;32m 6\u001b[0m \u001b[0mtraceback\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mprint_stack\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 7\u001b[0m \u001b[1;32mraise\u001b[0m \u001b[0mException\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'traceback'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 8\u001b[1;33m \u001b[0mfoo\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;32m\u001b[0m in \u001b[0;36mfoo\u001b[1;34m()\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[1;32mprint\u001b[0m \u001b[0mtraceback\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mextract_stack\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 6\u001b[0m \u001b[0mtraceback\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mprint_stack\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 7\u001b[1;33m \u001b[1;32mraise\u001b[0m \u001b[0mException\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'traceback'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 8\u001b[0m \u001b[0mfoo\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mException\u001b[0m: traceback" ] } ], "source": [ "import traceback\n", "\n", "def foo():\n", " a = 42\n", " print traceback.extract_stack()\n", " traceback.print_stack()\n", " raise Exception('traceback')\n", "foo()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Вывод" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Вывод в стандартный потока вывода доступен через инструкцию `print`. Она кэширует вывод, что иногда может быть неудобно. В этом случае используйте метод `flush()` у `sys.stdout`." ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import time" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "wait\n", "for\n", "me\n" ] } ], "source": [ "print 'wait'; sys.stdout.flush()\n", "time.sleep(0.5)\n", "print 'for'\n", "time.sleep(0.5)\n", "print 'me'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Несколько олдфажный синтаксис позволяет указывать `print`, в какой файловый дескриптор ему писать." ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Hello\n" ] } ], "source": [ "print >>sys.stderr, 'Hello'" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Hello" ] } ], "source": [ "sys.stderr.write('Hello')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Сообщения об ошибках удобнее выводить, используя встроенную библиотеку `logging`, позволяющую настраивать уровень подробности сообщений (по умолчанию `WARNING`)." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "DEBUG:root:hello\n", "INFO:root:hello\n", "WARNING:root:hello\n", "ERROR:root:hello\n", "ERROR:root:hello\n", "Traceback (most recent call last):\n", " File \"\", line 10, in \n", " raise Exception('wat')\n", "Exception: wat\n", "CRITICAL:root:hello\n" ] } ], "source": [ "import logging\n", "logger = logging.getLogger()\n", "lvl = logger.level\n", "logger.setLevel(logging.DEBUG)\n", "logger.debug('hello')\n", "logger.info('hello')\n", "logger.warning('hello')\n", "logger.error('hello')\n", "try:\n", " raise Exception('wat')\n", "except:\n", " logger.exception('hello')\n", "logger.critical('hello')\n", "logger.setLevel(lvl)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Файловая система" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Для работы с большинством файлов удобнее всего использовать такие функции, читающие и пишущие файл целиком" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def file_put(fn, text):\n", " with open(fn, 'wb') as fp:\n", " fp.write(text)\n", " \n", "def file_get(fn):\n", " with open(fn, 'rb') as fp:\n", " s = fp.read()\n", " return s" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Читать файл, открытый через `open` можно побайтово `.read([size])`, построчно `.readlines([size])` или построчно циклом `for line in fp`. Всегда используйте `'rb'`, `'wb'` или `'ab'` (append) как режимы открытия файлов." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Если библиотека принимает хандл файла (например, как `PIL.Image.save`), почти всегда ей можно скормить файлоподобный объект `BytesIO` из встроенной библиотеки `io`, который пишет в память." ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'\\x89PNG\\r\\n\\x1a\\n\\x00\\x00\\x00\\rIHDR\\x00\\x00\\x00 \\x00\\x00\\x00 \\x08\\x02\\x00\\x00\\x00\\xfc\\x18\\xed\\xa3\\x00\\x00\\x00(IDATx\\x9c\\xed\\xcd1\\x01\\x00\\x00\\x08\\xc30\\xc0\\xbfg0\\xc1\\xbeT@\\xd3[\\xd9&\\xfc\\x07\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x00o\\x1d\\xa3\\xc4\\x01?\\x8b`#:\\x00\\x00\\x00\\x00IEND\\xaeB`\\x82'" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import PIL.Image\n", "from io import BytesIO\n", "im = PIL.Image.new('RGB', (32, 32), (255,0,0))\n", "buf = BytesIO()\n", "im.save(buf, 'PNG')\n", "buf.getvalue()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Работа с путями" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "c:\\\n" ] } ], "source": [ "print os.path.normpath('c:/')" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "c:\\folder\\folder2\\file.txt\n" ] } ], "source": [ "d = os.path.join('c:\\\\folder', 'folder2\\\\file.txt')\n", "print d" ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "c:\\folder\\folder2\n", "file.txt\n", "('c:', '\\\\folder\\\\folder2\\\\file.txt')\n", "('c:\\\\folder\\\\folder2', 'file.txt')\n", "('c:\\\\folder\\\\folder2\\\\file', '.txt')\n" ] } ], "source": [ "print os.path.dirname(d)\n", "print os.path.basename(d)\n", "print os.path.splitdrive(d)\n", "print os.path.split(d)\n", "print os.path.splitext(d)" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'C:\\\\Temp\\\\jupyter_code\\\\infrastructure'\n", "u'C:\\\\Temp\\\\jupyter_code\\\\infrastructure'\n" ] } ], "source": [ "print repr(os.getcwd())\n", "print repr(os.getcwdu())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Для смены текущей папки используйте `os.chdir`." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "True False\n", "True False\n", "C:\\Temp\\jupyter_code\\infrastructure\\files\n" ] } ], "source": [ "print os.path.isdir('files'), os.path.isdir('files/__temp__.mp4')\n", "print os.path.exists('files'), os.path.exists('files/no_such_file.txt')\n", "print os.path.abspath('files')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Просмотр папки" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 107271 infrastructure_01_language\n", " 115774 infrastructure_02_strings_dates_collections\n", " 151784 infrastructure_03_system\n", " 747175 infrastructure_04_data_sources\n", " 62593 infrastructure_05_rich_output\n", " 396068 infrastructure_06_web\n", " 338992 infrastructure_07_math\n", " 481966 infrastructure_08_cas\n", " 1354597 infrastructure_09_graphs\n", " 6669674 infrastructure_10_visualization\n", " 407129 infrastructure_11_machine_learning\n", " 670044 infrastructure_12_media\n", " 253603 infrastructure_13_gui\n", " 111275 infrastructure_14_ctf\n", " 2556985 infrastructure_15_3d\n", " 3808 ipynb_text_size\n", " 13196 ogarok_epistemic\n", " 1674 ogarok_probprog\n", " 121267 ogarok_termeh\n", " 980765 rawnb_to_cells\n" ] } ], "source": [ "for f in os.listdir('.'):\n", " filename, ext = os.path.splitext(f)\n", " if ext.lower() == '.ipynb':\n", " print '%8d' % os.path.getsize(f), filename" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Вариант с символами `*` и `?` реализован во встроенной библиотеке `glob`" ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['infrastructure_01_language.ipynb',\n", " 'infrastructure_02_strings_dates_collections.ipynb',\n", " 'infrastructure_03_system.ipynb',\n", " 'infrastructure_04_data_sources.ipynb',\n", " 'infrastructure_05_rich_output.ipynb',\n", " 'infrastructure_06_web.ipynb',\n", " 'infrastructure_07_math.ipynb',\n", " 'infrastructure_08_cas.ipynb',\n", " 'infrastructure_09_graphs.ipynb',\n", " 'infrastructure_10_visualization.ipynb',\n", " 'infrastructure_11_machine_learning.ipynb',\n", " 'infrastructure_12_media.ipynb',\n", " 'infrastructure_13_gui.ipynb',\n", " 'infrastructure_14_ctf.ipynb',\n", " 'infrastructure_15_3d.ipynb',\n", " 'ipynb_text_size.ipynb',\n", " 'ogarok_epistemic.ipynb',\n", " 'ogarok_probprog.ipynb',\n", " 'ogarok_termeh.ipynb',\n", " 'rawnb_to_cells.ipynb']" ] }, "execution_count": 59, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import glob\n", "glob.glob('*.ipynb')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Проверять строку маской вида `?a*.txt` можно с помощью встроенной библиотеки `fnmatch`." ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['infrastructure_01_language.ipynb',\n", " 'infrastructure_02_strings_dates_collections.ipynb',\n", " 'infrastructure_03_system.ipynb',\n", " 'infrastructure_04_data_sources.ipynb',\n", " 'infrastructure_05_rich_output.ipynb',\n", " 'infrastructure_06_web.ipynb',\n", " 'infrastructure_07_math.ipynb',\n", " 'infrastructure_08_cas.ipynb',\n", " 'infrastructure_09_graphs.ipynb',\n", " 'infrastructure_10_visualization.ipynb',\n", " 'infrastructure_11_machine_learning.ipynb',\n", " 'infrastructure_12_media.ipynb',\n", " 'infrastructure_13_gui.ipynb',\n", " 'infrastructure_14_ctf.ipynb',\n", " 'infrastructure_15_3d.ipynb']" ] }, "execution_count": 60, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from fnmatch import fnmatch\n", "[filename for filename in os.listdir('.') if fnmatch(filename, 'infra*.ipynb')]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Рекурсивный просмотр папки" ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 3859 2018-06-12 18:06:19.285000 .\\1.txt\n", " 3871 2018-06-12 18:06:36.461000 .\\2.txt\n", " 274629 2018-06-15 18:14:51.358000 .\\gif_wrongsize.pickle\n", " 345913 2018-05-05 18:13:27.110000 .\\infrastructure_01_language.html\n", " 107271 2018-05-24 21:38:42.602000 .\\infrastructure_01_language.ipynb\n", " 369771 2018-05-05 18:13:27.370000 .\\infrastructure_02_strings_dates_collections.html\n", " 115774 2018-05-24 21:38:48.104000 .\\infrastructure_02_strings_dates_collections.ipynb\n", " 356499 2018-05-05 18:13:27.507000 .\\infrastructure_03_system.html\n", " 151784 2018-08-07 19:19:55.614000 .\\infrastructure_03_system.ipynb\n", " 767885 2018-05-05 18:13:27.736000 .\\infrastructure_04_data_sources.html\n", " 747175 2018-08-05 19:40:14.966101 .\\infrastructure_04_data_sources.ipynb\n", " 276354 2018-05-05 18:13:27.838000 .\\infrastructure_05_rich_output.html\n", " 62593 2018-08-07 18:58:43.825000 .\\infrastructure_05_rich_output.ipynb\n", " 451226 2018-05-05 18:13:27.902000 .\\infrastructure_06_web.html\n", " 396068 2018-05-26 23:43:16.313000 .\\infrastructure_06_web.ipynb\n", " 602794 2018-05-05 18:13:28.130000 .\\infrastructure_07_math.html\n", " 338992 2018-06-18 21:46:47.388000 .\\infrastructure_07_math.ipynb\n", " 951640 2018-05-05 18:13:28.766000 .\\infrastructure_08_cas.html\n", " 481966 2018-05-24 21:38:39.355000 .\\infrastructure_08_cas.ipynb\n", " 1755255 2018-05-05 18:13:28.916000 .\\infrastructure_09_graphs.html\n", " 1354597 2018-06-06 18:23:51.551000 .\\infrastructure_09_graphs.ipynb\n", " 3500320 2018-05-05 18:13:29.148000 .\\infrastructure_10_visualization.html\n", " 6669674 2018-07-03 18:18:10.861000 .\\infrastructure_10_visualization.ipynb\n", " 383131 2018-05-05 18:13:29.221000 .\\infrastructure_11_machine_learning.html\n", " 407129 2018-07-01 23:23:36.129872 .\\infrastructure_11_machine_learning.ipynb\n", " 422157 2018-05-05 18:13:29.263000 .\\infrastructure_12_media.html\n", " 670044 2018-06-18 21:47:05.349000 .\\infrastructure_12_media.ipynb\n", " 486877 2018-05-05 18:13:29.371000 .\\infrastructure_13_gui.html\n", " 253603 2018-06-18 21:47:02.349000 .\\infrastructure_13_gui.ipynb\n", " 400231 2018-05-05 18:13:29.569000 .\\infrastructure_14_ctf.html\n", " 111275 2018-06-18 21:47:04.334000 .\\infrastructure_14_ctf.ipynb\n", " 2556985 2018-06-21 23:01:31.943000 .\\infrastructure_15_3d.ipynb\n", " 196413 2018-05-05 18:13:29.583000 .\\ipynb_text_size.html\n", " 3808 2018-06-18 21:46:19.498000 .\\ipynb_text_size.ipynb\n", " 13196 2018-05-25 00:34:24.152000 .\\ogarok_epistemic.ipynb\n", " 1674 2018-05-24 18:11:47.856000 .\\ogarok_probprog.ipynb\n", " 121267 2018-05-24 16:59:38.292000 .\\ogarok_termeh.ipynb\n", " 1274617 2018-05-05 18:13:29.657000 .\\rawnb_to_cells.html\n", " 980765 2018-04-22 18:29:32.970000 .\\rawnb_to_cells.ipynb\n", " 5 2018-08-05 23:02:08.679322 .\\test.txt\n", " 4 2018-08-05 23:02:05.202322 .\\test2.txt\n", " 107271 2018-05-24 21:38:42.601999 .\\.ipynb_checkpoints\\infrastructure_01_language-checkpoint.ipynb\n", " 115774 2018-05-24 21:38:48.104000 .\\.ipynb_checkpoints\\infrastructure_02_strings_dates_collections-checkpoint.ipynb\n", " 151066 2018-08-07 19:17:02.036000 .\\.ipynb_checkpoints\\infrastructure_03_system-checkpoint.ipynb\n", " 747175 2018-08-05 19:39:04.226300 .\\.ipynb_checkpoints\\infrastructure_04_data_sources-checkpoint.ipynb\n", " 62593 2018-08-07 18:58:43.825000 .\\.ipynb_checkpoints\\infrastructure_05_rich_output-checkpoint.ipynb\n", " 396068 2018-05-26 23:43:16.312999 .\\.ipynb_checkpoints\\infrastructure_06_web-checkpoint.ipynb\n", " 338992 2018-06-10 21:41:15.925999 .\\.ipynb_checkpoints\\infrastructure_07_math-checkpoint.ipynb\n", " 481966 2018-05-24 21:38:39.355000 .\\.ipynb_checkpoints\\infrastructure_08_cas-checkpoint.ipynb\n", " 1354597 2018-06-06 18:23:51.551000 .\\.ipynb_checkpoints\\infrastructure_09_graphs-checkpoint.ipynb\n", " 6669674 2018-07-03 18:18:10.861000 .\\.ipynb_checkpoints\\infrastructure_10_visualization-checkpoint.ipynb\n", " 407129 2018-07-01 23:23:36.129872 .\\.ipynb_checkpoints\\infrastructure_11_machine_learning-checkpoint.ipynb\n", " 670044 2018-05-27 01:41:32.017999 .\\.ipynb_checkpoints\\infrastructure_12_media-checkpoint.ipynb\n", " 253603 2018-06-15 16:01:03.825999 .\\.ipynb_checkpoints\\infrastructure_13_gui-checkpoint.ipynb\n", " 111275 2018-06-10 21:41:25.394999 .\\.ipynb_checkpoints\\infrastructure_14_ctf-checkpoint.ipynb\n", " 2556985 2018-06-21 23:01:31.943000 .\\.ipynb_checkpoints\\infrastructure_15_3d-checkpoint.ipynb\n", " 3808 2018-06-08 08:50:25.447000 .\\.ipynb_checkpoints\\ipynb_text_size-checkpoint.ipynb\n", " 13196 2018-05-25 00:34:24.151999 .\\.ipynb_checkpoints\\ogarok_epistemic-checkpoint.ipynb\n", " 1674 2018-05-24 18:11:47.855999 .\\.ipynb_checkpoints\\ogarok_probprog-checkpoint.ipynb\n", " 121267 2018-05-24 16:59:38.292000 .\\.ipynb_checkpoints\\ogarok_termeh-checkpoint.ipynb\n", " 980765 2018-04-22 18:29:32.970000 .\\.ipynb_checkpoints\\rawnb_to_cells-checkpoint.ipynb\n", "22847269 2018-06-07 15:01:17.450000 .\\download\\7h15t5bvooe8-Aventador.zip\n", " 3904499 2018-06-13 12:00:18.960000 .\\download\\8sjh6tb2q16o-SB_WhipperNude.rar\n", " 5606718 2018-06-15 19:37:41.725000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00.rar\n", " 28396 2018-05-27 21:06:10.091000 .\\download\\Data_Extract_From_World_Development_Indicators.zip\n", "73059862 2018-06-13 13:04:04.320000 .\\download\\gdoi5oiog8hs-Black-Dragon-NEW-27.03.2017.zip\n", "56482235 2018-05-01 20:00:55 .\\download\\GeoLite2-City.mmdb\n", "26578063 2018-05-24 22:44:07.649000 .\\download\\GeoLite2-City_20180501.tar.gz\n", " 4899605 2018-05-27 19:58:46.499000 .\\download\\ne_10m_admin_0_countries.zip\n", " 232890 2018-05-27 19:58:06.179000 .\\download\\ne_10m_airports.zip\n", " 2807425 2018-05-27 19:58:06.980000 .\\download\\ne_10m_populated_places.zip\n", "15116579 2018-05-27 19:59:01.771000 .\\download\\ne_10m_railroads.zip\n", " 9074210 2018-05-27 19:58:35.314000 .\\download\\ne_10m_roads.zip\n", " 1970765 2018-05-27 19:58:22.911000 .\\download\\ne_10m_time_zones.zip\n", "13102115 2018-06-15 19:38:41.472000 .\\download\\ob61pej2fz-Enginer.zip\n", " 924127 2018-06-07 23:41:28.914000 .\\download\\pcqgr78pc3-lamborginimurcielago.rar\n", " 8218410 2018-06-13 12:24:47.890000 .\\download\\rc8c1qtjiygw-O.zip\n", "15056672 2018-06-07 23:57:38.370000 .\\download\\s5h4gcsmcwlc-eb_dresser_01.zip\n", "12336972 2012-06-27 13:25:00 .\\download\\7h15t5bvooe8-Aventador\\Avent-inter.lwo\n", "12484182 2012-05-15 00:10:28 .\\download\\7h15t5bvooe8-Aventador\\Avent-inter.lxo\n", " 2890 2012-06-27 13:23:18 .\\download\\7h15t5bvooe8-Aventador\\Avent.mtl\n", "20797045 2012-06-27 13:23:18 .\\download\\7h15t5bvooe8-Aventador\\Avent.obj\n", " 1048594 2012-05-11 15:01:30 .\\download\\7h15t5bvooe8-Aventador\\interior_lod0.tga\n", " 10726 2012-05-11 13:19:32 .\\download\\7h15t5bvooe8-Aventador\\Lamborghinilogo.jpg\n", " 1048594 2012-05-11 15:01:32 .\\download\\7h15t5bvooe8-Aventador\\lights.tga\n", " 4194322 2012-05-11 15:01:34 .\\download\\7h15t5bvooe8-Aventador\\lights_lod0.tga\n", " 4194322 2012-05-11 15:01:36 .\\download\\7h15t5bvooe8-Aventador\\nodamage.tga\n", "16777234 2012-05-11 15:01:42 .\\download\\7h15t5bvooe8-Aventador\\nodamage_lod0.tga\n", " 16402 2012-05-11 15:01:42 .\\download\\7h15t5bvooe8-Aventador\\plastic3_nrm.tga\n", "27084232 2014-05-31 16:17:13.728450 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\WhipperNude.blend\n", " 349672 2014-05-31 16:16:31.569039 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\BODY_WH_BD_N_00.dds\n", " 227727 2018-06-13 12:10:29.932000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\BODY_WH_BD_N_00.png\n", " 349672 2014-05-31 16:16:29.579925 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\BODY_WH_BD_N_00_NR.dds\n", " 119399 2018-06-13 12:10:29.994000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\BODY_WH_BD_N_00_NR.png\n", " 43832 2014-05-31 16:16:25.745706 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\FEET_WH_FT_N_00.dds\n", " 35848 2018-06-13 12:10:30.006000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\FEET_WH_FT_N_00.png\n", " 43832 2014-05-31 16:16:27.485805 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\FEET_WH_FT_N_00_NR.dds\n", " 24861 2018-06-13 12:10:30.015000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\FEET_WH_FT_N_00_NR.png\n", " 1048620 2014-05-31 16:16:54.138330 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAIR_CO_HR_N_50.tga\n", " 174904 2014-05-31 16:16:52.316225 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAIR_CO_HR_N_50_NR.dds\n", " 220811 2018-06-13 12:10:30.085000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAIR_CO_HR_N_50_NR.png\n", " 87528 2014-05-31 16:16:37.893400 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAND_WH_GL_N_00.dds\n", " 62166 2018-06-13 12:10:30.111000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAND_WH_GL_N_00.png\n", " 87528 2014-05-31 16:16:39.699504 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAND_WH_GL_N_00_NR.dds\n", " 34434 2018-06-13 12:10:30.128000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HAND_WH_GL_N_00_NR.png\n", " 87528 2014-05-31 16:16:42.493664 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HEAD_WH_FC_N_08.dds\n", " 59568 2018-06-13 12:10:30.152000 .\\download\\8sjh6tb2q16o-SB_WhipperNude\\textures\\HEAD_WH_FC_N_08.png\n", "44522332 2014-06-03 15:26:41.250711 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\SentinelLingerie00.blend\n", " 349672 2014-06-03 15:13:55.057888 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\BODY_SE_BD_N_00.dds\n", " 224787 2018-06-15 19:45:47.311000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\BODY_SE_BD_N_00.png\n", " 2097196 2014-06-03 15:13:48.654521 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\BODY_SE_BD_N_00_NR.tga\n", " 43832 2014-06-03 15:11:27.506448 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\FEET_SE_FT_N_00.dds\n", " 35056 2018-06-15 19:45:47.210000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\FEET_SE_FT_N_00.png\n", " 43832 2014-06-03 15:11:29.481561 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\FEET_SE_FT_N_00_NR.dds\n", " 23506 2018-06-15 19:45:47.197000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\FEET_SE_FT_N_00_NR.png\n", " 174904 2014-06-03 14:47:46.546174 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HAIR_CO_HR_N_14.dds\n", " 100377 2018-06-15 19:45:47.186000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HAIR_CO_HR_N_14.png\n", " 1048620 2014-06-03 15:00:26.218625 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HAIR_CO_HR_N_14.tga\n", " 174904 2014-06-03 14:57:53.557893 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HAIR_CO_HR_N_14_NR.dds\n", " 99156 2018-06-15 19:45:47.143000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HAIR_CO_HR_N_14_NR.png\n", " 43832 2014-06-03 15:10:56.877696 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HANDS_SE_GL_N_00.dds\n", " 30298 2018-06-15 19:45:47.103000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HANDS_SE_GL_N_00.png\n", " 43832 2014-06-03 15:10:46.941128 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HANDS_SE_GL_N_00_NR.dds\n", " 19345 2018-06-15 19:45:47.090000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HANDS_SE_GL_N_00_NR.png\n", " 87528 2014-06-03 14:51:19.684365 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HEAD_SE_FC_N_07.dds\n", " 58836 2018-06-15 19:45:47.080000 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HEAD_SE_FC_N_07.png\n", " 524332 2014-06-03 14:58:58.836627 .\\download\\c4s0veiwyyo0-SB_SentinelLingerie00\\textures\\HEAD_SE_FC_N_07_NR.tga\n", " 67814 2018-05-27 21:06:07.552318 .\\download\\Data_Extract_From_World_Development_Indicators\\9d654e6c-5034-4c26-9934-f0451267d040_Data.csv\n", " 836 2018-05-27 21:06:07.552318 .\\download\\Data_Extract_From_World_Development_Indicators\\9d654e6c-5034-4c26-9934-f0451267d040_Definition and Source.csv\n", " 5 2018-05-21 10:24:36 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.cpg\n", " 599487 2018-05-21 10:24:36 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.dbf\n", " 147 2018-05-21 10:24:36 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.prj\n", " 24083 2018-05-21 10:24:38 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.README.html\n", " 8800376 2018-05-21 10:24:36 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.shp\n", " 2140 2018-05-21 10:24:36 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.shx\n", " 7 2018-05-21 10:24:38 .\\download\\ne_10m_admin_0_countries\\ne_10m_admin_0_countries.VERSION.txt\n", " 5 2018-05-21 10:24:35 .\\download\\ne_10m_airports\\ne_10m_airports.cpg\n", " 6248846 2018-05-21 10:24:35 .\\download\\ne_10m_airports\\ne_10m_airports.dbf\n", " 147 2018-05-21 10:24:35 .\\download\\ne_10m_airports\\ne_10m_airports.prj\n", " 23653 2018-05-21 10:26:40 .\\download\\ne_10m_airports\\ne_10m_airports.README.html\n", " 25048 2018-05-21 10:24:35 .\\download\\ne_10m_airports\\ne_10m_airports.shp\n", " 7228 2018-05-21 10:24:35 .\\download\\ne_10m_airports\\ne_10m_airports.shx\n", " 7 2018-05-21 10:26:40 .\\download\\ne_10m_airports\\ne_10m_airports.VERSION.txt\n", " 5 2018-05-21 10:24:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.cpg\n", "71612778 2018-05-21 10:24:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.dbf\n", " 147 2018-05-21 10:24:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.prj\n", " 27234 2018-05-21 10:25:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.README.html\n", " 205704 2018-05-21 10:24:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.shp\n", " 58844 2018-05-21 10:24:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.shx\n", " 7 2018-05-21 10:25:35 .\\download\\ne_10m_populated_places\\ne_10m_populated_places.VERSION.txt\n", " 5 2017-08-13 02:48:45 .\\download\\ne_10m_railroads\\ne_10m_railroads.cpg\n", " 4676409 2017-08-13 02:48:45 .\\download\\ne_10m_railroads\\ne_10m_railroads.dbf\n", " 145 2017-08-13 03:32:20 .\\download\\ne_10m_railroads\\ne_10m_railroads.prj\n", " 23070 2018-05-21 10:25:38 .\\download\\ne_10m_railroads\\ne_10m_railroads.README.html\n", "23766908 2017-08-13 02:48:45 .\\download\\ne_10m_railroads\\ne_10m_railroads.shp\n", " 203404 2017-08-13 02:48:45 .\\download\\ne_10m_railroads\\ne_10m_railroads.shx\n", " 7 2018-05-21 10:25:38 .\\download\\ne_10m_railroads\\ne_10m_railroads.VERSION.txt\n", " 5 2017-08-13 02:47:49 .\\download\\ne_10m_roads\\ne_10m_roads.cpg\n", "41942366 2017-10-02 07:27:16 .\\download\\ne_10m_roads\\ne_10m_roads.dbf\n", " 145 2017-08-13 03:32:20 .\\download\\ne_10m_roads\\ne_10m_roads.prj\n", " 24819 2018-05-21 10:26:09 .\\download\\ne_10m_roads\\ne_10m_roads.README.html\n", "14516880 2017-10-02 07:27:16 .\\download\\ne_10m_roads\\ne_10m_roads.shp\n", " 452908 2017-10-02 07:27:16 .\\download\\ne_10m_roads\\ne_10m_roads.shx\n", " 7 2018-05-21 10:26:09 .\\download\\ne_10m_roads\\ne_10m_roads.VERSION.txt\n", " 391895 2012-10-28 12:03:22 .\\download\\ob61pej2fz-Enginer\\MP_US_Engi.3DS\n", " 512112 2012-10-28 12:03:14 .\\download\\ob61pej2fz-Enginer\\MP_US_Engi.FBX\n", " 1165404 2012-12-14 17:48:18 .\\download\\ob61pej2fz-Enginer\\MP_US_Engi.lxo\n", " 901120 2012-10-28 12:02:44 .\\download\\ob61pej2fz-Enginer\\MP_US_Engi.max\n", " 6148 2012-12-14 18:29:58 .\\download\\ob61pej2fz-Enginer\\Frame0001\\.DS_Store\n", " 1271811 2012-12-14 16:28:36 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0018_1.jpg\n", " 618997 2012-12-14 16:28:20 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0019_1.jpg\n", " 381284 2012-12-14 16:29:10 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0019_4.jpg\n", " 538796 2012-12-14 16:29:06 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0019_6.jpg\n", " 26769 2012-12-14 16:30:44 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0021_1.png\n", " 2190527 2012-12-14 16:31:02 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0022_1.jpg\n", " 2297305 2012-12-14 17:00:30 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0022_7.jpg\n", " 1892685 2012-12-14 16:56:32 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0024_1.jpg\n", " 73133 2012-12-14 16:57:04 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0024_3.jpg\n", " 2167269 2012-12-14 16:56:42 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0024_7.jpg\n", " 228809 2012-12-14 17:10:26 .\\download\\ob61pej2fz-Enginer\\Frame0001\\Tex_0028_2.jpg\n", " 82 2012-12-14 18:29:58 .\\download\\ob61pej2fz-Enginer\\__MACOSX\\Textures\\._.DS_Store\n", " 43256 2007-09-20 14:28:34 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01134_lambo_1.jpg\n", " 51651 2007-09-20 14:25:54 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01135_lambo_2.jpg\n", " 39497 2007-09-20 14:29:20 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01136_lambo_3.jpg\n", " 41692 2007-09-20 14:29:34 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01137_lambo_4.jpg\n", " 44241 2007-09-20 14:25:38 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01138_lambo_5.jpg\n", " 46194 2007-09-20 14:29:46 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01139_lambo_6.jpg\n", " 50282 2007-09-20 14:30:02 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01140_lambo_7.jpg\n", " 49343 2007-09-20 14:30:24 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01141_lambo_8.jpg\n", " 47325 2007-09-20 14:30:36 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01142_lambo_9.jpg\n", " 37528 2007-09-20 14:31:12 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01143_lambo_10.jpg\n", " 48830 2007-09-20 14:31:28 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01144_lambo_11.jpg\n", " 43610 2007-09-20 14:31:36 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\0331_01145_lambo_12.jpg\n", " 135168 2007-08-12 16:25:02 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\Aluminium_Polished.mat\n", " 86528 2006-12-05 11:06:42 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\AS_vray_v1_carpaint.mat\n", " 343552 2006-12-05 12:06:08 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\AS_vray_v1_leather.mat\n", " 5120 2006-09-15 14:57:40 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\Chrome_Glossy.mat\n", " 4423680 2007-09-02 07:56:32 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\Lamborgini_Murcielago.max\n", " 59537 2005-10-23 00:47:28 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\lambo_logo.jpg\n", " 48537 2005-06-23 17:18:44 .\\download\\pcqgr78pc3-lamborginimurcielago\\Lamborgini_Murcielago\\logo.jpg\n", " 141 2014-05-08 22:17:56 .\\download\\rc8c1qtjiygw-O\\readme.txt\n", " 536302 2014-06-23 16:31:30 .\\download\\rc8c1qtjiygw-O\\Organodron City\\o1.jpg\n", " 602107 2014-06-23 17:11:32 .\\download\\rc8c1qtjiygw-O\\Organodron City\\o2.jpg\n", " 525982 2014-06-23 17:25:18 .\\download\\rc8c1qtjiygw-O\\Organodron City\\o3.jpg\n", " 761370 2014-06-23 17:55:02 .\\download\\rc8c1qtjiygw-O\\Organodron City\\o4.jpg\n", " 497608 2014-06-23 18:04:44 .\\download\\rc8c1qtjiygw-O\\Organodron City\\o5.jpg\n", " 565067 2014-06-23 18:28:10 .\\download\\rc8c1qtjiygw-O\\Organodron City\\o6.jpg\n", "19381507 2014-06-23 15:44:02 .\\download\\rc8c1qtjiygw-O\\Organodron City\\Organodron City.obj\n", " 268 2014-06-23 15:42:54 .\\download\\rc8c1qtjiygw-O\\Organodron City\\Organodron_City.mtl\n", " 41154 2014-06-23 15:42:54 .\\download\\rc8c1qtjiygw-O\\Organodron City\\Maps\\b1b2.jpg\n", " 278746 2014-06-23 15:42:54 .\\download\\rc8c1qtjiygw-O\\Organodron City\\Maps\\cta4.jpg\n", " 63392 2017-11-17 22:33:40 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_dresser_01.fbx\n", " 109493 2017-11-17 22:33:28 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_dresser_01.obj\n", "12582956 2017-11-17 23:03:06 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_dresser_01_c.tga\n", "12582956 2017-11-17 23:03:06 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_dresser_01_g.tga\n", "12582956 2017-11-17 23:03:06 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_dresser_01_n.tga\n", " 4194358 2017-11-17 23:02:52 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_dresser_ren_01.bmp\n", " 180732 2017-11-27 22:32:48 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\eb_material_setup_example.png\n", " 536 2017-11-27 22:30:56 .\\download\\s5h4gcsmcwlc-eb_dresser_01\\eb_dresser_01\\READ_ME.txt\n", " 2970843 2018-05-21 19:39:54.786000 .\\files\\19505730.gif\n", " 95933 2018-06-06 21:58:07.019000 .\\files\\cann.eps\n", " 211 2018-06-06 22:43:59.990000 .\\files\\collab.txt\n", " 86381 2018-06-07 02:45:37.599000 .\\files\\double_pendulum.mp4\n", " 5244753 2018-05-18 17:17:20.764000 .\\files\\feinman_audio.aac\n", " 6822182 2018-05-18 17:23:19.304000 .\\files\\feinman_audio.mp3\n", " 55598 2018-05-18 17:15:42.597000 .\\files\\feinman_google_transcript.txt\n", "21973064 2018-05-18 17:16:53.607000 .\\files\\feinman_video.mp4\n", " 43965 2018-05-25 19:36:03.994000 .\\files\\img2.gif\n", " 624744 2018-05-18 17:59:16.791000 .\\files\\IMG_4692.jpg\n", " 1164449 2018-05-18 14:47:22.352999 .\\files\\libgen1000.ttl\n", " 480 2018-05-24 16:38:14.266000 .\\files\\numpy.npy\n", " 592 2018-05-24 16:38:14.267000 .\\files\\numpy.npz\n", " 2510 2018-05-24 16:38:14.265000 .\\files\\numpy.txt\n", " 330 2018-05-24 16:38:14.269000 .\\files\\numpy_compressed.npz\n", " 971 2018-04-22 22:19:37.266000 .\\files\\out.decompiled.txt\n", " 1467183 2018-06-07 03:40:29.600000 .\\files\\rain.gif\n", " 504544 2018-06-07 03:40:32.275000 .\\files\\rain.mp4\n", " 786486 2018-06-08 01:15:01.270000 .\\files\\rgb1.bmp\n", " 786486 2018-06-08 01:28:11.630000 .\\files\\rgb2.bmp\n", " 3273 2018-05-19 22:55:26.644000 .\\files\\style.pickle\n", " 727 2018-06-06 23:13:58.350000 .\\files\\test.tex\n", " 151 2018-04-26 17:44:34.643000 .\\files\\test_msl.py\n", " 623 2018-05-15 15:43:33.702000 .\\files\\test_watchdog.py\n", " 214466 2018-05-19 23:09:11.739000 .\\files\\theme.pickle\n", " 17 2018-05-15 15:44:12.989000 .\\files\\tst.txt\n", " 3321259 2018-06-06 22:37:34.091000 .\\files\\uploaded.pdf\n", " 159872 2017-11-16 06:11:17.509000 .\\files\\wireshark.png\n", " 84 2018-04-28 14:28:26.124000 .\\files\\yandex-translater-key.txt\n", " 5433 2018-05-25 20:45:35.008000 .\\files\\__temp__.mp4\n", " 5433 2018-05-02 16:00:12.113000 .\\files\\hud\\47610855.jpg\n", " 11345 2011-04-27 17:14:46 .\\files\\hud\\anim.gif\n", " 1188 2011-04-27 14:28:46 .\\files\\hud\\chess.png\n", " 3964 2018-06-15 20:34:11.550000 .\\files\\hud\\hud.html\n", " 85925 2011-04-27 12:34:18 .\\files\\hud\\jquery-1.5.2.min.js\n", " 20507 2011-04-27 17:33:24 .\\files\\hud\\logo.png\n", " 60293 2011-04-27 17:56:22 .\\files\\hud\\raphael-1.5.2.min.js\n", " 93 2011-04-27 12:08:54 .\\files\\hud\\someshit.html\n", " 10419 2011-04-27 11:25:18 .\\files\\hud\\someshit.png\n", " 102816 2011-04-05 16:56:00 .\\files\\hud\\testagg.png\n", " 13410 2011-04-27 17:30:16 .\\files\\hud\\tube.png\n", " 21682 2011-04-26 18:23:28 .\\files\\hud\\webkit_opacity.PNG\n", " 846742 2017-11-13 01:08:02.769000 .\\was\\infrastructure - Copy (2).ipynb\n", " 1291563 2017-11-13 06:46:31.148000 .\\was\\infrastructure - Copy (3).ipynb\n", " 1535313 2017-11-16 09:38:14.838000 .\\was\\infrastructure - Copy (4).ipynb\n", " 2008620 2017-11-18 13:16:23.963000 .\\was\\infrastructure - Copy (5).ipynb\n", " 2982278 2017-11-19 09:39:55.642000 .\\was\\infrastructure - Copy (6).ipynb\n", " 4307544 2018-02-02 19:53:24.774000 .\\was\\infrastructure - Copy (7).ipynb\n", " 104687 2017-11-12 23:26:56.500000 .\\was\\infrastructure - Copy.ipynb\n", " 4303980 2018-04-18 10:09:00.671000 .\\was\\infrastructure.ipynb\n", " 1173 2017-12-14 21:57:30.006000 .\\was\\sample.dot\n", " 2202 2017-12-14 22:00:51.113000 .\\was\\sample.gexf\n", " 312839 2017-12-14 21:57:30.248000 .\\was\\sample.png\n", " 1614 2018-06-07 22:36:35.215000 .\\was\\shaders.txt\n" ] } ], "source": [ "import datetime\n", "for root, dirs, files in os.walk('.'):\n", " for f in files:\n", " fn = os.path.join(root, f)\n", " print '%8d' % os.path.getsize(fn), datetime.datetime.fromtimestamp(os.path.getmtime(fn)), fn" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "В Windows использование простых строк в качестве путей может вызывать проблемы:\n", " \n", "* Кодировкой таких строк Windows считает системную однобайтовую, например, cp1251. Пути с символами, которых в cp1251 нет, будут портиться. Просто используйте в этом случае юникод-строки вида `u'c:\\\\'`\n", "* По умолчанию Windows предоставляет пути длиной до MAX_PATH=260. На пользовательских накопителях встречаются и длиннее. Тогда используйте т.н. UNC-пути вида `\\\\?\\c:\\filename` (учитывая эскейп!)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Шпаргалка по функциям для управления файлами. Операции, затрагивающие сразу много файлов, реализованы во встроенной библиотеке `shutil`.\n", "\n", "|Операция|Над файлом|Над пустой папкой|Над деревом|\n", "|---|---|---|---|\n", "|Копирование|`shutil.copy2`|—|`shutil.copytree`|\n", "|Перемещение/переименование|`os.rename`|—|`shutil.move`|\n", "|Удаление|`os.remove`|`os.rmdir`|`shutil.rmtree`|\n", "|Создание|`open`|`os.mkdir`|—|" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Аргументы командной строки и переменные окружения" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Поскольку IT до сих пор больно чудищем родом из 70-х по имени CLI (command line interface), иногда приходится обрабатывать командную строку. Она передается после разделения по пробелам в массив `sys.argv`. Например, команда\n", "\n", "```bash\n", "python file.py A B C\n", "```\n", "\n", "приведет к исполнению `file.py`, у которого в `sys.argv` будет массив `['file.py', 'A', 'B', 'C']`. В IPython интерпретатор ячеек (ядро) уже запущен с аргументами, которые позволяют ему коннектиться к серверу и получать от него строки на исполнение." ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['C:\\\\Users\\\\User\\\\Anaconda2\\\\lib\\\\site-packages\\\\ipykernel\\\\__main__.py',\n", " '-f',\n", " 'C:\\\\Users\\\\User\\\\AppData\\\\Roaming\\\\jupyter\\\\runtime\\\\kernel-dd367fc1-bb51-4a86-a42c-61fa2c10773a.json']" ] }, "execution_count": 62, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sys.argv" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Задавать магические буквы в командной строке, вместо понятных идентификаторов в коде или объяснений на человеческом языке в GUI, болезненно для пользователя, но еще более болезненно для программиста, которому нужно корректно обработать то, что ему прислали, вывести сообщения об ошибке парсинга и документацию по магическим буквам.\n", "\n", "Чаще всего для упрощения этой задачи используется встроенная библиотека `argparse`. В консольной программе для чтения аргументов из `sys.argv`, а не из своего массива строк, взятого для демонстрации, используют `parser.parse_args()` без аргументов." ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Namespace(loglevel='ERROR')\n", "ERROR\n" ] } ], "source": [ "from argparse import ArgumentParser\n", "parser = ArgumentParser(description='My app')\n", "parser.add_argument(\n", " '-ll', '--loglevel',\n", " type=str,\n", " choices=['DEBUG','INFO','WARNING','ERROR','CRITICAL'],\n", " help='Set the logging level',\n", " default='DEBUG'\n", ")\n", "args = parser.parse_args(args=['--loglevel=ERROR'])\n", "print args\n", "print args.loglevel" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "usage: __main__.py [-h] [-ll {DEBUG,INFO,WARNING,ERROR,CRITICAL}]\n", "\n" ] } ], "source": [ "print parser.format_usage()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Переменные окружения" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "37" ] }, "execution_count": 65, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(os.environ['PATH'].split(';'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Запуск внешних программ" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Для запуска других программ можно использовать встроенную библиотеку `subprocess`" ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " \r\n", "Pinging 8.8.8.8 with 32 bytes of data:\r\n", "Reply from 8.8.8.8: bytes=32 time=39ms TTL=54\r\n", "Reply from 8.8.8.8: bytes=32 time=40ms TTL=54\r\n", "Reply from 8.8.8.8: bytes=32 time=37ms TTL=54\r\n", "Reply from 8.8.8.8: bytes=32 time=40ms TTL=54\r\n", "\r\n", "Ping statistics for 8.8.8.8:\r\n", " Packets: Sent = 4, Received = 4, Lost = 0 (0% loss),\r\n", "Approximate round trip times in milli-seconds:\r\n", " Minimum = 37ms, Maximum = 40ms, Average = 39ms\r\n", "0\n" ] } ], "source": [ "import subprocess\n", "\n", "cmd = 'ping 8.8.8.8'\n", "p = subprocess.Popen(cmd, shell=True, stdout=subprocess.PIPE, stderr=subprocess.PIPE)\n", "errs = p.stderr.read()\n", "result = p.stdout.read()\n", "ret = p.wait()\n", "print errs, result, ret" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Но удобнее использовать библиотеку `delegator`." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```\n", "pip install delegator.py\n", "```" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " \n", "Pinging 8.8.8.8 with 32 bytes of data:\n", "Reply from 8.8.8.8: bytes=32 time=35ms TTL=54\n", "Reply from 8.8.8.8: bytes=32 time=40ms TTL=54\n", "Reply from 8.8.8.8: bytes=32 time=34ms TTL=54\n", "Reply from 8.8.8.8: bytes=32 time=34ms TTL=54\n", "\n", "Ping statistics for 8.8.8.8:\n", " Packets: Sent = 4, Received = 4, Lost = 0 (0% loss),\n", "Approximate round trip times in milli-seconds:\n", " Minimum = 34ms, Maximum = 40ms, Average = 35ms\n", "0\n" ] } ], "source": [ "import delegator\n", "\n", "result = delegator.run('ping 8.8.8.8')\n", "print result.err, result.out, result.return_code" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Можно запускать ассоциированное с файлом приложение:" ] }, { "cell_type": "code", "execution_count": 134, "metadata": { "collapsed": false }, "outputs": [], "source": [ "os.startfile('files/__temp__.mp4')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Параллельность" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "8\n" ] } ], "source": [ "from multiprocessing import cpu_count\n", "print cpu_count()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ipyparallel" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Для утилизации нескольких ядер (или машин) из IPython можно использовать библиотеку `ipyparallel`, предоставляющую набор инструментов для вычислений в нескольких запущенных процессах. Хитрые конфиги позволяют запускать этот пул воркеров не только на локальной машине, но и, например, по SSH." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```\n", "pip install ipyparallel\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Пример: скачивание урлов (с эксепшнами), синхронное, асинхронное (с отслеживанием прогресса, перехода между тасками, завершений, возможностью паузы/прервать), балансировка задач, вычисления до первого успеха (брут хэша). Импорты, данные и функции из нотебука." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import ipyparallel" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "В отдельном окне с командной строкой исполнить \n", "\n", " ipcluster start\n", " \n", "или с указанием числа ядер\n", "\n", " ipcluster start --n 7" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import delegator\n", "delegator.run('ipcluster start --n 7')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Объект клиента предоставляет обертку над процессами-воркерами." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "client = ipyparallel.Client()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[0, 1, 2, 3, 4, 5, 6, 7]" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "client.ids" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Объект View позволяет назначать задания одному, нескольким или всем воркерам кластера." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "view = client[:]" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os, time" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[5428, 5904, 5624, 3700, 756, 6140, 2008, 5644]" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "view.apply_sync(os.getpid)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def calc(x, y):\n", " import time\n", " time.sleep(0.5)\n", " return x + y" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Задачи могут выполняться как синхронно (20 вызовов `calc` на 8 ядрах займут 3 * 0.5 секунд)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38]\n", "Wall time: 1.54 s\n" ] } ], "source": [ "%%time\n", "res = view.map_sync(calc, range(20), range(20))\n", "print res" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "так и асинхронно (результат реализует концепцию future)." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98]\n", "Wall time: 3.51 s\n" ] } ], "source": [ "%%time\n", "res = view.map_async(calc, range(50), range(50))\n", "print res.get()" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "Wall time: 28 ms\n" ] } ], "source": [ "%%time\n", "res = view.map_async(calc, range(50), range(50))\n", "print res" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "not yet done, 1.00099992752 s passed\n", "not yet done, 2.00099992752 s passed\n", "not yet done, 3.00099992752 s passed\n", "[0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98]\n", "Wall time: 3.41 s\n" ] } ], "source": [ "%%time\n", "t0 = time.time()\n", "while True:\n", " try:\n", " res.get(timeout=1.0)\n", " print res.result()\n", " break\n", " except ipyparallel.TimeoutError:\n", " print 'not yet done,', time.time() - t0, 's passed'\n", " pass" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Если воркер в процессе исполнения кидает исключение, падает вся задача, `.result()` получить нельзя, потому что он перекидывает исключение в месте вызова, поэтому следует всегда оборачивать тело функции задачи в `try`-`except`." ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "[u'ok', u'ok', u'ok', u'ok', u'ok', u'error', u'ok', u'ok']\n", "one or more exceptions from call to method: raise7\n", "[Engine Exception]Exception: don't like seven\n" ] } ], "source": [ "def raise7(n):\n", " if n == 7:\n", " raise Exception('don\\'t like seven')\n", "\n", "res = view.map_async(raise7, range(10))\n", "print res\n", "res.wait()\n", "print res.status\n", "try:\n", " res.result()\n", "except Exception as e:\n", " print e" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Балансировка нагрузки" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Если задачи занимают ощутимо разное количество времени, просто закидывать их в очередь к воркерам — не лучшая идея. Следует воспользоваться вместо `DirectView` `LoadBalancedView`, который будет распределять задания по свободным воркерам.\n", "\n", "Чтобы воркер импортировал нужные библиотеки, можно воспользоваться декоратором `@ipyparallel.require`." ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": true }, "outputs": [], "source": [ "@ipyparallel.require('math', 'time')\n", "def isprime_chunk(a, b):\n", " t0 = time.time()\n", " print 'start at', t0, 'with', a, b\n", "\n", " def isprime(n):\n", " if n < 2:\n", " return False\n", " if n == 2:\n", " return True\n", " for i in range(2, int(math.sqrt(n)) + 1):\n", " if n % i == 0:\n", " return False\n", " return True\n", "\n", " result = None\n", " try:\n", " result = [isprime(n) for n in range(a, b)]\n", " except Exception as e:\n", " print 'exception', e\n", "\n", " t1 = time.time()\n", " print 'done at', t1, 'spent', t1 - t0, 's'\n", " return result" ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Wall time: 12 ms\n" ] } ], "source": [ "%%time\n", "up = 1000000\n", "chunk = 100000\n", "res = client.load_balanced_view().map_async(isprime_chunk, range(0, up, chunk), range(chunk, up, chunk) + [up])" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 10/10 tasks finished after 2 s\n", "done\n" ] } ], "source": [ "res.wait_interactive()" ] }, { "cell_type": "code", "execution_count": 95, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "78498\n", "78498\n" ] } ], "source": [ "print sum(map(sum, res.result()))\n", "\n", "from sympy import primepi\n", "print primepi(up-1)" ] }, { "cell_type": "code", "execution_count": 96, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[stdout:7] \n", "start at 1533660328.47 with 0 100000\n", "done at 1533660328.88 spent 0.413000106812 s\n", "[stdout:6] \n", "start at 1533660328.48 with 100000 200000\n", "done at 1533660329.32 spent 0.838000059128 s\n", "[stdout:4] \n", "start at 1533660328.49 with 200000 300000\n", "done at 1533660329.46 spent 0.974999904633 s\n", "[stdout:1] \n", "start at 1533660328.51 with 300000 400000\n", "done at 1533660329.69 spent 1.18099999428 s\n", "[stdout:3] \n", "start at 1533660328.59 with 400000 500000\n", "done at 1533660329.89 spent 1.29999995232 s\n", "[stdout:2] \n", "start at 1533660328.59 with 500000 600000\n", "done at 1533660330.04 spent 1.45000004768 s\n", "[stdout:5] \n", "start at 1533660328.59 with 600000 700000\n", "done at 1533660330.01 spent 1.42000007629 s\n", "[stdout:0] \n", "start at 1533660328.59 with 700000 800000\n", "done at 1533660330.1 spent 1.50999999046 s\n", "[stdout:7] \n", "start at 1533660329.12 with 800000 900000\n", "done at 1533660330.46 spent 1.33500003815 s\n", "[stdout:6] \n", "start at 1533660329.42 with 900000 1000000\n", "done at 1533660330.68 spent 1.257999897 s\n" ] } ], "source": [ "res.display_outputs()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Сколько времени заняла задача всего, суммарно по ядрам" ] }, { "cell_type": "code", "execution_count": 97, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2.235 11.727\n" ] } ], "source": [ "print res.wall_time, res.serial_time" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "На одном ядре" ] }, { "cell_type": "code", "execution_count": 98, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import math" ] }, { "cell_type": "code", "execution_count": 99, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Wall time: 7.15 s\n" ] } ], "source": [ "%%time\n", "def isprime(n):\n", " if n < 2:\n", " return False\n", " if n == 2:\n", " return True\n", " for i in range(2, int(math.sqrt(n)) + 1):\n", " if n % i == 0:\n", " return False\n", " return True\n", "\n", "sync_res = [isprime(n) for n in range(up)]" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "78498" ] }, "execution_count": 100, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sum(sync_res)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Передача переменных на воркеры и обратно" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "На воркеры можно копировать переменные или распределять по ним некоторый массив (полезно, чтобы не передавать данные при каждом вызове, если их несколько)." ] }, { "cell_type": "code", "execution_count": 101, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[42, 42, 42, 42, 42, 42, 42, 42]" ] }, "execution_count": 101, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a = 42\n", "view['var'] = a\n", "view['var']" ] }, { "cell_type": "code", "execution_count": 102, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "[[0, 1], [2, 3], [4, 5], [6, 7], [8, 9], [10, 11], [12, 13], [14, 15]]\n", "[0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15]\n" ] } ], "source": [ "print view.scatter('var2', range(16))\n", "print view['var2']\n", "print view.gather('var2').get()" ] }, { "cell_type": "code", "execution_count": 103, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[[0, 1, 0, 1],\n", " [2, 3, 2, 3],\n", " [4, 5, 4, 5],\n", " [6, 7, 6, 7],\n", " [8, 9, 8, 9],\n", " [10, 11, 10, 11],\n", " [12, 13, 12, 13],\n", " [14, 15, 14, 15]]" ] }, "execution_count": 103, "metadata": {}, "output_type": "execute_result" } ], "source": [ "view.apply_sync(lambda: var2 * 2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Исполнение ячеек кода на воркерах" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Расшарить между нотебуком и воркерами импорты" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "importing numpy on engine(s)\n", "importing hashlib on engine(s)\n", "importing string on engine(s)\n", "importing os on engine(s)\n" ] } ], "source": [ "with view.sync_imports():\n", " import numpy, hashlib, string, os" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Самый простой способ исполнить код на всех воркерах сразу, в том числе расшарить некоторые нужные для последующих задач функции, это исполнить ячейку с магией `%%px`. Она исполняет `view.execute('cell code')`.\n", "* `--local` исполняет код еще и в локальном ядре нотебука\n", "* `--targets 0,1` позволяет указать конкретный воркер\n", "* `--noblock` исполняет ячейку асинхронно, результат можно увидеть магией `%pxresult`\n", "* `--out var` кладет AsyncResult в переменную `var`" ] }, { "cell_type": "code", "execution_count": 105, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[stdout:0] hello 8888 done\n", "[stdout:1] hello 5628 done\n", "[stdout:2] hello 6500 done\n", "[stdout:3] hello 3532 done\n", "[stdout:4] hello 2776 done\n", "[stdout:5] hello 9172 done\n", "[stdout:6] hello 996 done\n", "[stdout:7] hello 8868 done\n" ] } ], "source": [ "%%px\n", "print 'hello', os.getpid(),\n", "time.sleep(1.0)\n", "print 'done'" ] }, { "cell_type": "code", "execution_count": 106, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%%px --local\n", "def md5(s):\n", " return hashlib.md5(s).hexdigest()" ] }, { "cell_type": "code", "execution_count": 107, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'d41d8cd98f00b204e9800998ecf8427e'" ] }, "execution_count": 107, "metadata": {}, "output_type": "execute_result" } ], "source": [ "md5('')" ] }, { "cell_type": "code", "execution_count": 108, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[,\n", " ,\n", " ,\n", " ,\n", " ,\n", " ,\n", " ,\n", " ]" ] }, "execution_count": 108, "metadata": {}, "output_type": "execute_result" } ], "source": [ "view['md5']" ] }, { "cell_type": "code", "execution_count": 109, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['d41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e',\n", " 'd41d8cd98f00b204e9800998ecf8427e']" ] }, "execution_count": 109, "metadata": {}, "output_type": "execute_result" } ], "source": [ "view.apply_sync(lambda: md5(''))" ] }, { "cell_type": "code", "execution_count": 110, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "" ] }, "execution_count": 110, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%%px --noblock --target 0,2 --out var0\n", "time.sleep(10.0)\n", "print 42" ] }, { "cell_type": "code", "execution_count": 111, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "" ] }, "execution_count": 111, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%%px --noblock --target 1 --out var1\n", "time.sleep(10.0)\n", "print 43" ] }, { "cell_type": "code", "execution_count": 112, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "43\n" ] } ], "source": [ "%pxresult" ] }, { "cell_type": "code", "execution_count": 113, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "" ] }, "execution_count": 113, "metadata": {}, "output_type": "execute_result" } ], "source": [ "var0" ] }, { "cell_type": "code", "execution_count": 114, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "" ] }, "execution_count": 114, "metadata": {}, "output_type": "execute_result" } ], "source": [ "var1" ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[stdout:0] 42\n", "[stdout:2] 42\n" ] } ], "source": [ "var0.display_outputs()" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "43\n" ] } ], "source": [ "var1.display_outputs()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Получение результатов по мере их вычисления" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Если вычисления выполняются до первого успеха (например, при параллельном брутфорсе), можно получать результаты вычислений по мере их завершения и остановить все вычисления при получении подходящего ответа." ] }, { "cell_type": "code", "execution_count": 117, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def crack(prefix, begin, end, alpha=string.lowercase):\n", " le = len(end)\n", " cle = len(begin)\n", " begin = map(alpha.find, begin)\n", " end = map(alpha.find, end)\n", " cur = [-1] * (le - cle) + begin\n", " n = len(alpha)\n", " while True:\n", " s = ''.join(map(alpha.__getitem__, cur[-cle:]))\n", " if md5(s).startswith(prefix):\n", " return s\n", " if cur == end:\n", " break\n", " i = le - 1\n", " while i >= 0 and cur[i] == n - 1:\n", " cur[i] = 0\n", " i -= 1\n", " cur[i] += 1\n", " if i < le - cle:\n", " cle += 1" ] }, { "cell_type": "code", "execution_count": 118, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Wall time: 9.29 s\n" ] }, { "data": { "text/plain": [ "'kefir'" ] }, "execution_count": 118, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%%time\n", "crack(md5('kefir'), 'aaaaa', 'zzzzz')" ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ranges = [(chr(ord('a') + i) + 'aaaa', chr(ord('a') + i) + 'zzzz') for i in range(26)]" ] }, { "cell_type": "code", "execution_count": 120, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "None\n", "None\n", "None\n", "None\n", "None\n", "None\n", "kefir\n", "[u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', u'ok', None, u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted', u'aborted']\n", "Wall time: 3.44 s\n" ] } ], "source": [ "%%time\n", "res = client.load_balanced_view().map_async(crack, [md5('kefir')] * 26, *zip(*ranges), ordered=False)\n", "for answer in res:\n", " print answer\n", " if answer:\n", " res.abort()\n", " break\n", "print res.status" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Мультитрединг" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Мультитрединг в Python (точнее, в основной реализации CPython) существенно ограничен GIL (Global Interpreter Lock), который не позволяет исполняться Python-коду одновременно в нескольких тредах. С другой стороны, значительное число врапперов над си-библиотеками снимает GIL на время исполнения си-кода, в том числе математических вычислений и различных системных асинхронных вызовов, что делает мультитрединг иногда осмысленным." ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "1\n", "2\n", "3\n", "4\n", "all threads are running\n", "1302 \n", "\n", "\n", "\n", "4\n", "over\n", "Wall time: 1.01 s\n" ] } ], "source": [ "%%time\n", "from threading import Thread\n", "import time\n", "\n", "class MyThread(Thread):\n", " def __init__(self, n):\n", " super(MyThread, self).__init__()\n", " self.n = n\n", " def run(self):\n", " print self.n\n", " time.sleep(1)\n", " print self.n\n", "\n", "ts = [MyThread(i) for i in range(5)]\n", "for t in ts:\n", " t.start()\n", "print 'all threads are running'\n", "for t in ts:\n", " t.join()\n", "print 'over'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Иногда нужно, чтобы некоторый скрипт (или его части) исполнялся только один одновременно. Помочь может библиотека `filelock`. Внутри одного скрипта достаточно `threading.Lock`." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```\n", "pip install filelock\n", "```" ] }, { "cell_type": "code", "execution_count": 136, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1533660885.23 i am first 0.00400018692017\n", "1533660885.23 i am not first 0.00500011444092\n", "1533660885.23 i am not first 0.00499987602234\n", "1533660885.23 i am not first 0.00399994850159\n", "1533660885.23 i am not first 0.00500011444092\n", "1533660885.23 i am not first 0.00399994850159\n", "1533660885.23 i am not first 0.00399994850159\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "DEBUG:filelock:Attempting to acquire lock 217465240 on lock.txt\n", "DEBUG:filelock:Attempting to acquire lock 115931288 on lock.txt\n", "DEBUG:filelock:Attempting to acquire lock 215601504 on lock.txt\n", "DEBUG:filelock:Attempting to acquire lock 217632440 on lock.txt\n", "INFO:filelock:Lock 217465240 acquired on lock.txt\n", "DEBUG:filelock:Timeout on acquiring lock 115931288 on lock.txt\n", "DEBUG:filelock:Timeout on acquiring lock 215601504 on lock.txt\n", "DEBUG:filelock:Attempting to acquire lock 124347784 on lock.txt\n", "DEBUG:filelock:Timeout on acquiring lock 217632440 on lock.txt\n", "DEBUG:filelock:Attempting to acquire lock 217631320 on lock.txt\n", "DEBUG:filelock:Attempting to acquire lock 218073632 on lock.txt\n", "DEBUG:filelock:Timeout on acquiring lock 124347784 on lock.txt\n", "DEBUG:filelock:Timeout on acquiring lock 217631320 on lock.txt\n", "DEBUG:filelock:Timeout on acquiring lock 218073632 on lock.txt\n", "DEBUG:filelock:Attempting to release lock 217465240 on lock.txt\n", "INFO:filelock:Lock 217465240 released on lock.txt\n" ] } ], "source": [ "import filelock, time, threading\n", "\n", "print_lock = threading.Lock()\n", "\n", "class MyThread(Thread):\n", " def __init__(self):\n", " super(MyThread, self).__init__()\n", " def run(self):\n", " t0 = time.time()\n", " lock = filelock.FileLock(\"lock.txt\", 0)\n", " try:\n", " with lock:\n", " with print_lock:\n", " print time.time(), 'i am first', time.time() - t0\n", " time.sleep(1)\n", " except filelock.Timeout:\n", " with print_lock:\n", " print time.time(), 'i am not first', time.time() - t0\n", " \n", "threads = [MyThread() for i in range(7)]\n", "map(MyThread.start, threads)\n", "map(MyThread.join, threads);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Windows" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Для обращения к API-функциям Windows существует библиотека `pywin32`, входящая в Anaconda. Функции сгруппированы по модулям, неполный список:\n", "\n", " win32api win32clipboard win32con win32console win32cred win32crypt win32cryptcon win32event win32file win32gui win32job win32pdh win32pipe win32print win32process win32profile win32ras win32rcparser win32security win32service win32trace win32ui winnt winxpgui\n", "\n", "Прототипы WinAPI модули `win32*` меняют на свое усмотрение. Как правило, возвращаемые по указателю структуры-аргументы возвращаются функцией как словари или структуры." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Ля второй октавы" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from winsound import Beep\n", "Beep(880, 1000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Получить размеры заголовков окон" ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'iBorderWidth': 5,\n", " 'iCaptionHeight': 21,\n", " 'iCaptionWidth': 35,\n", " 'iMenuHeight': 19,\n", " 'iMenuWidth': 19,\n", " 'iScrollHeight': 17,\n", " 'iScrollWidth': 17,\n", " 'iSmCaptionHeight': 17,\n", " 'iSmCaptionWidth': 17,\n", " 'lfCaptionFont': ,\n", " 'lfMenuFont': ,\n", " 'lfMessageFont': ,\n", " 'lfSmCaptionFont': ,\n", " 'lfStatusFont': }" ] }, "execution_count": 124, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import win32gui, win32con\n", "win32gui.SystemParametersInfo(win32con.SPI_GETNONCLIENTMETRICS)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Получить разрешение, перевернуть экран" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1920×1080 @ 120 Hz\n" ] } ], "source": [ "res = win32api.EnumDisplaySettings(None, win32con.ENUM_CURRENT_SETTINGS)\n", "print '%d×%d @ %d Hz' % (res.PelsWidth, res.PelsHeight, res.DisplayFrequency)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0L" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "res.DisplayOrientation = win32con.DMDO_180\n", "win32api.ChangeDisplaySettings(res, 0)\n", "\n", "time.sleep(1.0)\n", "\n", "res.DisplayOrientation = win32con.DMDO_DEFAULT\n", "win32api.ChangeDisplaySettings(res, 0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Вызывать произвольные функции из сишных DLL позволяет встроенная библиотека `ctypes`.\n", "\n", "```python\n", "import ctypes\n", "model = ctypes.cdll.LoadLibrary('libmodel.so')\n", "model.update.argtypes = [ctypes.c_double]\n", "model.init()\n", "model.update(1.0)\n", "```\n", "\n", "Следующий пример демонстрирует, как объявить и передать в произвольную C-функцию в некоторой DLL структуру. Эти функции перечисляют все NTFS ADS (alternate data streams) у некоторого файла." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import ctypes\n", "from ctypes import c_wchar_p, c_void_p, c_ulong, c_wchar, c_longlong, Structure, Union, byref\n", "import sys, os\n", "\n", "LPSTR = c_wchar_p\n", "DWORD = c_ulong\n", "LONG = c_ulong\n", "WCHAR = c_wchar * 296\n", "LONGLONG = c_longlong\n", "\n", "class LARGE_INTEGER_UNION(Structure):\n", " _fields_ = [\n", " (\"LowPart\", DWORD),\n", " (\"HighPart\", LONG),\n", " ]\n", "\n", "class LARGE_INTEGER(Union):\n", " _fields_ = [\n", " (\"large1\", LARGE_INTEGER_UNION),\n", " (\"large2\", LARGE_INTEGER_UNION),\n", " (\"QuadPart\", LONGLONG),\n", " ]\n", "\n", "class WIN32_FIND_STREAM_DATA(Structure):\n", " _fields_ = [\n", " (\"StreamSize\", LARGE_INTEGER),\n", " (\"cStreamName\", WCHAR),\n", " ]\n", " '''\n", " typedef struct _WIN32_FIND_STREAM_DATA {\n", " LARGE_INTEGER StreamSize;\n", " WCHAR cStreamName[MAX_PATH + 36];\n", " } WIN32_FIND_STREAM_DATA, *PWIN32_FIND_STREAM_DATA;\n", " '''\n", "\n", "\n", "def get_ntfs_streams(filename):\n", " file_infos = WIN32_FIND_STREAM_DATA()\n", " streamlist = []\n", "\n", " ctypes.windll.kernel32.FindFirstStreamW.restype = c_void_p\n", "\n", " myhandler = ctypes.windll.kernel32.FindFirstStreamW(LPSTR(filename), 0, byref(file_infos), 0)\n", " '''\n", " HANDLE WINAPI FindFirstStreamW(\n", " __in LPCWSTR lpFileName,\n", " __in STREAM_INFO_LEVELS InfoLevel, (0 standard, 1 max infos)\n", " __out LPVOID lpFindStreamData, (return information about file in a WIN32_FIND_STREAM_DATA if 0 is given in infos_level\n", " __reserved DWORD dwFlags (Reserved for future use. This parameter must be zero.) cf: doc\n", " );\n", " https://msdn.microsoft.com/en-us/library/aa364424(v=vs.85).aspx\n", " '''\n", " p = c_void_p(myhandler)\n", "\n", " if file_infos.cStreamName:\n", " streamname = file_infos.cStreamName.split(\":\")[1]\n", " if streamname:\n", " streamlist.append(streamname)\n", "\n", " while ctypes.windll.kernel32.FindNextStreamW(p, byref(file_infos)):\n", " streamlist.append(file_infos.cStreamName.split(\":\")[1])\n", "\n", " ctypes.windll.kernel32.FindClose(p)\n", "\n", " return streamlist" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def file_get(filename):\n", " with open(filename, 'rb') as fp:\n", " return fp.read()" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "?.mp4 [u'Zone.Identifier'] '[ZoneTransfer]\\r\\nZoneId=3\\r\\n'\n", "?.torrent [u'Zone.Identifier'] '[ZoneTransfer]\\r\\nZoneId=3\\r\\n'\n" ] } ], "source": [ "d = \"C:\\\\Users\\\\User\\\\Downloads\"\n", "for f in os.listdir(d):\n", " fn = os.path.join(d, f)\n", " streams = get_ntfs_streams(fn)\n", " if streams:\n", " fn_with_stream = fn\n", " stream_content = file_get(fn + ':' + streams[0])\n", " print '?' + os.path.splitext(f)[1], streams, repr(stream_content)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Конкретно для этой пары функций в `win32file` уже есть удобный биндинг." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import win32file" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[(75602L, u'::$DATA'), (26L, u':Zone.Identifier:$DATA')]" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "win32file.FindStreams(fn_with_stream)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Работа с COM в Python возможна посредством входящей в Anaconda библиотеки `comtypes`. Не известные ей интерфейсы описываются классами в стиле `ctypes`, достаточно прямолинейно получающимися из IDL-описаний. В качестве примера покажем уведомление в трее, используя `IUserNotification::Show` https://docs.microsoft.com/ru-ru/windows/desktop/api/shobjidl_core/nf-shobjidl_core-iusernotification-show .\n", "\n", "```\n", "[\n", " uuid(ba9711ba-5893-4787-a7e1-41277151550b),\n", " object,\n", " pointer_default(unique)\n", "]\n", "interface IUserNotification : IUnknown\n", "{\n", " HRESULT SetBalloonInfo(\n", " [in, unique, string] LPCWSTR pszTitle,\n", " [in, unique, string] LPCWSTR pszText,\n", " [in] DWORD dwInfoFlags);\n", "\n", " HRESULT SetBalloonRetry(\n", " [in] DWORD dwShowTime, // times in msec\n", " [in] DWORD dwInterval,\n", " [in] UINT cRetryCount);\n", "\n", " HRESULT SetIconInfo(\n", " [in, unique] HICON hIcon,\n", " [in, unique, string] LPCWSTR pszToolTip);\n", "\n", " HRESULT Show(\n", " [in, unique] IQueryContinue *pqc,\n", " [in] DWORD dwContinuePollInterval);\n", "\n", " HRESULT PlaySound([in, string] LPCWSTR pszSoundName);\n", "}\n", "```" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import comtypes\n", "import comtypes.client\n", "from comtypes import IUnknown, GUID, HRESULT, POINTER, COMMETHOD, c_wchar_p, c_ulong, c_uint" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class IQueryContinue(IUnknown):\n", " _iid_ = GUID('{7307055c-b24a-486b-9f25-163e597a28a9}')\n", " _idlflags_ = []\n", " \n", "IQueryContinue._methods_ = [\n", " COMMETHOD([], HRESULT, 'QueryContinue'),\n", "]\n", "\n", "\n", "class IUserNotification(IUnknown):\n", " _iid_ = GUID('{BA9711BA-5893-4787-A7E1-41277151550B}')\n", " _idlflags_ = []\n", "\n", "IUserNotification._methods_ = [\n", " COMMETHOD([], HRESULT, 'SetBalloonInfo',\n", " ( ['in'], c_wchar_p, 'pszTitle' ),\n", " ( ['in'], c_wchar_p, 'pszText' ),\n", " ( ['in'], c_ulong, 'dwInfoFlags' ),\n", " ),\n", " COMMETHOD([], HRESULT, 'SetBalloonRetry',\n", " ( ['in'], c_ulong, 'dwShowTime' ),\n", " ( ['in'], c_ulong, 'dwInterval' ),\n", " ( ['in'], c_uint, 'cRetryCount' ),\n", " ),\n", " COMMETHOD([], HRESULT, 'SetIconInfo',\n", " ( ['in'], c_ulong, 'hIcon' ),\n", " ( ['in'], c_wchar_p, 'pszToolTip' ),\n", " ),\n", " COMMETHOD([], HRESULT, 'Show',\n", " ( ['in'], POINTER(IQueryContinue), 'pqc' ),\n", " ( ['in'], c_ulong, 'dwContinuePollInterval' ),\n", " ),\n", " COMMETHOD([], HRESULT, 'PlaySound',\n", " ( ['in'], c_wchar_p, 'pszSoundName' ),\n", " ),\n", "]" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "user_notification_clsid = '{0010890e-8789-413c-adbc-48f5b511b3af}'\n", "pUserNotification = comtypes.client.CreateObject(user_notification_clsid, interface=IUserNotification)\n", "pUserNotification" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import win32gui, win32con" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true }, "outputs": [], "source": [ "NIIF_INFO = 1" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pUserNotification.SetBalloonInfo(\n", " u'Операция завершена',\n", " u'Ваша нейросеть закончила оверфититься\\ntrain logloss: 0.001\\ntest logloss: 0.693',\n", " NIIF_INFO\n", ")\n", "pUserNotification.SetIconInfo(win32gui.LoadIcon(None, win32con.IDI_ASTERISK), None)\n", "pUserNotification.Show(None, 1000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Некоторые популярные COM-интерфейсы уже описаны в `comtypes`" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'{00000000-0000-0000-C000-000000000046}': comtypes.IUnknown,\n", " '{0000002F-0000-0000-C000-000000000046}': comtypes.typeinfo.IRecordInfo,\n", " '{0000010C-0000-0000-C000-000000000046}': comtypes.IPersist,\n", " '{00020400-0000-0000-C000-000000000046}': comtypes.automation.IDispatch,\n", " '{00020401-0000-0000-C000-000000000046}': comtypes.typeinfo.ITypeInfo,\n", " '{00020402-0000-0000-C000-000000000046}': comtypes.typeinfo.ITypeLib,\n", " '{00020403-0000-0000-C000-000000000046}': comtypes.typeinfo.ITypeComp,\n", " '{00020404-0000-0000-C000-000000000046}': comtypes.automation.IEnumVARIANT,\n", " '{00020405-0000-0000-C000-000000000046}': comtypes.typeinfo.ICreateTypeInfo,\n", " '{00020406-0000-0000-C000-000000000046}': comtypes.typeinfo.ICreateTypeLib,\n", " '{0002040F-0000-0000-C000-000000000046}': comtypes.typeinfo.ICreateTypeLib2,\n", " '{1CF2B120-547D-101B-8E65-08002B2BD119}': comtypes.errorinfo.IErrorInfo,\n", " '{22F03340-547D-101B-8E65-08002B2BD119}': comtypes.errorinfo.ICreateErrorInfo,\n", " '{6D5140C1-7436-11CE-8034-00AA006009FA}': comtypes.IServiceProvider,\n", " '{A6BC3AC0-DBAA-11CE-9DE3-00AA004BB851}': comtypes.typeinfo.IProvideClassInfo2,\n", " '{B196B283-BAB4-101A-B69C-00AA00341D07}': comtypes.typeinfo.IProvideClassInfo,\n", " '{B196B284-BAB4-101A-B69C-00AA00341D07}': comtypes.connectionpoints.IConnectionPointContainer,\n", " '{B196B285-BAB4-101A-B69C-00AA00341D07}': comtypes.connectionpoints.IEnumConnectionPoints,\n", " '{B196B286-BAB4-101A-B69C-00AA00341D07}': comtypes.connectionpoints.IConnectionPoint,\n", " '{B196B287-BAB4-101A-B69C-00AA00341D07}': comtypes.connectionpoints.IEnumConnections,\n", " '{DF0B3D60-548F-101B-8E65-08002B2BD119}': comtypes.errorinfo.ISupportErrorInfo}" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "comtypes.com_interface_registry" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Реестр" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Реестр Windows хранит разнообразную системную информацию (о настройках системы, об ассоциациях файлов и многом другом) в древовидной структуре из ключей-папок и значений-листьев нескольких типов (строки `winreg.REG_SZ`, целые `winreg.REG_DWORD`, бинарные блобы `winreg.REG_BINARY` и еще несколько). Функции для работы с ним сгруппированы в библиотеке `winreg` https://docs.python.org/2.7/library/_winreg.html ." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "todo hkey вроде поддерживает `__exit__`" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import winreg, win32con\n", "def reg_hive(hive):\n", " return {\n", " 'HKEY_CLASSES_ROOT': win32con.HKEY_CLASSES_ROOT,\n", " 'HKEY_CURRENT_CONFIG': win32con.HKEY_CURRENT_CONFIG,\n", " 'HKEY_CURRENT_USER': win32con.HKEY_CURRENT_USER,\n", " 'HKEY_LOCAL_MACHINE': win32con.HKEY_LOCAL_MACHINE,\n", " 'HKEY_USERS': win32con.HKEY_USERS,\n", " 'HKCR': win32con.HKEY_CLASSES_ROOT,\n", " 'HKCC': win32con.HKEY_CURRENT_CONFIG,\n", " 'HKCU': win32con.HKEY_CURRENT_USER,\n", " 'HKLM': win32con.HKEY_LOCAL_MACHINE,\n", " 'HKU': win32con.HKEY_USERS,\n", " }[hive]\n", "\n", "def reg_read(path):\n", " parts = path.split('\\\\')\n", " hk = reg_hive(parts[0])\n", " if len(parts) > 2:\n", " hk = winreg.OpenKey(hk, '\\\\'.join(parts[1:-1]))\n", " try:\n", " return winreg.QueryValueEx(hk, parts[-1])\n", " finally:\n", " if len(parts) > 2:\n", " winreg.CloseKey(hk)\n", " \n", "def reg_enum_keys(path):\n", " parts = path.split('\\\\')\n", " hk = reg_hive(parts[0])\n", " if len(parts) > 1:\n", " hk = winreg.OpenKey(hk, '\\\\'.join(parts[1:]))\n", " try:\n", " result = []\n", " try:\n", " idx = 0\n", " while True:\n", " result.append(winreg.EnumKey(hk, idx))\n", " idx += 1\n", " except:\n", " pass\n", " finally:\n", " if len(parts) > 1:\n", " winreg.CloseKey(hk)\n", " return result\n", "\n", "def reg_enum_values(path):\n", " parts = path.split('\\\\')\n", " hk = reg_hive(parts[0])\n", " if len(parts) > 1:\n", " hk = winreg.OpenKey(hk, '\\\\'.join(parts[1:]))\n", " try:\n", " result = []\n", " try:\n", " idx = 0\n", " while True:\n", " result.append(winreg.EnumValue(hk, idx))\n", " idx += 1\n", " except:\n", " pass\n", " finally:\n", " if len(parts) > 1:\n", " winreg.CloseKey(hk)\n", " return result" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "C:\\Users\\User\\AppData\\Roaming\\Microsoft\\Windows\\Themes\\TranscodedWallpaper.jpg\n" ] } ], "source": [ "wallpaper, typ = reg_read('HKCU\\\\Control Panel\\\\Desktop\\\\Wallpaper')\n", "print wallpaper" ] }, { "cell_type": "code", "execution_count": 127, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAEsCAIAAACUnPcNAAABJGlDQ1BJQ0MgUHJvZmlsZQAAeJxj\nYGAycHRxcmUSYGDIzSspCnJ3UoiIjFJgP8/AxsDMAAaJycUFjgEBPiB2Xn5eKgMG+HaNgRFEX9YF\nmYUpjxdwJRcUlQDpP0BslJJanMzAwGgAZGeXlxQAxRnnANkiSdlg9gYQuygkyBnIPgJk86VD2FdA\n7CQI+wmIXQT0BJD9BaQ+Hcxm4gCbA2HLgNglqRUgexmc8wsqizLTM0oUDC0tLRUcU/KTUhWCK4tL\nUnOLFTzzkvOLCvKLEktSU4BqIe4DA0GIQlCIaQA1WmiS6G+CABQPENbnQHD4MoqdQYghQHJpURmU\nychkTJiPMGOOBAOD/1IGBpY/CDGTXgaGBToMDPxTEWJqhgwMAvoMDPvmAADAxk/9GlU2EAABAABJ\nREFUeJxs/U2TJFlyLIqpmh2PiMyq6ukeYHCB++57G3JBoQj/EP8QfxwX3FKEi0eK8F2BXGKAme6u\nysxwP6ZcmNlxz8ZLYGayIiM83M+Hmprax+H/9f/2fwdAUgJEQEECAgUBMACA8g35GgkAEigBEEAQ\nwIQckESahEkRNEESzh8G6uP9vde/1ptFSCJAMKDPfyUgABSkfNf5QQD9foZEqL9LqHcKoFQfZV9b\nqOddXyfl0wqqsaAgYQKADAhF1PjUjUkSZArC6kH06ebPZxHq7nMExB5dsa+Tv6x3s25XEiFO1FNZ\nEJwB1rUDzHvtxwQEEjVcItgPhEkhZOB5JzWD57zkLwQCyuFSz6QkyuoVXYa6VkVYv5+CoCAclHKA\nzkE/vyXXVtTvwqfFkTdWE8HLkgIpMJdurR8iV4ZRClKScU02DAiABgOk8/VzhSDHkwSVLxsYgNXr\nAAIwEjJItSnys6Z6PXDeMAEDCUyDiaCBJCQTRcBgeU0SzMtx3VG+lN/B3G555XxNuU9JykjA1hPl\nn40mUPVuMr+QXA9MGChSkFk9D2letyiQMBpqiijSACpAEna5FQNzauqfJBQgQcsxzaXFHtjzbX0b\nfeM8nxUgaNbgk0uY7PdgAE5Yf1TEmg8HySAwCEqgCwhKlztcc4Tc2KpdTVJkCDKr1ZEjg8sHYKTW\nysxP9TOeU3CZjfVh9bdjTWEhF4INqsNoICSZ1Z40gESIXK+w8JIwMfoLeyZ6rxihXL4iCYfOAUbU\n+tW6S+Ky5fqvWoOuwuHLNfoK6+PA57/VK/VjiUz5H1jB7fp4jWJdMb9l9gUlkT3Gl7sh4AUCMBoj\nZ0IgoqGBMAlWtulEGJJ2gQK1fSIJQiEDaERQhBo0G/3sep1A/bveQisopOqxDUqYM4Piuq2vY+VI\n4FpLiucU8I8Da8KnwV5bKAGZvdnJso49t1KthM+bLwefny9JSbnVaqLWor18tL68vzuRPsCiEee1\nIMDMpTD7wxhazWsbYOZ8k4mS66tkYH0wjNarMSGqbo0s1CYNyg83yDXAACAMgOc1meZOXOtbifiU\nJcIU7OblDMpdXHNz7lk0PBINcPke9d5IJLT6aM6libCetEIro6vNAymynyWHhfk2mqyeet0fzjvJ\nRd7jV1czFsPIW7PalmtGmbCoC8pyLX72lZTPdCIk4KCTg7EAWkAAMx+VFCAYwZ00Rs6ZgTq/qBeg\n1Zohe9XVvS+i0FNFreV/jhHW8OYzlv1jrYZ8W30P/rCsAUONao1n09CBC1wucAToeQmSuTNz9ede\nbwuwwFEkEQ7UorOkBHW1ICJ6IIie6vqoEMlba7skVWV48sleUSGVnYdUa61gv6ApDZzaEAlkX7b3\n0fpakYwCgrYdAOCLNiJHNJcxwIBEmNjkLm/UapehrayCWoMImhk+8+i+ft3uWh9ugihGDlyTdqRB\nDTaSySGIYVC/qjK/xSQVaremNqiJQFwwtKcut5h6pf6BRyevaY+n0AsqYhgyAskwCCMRkTioNDSq\naRXIkBz007/JhSrLK2uZ914i/aV5KTQrq4VuaVsLkZFLpg0QRTAAX9yBdBSxWDsiGptynJyU5Q0X\nNKqMQJHCJnu5uEwMByFG4yHXUCZZNJykNRK4lEik4rC0XIlrngr000DDFvQiN10hoKQAaOYFDPmY\nLAzJB8rhYJmPhsWT3hZ45MoxEol+iySng2CJM4AlXF98gibFKCQssr7sTTsgi7eX5QbE5I1K1o56\nM43trPQ35Lo4L3liM2AstjTyPhHOQpvyR4kG+AsYNwpcCS96V6TRppUji+UsEXF+ruyG1c0ITMen\nINEhAdPkotX6VN7CJNhjGUgCHLkCfVkA9IAApEYNUINcrkCdrkqxywUx//nB1sZB2T3MvFL+fRKF\nUyItdPlTfxqQ5VZEbujI59eph4hGCwkwa/Ug32NNqgFEG4/ax3AWKKc/bUyHdjm1BIQElXaxP7H4\nhuteW+yLXW9fFBYVr+2mz4/YT3F+RS/D+hPC+EmxyfWvHIx2c5AeQLqbmnQAqZvUzm3mlks4GYuX\nn5CiB3QKHFgz8Z8m9/KQ1zHJYcxlVFsXvt5rtj5XFKN8EGlt1WL6WlxD129NZojLV5cTtBYuqSKP\ntgaqpqHeiUa6ZXgtL3153svtL9uTXKT3SEN/3qXRQrQ0M/VoySDoZAAwXQxLLZdgj4jR6tqMtOR2\nvfVaOQ5GznXT+RwgkbWukqP0gOXgFlVrTxuyGq8TNhcHvUAdFnFmUcb8Y+NsWrpC9gbHNI4LLNly\ngi3w6G+pOSGJfEsqKOhnA5rYN1vBqVqc3we24LBup6bTDT2Mte/SVg3AyUOIXK6WXktajmKvfYtX\nBKsRMhOYHk5hX+QKaKfpulrP9ZFUYw2JLsoJE15FYJQXIksupevzXhC1JluAhvVnWqdcG6NQbJH3\nXCi1qlT/UhqRdmLzZ4gtFgOe18mdE1ZovN7ei6k2slLpy7nIe7wM0Mk06h7q8/nedvtDS9Nqv19s\nylQDz3yz6kICjQot81hIwX7yulCcU9rm+QTxGiKtm1N9W4l7AMxQ30JGa9CQwLRQyLE8p62erm1M\nCi+QL7IB0Ir4L3BiuRJpyVKYTVU2msDVAkH8UWIC0i1gW23gej9AoqC0fAz0F+YC1gXbAzSEkNKo\nKJUemuNVKs1a7Jcfts0vWkUYMHtGzVKQE2Ti+dWE1x0nEwJgaoegYfMiUBc7SRvP0jIWiysWkxuz\nkMuYdAlmSCmrPP68WoIQazt5DfJp5OpRmRtOjRQ8/4/L+S18teXK6sJPl1yrpRWAyV2WnGSCeGox\nliJwDnkZAdKKG9oC3AW/i7UCTR0XmvR27nHhRT/uz6r+2Ehmi/ikgU9Nec2LLaqd3LoIuJHe+J2z\nZ6RbWquWngWSDqRPMSExfd9c/2xk59qrdWe9qhsbaujYXg7ba+7Hj2J6RF65qUiPV09cf9dFwiPQ\nXikAy/lpvCh9JU1aWE43hMG1JATSCk1qEs5YjFmh95qDxUH5yYsoWFob7IIwK/oEMxNmfsJEll9c\nsmC7+CDlKumzFh55NAI0CzjJ8PlfREaaWNNxMZcqFDSzjlGpJJ828bgErJqAq1bh+pIWOa7wZmYZ\n4Go7oByfpT/xdJxBwMxCUXNGSDBjRPAzy16kNZdIRU/LiEjLkHxin6d6t35qUrgwvAHp8q66FZbh\nUMoJQOnpavZXksEpm1y/BaAUBTeL64ph6FtPV6mNgGSgomULnNYxL209asYzaNwTZMVha49EdECr\nbLwlQPewn2PFMnOyclYoACar6U4vWumnLvU599IZf8lbrnVSWzxS5ZCwpGr7LHqe/IQAO8yGaD0l\nb7GVxOarbHDxnqtz47XpapDBWtV/WAP12OKCkiWUX2+Sl9Hq35a+UW/pZytFzk4MBNPglVmTLj5L\n3TNrWnNBGGhSEDD6BTvL/WGPg4GAU0Myqh6BNHCg+JFAa5+tVxhrQ5gqAkBEyMxOADyffHkJ9dVo\niCyrt2KIKJOmTyOTf1yiwmVz2ZpY9PWTR/TAnYNOAjQbaccaCcRLSDp9qtzR6rV28XxTpkQby3q5\nHOi1ShJRkkOmGJoSTw5eLbpUipVb7bp+i+qUN8CIaC+jdsQszTlAY5xDInqzucWj8/bSGeQln6HG\nkI6M9NXOzzDXFSoKi8rMEICipc369wkrfeekRcRySBchJ1qlUb+SnM8sFMkzFTm4+Y763nPVfZ7+\nP4SSzwBlwl/ZotZKBZjqMWQnXW2qmbS0iB8zV2RpIwUqKg+n8CpquQaguewQUa4N47KGM7QPgr6W\nM2sfQp8erO63DV1lY4hGiXQwxe5cdiUjsi9T9jEhMAmmGmj6O3KHNFSkh1s5ILkJkEgaBCEjFs9W\nUkgxMSFhz3qMirY0UVijkRMk2mWILm9cGkKLG7YmmuUptC2oGSVTQSeQseXFRmssl3pQboud2VlF\nixYsXGSiq0WpnVr/Kcax4Kvuv2X4GlvW2BjTEVjiYXo7RofYD2PlT+RysZTwT6GgF70BThnhxbmV\nSQ0ZM5tE5IxU9PccXEOLBQVYZtZ8ue4+/5WaMgnYYqvLHaj/WxutOdrn3Icet56apnJnYKDe1YsO\nXJjQO6Q270h60MEU9P+cAHBqdf0AV5pWmywhsAN8LOFGAK1dO880ohPuCKUoDJq1+KrTVl3vNbEc\n4qLqUc9UCVPLJTpvA+vOki4teD2XewUTymUna3Ncsr9qNKPvZ4XO0MrsuaAXZ0Yz9Itwz5qC/I96\nTtVbNGcxqaq1OU33AQWiHUbP+1jUpm8Mza97FxY4Bahkg2VQ8/5UX6xz4nuJIlZ2SE/WrO1BU4s3\nyoRMOFI5KT5VhtNIKCM28rofRUYO2y4zTsaBGouatrWs64+mogtrnXagPSfbC5zhcFK6SDeGjF6H\nSyoPhxeKeC625RsssaOWSgaBed7PZed3bGh9G2TNLHNGGvNPhP7ETk84XvtzhaDtNCP9NtYbzt/P\njxNIEn0ihWiwFdBaX1BYYcamI2wg7p1nhSn9vZ9z4+pDpTtApDFYCknFmc5lnLBsaxASv3O8iNRB\nDcqkBYqU05bx6GdtHZkwyiQzzyVGMnolq+YLUU5Ue5I1+u3yA/Q2gTr/1vZHBP10vTk7Og2AhWos\nOD8jImkJQFIdPmOvDeJCBv/TP9tCn1s6/zI6qnsNA17fVlTTK9fks1e/tlCtjAZvJbnJzZyhrN6B\nLT4nZUpmF+sLO1KxdAE1TYdJQTMeCpyJibLKIaOpEt0+/xibzP7hScuziQpP92iyNTzkR/KSS9xe\n5L0jcifwlx1YHPTC26/2DhLpSXNwjcMGgZljVDepxRsWuBMdirzE7RbO4xqyKxLJq51oJyKJB8qn\njEqBremuRVDJ2Wh1oJWCFZguEUxNAzpEiRaP+vbapph1LoWhFVigo3mFMcvFSGe2pciyzRkIDIoq\nzDuTezJ7oxRrIkPEKxZi7Luu2+3Zax+LACIx4ZRDUOHGJIFKyt55eJmtKp2KyrkZThcXJFvlKVYF\nrGG+YPSJqjASttKu/vgeJo8ve5mvoqWN9ebAwoY2D+2zLmtQxHpZKPZfy25lNloPKS9QWShUjFiN\n5LhwdxShqwelVfJ0gYjnr8blctPymp7r2HOqe5xaWSjXS9aZGpXSU5sCmVhzsWzruZpJVeSWHTP8\njIl5U/0nwURZZWFHrfJ8ePT32KJwOTqfPcBGA8I++eNIeD8jMacFz7+L5ABwLp//vZ/1PWnrou6w\n1cJmrJcnhBBs7SIn33LPWcOWKgOl5hxLh1aNYwV2yn8re2WQ5GQbnuWNIzpiAGCVlUwIsouE0V+9\n5qFxuIo7sBKCbQHuGoRPH2x3XFW4EJcIROFJTUWDi3oFr8epScioR0E521fItwVhrZXkFskXa9s3\nUJ4TtZi70gQyV3wHOdf+UqUYJl62T37K2b180qlsk7bYSM97hYainisaICAigpnRy4YYSZKnedCs\nkVqWM/cazsHpjK4k7J2kKoBwgGa1CktVLJCxMytgPUVuRQbV6mSF1YkmQXWPjnqecpQYhIw2i65a\nUk+rzbX2ZK+vRbvFzIRlz9SFNfd8sH8u2+fE4pMoMbGY53uo4tZodOaSLFSw4xceLeMpBzd0liCy\nUB2Z6I1eXacxaWHarL8e6x6AzHi7IjHWgJxqgF/QcLnBVtFXKuWvMqyp0ET5Yb1ECjPXk5cNYltd\ngokABfFYFOAc+VMbLJ+Cp0tfg5CPfNoXLGLLitF9Ihf9Fq49zpXEzE6B6D2OFbvIy2bmJRfc6/xU\nXRRjoOLJ1jv8tDUNl3krzeoral7Lv2J6Fc2YBS7hQiYiiVCCymlhcoQyZbhWq2e2QUsZccIElc5K\nm5jgyc2ZikAPX1L8ZoMpLwdXNFdFH07Ey9sQ6I1uAsFZOk7zC9X1RUR0HOjqjvTb+jZhFLzkhsQI\na2TvcWtev2I5xowOrvEuqK+ELLbDYh3gLReDxXVyt6nUCVV260L9c+EqsKY72WoKeGL5IYBybnJ0\nLov2kjLeXgVr2dkK8kqAzCtXR8uLMFprerLOOD83Uc/pCameaMDyVZLRqQONKMJAAC5N8CyASOHT\nOzsxIsrhNHSeX05jpSGwrJc1e2zz6QDC4A4GVXY8d4hhdBWlWGm5i16QVQqxUvh4FW24NuwJ0Os9\nf3jxD7umwJCixMbItWPJ8ieK86br2HlyV17Hc1ZzA5cwXdBca7LCwg3LaswFWVaRnX+xeBDJDNF3\neiNx4S9kwfCJ9oXUPO+qbnCNQOLCaeRqFXnuJ7NL0U1me7fEpUo/50mPcOKvSDNqFTec7wkQcrbL\noU4tKMtsyDoKq2K069imY1FgbdTVkF+ZQ91fLtmqtuj1lQkONGhU+m3OYX//lZRdoPI06ZWhwXZ/\nkHJUTUb+kvQyF85c9wW0m5skLmPsvW3B5Dm+zBYqbCi0G4P85hXky13dGE60forKnqoXm+lEByaB\n2vQLEgoRUaSqttNlWJmFGH3JVelX+jjaTGixiQpUnCb1UyivUKvdcDMuueeCVS3q5zUr75u1jnCy\n+cZirJiCanhRoIVzG7PvpN2xNiLITaNlybp0nDhpINSGqvgrokjpZeXlqrdeTys7fgFxC1wrz7Y0\nmOhBy2uXl2tAETHrx1V06Fdwlnsu0sgwcKO7MxS78QgRigqwFSDk9ORjFt9t+hdAqihGbu6bCfBD\n2kMzTSFP4lzKyKotrG1zpW8Acj//ca9erlNrYL1huUf1aK1FcAH7YnqNv2tyeydfELgW4gWhmiIi\nndv1z0a0CnKuf9K0wrBgmRkyZ5tNRDJgieU7LWdbC23zhfSzr3p9cz2KGewrHmQZGGBR1X5rKwfl\nDbNDSVzUG1gCwQqH1st1e8yJ66nssbpsUXShLtsbpliKUtR4dh3gBYL6iSofs4lOQVIbLhBnnmiv\nnXoZgEbGnE2hjkhf3Nnz/csO6ISe63QSoKAB4VIvgmaOlZesGgxB1BBUIayy0lowl5fNRC7PFKzz\nppbCkqhONcQtU4LClRpHxVWKkOHqY+MkvmtX1OZFtnHISZQiESTOCS7jtgKnJ0bXwMgKEJQWKyWI\naHKs0FoMWqODU6KoilFFCTVFk1t4hzNFJ50PvnLyagaM6RlgcfxPFjfXTJzDl5a3wyAgXFg9LlpI\niM6MbCdOJiJL8S7L+poTcqrj11Kj3PRFQlvXdigTmK1xOoXBjIfI5DBm5WVoZoW0wagN1on94zHw\ncAP0PpP6MqSJCnGWu80KoC63ZQ2dVa4PATPjt5s9nBP8OPQ+41BFLIOa0gYMw3DfyPSynhECvVst\nHMJTqnymdq0btaqGrrC6SDSqzI496KdqXTWXF753Ab0Lu7r8Uvtx4XL9wWAqDwVdKv358xXjWobA\nz6KU0z6RcOWNdv5f+jJpFM74Ger+aqcbS5NZ1ijhHFy9C7rocLFmtlRySVXJDVsjKCso5hlBab8J\nXU6xRv4iVvIEtYxS5q70XB4CHFpKUxmvngPrcZ2XEebS2wFfo9r2qJKLoJY/0J5w9FtAcfQ+zRj7\nxcj21SGkoajdlW9sW17EvDZw3a/X1TuMRnpDC9QjR0AwcLb9qoQcEwIdSsovT6CvBNiz543WdJ2A\nJLXvnY1wOl/G8o9Luavs2Vr5OtfseqrMPWONG0TPBKaC9NJu0keaV9e/nxutwDbWru3nrIpnmmHV\n8Xy6hf6lSUEmDdZClkpqVc3IOeXpGVxWx7IAn5l7WbvTsF9ML/7w0yUP6E1ZqZSte5Z6DAEKqwKg\ndA6jB9OqaVHeyFrY4vm9SYRkDeirnj8fR4Wla9GBNHe5OAODdMPNOEgRL8N+ufFl2CH89h6/T32H\nIgJSNIfJybH1+0V+X4z3Rt4G74bNuLm9UIOcMAADuBsFvE8c4ub4+c7HMIIR/Jj+nHGoUrj3CQYm\nLdqTMidQ4tsFQ4FkZyt+QSxd43J3xBnuLFLQwLHmBYC6OEWt3RDMQqTMZ6csbOkkp97UG+HKeAFQ\nlaFMzr4BMntoGE0t/YqkGS/3eDL5834VbRL6dgs9WtS7PFpTXaJyRaqLQ16yc4VX8HIV0JTicbq3\nXSbGk8gCyb1OXK1RtlLw6jV1BqU1SC53pDYmMNZW/mwfWdtVPBGI7a6KzCyR6PTHGiuAY1V/pSeQ\nMluZlzNj1vMmkvd0uytUzEUsxDitfAffr7u8X1CnZ7Dkp/UxK/qXVjhLHRINAJz50wDgIoijZsTQ\nrLOofOUFVK0Bg4osYStmSicFJyJT/bLHiJgKSKZUq1Tec4LrmgW7BS4Z5avxVIbfePVCciQpRTs+\nWg1DclvUTQmnp1L/VSrExUEoH65+zbEGUWHSTCwINlc+TfMJPxnTO0WExtBzaQkQg5FvZkdFgPJp\n2PsFqfLXZzpmeu7IuMJ9X2f1w0Hk+GZ+hcmiQ1S1IdrxY8ksS4PP/TGMm1W6O8Gb291zwPlw+/nF\nvj3sOQUi3mKXwm0LPEOpSM+Tel42BLlCwE7+8rB/fIy74WPqfQrgMN2HxTGH8ctm28DHgY/A3fjT\nnbeBGfyxg6HHZru4RxyQGR5kgEesZxHYOS3MTghCecBG4yDI1HDYm62dHus8BSzsSjRPRFyrhQA6\n94W8RAhrbRDZu27xwr5+L3I20DXTaMjkdrUMLJfErtPdwF63xMqIdYhkIMzWIwDJ3zMLqOOUnZR3\nvbMyXwT8XCynatDhy5N2rJvJ563mD+uPbBgHWsapT5T3drmBHtCSpDOsZ03Aa2XyJCKsdI/eqZWV\nE+ckJOwbAQ320i6aKRIBDF4KGvrdZ/Cx0nmKYakyL86bRlvz2sEsxofWPbBu48IRz/tTOR26slhk\n1KpTks9ZQgKbKDAYhKXfv0BLl+8jQ4GFCdaZieWSg2e7pMyiRSA8e2giVuRakZUj7UAhFZMinQu8\nxOqI07XHyScLSHOjWA91XsdqXsu6pwDC6yj0gzdGnwOHTG9bXl/nWqyqI6lgNzt+rjzuXHrqJZ0T\nlndZC0+RUcg0wytSnz/N4xvRqTNhGD2wYHgwBAjhNJSedD4MPo1DPk8QpIOb23BIOiKfq8x5fjik\nKZAwcjPcHV+GDcs14/cNTkTgNvCXP/mfHk7y59fjf/vbgd/wJh0GTexoW1FBoo4qpFaSFsjw02b/\n89fxX38ZL5t97PHbh34852/PeL7rIDezm9vLxrQKd8d9MzO9H8AuM23GF2CXvQWfc5IYbgHO0CGE\nEOKsvXMORG5yJ+6OYRbCLMUDEzwKNa2WWw1ptnI5XdMa5zW51YO0F9WlJudMVAKMlp320ElmOadL\nfzxtGStz48Il/tN/o76AgJXDKu88PF4sTN8v2oZcgbUZEUnrTL6+QMVH6kvrltkXOH2Sgst+pLza\nymjKRVbctjZC4U47HQJWD5blrfSVucg+Lq/kmNVw5RJf44+2W8UFzlzdZr1ou6txCs9qylxpADlx\n2We4x/1yoRNvC6w+/asykSpn8aTfy+tB3fOySc0f+8JneI2VXwFYkuqwaANfDnC7ktljkyCDK/62\nNmMOa4FiP2atOw/54g2VbYcJuS+7kJheOpQquluxsuUQFB7T2O79Ca+Edc1eAymAMwfLKhR3Jsah\n2Rb76jxzfFQ1iu2YGLPHa6Kk2Bm9eeVmu+wkyBbQSTAUJQZX8UgwHY+G7RoQKct2E4mr2Dsdg0AY\nmdWEhlTX0Lpn60myROKcKyMfzi/uLwPujNA+BdCJYdXEboZonNIxw4wkonJy5OSXm32983WYEWYa\nThL7gcfGf/yyfXsxg33deB+22fGv3+ePYx6Zjh3hNfvVKjNNphuHwcGH86cbX2/2093/9OICfjzj\n7++8fw8obk5zwvB+xDbspzvvjhnYARJf7zRu6Q/PCXsC8JRWhmGjBXUEngEDpjJ11U7CSNDCzDbP\nDguYARhd4KxKOaPMSPFIodB0AXrmAoSUEZfK115dj2o71CZeGRTe8PeJup6gBeMZcFsMrAHJ0Pzd\nL5uNrTqg+6TkJ1uc/wwqCz+b0TWrVeoLHZHOYB1OK7CU7DYbDaFtJCqdPdVBq43EFUK/wBhbMUCj\nTz3smpwTY4EozpasuS6AK2SvD1lZFBOqAKTmiCvfCk276kscGHmBa1fMAgvjaVvrCWL9WgNdbQ4u\no5t/qFSlyGpctOW55JlBl6uvi14Neu6fRti6vJrcs1pTl/HJ0I+B1WNsZWAkl2zU4gLrJr9q5l3/\nnSuRlqmBXpnbApd3X2wrS7xZDkis3gixBJwewDO+2s54feo6AH+kDnXl/m8kjl/k2zIGJ8Pth1sW\nGIteS94rvmWDDLqu70vDQVLmVNH7nkQs0K+si15YvQtKKEWBXH8rQQ5JqNRjmRjWD3of/Lb5P9y3\nP7/w24M+uCLJc0bGdT52TQmG/cAMbW43p6T/+BH//rYfgUF+GfzTF/sy7LbxNkggIpsYgKK5fnr1\nl7s/Nrv/x/NffwU+9B04aF43j0mOXsMBmeHPd/uXr/6nF//p1V5uHN4lxxyOed/845gz8L7rfeI2\nkuZY7qhJhXBo3ox3Iw23gfsTv+18n/oIbIMvwLb5U3o/9B6V2JieXkpKBl7oDX0IKnycQkib293N\ngEPaO9gQwqRU8TfQOOiDuDudOAJvGWpv4sPyGCosdXLiwqTyPS/IBFb0ssUnAqQvFyRtc4XSLwz7\ngpds5aNXewkLvbQ7ITq/M53+hLVshFCXqPvU9b5PHlb9lZCyD5ROR26RCmV5rnugGfq5jTqMVTS3\nxKX11/UtncQFsTpy1C11blRtHa74Zy3wk2O141MPESsc2ltzVBfjlj4XHFxYcY5eXbO13vx3oF2z\nvLvSYuryjvMitQLAT2agf5IzfqrgOP+2pH+JRQktP2JgnigCTqvSYyNDKVLXMslmzp198YdrN4S1\nHV+MuxtLJujRDGB2PswAoHFGK+159233Ltw8H7PlcdXmA4SoGq2KHkd/fpXDXGNWaYFzxM80tdam\ncZLuvLEyhCl2p9rBjrKhXr8kMvZjrxWaQcBISmUdO1bk9jBkQ6ImySBTAW87WHNWziFZ3iISxQQD\n3WjEEfixH9vm4+Cr283xuI3H4Mgis3YA96n3PfbQMN59HBG323EbpNtPd3sZuG/8Mvi422MzN0oI\nKAJm2AadHBH/IIc2CIci9vk+CcANXtNX4omTPw//l1f7Lz+Nnx5+HxzOPeSmYfbtbo/Bnw48p30c\nen/G3z/mLsxAmAwcxjHs4QAwnLdBCvsxDXDyR+BjSoIPvmx85DqeCEDiFCaYWSib2cuwuycV0Oa2\nGT8i3oMDlhlXzMI2tj4omMNog7hbprZzkHfD6wYD3iZ5IICZSdToGeqaZrIr8xYLunR6YOeM9uqK\n8tNZ0TOSUfLJ8oyRcbVSK2sFnNJs89sM3OWCt7wyVo4AFnkpbrMQbCVi516oAn3g0vwep4bRSvNJ\n8BvNL05CbbbTtKyi5USHjog1XrfxOYm1nCy9/bOAozO+dO7s/Pdi0KtQqB+d4wzFE9nmLiGlaGpf\ns5WEzhs+OWfv7fbN16CcwkgiyIn3VwoZayDJU3hA97W5mtqGpCZrSJ+hpgpGK1ab2eNNEgBzRVQn\nIPaDCPA+4KpZYP4W61ZRSFj5J6fNz6Ho2elqxVYhekAuZvly9U5rFyRmIhfMGJeh6Bn99NTLhl9e\nYXRU+sTb5WtdLtUX6nelPf9M4c81lvfpNfysqU4jnZ5NdOleWVxHSijpvFwa2J2r4zIsIIE9MMVh\neBx6P+gOI2IPN7uZDSeRHQdhmPvBCThtmIbxl9fx5eb3YV8ffNk4nE5ubpYuTzRAUwBnSMIY/Hb3\nf/oWHxPjB/5+4E3ThC/DXwdIHqEAvt3w55fx04uZcQ9YRRFiB2hys7uPx00Q33b83Y/fp37/iDfh\n7ry3ygbR3c20zwAQtG3olbKJhzFoXlUHcteDOPI4mIhM/nXnY+PPG1+cIvbJQzDDV7c/UQEdqg34\nEdrDzDmBCQziy8CfNvvTDU6FeEhm2AzD/G3qywEjPsS/75gBshzlKZK4OQhM6KhUq+vqAetUl3bP\nEjI7TYRW5dENXOxJZ/Ul52J1nW/Nde21R2r/5oJbocqmdlTDaBJPx/q+dN5WnZ5sWYle0ryYHbRD\nmWv9bDLVuNxvVtPeAr0qyVk7upHiTApBJYyBS76th7RkV+hb6e+NSqe87n2tGxz9VQJQ0eF+J5cQ\nUaauLeg5mE3hFgI0qKCCYP0GonPWsKCai3r3BU5jm5TW2h9YA52wdaGX1SWrutKdoJTeSwlPAD1z\nRSq1x1Y2SBb21sOi1kdtH2AhDpaSL3TYeDDDm4Xvqcd4Zs/KSEwpAyN5kaAZKscjuwhVs9/smFx5\ngKV8VGhIKbYQqLO26qCZdRpZy4rZgCABcVZfwBTxz6SrSw+k0zhQiE5En6qVwU7uYTPgYtHKTWNY\n4vtFxs4mHN0wB8zDdAhv08PWeWYvle8H9K79iLcdf/7iPw94mm8ygsce7rxt/pX24xmA3HF3fwBT\nYeQ27OWO+82G0bscVEJMzskZkaHXCEJ8ufMvJjf7cj9efsTfP/wIPDZ+u/ljgKm6vOD1Pkgch/YD\nx5w0jMypCA1KQ3dz0J5x/PY2f3/X+45D2Ic+jDfBwSndGC8GiTMgwA0yDmECXqEeAtiME/GcmW9d\n4PS64acN3zYQmFK4GByDv2x82ewZ+PuO9wOHsBkGuZvmxJS56eH8uuHPd3y787ZZBN6OeE6a4Ysw\nAxDfgl8GBLjniUB8i9iDNwfAj+DH1FF2OrvDJOkp4pvpEysUkeBiK4e4QLA58iJpiTpiHsaRxwL1\nE4efrcSF7nrcECZrLXglsjgWzDR/zHcWNjY0cuU7NGCeN7kMD4DOvTtBcnZKxpVmoQGiy1IuuIwa\nhLNV1vmR/kff9rXgG6v8NfeoZVZcq+zDLpdJ66ArZPbTlM2uBLKOYrUItCin1RVwztYFTFfDDVTl\n2VlqmDuauKaUqca9LYhUXsO16qYTI65AD1zRtC/dcgKb+GdW3YqAdfQWta7O0P45QuUihNR/7qTc\nlFw62prIVe0MCTSBP41Z+oUdPFxd/1hNSsRl0uuOsR6H1wh+JsVBZgh0bw2SQsniuU1W168+E2Sy\n06gJizqYpnJXsaaGqNQ64tIRWSfO1woJdNlxb5qcrPRNT49Hq58eWC0o+R6wKTtwe8bLZh8uiXdo\nM95v9nixx2YK/v423/dJ4jZ4H8y89OG8bRwbDGae/TkQUwdD0gwdEoTNOEwCxxj3Lb69+E+/H//2\n+/yYuA98uWEb9pyKOWeM55RTRovQ23FMcBgfw1622rEfexyhtyeekyBum17NBLwd+PGWciRfb7q5\nbcbsEE50SIKsKbOKMueqBDHoBgziMfBwEDDDRr4YnHDaY6SDLwAfk8/kKgwTh2NDNuGUyF04xJu0\nOWjYZkrY2MUfTxj17c4byT4d7SH+OPQRdgRAZGA8QEmjVpBEGPlwZEZ7gHuFl+iVn1RAXDu5w4kD\n3OqYQt2MLxvcMCdfb/bnOyT8jzf794+YNIDexfe9uhYmQ4C3jrYklCbKZ8+hs4lo2YxL/saFWlpW\n4XJdpzDkgoXn8j7XdbaOWL1lF0DSMkSUDaYXDp1kl2fYpiWIJJfZnF99MIm8USKd++rFUaAkBHUF\nzXXI6aU5RlWKN9Wvz69zmmGcyzgwrUzNXs5d7lXnmTRdkki1eFeZ3XSnCn6pJXoWebx+nED20ssU\nipU7jHNm1gTgpPMirbi1oqdaIPog6JYOCCzXTupiGUGV6Vs7L3ebpYBClpAilCeY0JRKOiq93ADM\niBY9QmKkEY6M52oxE0oziT2RvnDpvrwYKbc8KzDZf+Zz59d2w87CyvIfy66WU+JYbDl/auKqL1Fm\nfl+W+0qCtCLbZwIfPTvWVRFjm52kUnFODinEAewz3g/769vxfuDby/YP5o+Nrw97eYzbRoCb8323\nmOGDm5flIuVOzyCewc1AhAVgMYMwxSHJSHNzgsRtGDAj7DG4S7dhw/g8pA99BI4pPfeQRcTMD5qm\n8IRuTt/o5D61Tx1TUzDToEn4OPSc5RQNkrADkODkqAQ33Ubko9+YS4mZWu0WEebEcGzGjRD1hDbh\nZgRwSAHxAA1TuDkeGxUMVXsQEht1oznxDLxP3HdRut94MwxngGLmdMgOADTCnUY8pzT5OuweArG5\nIOzT3oRnEORGGXGUxbWQbFDiDymyZ+cpXlT6ZwkaNKf+co9/efWfHv71wa8v9hjcd7196H7ny537\nU//fv+l//ZVPCdJ74L0OqLem3QUxdlLYBVCndsgWTNikPuNC1eIt6aCad6G12tq6C0RqMAllkBbU\nijdi5eWz3ewLEJd1av53+SkOcwnVLY4vA6hYR3XaJd7mEIHRgk9tvTKBElJ37/5zpcCcX1hrbt1F\n5dCwymPXfSliiPMSirxqKDVYBdmNayr3Py6iduEMGytXjVo/9dVuffotsWVlx605royWeqF0+gSz\naiBMVv9vuTibWzNFj7zP6sGJurRKjMqcx/xANAfJMU7Vz5m7K1zZd6xngAQymQ+5pER4Z7vlsYyB\nVX3QWTl5Kqtq3YmBDJWKbpZH9kqV/1RR7CYi0b5RuaBN8qRMRKl+FAGhIySzcz5OoOXJrIvglyN0\nlfbkBEJ2UvE8izclNwvxY0ccvG8CYWbDbbiNQZJj8DYZkcdbKyQzuHu14s+NYzBLQ5NdyoIc78ex\nT1mWKpH7ZCaEvNzjXsqCbo5vD9sOTYmyjwPvh5xwkwKD8MtZiiTdYji2AU4+d3yf+ggQvBnNMInf\n9/kx7WXgZYCW/g2XrPEy4OQReJ8YAs3CYODNqhXWMeFGcxwhGqLigWBoCs8AoAE72jF22qDMQMYR\nFtUES1EZfJX5CcbN8fVGABNIMhhCZls7NZzDsR/47Rm/7fqIOkTUCZIB7YH3Ug/1IgumYiMnjOGL\nyxK7AOGfv/L/+Gf/y09jDGMIBkEG3DfayApE/OMX/PxqTvzY9f/5Vf/9O2ZKKCefS/3hJA+nfN14\nkgBNhCMTkapbjBq/2O/JvZZsqU6CR/BsJEbPkWaKkx0mra15EuwOyK08+v5Th0RxYvFKdEblNJZQ\nEJbc+eIQL+HblACdV+tQ03KrdW6s9YCZRZjkVPk0CzTLh0H54AWbKNkoCyiimRrKlJU3HYXKS9Nc\nClRtewDOkyYmVNgyElyzePnii6VZ3R5AQrPuMdly5ViCuXAS/kTmkyKPXCW7aV/gHBjPXErWUyry\nSDQAiKQ8AIiipbrgewbWOrd+OSH9dCk7lNmJxV5T2CajFnoJ9emXGEBOFfhWqZlQ51Tn6MSpXZxi\niy8GDtXJCaRl1AoYzpcuVv6Y2aqJzMqXDJpUgUwuCMtnt9aCVqz54fjpPr7e+GXw5eYKfX/q17f9\n+8EfR2zGx7DHwOa4Gd04Q2ZyN8sDjdyMxE0RmjPmIVPQYGbutXvR0X5L0r7R3HzAd9v3+bHHcSCg\ntz0+jjwIh0AZr/vgHbiZv089Dw3DzdXdVzgG7sMJvh3aLIwczi/g89DbEe8HZuh9BmC7pAkj74Nu\nNhw3NxLH1J49HeVZLpXqx1PV6ISo5CdSsxiHuRXdSY8t2g0dBpLDtU9+CCTvxMuAU4d4CL8dCOAx\n+SWoofvgZpGto8proaawT+4iiMcGg0KWTQhEuOFuNOoJKBhKL3NF7coTncBTBHlHbADIYfEwbgRN\nXx/8r1/5y8Mlvb/tEXIzH6CZm3k+y4s9XgFp7tje458OhY7vO5/Ce4BcIKSk060SnChpqJ4tBmzk\nq+tutZ32wA4GcAiqnkqtKSXXBttVx0U2IVHtqlnta08zsODN+h+pUC3inArzReNe+SGVqlA8TsXZ\nxaoWLC48QalOqIAGV9rIUiHylzUJDZEoFndJhjMtuaDwKy62pMWJ0xGG1O6GIJcATi7ip7CKm08s\nsvtHxi0tSE24qS7aAKqy6LRnWt5BAj6wjAXRVF/VE66eNZmrN9tW/7+Xz1QnNaTIYmJ0waAlmS2D\nDS6K3+qTKvShfLM6OtmdigyGFFuwJlhr1URNUeb9VLAglwYih1ieUCuD9eilfczXV3ansDB5TWzq\nSWIhukFzMz4Gfr77nXjb47c9phA53I4jlCqkyFAapxY1eqwMcvLnh/+3r/bzy3bf9Nj4shmN+4Hn\n3N6f/LEHgPvgy8Dr3V7vZsTmvG/chsxSuzirLNKMRRgtSS5peWx8KUcqXwZuZnfc7qT87Tl//z4/\n9gCxeao29n4IhuF5qgO2AXM4OaU9JNhwu3sMNyOeEQjuhsemF9JML4OD5h43t03chaOOd6NNcuN9\nwzCSGm4j9OPQ29TD7T5I8GPOI/IIVDrDDW4ibAgCNlaDvtKiMmKh2rRbhpYc3wM/Js00XDejTUXw\nEN8DIP3AZuGh0T2O6pKGDXYz7VWxaUwpI6PTtegIyYQDEPQUD+QyxWDcjUJY8DtA4JAJeAYdvFv8\ndMc/vPDLHQ+HpOf7jNAYZtlEEAAiAu5mLgAIw9CXV/4vG/7Li/3+1N+f+B/v/NtTE2Kl5SdGq05W\nSyHCRJSsdDe9Or7Y8Tq4GQR8TDyDe/At+Lt0CKszUXLSJnkrMxjszNATeXs0ljZ+kuHuv9vocuLV\nyfCLKdK4OvkIrFZPcX0nkcmtJcCaII0GXBUVa1BlSay4fFm3v700+FdCXbcR6Uq2YovWsbiGA2M5\nvY08Qh5WFB1sK+TvB1WjJNoz4NKvVyJQOvvnIzbyCLZoSbL+KsnTYvwst75SxFraLRMiVcVzektK\nV66HodlwSgKdyJH6l+BqQ7nuB/DKMiCF6IbJORClrLPf25VCLJ7eHKuFcaHYF1A2ZyUhHagxlMSS\ngiuWEmkiL3MqUYGFbynmW7o9QO7br5s9Nv8ZDkgTm9MM35/664/5EXg4NuMz9JyRgfRBPDbeDQa9\nbP4Pr/7LF365mZPb4H1wc3Oj+9ZcCBGisA2OUZFWQWY2NpotsZzsYrZUC93gRvc6X26KMSuSUb2d\njZmrd8/5+y7J5rSPI56HnmII+w6jRvafJgsoqSPEUKbxZTwzpFCHOoQJ0sIJM2zZDyCZBjQhJ18G\nvm50YhsE+Os7Xja83vHTzd52/fqDkSfsGe5mdydMM4ipAwBphm7tZEek/6phNKNDRnfDEPDE3hXh\nN88mYchM86f0+26gbqm/IotKRNE8jLjLnoEfUzOwue5u+7SPKTcOx0RVln5MBmh1GpsMMMI9PMjJ\nI8UFGIgvrn960T9/wYtTin2fxzxoHG6AQmFhsoigOKfCjkyXmIAM3Bz+4rebXp9zSj8OfiQbQVd5\nsA6ES7w00kinHoaHa2OG5nnbcCPuEx8Tb1M25cJ78F2c7RwvZEvEXno2O8uixQ0WjCVDzdM5gBJI\n2q9HJ2U3ROvc94IhLJMSsitEba8T1a35WFpSNYvMNgZ9u4tPtSvTEvTlR8HOR6i/dhX8BWFLrFji\nCxdEklT5GixpoAk3s7Ilgl3iEkuDru+ePagNUjXKWsFHrMNiMxPi0+eXXyAFl7x+8v1K728+vsj7\nUtUXF9bKTaA0mIKEVvWwMlKqMrdKD4aVqaQ2PGbrlNxOtKg8vDytsWzZLGjOzL/i9suYd/h8PSNH\nOTpr/bULpPMR0C4KM4578eMM2PK0SvLV9GWYAYO6OTaa3fHY+Bg06v2wY/IxKOL39/nbe/bh1H3g\n9WYjPeWR7TVkxDbwerf7sMRZc7jZGDaGJYndQxFTnXGytIsOTkTGylmlchhuPtydbhRgoSmKnOKc\n1dFTUiqxtxteQ0A8EfuhPd2IirzkhqcinoGPI/YJkuYVMp8pT7jdDKn2BjhG3Jye59ITnuSufCRm\nS9LNOZw3x2P4n17wvoeA+9DLoGR/fZu7eHf+6c77YIjPie87911PScLdsJm54QGLCvOBrAo7A8z4\nbcNve2SJigiPym48VA3CAtwVI3DjOqtRgNGc0BAHcEgz8IE4MsYKPRwG7gDF8KWwIJD6ohx4DN1c\nP0QJB/Xi+F++4L+88EZNzZwuBt1dzGbcFpXyU3T4ODcGICoQ4JSG8S8vEvRvH/YeOjpfrt1XgDAz\nB830MHz1uHMO6sV58xgCyVtJcIjApEBoxgdGUzOcKsHa7r0F1DlmxZ/yHavspqlZ1cZ0JWHLv2zV\n1ZIBpWErjGxudH7viS2dWJ5BFHG4YQotxWAt2JJWe4+r43XJEKruWXU2KPoprVBRHchqons9RJWV\nmVDKN9LC1DV00YP6TArV7ZXBWiaDzf/SyJ2ugqCZrPoSSCysrUCloYWc7G1WN5JRMuvucKd4gkWa\nc9tHVALH6mCwsBtAKPvonVYEfUB2uwQcGUxrJw+e4NOLo9hzat4lZ7f+AchKgM735IxF+lxhmXZh\na/DZTgg8tS9p4RKaIrCNvTFADOer2y83vGw8QhL3wKQeZma8Df30GF8fdhvurv0Zv73794/5sWuG\nDgDCffAxzhmMwHHIHva4m29meSqomTuMAhmRkeszZarFsTAY5qz108U5Y/g23IwZKez8RpNkgtmI\nkDITOmAE3b68jtvQ97fDjbcZb8/YZ3JPuw9sxpAN1839t2f8/kRMPGmVTw28AA/HMCNp1MP5GPQn\nSJmZwSyPEiFT2XiGjvAxDASMw/hCirgbwyUebv4x7etNLxtlOqaNQyHswgEO08vgfYC0CBwlTgjZ\nq6RQVcP5ynSPAqRZdt/kIG/GQUh4wrYotk6rnq5QwGim14EJ7ofeZzxnRCcHbBYGMuSSzA7wqUWN\n0jczN94JgF8c//QS//iCzUXSpmYzirSSkkVUMXPXiiOlvvRaY0YIUtbo8mXgHx8A47eD79M+Wj9z\nwj1zY/hUiGamzfRi2gBHmKCpYyZAcnTfCIDDKMUEe/2r9pCaJ/V+ZWFKkRZZ54EXaahNpT5LDEVP\nz+AWQCCcGbU8iS5BP8lf4czKhcngdqkNloUq3SsdarGglRI0qJl1ILq4swCwa/hx/fITrnMy2VDD\ns9j6fKJ050/pNntZJMnv4AlTbVH3xFrabt9Pmi8F4VHidHoBC8+SSaWTmB/Oba7VPGUFyhJku95V\nnT+Chra0WZ6hqIZ1nF4CiTqsqZ6kBwIdCyDWMC5LoFL8dD25uv6n3R9xHagChFHIwyq7+NUAGBQV\nCW37QKIO3MySF9WJOlZ3fjpyyAunx234uuFPL7wP+7Hr+R4fU9uwmxDCx8xOnrzdcNv8fvfXFx3H\nse/ap54TETKHgXPifc6YdMd92H3Dttl28+FJgxVCVv6lAfPR+ZJq+y5ERKcR53o1M1r9d2+bblwq\nBaOa+Yo4ZISmFIEQx4Yv9G0Q9Pf3+P1tHpIbH4NuMHI/4rcP0eSGfeZdWESZtPyqzG7O/xd5c0xC\n4VLcHDfnAB+OVF3mlLyoT6rPEtz0uplTz4iRZ8CAk6Jx83gBJN4MyZ8owDQEt0oUqSZ8B1MduhmP\n0EcYiLtjsyJljgAtIOvFe2FwucmVWoErZIwgTFOBPNyCcsNmPLJIvXSAbuFU1CBuNDf8csef79gc\nw8xYWSKltXZ0K46YgLtbnZLASKcot6QQGYQJZa+Uu/GXOzfH9z0+6oROhrA5/rTJoF93vCkejrvJ\ngJCmeAjD4ElPGEN4JR16l+20d/IpC3B21V8wm9p08Ly8fq2DRhIkCWbgqP59BhsvQ4pi08nX1SzN\na7iRzK2Bf3FtthstQIiGLsWwdRwWaqu2ow2qJeDKcO5ZLb8TsST/TsqKOrSEfrndNE7dJvXyIIVu\nC4hombxFVYipaXwsh6JSbht7RHb+NbM3dXGuVVWYfaNQMbLqFE2UkL6wd4lMfZLIGTksU7j+uWRx\ny85qrVPXI6mHI9/F/r7Cwmryduo0XVWUlYAOJo6Wcl+fAVrX6HZOYpYSEFatMFLiah+tZdt1inZD\nsUBspCmqyU5nfqRj4+TdfRCb6edX/+UFZnzZ7Ob46/dQaDg3YuTqFwCa+Rim29wm74EIxdQsFwbH\ngfvu+ww3fHn4y8vYbj5GeqegjKHspJ8ZIkvAS6Z2Bju7m1TyV1S2BlafSZCezcVl5phTzP4hYkgM\n0uTgFFJRmVPbV7/f+XwGSLdKkvFhk1PA5npOfUxGyAe3wZvr7nQrmJ6BPap2fzNM4YB93fRt43MC\nwDP44wgjbmHHDIBbZOakNueXm7+4nlFuUYgWCsV9YBtAplCSEZrC5nb3iiGvEaIBU0bdoc3tKc5e\nnVmtGISdDdVLvWzbl37NpwH2rPyUCO3SDnIRx1OUhBEOGXR3vAw9hjbn15vfNg4LL0x2Wc2gAETk\nGlZ6rQLl0JkSL4VAZfUtRM3UQb8Yb5u9OCdgZkfoQxjGn4aMMOe2a6PuhkFGYJ84oBnYyA1iZuRH\nOqv6ITyVxaqwbqbsqAgVG3EBErME+OJTTPcSrRDiLNFu0t2Us3n3kk9V4cDMwmXDcaINmNWHi44k\n/kmi2TCWJN2Jxuc5IAtD7QrPdXO1RrxfzJvrXmZXG13fzJVTjRYaylUSYKeEInRnfaydylOWbxer\nOS5O6noi5FqR2UI27aG4xjZB+Dz7N1UxCLMS/zPnjuw6Dy2ht12hRPCVTH9ifWtJixisocw/X+92\njXEeD7BSFdTquJ/ZFuqFU1VboLLFnquT34KZStcJPBVgyisMy04r9pc7//mLSfz3t3geum9ww69v\nsQcnsR9hxLdh/9M3/uUrvw7cb37feMzx1+9zn/NPr+OXr9v9ZoMkw6w6H4ms3HAhxJiRAljc8Agp\nKGFs7hvd6aP0p5KzrJT4rvc9vbfLQufiKmxGs+ayhi2lByBKKSKALbC7AeKM7LKVNWQRiIgx4J6B\nkCR5MuI17DgUkhM3A2hUGOVubpVWnBsua0YQxgMBDeDr4Kvr1UnDjRiEZ/w5NIGJIDXMBkTydrOt\n8DKeodi5WRiVuVd5tFnySiNuQ8ycisAu7lNH8hLk4omHMcOeT8LAgTzJCDQpsFfnOgYQ4AiGTXOu\nplchqbJxeCgFisxRiynSdAs4jkkL0KW7x4vj1fTFcRt2H5Uubd4qraBgzDkbh5mVdoVbla9UNqU3\nzMIGAAZNaUB/fozb3UkeM/YjaaxN6U+bXlwKbRAlZLJ/SMQ0ERqx0KlaG7R0Aaepj22gICZY5mqL\nyuPl0hHXfkXF5DNKqZUq1pppGbKTm11xvACqVrOK6ZHJyppfcaH46K9W5dC1JtGIlEYzUcqUXSma\n34y6gxWlIpQdKtIGFmDlVywfEQqsCBZJKuFJdW6SmnPn9cRsHngF3r7p3NeBTs+Inu9eAn0UDpTP\nl3dlZZPyvwxr8OrCtbfXsdQ1OiqZoIxteeEOzS4/6eGoN6Br9XUeqVj0+ZNFkchu/ApJGMsyZOOn\nc2VUjmMy37aVLbwku+4RrOqEjtXeaQ/ypxv/D7/wL1+4uUFDRGYl7xMz4reP+Ovv87cPbEP//I0/\n3/317i8Pv92M0H/5M4zmm42R6aGaM6lQOjkZm86HU5ivE+0jNKdyFIlIu5P3mwPlxs4PzRmMmNmE\n83xwknmc7Fo6vavLsJcpN7JyFaoYM4gRM4QDNiXOwg70HBXc9626YdviMTnFI5jyCAxObmurZX8P\nahu8T5vATmxum+FlYDMzisBw3Z3DGIpZtXAqR16MCLPO9ScHdHNE2KGYAiA3vhA0THCXTITBMw+O\ngBATB0SaV4gGEPa26MfZghmT4MQUjLi5tmz8KhvoCv4QSiOSwEhHNuUxkeRA0AXiKYh+M744btQ9\n0/6GbS43G9mTu5byjEPHjEDQ6Kx62r79nMLC7sbn6sSRjolyYg1mujnccQxuzuPQjDDBqc0ZREwp\n8ij6DPUnpqjAofLCYtO8gdN4YLUmT5BMcK6TrEtKvkSjMvaU4LBYNnCeaMFSuVGHoyd1yG1apyJG\nTYV15Xsfn0306cd1qRWSwwCyMri/sMGPdb8r7RjqVq3EgodiugTO49XyYVAndzTHr/pjK6jMosZE\nZ1q6HxFGzk/s+49801v0QJme9Pg6RJS9X5sk952hw3cNiHWH5xvYArGVfiCkCNQJN/lRF2ZxcaBT\njdnF5zkx7Qu1lJKNys5vb+OmNFWNLj1UbULzXMczNlvmv5IZevZKvamshmjlsbXy/BwduBl+2vTT\nwLcb7iMiPAxf73h9jNstWSEU+PiIf/62//1NjHh92MvD7zffNmeTCQBAHAcyjw2l9J1CV69MuVFQ\nALFqQmptII5JWWlxLIuVENnrBeY5KOjVXzC2fv/DT62VpZCQBmXyXt2YhZOaOhBJERaTuzj5cFoe\nBXDb7HkoJBpMNHU3n2pKxUM6MpBDMZO/gk/p+wyjeZcIr0UmxYzogFJWQpk3PhAQ7XVoI47JWZTZ\nhoPiIUwxoOfUR45vVQ8yBDKbVFCQV5pZzZdVSFwhvAtH0Ih74O56mLaqvyzmkaMvIKRDyBoDIzdq\ng0ht+UU8ZJrGTbgZbs773R43jOG0nO6ssdExY+6ZTYMukSFtdes3UhU2WB3LoJUSG5H3ADvT0WSW\nCUZhMxSYEzOCGXeh0WFTFbwIiopmaAksrnmTAD3ND/o6cLlIz9I4SqAE6zzca6u8c/0tpbKX34Ws\nFnGpBGQp235nvC1r8QpcM3zWR1d9WuFSDLNUUS+bof+3MjrO8OHyPNab8tjxAgwhFdGT3l+2UuZ0\ntJU470ArGpcNslpRza9PHpSGSzOFCUhaJxPUeKUlzHvrA2pBdgVH43V+cUjoMu8MLHQoF7WWWZLo\n+inhg2B3ue69l/5lJ71ESeTLzBBFTlDgWd0YlJO6is1TnhIAr5bi7dsvGp/uRWokeeFV1CIJ3T2Q\nywiApG7EDdqMP9/sn77izw+6829vMT+mw++bQnCDObnZuPvjxX45IgLIFIthI516M0kKHVk+TJAZ\ng6ipZesbtVSaRhxGHAyEzhtT/mu9TyqhyMwiYtWQrgXUdrrOy2kSvWZHXonRtdR4alQwKQI0ugQh\nDBE0U9uDTN7LTZjrDARvg7dN78fMqwaz558SEydwqEqwMo1kQLsCMs/MNtE7kJjDYh2lc5pnBdla\nqOlymSywWZae+jC5p22HiUfoGSBgxn1iTnVrvOKMzgnmWZtiJgibqo+DNGVHMKyKTY0whTQPcaRI\nVU4IJB6BEqqBRExDbMRtqQMM0YbjMTgGh1Ohj+ee8WozN02AbsYHeMyYnepQfnA2cYjGA1VIt1Z4\nKoo5FXlBc69osANkwACa8kbbP640MUYImXdjxRiyz6dF7RONmBFBU8CCKXn14QCLPK/2+b0C64+N\nbmtlsrbkCYwlVvjSGi6lcCHUadWZ+lVMznIr25JHAQRCY+2BykTtL5LCzvNQLvnILULnN1p77Vj5\njJ/uv53UZoe4/knqp6vAWZqeVlHq3X5KzcVeq59TznUhQh1pj5ZsE3K7giPvkMimTvmOxvr6OqGW\nqAGZuVPhFihPJOnJVg9BrihBvlpjVZCq2h4Cxc2xDj9M5TiynDcnsxP6aoLF9V2f5K0a+mXj2qOp\noTl16bYFijxWFRA/hN8P/DJ1gL+9619/j9eBtztf9jkcbpn8EjSNm/lGim7prTXwzphR8ax0nFsx\nB6A+wnGJaOgsZmPgYLDPji4TwkU5lsIQnZDDln3YbtBJWWo/F+MGcBGlc4GsGhudw2d1HmQIGJkG\nemRhHiLd36AaG2ZoRvVoDuEpOwIzppMuPonBADAr0MbKPiHdOAiQh3DrVlRTOJStKkjDgG2W8YA8\nWaEyahwWCVhSpqaMkYOaRLJ+JriHjq5TcIREkU4NypgtdjKHtNr5I53B1IIil5UidCRGSBEaRmf5\n/BGaJRBlkn4dmGWAIn1ouWyY5UEzc4/YKeZt58aJmGLVqkePP6QIGLq/U6nRNd2FWe1pgqAioAkO\ndQb8hT0WM1CgElRIZiwLYCuKVnINAjaBwmIYHBZCHCWe1dIsOopeQXZqab0IP33/ZdfislDzCZLf\nHTkUCUNqk7R6AGctxYTWVF3QVoI08rC4GqMzpW8R3TNak4IGl8lfsi2K4hHnu7FEhsun6z47y5UX\nHzAhLo/IUmpGbOu/kHrt/kuSRu5Z9U4tbJAqn8VEcKaVakGoIka9gcVW9fueQyd7brOp6yupXHeV\neY90a/x9xHlkgmflG/UVsoqJhbaiGOwwRiNSDn6pFM1k1pSgmaStaIT6qZfojrTGCNGpYQjo39/w\n2569g/E2+esTt03poswwN46NbhhubqD16VQEIiYyzSpG22zkumEeu2WVPAFEpPjszSxUPBlCJY1m\n8lUsIYdUBURzgalirb0X1qJa86I61o4Vyu/k+aXuszexQGaaTQS9byU7+iM0pRnJ6RB5Nkpwim/P\n+Jh4F//+IQluthmeByDcN3PoCE5IwCTn1BQBbqw0LJFT7QiEQhaKTNEp8mBEnKf8qA5yFFjnDi8b\nlEHf9LBm6H1yBlkNjFT9iUyDdHAyu4ugTXwuM7pxAnvAlQ6MplA03xAzvHd1PsDMjtuo3M9M1DTL\no7gFhSYnpH3mV/iobmNSZLwBAOdJIGyBQqQRhUT3XABchA+dHBUSMnAPSTEn9kmtaARUonVqESxy\nKilNgjceLMLZQTFGZUzBJMXhtEAeqGiRaUB9s72G0PsuXYciUVnoUEk1Z0Vchf5y6U2FZh6NwI4B\nskomSHZKVg6MIhR0tybzgs1hLbeUWtBwWOzxRHqdSX39xws6d5vfC5TgU3YDLkiqngcAmlNtS9Ha\nNYwYlJODVGb8TM6G9JZrP4Em8spZXY6sZG9vpYp9crj16gL0NnFEdetXx7t1AcG8aKVARW3/XO7o\nQHNb7qvvgVarkGdcojO7a+yU6WVF/BBaRUYp9XeFf05HemWqbdoOyVnbDTQosQelvQHCibvrm+Nu\nGfPBEUlO7Qh834Ef8bbrz+K31zkeffYewSr/aD4bpNMH5vM45myFgWSSJgI0c1KdXV5zNGdEKMoL\n5SIHbLUsf+G6HFe4gElOl2lrOb7IdW17s0sb6n52IhK1c8ypCGGWV0RyM5OFwo4QUiM1JlgHGNLz\n0DP046lfP/Axc++n/cScMZVn1MLMkm262SA2aCDDpBjQ3TBMJAM8Zh1SJcec+ACPmBo2LLJnUCBh\nOeeVgjCl1GGYp4EuwsO6GXDDNINxjm6FjXUucUmANiGQDmzMsJsAhrhDBGZgSEHM5RfmxNXaZ/YH\nI5NStECcAsicqNaitVo7YFYT1nyRq2pXmXTcQlxWe50/uUHibDWW053zGsecUYc+Rc11rTMCZohs\ne52x58wSigvtZZof1jHYBFR7LZUCImx2fFBGMpsG4My7LVLJaufX6M2Ko+btL7VDCiPk1CEpz5Qs\njFn8stz0KxlJ178TY0f+O7OzyOUVFnduN7MpKNDpCPWxNExGIvJEsorhqxuRNG0uRz0lkMIbAuRG\nEnJikEdLMqTuhhfXzXhzfkz++oxd+DgQ2V7iVH3Kb7hokG1iFwet5A2aci1hc26mI/QMHoIJjw1H\n8C001X1FwKhjcVP/SVU8z2DOTNJyglpqyi2inh7QQNCoQchwZKchAHmCssSu9dEyO6fTUp2Uk2rm\nMBblVzlj6gqbpQa0GamY8M10d/50x19e7XVzM+wT3z/i14/YjDfD2w4oXkd8e3ha9lAfdZyjmn2I\nHJLLggQOxpEOZ8KoJPpm7gkMk5XvVHQmOtO1teOFs2TzXQlmUd1C8/kknE3Dy81fIURJWbdnpYKv\nXQgjTdUdwgCYZbJEIOJovCAlyjSW4tLFBUbYMBLPYw9phlJxhvAhbITRJFhmKwkSBhiGuyBUO0M3\nPIitNIqqvQpgzwRgMQsQAnoYbqNOY57NCUvGoig6O4ayOFydnKTMaXNTtn5ajDtEnUd9MGRCJeoZ\nkUW7R+5hdX0K5CDJ6Jyh9HQcYSHPgHhI7N650kQQqJpECpAx+6s0pIpHLkmjdQ47LugMQtmBhXTz\n2r+UcpCpmIpK+/bk/DMmjSvImCuhYhNFarLTatsRpNJPlSbKgGf5UmWVWfMalDBZCSAeVbtCyHL/\nLaoFojqnI4ldPmax1oXOmURFCUq0PUMnNRpZnLU+0g8127RIM4Zbdh9uY1AKRm2GPN5ggQ7RnkMl\nVrN9E4ocTYrUGRFa91rIjFasiToAuGh5OaliACFt5JeBG+HUw2nA3IzAMfS2awcqvV916Kc62WoN\nktBpFc31mYdUgQcxhD/debMMEiJ71f79if0dSehOm4ZOa4tcOu3NCNNK7q9Ha2K30E11Y2SdlZnZ\nwIrkB2Kr2+fQ180SUiUyoztlt/ZtlYfYa1KhNS3rNjfCEJvz1fn1pm93/MOLPR4WEX/7gfv3VhGE\nx7DXB2na9yAyuQIRkca381YLiG1zd4stYoagOYV5Rb304WI5FTmM3om2+PzTEnN+3My6GVRU+kSN\nLLCgfC3lljhSLrB1D/n+Sp3MTVk3VrWz0eGCpORCKPBUuuEKCJj32/jFt+HHY+O/v+P3Z+xRvtDB\nSpbZQ6Qm6I4XIoTnLKfQCTcMwgxH1zoUndFKX9V7cEq7kI5+FDrn08YwDp39d5Z1khoAkQG7aoQ7\nIcEO6SP8AFWtysDKgpBksSLRLEg5MKZiJG/Oy0EB9onB3AAo5fFyzDv1KD2Y8tPH4DZs1EEKVv56\nLX52rg4zf6YRJoWwWvmSPOM7zBKWQigBgjIXyNwQmrO+PULHMTP9NffV1auuD2aBIjDTF0hJs5DY\nKocg6xeTviWuVmrbmb3ErHiCLMAuCOuGYgWBzRLUbIlZu1sRkCPyAAhUt4LLjbLQORG7kh9D8zhG\nqniF/8uj1Jnfh8oM6d/J0PJ6Uve0ArKLD87eJ2i/jUCUuMI1gKyAff0nLc2L25dNrya3zMmRGR8e\nFL7c7Xjo+5O/T/044tX5deMe+NtTH6GIXJZRN1UCQK0GIx4Dz6mZ+TfBCfzyqlezXdoDwxjkb894\nhlJGW4qukerY6jKCBaIobSJMUosP6UwWg09rnbNjIYlR6S8N6GpnLcfKEk6aGi+bVo2t0TseRCjs\nIpkldTY8DC9uw3A3HBMfk6na2uZf75yB49BjwzZ4cxuuCOGYe02KbQ7zYrjn1I9kbLlfoBlzRszI\n42giQjNO9ldb03PhSZ+CXaijaM41hiKBrfKgEJ+XdGgutKp6wothYGExoDzAhinmRh60WG4FyUJD\niGERcPMYMaoNkykiZQ8f/Ol1vNziy12/vuP7U9+feA9MMTJs0LVIJBw0YrPeFd2fYQYj06v66IPU\nJgycogIH8BQsWsqCssgj+coBeZw7aiY0V8xJIe4BE5fXf0hP+U4/0jdFfpcSVNPvbS2YyIzBkssz\ni0wSAx6wPNv7oGhmMW+sMxEUBSV5fjmHZXfs7ebbcDsXDM1wK3eZ7JbNSSNVzfQ5iqOpgJKplC0n\nnKTFVNQL0Cx/KzElIpEu0m8oFhOVBKUUrGD1obJJwlxJxcuJU7fZD03SqCA4EZG9bGUZUerUyUre\n4ykirwoNkzKMpig5iRxZYm22Qg4sRA5UTst6Xeaezc8DoYjsZodWHlhPUh9e8FECk3oFlmOgIpVt\nLupOy+RXHgmWZakc7/pXsd0MTZbLz2zZLiceA99umNJzajP9cufdOAWS3274seN18wn923d9BIdR\nZFCz9LEFmy1LkjPCwP/6agB+f9ZwRODlhhcjwC8b3Oa3zf7tTW8TMzTb7VCGEklUazRSKhqiEufT\nGeioDNjpOznAXjk1sZF3MIx7Xl9Ad+nLwTll5NMhaLetvCoKkZ09yaw1ooAZIkE3Ag/Xn258Hbo5\nb24vG4/A89A2cBv25aanxc01nMPkJB1ZMxGBiOxOBF/NqhIQjSCMBtWxniQnmULiEgTrWACnGSIs\nYqVnJHafgb4S0xp3e40m/nZrrRr8PIIGlfPeKw7NKFlxpxUSSPakT9dNFSfqoy0KMCEmYjXIyjMH\n6A7SXyFBt02H4u2DExCyGLF2JKWDIOiEuwYIMU0+IcJEHQKnZVgFnfE07HpmlWi8URtiy+0ASDjS\ngw8BtoP7lAQrJZeTeFPKD+mh4aDvma4KPomR4VDk6q1mwGXHVDNgqSdH6QZK9xghIGQBHDT3lcFd\nHh6MMg63MWyYmZOWlee9ZmsmW5MovYCLXHsn9hQcuPmw9NgiIo55TNFphjm7YY2WV9a2mgtTNE8N\nusUI1OnGvZtK74DAJOdWeX/pGaQKooPcmtgTwORRsZW1UJtL9DLuGrF0FQJREcvsGJOyXnGtqvm2\nSojI+bFQmNkwo1WaGYE45vAk+JVWgl6ixf1yKLJX/VnwnSXGAkhvTllafTviSa65Pt5bMrC+o6wY\nW9XaHHfSiUkYNYG3iRfXt5uFNMxuJhBu/Cr9tNkz9CMAKnUsQZVN1QmW6VXfhkgO6D78Hx/45y/8\nCPy//joP4adBmiIxjvrp4W74jw8N4MfEM/j7U8/JqOhKcuosCqqIVVusWo+S1nGSUh/9W2y7MhwB\nGegWIIcQwhFwS3JRVIIpYLAkxeykr9p4ABAV2MBYncbBvSd/g16dd+OXDd/u/Hrzx7AxMAaHW0gP\naMvzs01Zq+0EjM7L3AARJOKQ3J11ygqq0WfKkaxezCk6JwXJszIQiqjygwgsjrDiQuUwO1LmOrG1\nJabCCyrzZS7imxrBsRq8tFSWuBxLS9QppOQqLY03s74KjshIGddUbjCQoUAhjHZ3f84j3eTejsjn\nzx0iVc3UCLasacEUOVGeparRDsImM0UXYmbsMszyDEQ3zHRj+4CeCE4ppFnGL9qJQ54SCHISEyar\ntjS0wpJJWEYO04XldFQMgB2yCoUhAVhoHsCShJiqSKjabKeQTYuLVa17yKKPNqux+JjylKLoPSSg\nzmkUVK5QovO2bdnXO6YdkmJGB8BjlmWN9loUETNLcWzF9ktAJ7Lzq7VvNXGSAaQlD7kqP1ugNNEh\n+XosJ80ZEObcj/kxIWG4b57ZTSPlf6TEnvBXPk4LxKwTChKaKE9BrbljdpWJJgTmlEUcOOKQpDmf\nb++jbrsyLk6n8/P/VpLzCau5dBY4l4aRCQ7obZZjdZKY9DLP388/BYnNeHNRupPDOotFBDmD+z79\nxlHVawzgNuGkv1pAHwfe8sTPgsr08XU33hxfNrt7fLvz9e7GiDdN4LeDQExxSl8GHoMDernxZva6\nxf/4Hn6AN/u+6610MyYuZ/p57nqtFGpAl5ixKtWmfYXWj5c//jrsZnif+HGotTDcDJsRwPvUXmud\nS0rKg/7yraOnxIAqInBA2AMhfR38tunbTd9u9vWGxxZj8HZzHzRiTsTg8Oril6A1JUok3M7KocJd\nrI6sGONMQFSiuZkFZvcCNbf1jGYJrEjTH3HmIiyE4GXNXVbfOq4gxzOaOFF5Tmabxpb6L2usNLWL\nNLOG/fMPm4KRNGbUschCCOj+ehHTKAM28mYA+JRmIOzU1IWKmk/iGSDhNJOytWDuXa9QdfaTYfXA\nAbO7a+oWu/QxjZwQQ9qWpwoENFXn2pM0s6NXWB4nk8GVDs5zEacM/RsNDGIIkrUEx3AgAS5Ht7OD\nMmaSSmBM+eFOKnMoRG4MdDFWMmISgZNhsrqas/qLNv+wUqXSyMnM3M3cxm3c77dWN3DoMMPYXGRE\nHMdMW99nO0NCFVPJEYqYLQcXtaiZzdSFbJlzWV29qWLMSJ8Ei5qW2p3uoGM4DYrAM+ZxRMThY7zc\nOFzu42brTCqch4/KALoVZjAdINDJcn+TQVZyi9lKOGMoQjGPYx5z/9j3t31U+83aVLy2nFMnk6mL\nR9a9JG/L2I9haTps23HZBbnWi+acbkMyFQMHMay+Z594OAV8TFAw44Sexxxur4P3gTFIYk7cNmBQ\nk8PjGdhnUjW+K/79XQLurp83/OWr3Y2PO++bb8MAvL8zInJUfwRtxzPw+xOvrr984TDS+Qr841f7\njx/hU7vgYSHtoYN8NW3E2+SUppDJlBPKPXDxymEd5FoSfPr/mb9/c/63b/j9if/173EbPIKvpl9u\n1a82wI/Dfj/044gOhVsqnEasoKARN8ZGvBgejpsn49YwvWz66cYvGx43Gxt92HBaxXAg4TgS6GIG\nFQrIbbmLDMkUsw6hyy69crcItXyMtsztPpFXoEyibeWWUqLZkFQZXEvuuv6kA7GCgy0qXv4+kby+\nODjbU0rm8p+v9p8vj08bmAk4lIwWebprFq1GHpfRHxiGLzc+pybA4JsglQ+RymCeMjEVgoWQWRZC\n6TIDzOJvsqSEDI5lVq0JDkwzQQdin5TBlP+d0TpBZMjJcJ/kTMEWMGBChrxu5RzOU6vHagFvqCyO\n9tHyz6rLj3L9ssY+qjW9ZJxBR8wMmxiD1XWKQXhWICpEywgMKaEOzRAhS9bYjVnOkiYazd237XYf\n2zZsoHwRydzGfcsq6eO5R4R7RTYlzCPmnBCEqPPieA1lF19MZkGJGi1xiDi6J4oUwRkEDBFTUvp8\nQEfk6gCdrKcAIqZCPAKk31PsHkOAp9qXFT0rf0YLryWYs7qUSBFRRxBX+m8JAACGjIYg5xHPp/a5\nmiWlfMyWOXjhM6R1htm5pypwVZsxX0Kz/DRRtT8ooNuhdjwdDfEkhmEhGcyeghCb2RP42DHIzfGN\nuhsDdVwmSzIDhizwL1/5uPP51McuI//lFfvEMPz84i93+pCZfez6/mPuwb+9zY+ZZ9wJwI/Auxih\nX274cwiCUztIxWMQ0k8jq4HwDA7D3Zj9zH8cjCj3wzNhdwKmc4E2fBeArczsJo+PwX/55i83/utv\n8TE1iC1D/24Sblbpfrt4ROb2ARXkBgBjvDgflqfAKbus3Uwvhjyr9GXjtsGd7nlAp8otNWy3lHS5\nPAJlBCbruUUAEQqFZXGtSSMPk0zeemHByjgvKxmpuC2V1QVoeYFnnPmE8iuC1gY+Lx6hyPY3fWj3\nrEy0Xlip8lkJ4Hm1JWisXz6DNC4v6npLXQhHt9ysBfvZrprgbcRPd/y+44AM2JQN0lujIwjNLoIo\nVlYH5jCIvRILzYAtY3PUoCY4s0IF6X9yyiQYdISc9DpiKo93wd2AsEwAtSJN7QZnUAK0SvjLUJQc\noOSsfjidzhlGOfJ7q/DcVmt4CqiSanmEjpz7cmxr7E46opBg0YYhaKbi5VGMMVMjS2Y466pX6j29\nsh1mjFEJInNOTR9bmFUkT8JhxA4dRwh5cHBqOFHflPF1BXLbwHT4oo3STJ0roJgpFqd7QkX1E9LC\nNFCKWTRAGVcBjvePUGyPEcT+EWZ0t3EbybuVtqdYxIgsDfXzUCszzhCEPileMWeu7ZDimMcxjyNm\nBMiRTUBTemkRP9Gz9AqidZnTQ0gKsvSagqC0A2vnfdoapT2U458jwNU3gzTIzVPIc5DAW9gesRle\nhEHcHWPqObENuLN7AfM2mJUQJO8bbhu+fuHcRdi4w0iYfX/Xf/w+fxz69YPvkSVYyJBj5KgQf9vx\n//41/udv+DpsBn/sOKYqckDbLLLzpJsO4O3AkaJ8RYtE1jE+PWgAs5TwdOBXP3kzAvGcMOr/9E/b\nP3+d//3X+O+/x/fAnbpl+/aAYPcRN2BOGHUIb1HQSeJOfht6HcyDU1NyGJZrX4vjnshUSnj68IWA\nqQbGZqZghvwD8wi5ec0XQAjTpotxQfAqL0qOUKmrCGWiZJwKQyMqe1X4eT/WQKUKsILdvjHrW85+\nwfVxqbD+guMAYJkD1XJHtDR/atlYLGp9ti6oKsfJPj5ys4gZwEqrOUKHNKduA19pxxMBHKW41Maw\nKiOu9hdkd4AszKRgkwTk0CENqpvJ1JOvHNQgQpmUbZMkYjN51lJnzM3COrtjkoTtCQY0dFLzJoKK\nPEUTlZaRknsy6CFtYNppyzNI0ukpoSOTyFUbNBCpU6cobcQ0KY4pM9oqDelItrwPObY6jETAhCLC\n4LTMLMgzkstmQlKnbALVag2SOYdGJ+fjmDNzQtw9jyJKHb8zitthyMNuq8gdBC2UBlV1SrQssiZm\nMuCZcV1ZUijzj4o2iqK5u9PWLLGoa7oj1cLPALnT+vh0EeMz7dCyp0ZIxz735xEzjhnHc+7HPI44\njhnHFInQSLHvXM2pcamCftb8GuVlVSSyrUHFSKonZpZALpQ+4byArErQu22mXQXcDDIQIe0zk140\niBCn+Iz4MSXw48n7URgNaB6aUYGyHM854RSrFbiJmLt+fY+/7/r9iV8PpWu9Sw/HM/gMJmY49SH8\n7QPDJOHh+A564O74EQpW2WmuuUG8OPY45x/IyBRS3Mr1Zl7/rOXDevMwCPYe/Lfv8xfYL1/GMfe/\nvvPHDphiwjKVEYiAoM1JMhMwn6qI1hfH140vW0lTlo5OT9zCZqX4ywzs5rTxOOKYgOBZqMTqbhIh\nz0ShiMi8bVoO43lkEVbko/w3qhsiilIpbsg43vyUmrp+1pL7xHBDystE1bfMGbikpS8Z+g9XizqD\nc70HRTcu12d1qb/+9IB8EqzPt9T7Wfwjd8pgPIwDPKRdeKsecLmEV+o60We9VzioM5oABHmQaVmK\nAq94DIE8DaedEwIULJSJHRlbM+KFCiibHz3NBzGpKMmxGLIoRzbXzzupNPPs1GEkFaMqItIxO/9n\nokIdsLDIN4NgwBW7lb9CSkcEq1tsDb4ARJ6t1TUREoBNUqE/JZpXIWhE7M8jYwBcsyaRNLMxCLDF\nBwiaNllbLBE+S0FZ0dHMcIpSLdKYo4tVJHhCVFTatCLP/Py8rAQh8+TOJOSkmRJE25zulcZlFRaX\n57rrbV/8JWLOOdWnsxAGujiP+fzYP44ZM+bzeHvfn+/7x3NmGNFImnTM8Z+9wAKZC2PuxZOs7OS/\nWO+pr+50vbPoppKuksOsBJj854VcZhgkF7U5YdTNJOEtIMb75BS+V90KXjduJnccwd/e5zP4MmKj\njuBwfRkeETDeBXI+D/z6oR8732c8gzfjgF6Mf7rh112/7SV0Pgyb4S3ibx98HTBgAw5ycw3UIWm0\nGEQEXrewyRE4giRnNbzRBI7AALKeajOGsE/CsvWHZFkSpfewX5/xcWCPY/i2DX+M+HEo5AflEokb\nFc4jKo2fhhfjJhwTRm4jj1hGZMFuhpSz0phSJsYGMEMgA3PKvc6inRMR6OrgjLEnmyBHjF5xyA2e\ndjSgchmXe5RSFRAIRrGYaHbbGyPtR3nbFwkCrAZX0X3AQSpmRURDESv9Q6vIZS3WFesgIVl6Feu4\n+dxR1keak0zcP/l8+RP1Exno6tbEQLbcPJPyjJmnYWZ6GdinnsIWgPDMlnW9F6ZVAkPnnzSVorp4\nJEeHM0/OpF16AdfeLKtPZh1KINV/TYaluk9UjbjA7MsBTaYflE5DpnpmI4FoppcQDQM3yXEMJjdC\ntWFuD9jycOhUxiACbrDs7JSgnOpyZKe2pUSXVmoFaHnWlpKaWXfyryfLJnWkZsSMaWf7JDPzbDNg\nNCQby8UQBNw9DbjqVvOpqtguFChJJuNckUIFpLYWMDFCRKR275LYfd+qLFHGPPe58s4lUdN4ozMA\ndxueby6eggra5/hVwl0uJaPmfvz+9ozg7T424/483t/eP95nEGaOmHOfc5+x7yrzAQAOjbxGBZSX\n+TgB9kThpGrFzpSb84yiMB2oboTUDAYedZ+1tRbFoNYrFXjuD5nHK7EZfj9yQfMt+C7kyTR+4MvU\nw+UGQm8Tv+3464e9DBllTx53/ekGAc9dkvLsiT1AsxEEohJOHa8iM4EB2IgBheHHRICeLVMCAd7I\nN+IIUfxpk4UI3o1/P+LrAMAfUyHcKQFvoU76MaIPtEXSy87PJDfix8Gn6dvNfn+fvz3148Bm1k5x\nFrzoVtJVJbEO8hAOwolBHcH3uvhUVzUKmLJd8sA0g6AjuVsM57bRCcKMSZFTKVzsIFXXQNCMXoeO\n9ZJIQ2TJ8WqxRKkQkSCniumz03nYek+th6U5JIvO9sEnUa17ujDmUyT5JH8nkOVlOnkP/UXl30WG\nvDt2pEsAO695bq6whcWlR7t4xHoGA5xJbjiBg+c8zopVZho2rOsmFwvOX/OlgLkwC/4qNzVvcjW3\n9I6kpRQsgvCDsWXzio7qF82EfHXozZo/s1LNE/sTMNlWAtWONUDjtmdMi+YKK8Kexg3e6TCWFf+I\najnOcrILAcGImIujdZbFbFxJwC0zkoXf5bNoZS9oToiiu1f1r0KhmYfAmDHrsGNWlkLOETRntN8P\n5QxGtuQgGBWmOOtAoDQeeRiABc9m/ImLOYNVi5dWvZFMWM/iRq8e6rCKHAhY7eai1z3ZhyjGEfv7\nfhw63g8apLk/jzlDQJicHIZpNPI4QhKE4QQ1FkhewFjJS4D0MxaqcCkJ6/0XFnyl3GfmU4pHZeUW\neUIL2MsOpEOnaeRGGHnkcMM+qukrgjRhCvuzDoUEy8LswHHQKRPfQ78feHEAuBm+71VNexzKFIhn\n6OYUcHdE6PeJm+HFsykHgzoUIN53RQdm3QhhIz6mnmH3DS+ObbhBf38mycRmGMBmeArvwSkcXdOC\nbNyb6AQSujkAHIHnjNV6NArMMtmOe0iUmUvhqQEL2TFK0GTWYGmrnKgGOdWYL5/wEJ6HBGxCSOmZ\neUm/StJFT4ZHdMMQEmAwENVlGLnWjj3SxSkJ5aQyje+QF5ouNKxNJa3wXYondWRsuie1DCTgTNdc\nSLpWWYP+eZF+3aT1p7NoDiedLyOEIuAJzciOD8ssJME043AoGNI0uPTiRugZGIY9OANBUnJDJg5E\n5/5ncWy1wSz3k2ybpnKMUegJGUQFCvxE9anaKv4fpeC0p93KdW4/Q2RjFuMkENiO7H1BJsRXqz+e\n5+llbGavtIAqf/UIR2Zl2VFgLAtY0nCa8uwsytupUWZDzMKMqF0Ntz4xUonH4e4Qo04jhCgLWlQE\nIBM8Rx4k3Iku7f0AoKWbIZhRTsqJ2GGBPAQTaZ4yX4RpmhAkLIheYhkElLTCbqV7RKQn2stLnnX5\nvTGJ1EJAhW/DtyFSM1LZYebVZRILAoBVDDQy/2n/2N+/v7//+r4f4sbb4+Y514aYiuexzzj2OfdQ\nrN6BHAPmHAtI0XpZq8hs2DybPNY7tdzVuvV+hgJp9jnZvdGXRtLv7AMx/6CuCH4AqGa1FhnCKFG7\nVmde7zmLe4x0J4RAVN+D4PcPPKjN8GVQ0PtENkVCHiNk+Lbh57t9zNgDX6shACg8Npo4TJvz4fr1\nA8/QILfAY+jLDe8HDNXj0YFfdz1BputKTFCqsAxYnH/mMCzHGnByc2U8bQ88D9sP3Z00PQ8Yw82m\ndKTnhjCr7SwyISNIFwYxyJuJGR7vsTYm/cExBfGQ3kMC5hEz7DY5PGC5khsxpOrtdaZC2mIeIaR3\nm9oRiJlnfKZwUJDXs0hOW0GdgqRmvsVkAZbrmdIKAHYWCFBCDdYnVnlLXa4yWlRB2UR1S6Cso19l\n6w4uhyqlq3jBaiGVySZmyFQFh80szYlMETVDSCM7Khs49L06WHPr+7D0oS+8WCtq2aFjZgCzOf5l\n/dMTmgFrMbHB/DISmQrWBz10gYTQReEyy3y7ReDJntAC7dzWRbPO12DKmpbyhEllP6Ts4MxZ5xkQ\n0CQn4HDGnol9K1Md9eDW1SNiYFQnrnSOQgonfZi70qMyN3j1tchS52XU89EvlaWtzrO21ZHnsXT/\nrlwZnfmsLJoQGFFr8iz2SeodvXovaWqEDHkwOU82iepta4rjiFl5NeSwPItpaehphnLO5ozn2/7x\n42MeocguH+lXi1PYj6yXGmbbHR+HwmDysfG22dhsZHhBffqATp2iVyw60boie2e4xdN57JDU2tPp\na7P4daDKFVbhRl/80/+uAhvslbPVzfVpde7uYlbUuseZygEF9FHigqCdVIRNPTI3JlOcupfAwfh+\nxJfB1w3f99jD7tQwRmBzbMYBjcFh+I93TGEbMcibhQ0+Bm7O54HvhyJoojlIhexDVRHrlBOZwuyp\nYZWQJZJOPEykRhgYP/b5Nikj+m4FGXCzUhg3y5YWCsGpLFN30yCGwR2b5TFstT4dcmOEdmEKE9ol\nhU0hIsaGZAwsIIhJWmp26NasechbywWM8lcKhpSua9nmEFMSrOtZno/RJKXSmTPMmH0VMs0jYhnx\n9EiTFCYn7CxdFC2t6uOzCgOAbCb1y5upVNsMLvH0A9ArR33+uYpUSBBCyAZnhNGBmIBpgFMJDCFx\n0o6IgLKZ+DA9SB08QACDCloUccnLpgvSq7uQFKjCWiGpZckaRoGY3gx61RvQo8H5lHcEVIPUovwC\n6qxnBabCzoQ7phieJUNJ65jxQtUtZVITxIm1zTLnOEwWRFaylNYGIrK93UTM4JhzhiLXWz6oGWbM\nPHCxaaiBoYkZBOQGy77UKF6awocZzNUaO8RISWFWQj4kM5sSw2mwLcc6uFcTu4zoWUir9KLGJ1Of\nswd2omOhVGuzpfQplchKLamkJAJVKHnsh94wzFLZo5uZmd/NGDNixlLhmGIXsD/nPCYIuCDsz+c8\nsI3hDiqG29hgZm74eN+PSd/GAMZmt81pHJWDkT0W2lY0HcbKuU2rkq9WF1WmAnIeTHkhQBk4WLiN\nvl6/j59eKc8/5yuhdGmfbUP/QLa1UkqY6YRc3y+pCrXIPfTWmrIb3oOADvDHgY9DMwtDwB+BxL49\n7D1iGF49Zy3uw55Tw7hRNPy84ctmIr4TO/TtxmfoEN4n9mqNSKCAxIHZ9e65eFJwTa/rmwN3vT11\nqKLEKRPm0w5gMwHaLDavroYCZqQ3EEE4sJk2Z2azptAHwV0uq6ThcoezqTzGSJ++ql+TXlHaWxkw\nwzYMeagRkRlRSa+T1sYsfGDRUs7QzF5qdWJHeLvzHeEoy3+EjhnzUHRLUoDZ/Y4lGGYlcZbGohx7\n1YVS3BUYi9MAyP4PSRWlkfmO2ZyiW9YlEEQk8S+/PsNaUKyoN7ObnwIz0QGJcSZCCPBgZ2olipIz\nUpsgIcsgWq/VBMnqTFKrPtoxzR1XBqzhmKyQ10pwBdDlT8mLq1dCmnqjJrLauVxZkrrN/fA8RjGN\nTgGzUciGUVFCG4utJ1QTRa2IlMizZgQM67AjwIwHQgyC2hUSR/pT6Jx4IAWDWQ0EOY/IkpEM0LiZ\nu7mXDUvwqXaGUJYj0fpAmWxfREadA0AS5l4F3lJEpvyUs8AMd5rpCDNhlmQGVAMyzdKO0tbX8mCy\nPimrW0qOzr9bIh0VDGKfYTGnpgKEjeFmrLzVOI5Dgg8ft7FtIOL5fjw/9uM5tUMxMwApzBS9C3Uz\naYu4eVoXROj9YweUh8aWddcFBnkBUEuWX4wr2JAN1nJv4lC8vuqIVtpZ+RnnNdN0nbuMJ7wWMzZd\nl6YWY5YuSlG3czqhW7o8g2R7tdlF1LltCuEQBrmZ/r7HLhh4q1a5pOkp+zg0hUG8DPv5QYjvIYIO\n3EbQQOeLBNGJv77FoDnztGhUY7KOPlR7XJRdzbSndMvHxi8bX53vUzeHHfqxV3d0Io+R1t1xMytU\nz0Jdx6ZSThJjQ3Br70SyOuUAKRQ4IGgjwyurcQbM1CctVXLeFGZouDFiTkGB3Cad/GSB7OpV/fIs\nE2A5I45ZOkWS0/waqwOrU4Cqqqzj0HOP40C3Z5CUPQub6qZxpWgaw0YxAgiZRc4JFLAyd1F2psnd\nFCTDSE03c2ZdBVvfQEjHVB5BhAqNBDPMVQStVljk+uxQW1KBQ8qeo0E78qgsyxBa1pA18astwyRx\nKTOnDoMqyUpJarnpKT6j/hoi8mRBLaHHPnsDeSCB0B16emNkdz4q/Hhq21QuZW2I6j6aXn+OKKal\nhbTlK6WNXVkYaK0Bp1PAQPZ723eFJs0QJGJCULhspuMLZAWyac4uIKW6slmbjzHMzeiGAVaUT2h3\nyuihUCgjx6WEQXVuHyRXhNwZwUxOFCoTe67ntTwsB1ZV52AKaKF5MudafE2fzNLVWwSjbBwRykyL\n1jB4POfb7x80G5t5n5tgu825zd0R8fGxP9+fxx7HDBKDlCJmKAjEAYYwhm83mmEyjj1iTgBmZh4j\n5dSahRaVczUsLKzp7w20IFSnFNTO6fopYlv/8XJa1npJZwI1ni0XSpdmHbgkkuTOZ0YaL68u5MOK\nQaHWFXAUKV23wZvzCAg4REqH+HHgNvDiuJFHYEJ7MM9q/+KCGNDNcUt8EI+wkIZY2WuhXTbzXKu6\naZCUL1a/fhZNkolCp8GRd4cbMtFtF0EMZJ4GLJv4tFg4A6GV2cpnYG8doluXIICnOIUAN/QBFsDN\nUwHREZrt/81qRph5hLAMQs4a18iMAFoGY90sVY5EIre0kiX9ZTkvkbE1ZGqDhJmBkkAEnoeeO7M1\nzBH4mNoDG/U6QHYPoLQMiBmmzUoH0PLf0svIUIqUx2icydeZbMew0KCf14Ry6bJLrKbym4pKp+UP\nZmsGIXMKmdl+u/QuHnJBwxrbgY3YnYd6zZF9vruSnbLXHxoAy+nOgawlXfCcAnl2rlfJlNmMLWhI\ndeB6IUEyF+B5zK0qJdIoaCoc7qKa3gHdBLW3UFKuLOmu+29v73Rbi8anPVx2X8AMHkhjFtkAWhnt\nrAIoAIhKHk9WaC43uw04W3rOBxdsVtJUxjOT4kRV5LO7uEQPQGVmFGoZfYw60zjzJRHZtDoTXCp7\nkuWW5Lk6KO0ndf+YbelIgpG0jo35IC2qtbSqOWDiO0IM4Dj4Rm6b3+/0zRE45nNXRChmQHLEy41W\nvaaII+ZMXoNhbpgfb4qImIo5I0UqBGaMjhqciNceV/Pqtp3p5p54QxBnYHhVyKTqUFk4gFANo05v\ns+rnK5rd4imsvM3l7mYvgLThy6ivW9J6iZf7WVDYYlpdL3+fdXmE8B5GYBgkTMT3wDOQx0uAOMQ3\n8e2J32b8+c4Xh4HvETOwGakI8PcDT8HMntlc0GB1BG2NJTOhx7rgjARktA0AY5+8u96OcnIFDosB\nEHmKJI0wIx3OumwmmGcTXQDD5NmHMPkfSfAQjhZ3WzOuKJF6e5Id7QkFDVA2dZ6HNrMtEVaIbNOh\nIDCc7oexupLR6ryYihWSiDhmZMsQ92qnloUbChyBOWPfYw8BeAbfj/mcCGAHj4hhPqz1QSmAe9a/\nWcksjLM8KslmKObEnI3bociE5VC2VZalb5HBWwB5YGmBwhFxHAIyhEVFI0kgAkfnYj8DT2FCokmY\nSlmDE5w5R5VmXPmyLfQm7UJnQPW4t2ONqqyoDZXxPK/jmCrrqdxuMLNJUrCw5lNJ3w3Vp9zR3jhA\nIRDsWnQD6rjo2u1NrNI5SBHmcif9u9AdkBu6WmshNRXKCq/m2uUqSLA8byU7b89gCJZdbcvOlsMd\nEZWHnHEE761foblT4FTU8eqqJLb6ePpSuUIyUXp9TgzPFmOkueasmqIMGaZbVL1JJWOEIZQF6iAr\nJycDidm/vZheIx3KXiTrVxy2R0h2F7cbA4pj7jNianMONxteRYbkPOacll11RMz9mDEHKTdm7mBM\nTNpm45QOOhx+lQxyDUUriXaJ1TcmXnCTrSbjTCAVG2Fr+rAwFBniLesgKOMnVSObS94qWtR1WWkP\nVAmz7I75qKSfUooaDU80z7uNlkpKKm4n5hmLBKHSRamnZCCD//GB7xZOHoGbGaAnMMi3I34cdZjQ\najOZ5Q7GgtEZ1bGttZzclhLsGdonSGXyyTOiWgODJtLCs+FcJmN1Hn4edFJ+i0gLCoFaWwocqlOZ\nARzZH6F8ZwBdFK5KI878uRmx9/HSERHgAwzhY8ZHMELmuAG3AFpVPyQ3Prx9X8Q+kZUdm9tji+xo\n7F6ibnTrmSP4nFHND4AZ2hVP8Q7d+uzzY6aRh+3hVkcHuFVxtCoDI/YDxy5S7iAwM0XAqqFenZKV\nW33GBKFJZDgJAObEfih/kbRHp08kgRP3wIw6vdsJUJMI4cj9nQdDs8Invd6SIGdUAIA66qpFUG2B\nGZfjmSu2SkGrjUaFKvJeq4cfP3mVUNT5xqY+QCVkmfBFQuFWpBfl95SS0+1IkeHzc78QAL3uZ0k+\n7ZjqFHyQ/f9g2S2BQjYJRzMzJjEvh4WtEuRpGlnnHKr+2CgCLkSA/qkuce1f5saP6qCt/kHRx4Uq\nn37IlTYBJ6rdJ8ilPgtcRgOt02VYtV5XuoSpgooYvpljCsce+5HHbkIRUxHPbc7DP47heawdiVBQ\n5kC27QUUZjAfBs2pCNnmw23OOWeM21hA6t4Arbasag9n0VL0MdxrWaCNVM2D1lhQiz4mXW6V+BoH\nufwsV2u9UMpHvxKsTSOLKspSgXcf3Kv2baHs7UKe85Q5Y8F1Wa3kn7UoFwsvCu/lAzJZCXgoFBls\nwIfmFrw53xW7MJV6Rs1e99ZkaqrpbqO+M9sPyCQnBkDox4FhnKE8iMDA2VQ3z8RG+Z5Zk12qvULt\nlCqU2WUMKIKBmAm16fQLoz2P3BtzcjMYcWT7UoWAXdiDzGwEIEI7MaWP0BR3kROH8KGSAgLZjkpz\n48g2mtIeeuYBBNRt8hBCMJ9eQg2y7DazKw3K0vk5MwBph0BogIFstUod8WPi4fblpsylzbMyZuTh\nLXxO7TOG2U0VeM+a88hKzloBQlSMP0IKR6fdKY9oBkL4mNhDEG5GEjM0xQOYSdvJipVFJnVVANOD\nkzh9yBYrltO2CHJGvKKAPGmAil9zrXZidZNGLxj0lVm7s6VF9ElK/cVM/rj6vwiSiREHh2MVv6wc\nWbQuvoh8H77RO+iS7Fg3XNntJOAcw+deWoMko2f1SHXKZjUkJekZas7d0dlogarNkZAZPSHQzSt7\nzZbqkmjK6DSgkJQnF9PdkDJC8ctV1ClbZd8MRNWnADKJ5RL1OQAsEGJ1X6/dkluxeoQTuSnhHDc+\nbsPA5z5/vOl4jz2OzL4JHQjo4DQ4q4HtIdnz8G1I3LZEaTEPXZwtERq9Nj3HjTejEY+bj0xZvhys\n0/db8NapdR1xv3jrlWZ3wVs1n0ogwcIGXUF4ceELVe9VgCu8Iglj1sl7BxDU7cd7wdUtte7FC+U2\nnAZWayuhbi4yOanTA/I8+PMWsXy6dGMEcYpBDMgMR/dQqLTNNBtSWD2vocPlrBsiZMw06iyH5ceU\nwAmNFs1yEAP2lLawu2Pk0SYzK3Uiu+cdkceoZVmqVt5UhhkjbCbhTRDKyrUMsikd86JEh/AxoQg3\nQhzAYaKQSWMZ2j4mnrMUeQkK7sLNYcDIhDmdM7pPfEeeQgQLGmCUEQeUpXnZh/MAQNFsTs3sS0Ee\npIA9NKW3wEY+Q6TdDX20tc3QAc3It+FQTHGYWTNESDOUj5oZPgFFnWUXCu0zSA73MfS+S2C2g89s\nnEUEEkSe81wKFSdMcwjI5GAsVO6NoUoJqc3EzmkxtnKRmF8YLF1JdjrluaCLq5DDcYjBLHCw1rUr\nc86qAMPYB85IFoKE9ALzLso61K4jSoUxtPS4ansunDqRvLXNzLAMsrNyQ8VmaObpTASrY1fBCElL\nNawyIIHsD3MgY6WpQijvJrs9wOixSr+N13Ko+i/LpA/YccQBxYzU4qzPHU56zUL3XOwJL+XQ1j5r\nFKochwx3F5J1FU1GAyqvA/M5fxwaw528+TDtOQ4AFXFM+LA6EFXMvjI0mjRDL4/t/hgllx9525r7\nsc+JiqD4I3xSdNI50FyvwK5IW1PjRTvzQc+spcWI1+PlyBX3vHCCK9yuIa5/NN9mR4AudFyVD7X2\nB7uCovZQZpjn2olyivsSlYTH9U3/e/6PLdOZWAGo8keu/pIiD4vsHWjUMzpve12KmKVspNu4hI1U\nBlUdamqYAcnNZprnSMOg4YAwK3yq/DK6QvGy+X/7n34ehv/xbz/+9T+ej/v48hi//th/ew+BJhgZ\nlNFcMaM8uJk3I2TgKh/tGYDhTgI6AnnuayrLkeHz1P9R7EXA0edxSgxwl2YE6PtU9gibkfRWNHwg\nhvHm2AwAZtvPLEgxkOKR4jzoxDQdAYW88JXHLMFBhqyGfR1wCERapglI+ggQvLsOiSVvURH0/JSI\nOvdBgSM0AwjNwEfgkG6K10EQvz3DU4UPewqJLoMy6Qi8zSKvYJ5G2B638gbXDlgrXlatRkEqqoYp\nl201lWoKWzgoaiFjQW5iQ0MnScIRBqMiCgfr69obBMlMGYxsZhRBszx3uy5b993qRrp0vQGLUiDH\niyto1v0lqkBbjDr6mMrj0jPjr7Z9N843VopX4p7V95b5wAxAcSCzAUnSnM5sqpzxFtKrU4KZkR6c\ntDwpKqx5uBRmcM/2YoCbV8g6ZrpHlQ+vzmxiJYdJJs7G+krkXxhBLMqVEkJ6Ynljgg4c7x+8b5uk\nGa22BAWZuUIRh8g5M6AZAbNdeoHtWYwOSZjJG+Yx57HPOQNm7sfbmyTR/G+/vo+q8iw4OlvONzbj\ngkNc4KxPi7IWNZq3nsB7Wbf11nUQlM6Xr9djv41ciXtnDln+Epe74aL2/XqOJil0VTyr6O2k7r09\n1m6p57reaiuAFFoXR2legjJNeLh6ItXJS1r2Lnejn9op+7zKbAKep5GVxRmOrduEtiwIA47A19fx\nf/k//9OXn24K/PnPX77+b3/76U9f//Gfvv77/+/v/4//51//x2/zsZl3eGpZu9ZEemQqHE0DnjMk\nZEu2TikrDM0SgF0CMGXvM6JjYNk/KIQ9+8wDu7IZmJ4HI4NCwiy2kuyvRpvkISrkhkHlnFS7BlRO\ni61lQ0OeLxU6yHnoIyqSoaLJi7NqBmGIGZuT4KicDEaUZJycdk4eEZR/xPxx6BmMj/nlZhJ+HHlw\nBJFnMZJZJbzLnlKekO0Zrs6c8Ep7iAt9bjcN0krLL5U5LmJFr4xTNEzB5xREimUn0UnTljWRbpYl\nqmGch4kkPJAd6Wku/v/Z+pNm25bkPBDzJiLW2s1pb/P6zHwvE0CShABjFcGSWGoG4kDSTAMNZPUL\nNNBIGmgiMw1kJjONSwNNyopVklEUKTOREglSLKKqSMBAEAQTQCaQiQSyff27zel2s1ZEuLsGHrH2\nuQkeS8t37jn77L3WighvPv/8czAJpKXPxDY2F+ZynkYP7T3+psVkOzzrKo+tzNcvp+dQi7EGJ4pg\nKx+j12/RFH3WCyAocgMmQEF6Vk1I3CJbc3IGgTMkUQGRiIwBgYjQXEvDwKehATI1yoeBIRIz9oHW\njUngw8/cfGu3LUwgik46cuTdEZ0l1uxeqePX7Q1PAXWjP52MdrusZj1MRXZFS5W5Vu2dlAYgoqi9\np2RZY6sKUFWnY0mBYnAdo4ZBm6pWrapiYobEAK0ZWMKjCwAndJ4uBxc/0k1fBywW6TwAJ0a3NM0X\noQEjrWAKfXUbTb2lePDYXT16QNjx675lu8ntLzTgR4+xnT8PN2E5G827tIgAsO2UZRjJsvu7r/BC\nXHNIZoiPYJ9uuT1X7NsCyGxAEmitfeA6KQq9qaklANgOL/oEOe016nYtS9Lh2IPzcNoQA1RDNbu+\nGM6v16LAiSkN31yP0zTv9nNM/NbluJ+PU9WKwOSMgna1hChgqmjeNQ4QOtiDQCZQPcpWMA8lFAit\n1i4uYQYgCo6sQWvKQKja2MGGlhVc49gQRBp0rmalD2ANBEX7EgIUBVSLBIRYxLJoVXCGlS9e1kZU\nd7yzGqChiVYha6kAMBKiMQE6WYPdWSIqJALjxpFWAzFhJOKGDBTFaloVJoFZTM3KJCFw9e4yRrBG\nNULErCBOw0Lo0Rd4I7lnwORe2XHMjq20U0QnV986QfquNDjtyBORqSfh/nNqiCc4ldxcdNCaIyUE\nZCRgDwqoFbrNmI2MKpH24+EEEDBTQxUKTnxtCBeesGuAxlvsV70czl5L7MgJAPr8Yw9FVNXQiRNN\nGdGWNsDlnYiaNIW1k4FqYGJM0Dj2HvYrmKnXxauJGYERsQ/leiRU6CCg9M5uXxf02FmrW1Vdkn5k\nNEAyE2jwzjJ4xNr/2gk0T5S81VUbKOpVF2tuvuPtAqIKalZqrb6DoVfPvEfWSFsSTl7z9FNvoiiQ\nRV04yLr0nj9pNVXxYMKafD9YWOLQk2Xuqc2iedC9UuM8NCt8MuvYVEIMwNwuASGZqes+YzfSbfE8\nVe7wtb1pqvuHNsvYCsknx47W529Bm9gLpI1zZ4shbn8PPQrvu4ZaagMIitZ7Hf229dEN+fbuftoT\nTgfJYAnqAb36YaQqXp0SQCMjgzY7orHuzBxZbrwCAIAT0OjbxEARCgAaREBSyK0OAlJsFqtFw5CQ\nCRmMKQLofiqAV5fDtwl+/mp+sSvLsWCGJtRp1GvUBgDayv3Qjkt3PNWgAhWzaMiLuIYfh94s5CoE\nqk3sEcHUqDayBPodOUjcAjNR9zYGZk3OFKrvdyAAK2JZwVrt1xM+qp3o3XI1f+DWzze0dwMDUeMG\n+qOhzwlCQADFio5+oRgoQlIb2ZsV8CiiQEV9p0IxkCrOHayNnYImzg5sQW1AF8UHbMuobS+iry84\nXK9IjQZsFHyTL2bad2+HgLvp9l+0vAeWWVAtYDYgIAVAF76n9nGmDNKF/DwuBFInSyMQkSlCdTZm\nQ9wQVZvuap9jAsvW67Bbj4UaHGzNmnVYwDcpUYs8SbGdUwQTtboItZqpIBoQMbGaoDX5VGhOB1QN\nXHiDiEPoN9aKSWJm4sJVAGDBEM2Q6MTW1q7n8YjF0eNi7BUsg15Z9epp+84BiyXYPW1zty3ac2vw\nRhQzU+1tft38eQ4gaqJg1V/RrAeqQcN1ajsIIFbRETnzjnkPwpg6n86lExHMVMC7It33ectjoMU6\n9lCfmwWxHmg67az7akSzxo7UJRRVWFa0JwHaRDK6OwWwTqBTpwb3LQGtV/H0sJYot5nI0wtbjmH9\nH60yhfD4h/4bWt4OT8XMRlmzRgI5XcYpUH78zm+epuW0YXMV6upI5GJjPg0LABAYvOoBy4eaNV/t\n/dKAKD1nIgcr282hgcNdYGCotkp0cbYqRdO6A5WEw3rgwPv7w3ozmOCHzEM4fnJXAjXX6Cwh7Clp\nO5J2iucBGqBl2MRVDKACGLbG8Y5n+cr6ljZEYjBgstZJRdqLvo3H0iMUAqwCYKagBkCAYs1oNwhO\nCcGZm6hmoCieDVM/YUunaNvfyw4wz3ebM0YIaLFLOIkBESiCKRbXOmNUQyduO/fD+iYlwC6O2mQi\ntCXn5gwJRVcIUgAgBoYm3uw9ae2oALbszWcqoKJPEVmW/+TwT63e7UZ6wvcIWjDEvsPYH1CvxNiC\noLTT6nMvEbV5DiAMRD4yHkx8VCsC+wQ2qYYM7N0SCI88Rkfz2hOmjjtbV9HT3snNhN4cSIgARExS\nwUCcq4jNA4CPdCAm8jGviOxlMlUCHxDF3kZILdIBE2tNg24jFBTb5B82cD2UJWTSLuay2GhrOAiB\nqpKaWe9dBBfIawlYp54twCa0gwHW8hRQayLSLnaiprWfYY+wpfeWg3qfiwkYtjqmTy8x39leTGr1\nGRAvAxGhClbwCTLY3e2C8GvjjagCaOj6DZ6Vd9vUd5WZs1X8qDcwAFoDQjNA9JhUAUto3NiU8Mjq\ntdF6HeLom+5kwZfPXbzGKSFxYKG/lXXL6x/s9HToP4Fe77SlQt3NdKO9NbfxhlFeHpOjoqfudHx8\nE+ClISf0+cdzry6EDti1OUMuyt6LOCfLCCf2y2NquUdE3njhAo9k9jd/7a33PrgGWAAyv+wmu8yB\nNmdpGNkIP3+4Nde0IUI1JQhqSi6178l7Z8IQtvzQE2Lfw+AuozWxAQB1hJIQpbV+PULqEXrLNbQG\nwx7BiJkAVrMAXjEzWQqEBmpNNgR7bqQn63R61D1KxF5o6h5mgbuc3tMsZmeztoYFqwDVvU6xzLqK\nHoj6s1ny29YM1fYndkQce5reNTOtf7o179su0JNjjykUjNt+7MO823Y8xY/u3bE/QI9RmxPVlrWd\nYIcW8OCy79HQSUDtbLZLt1bAI0AgJPP3Y7dHyGbmOb4pYpNR6ZG7h/ANtOxPHLCfZxKrA9H1xchk\nVe3uIHP1mXsEXNUwRG7EZBVHh/wNTZV68ZMQKWAIwQwiW2AMMYTITOSdfwCgpk0rGhqlzxSk9QYI\ndQatuYineiQNHkt3X9jiDHtjH4E1tGCJj6FvtFYLgXbYTz/v4AaoorR/uTjVIsjnalxCLrWovsN9\n7bVtqxapIznltOsnNBH29oYebffiHZB5J2QP9ptgf3fpfQvBo4JmDzfAs+NG/Xls+B6ZzUenF6Eb\n9OXI9eCz/9b6/gWA3iz32GTCycZ3i7p8EJ4cBvSeqf5rAFiY3f3Sl03Zi4ePS02PbgCx62x6MKWA\nANoBFL918k6FNpehxSmnXe5UCE+JG9uv6Ru2y4BO9lmeFi5J1OmnDMik221y04zoojO+1UiygJmI\n5CJEtFnHp+v45b4ERm7FcHVoFM2oz0N6s/2Srb21m5feH9AmMYK1YKqF9kzO80ffTi016aVHB/Bw\naWtamErdwfUoBYjARXS8Kc6aZqEftraQy9otQBB6ma71vFk3ZCZmIKhmkcDzQTOr5gPJ2tjJBMAE\n2PsAvVyv2Kuppyyy7TcDVGwTaqAXn6FjREQQuflpRagGVQ0880AE7DLwJ9QAOsCGSp133BzaI7TQ\no87eDOhrQa6534kjiLio0AEAqJLLl4IB+m2hEZ2Qo3aPRkTI1GjMrRm9BUpepzRUehTx9A0pZ2N4\n58nm+nINZmUuZw95P5f7qc6ViJlaaqKEIMXM4z5EQGiq9mYImFIYVzFGVrNx4NUqhRg4sKnWXKoo\nItYqUoWIWosRmOQiLaRFah2vYA0HaTx/a60rXhxy4MNRcVgmSDR8shncxfR2ejN4jaUnzf0/qE4i\nFDSniHiXqRoYKrrsL5mJp4gOiDga6rU3lUWlupm1voiepnpWit2CoXe7oIeaJr0WEpbwFbHHk4i9\nqPPIcjnI3fZbs6LdMD+OQttZO1nFx3Q3fOzYcEE/FvfwKPV45O6WA7sE8IZEJwLjY8v9C5f9+A4e\nx/Nk3oGtS0Gj32b/xP5jd+ncUuzmdHpKar3SckrtsSOmAijq6sAEjeHQzBk8ckse9nkq1NFAT0mM\nELTCfjdfXG17qAQIrtrREnXmgGjTsZYs7z5ZAcAuuxlHREJSsiYt4LgqITrhTRuUQQgtEqPWIIaw\n+HNE8PSt5zvUqy+nTYDWe/F9JwL1sM9V4PqmWvKQxbEDo/eXuGCvgQ+G6fHxktD4hTiqQT2zCYi+\niM75akGmmQFWg2JQ1RzoMMAspta6KA2gyWpaDxngUXbVEzM+ESqg09zJu0OZIGBLwhiQ0JhcBWWx\nvR0hepRI+5txw3DcgrfPbo+kmfMlgfF/epTv+xEJXOOmIQHITZ6zq6soArpaAbfDQorueRG88QQB\nsBXwAyECrgdkpiqIgFWlNOYTJMLn58PT6+0wJgMwNWTebOLFNq7vji92GSCIWgHgAOAqTSLOXCOC\n4AacMAbabOJmMyBgiDwOIY4ppoRoZc4lBZevyrnkKZu3CNUKAKrmCkOBCRgWiZgembpTN1P0/wPo\n4uIKJwxEWz3GmpzBm0bBvD3clnh86aNwW9+WwJrlbrA0EKgiKJiRqrRSjxmaEx2xH6dO7vBMq/EU\nEBFNW7sOmhiIqcuzg/dlmuc0AKaBH+fvJ4pYy4ARuilZADX3rB2qW17T7Ae4x/NAqRkaO4Vbj44o\n9szvZKDt0fFdzNHySuu21BYXb9DtZrcWLR+2HoH0bLCbm54P4HITttx6u5mWNEMn5LTWUGuuEx9b\nEGyBuC2uBtFcg01sweX7FSwFoUde6XQRJ3Sme0xEY3x1c3z3g/5cCK263oV1cXALgWCMxERM7z8D\nKfr53fTiIAGaKppS22PWHwD50GSBKoWYkMkEpFTvW/Isvm3SHvwuPpbQRNAMAvtD69mQi4N6GXZR\nzejS0IvN6UBNg0Y83eZT5nSa9tTi8N7i7HYFseVwDE1jCwEYkQkArYALiqKqdYdsBmCGVVXcPyH0\ndNJ7IrozoHYAqZuwxe1zI/cCgTGZIVUzMAiIAzkQjRUgm2VtRCiiDmyefADA6fIb8739yF/QgqIe\nHaA5vd0P3WL0GwBDiKa0jFxiB+UV0ds2CUF9cAZ7YNDiAEaXLwAYEC9WYQi82sazzaCiADBnmXJ9\n2Od91uuz+Ox6k4YE4ORrjCkAQs4ljfwUB1A8FnnI1QCViFOwiso+kAAJEAlTDDxQSmkYEjPGGOPA\nLTQj4hiZg6rWWqgSB65VGAiAahGPIxSlglGvFzdYoIXBgJ55mzXEWEGbbe4m1tDABLrSEng4q4bg\n6ketAojW9mnTB/cSCJF6E1OPvc0IzEy68TNA4FZMQF3ieUCn4jdk1mtsiMtwMgLAxl5tA0i92cLl\nytmMwRmiFvp2aCZiCX9Pptkj5VMcfNpE3Zh289R/SwukuASiiyJBP7jNB3Qg4t8f95J1FfbFQ3h2\n7fbV0IVWwJSaIt6SDSzm3pbyUlujdvQ6WgX9PnqoclISOZn1fteI/WJPPYpoHkTacmMI4uys1lLY\nbBwwLBzuxU5123N65u3/0IjM1IYxLs+sqWH1C2ImgEAkRMrcxD/R4Ol22M3HIovHsxZeNvojBjQB\nS6vw/pOzr252Lx/q9Xb4a9948oOfvn6x08DUqgVtYkD/RFehE3i2jSPb53cTMHN/0G1pmxCWvem8\nHwWSi6d3lBGNAJwD61Bf6a0Fvk7cKqnYyr7WEd9Hb9maURy4MBM1Mfd81jO6VoEXaAqr6LAVnBqd\nEVty5Aqlvr0DeSbSQikxqi3WJyJlwkQWPQ4CiABBdVbUtttP9+2WlQgUYEAgwixAjbEPhKDOS6FF\nRxsA+hyyBpy0TIEdVzIJAGyKaOa4CIDnTdBqlgi9+kuPFBf8BQwwgpFpTHy2HVMK7rtWaiZytjru\nHsowJvAd1SBlhSYgACEEAKtVR7TAYZrrEcx7uUgZm2OlIdF6mxwxUtWUEoU2e0JVERnZCS+VSJnU\nYgAkUOVARBVUq5kpq6h7CO3zKntE21iP0HAL1T4P3pY5eaDVqcbWYehlRfq/vXveAS1Fn6PVNkSv\na5zsgL9rD3bN+zAdd3SsGb3M2GFJR58QOtqJwD7EA0HVWm+5to3tZBxP5RgBCbp08MlUnRQaH92I\nXxNZ40AAPrIkzXrYYjegYZNdMwWgff/oa/EH7WMXq9PDU6ekNb2Bk7PAPtrj0TaGppvV3nd522YE\n0Z2BB9rYvEIPpLuv6MG6ATyqmfvYOf95L1faQoZYfNViud16my2dZCcP5Q4JzbWDe/h+uovTt+jI\nEJkajozXl6vHi8GRVY1NmULJDn1biEisZjpqzAhrgsuR91nWA09FwewoNguYAhNdD/Tek9Wr28NH\n33z6tQ+u72+PL14+gMGz5+t5yjc/viFs8+Qb5NpXBsnUYDPi3/rrb20H/MGfvfyTzx4qkvdruNxB\ns2T+3LzrDBsF0mDJhBypRqJGWPaxooCo4vP1TvsAe/iAyxAALyOQ+vYIRATeTNtomf5iN0V9cTvk\nAG2Vsdc8HvvEhffjn+t2PxIwWkA0wFl7XyhCIGqSsD4NBCD6mBOX8oBuDXsPKhJW0G2ij55tyfST\nV9OrWRKzgapIYro4Gx72U1ECbhhxa46EBip5v5OaBcTr7bBdx/3D/LA7Gvm0QOuMCK9uE3R0Ffu2\ndg9HAETA7PQBlCrKjCatOY8xrYctYIdVWyCoqmid5QZAhMyoRhGBIDJjrqaojBAIiSBGPjsbhyGa\nKiASYwg+X1MB0ZnBBNwgLCKO3uEipgSIxEyEZc55qibuHpp1Fp/d69UIA0H1hVcfx2DQo2drp85a\nbGaGCzUIVdmZVNgn9TQirTX4iqDhDP09FlDZFg5TI4W0Gg+AIfqsGFMldiuD7fCz13OMGHGZgCTU\nCt8EPp6ptSEbGRAQYusk7Oap8UJORqMl8OaYbff4zWAuDaHezQqLS4LlwhaLDW98vRkyn4xYv503\nzdYbb30yZfjmyx79oUddj4y7o6J2iqZ907U5Ph5b+u2ergxhqUPCkuL79XSk8nHjZX/fkx3omQX2\nv3IiLfbnuqQT/R5PV0ZAYOeJ3nvn8unz8194gESIkRxrKVMxMxEJgVfrZDojsKBdrPn6LGKVlyIA\ngIgRfZ6TXazog6+df/0bl2EcJrHxbHw30f3d8dXreYx8NtBDBiYwQIaGhEHzSAgAqFaqpKdn3/72\nM2T808/2Ci3LMwJq2ruO8ntg0lMN3z2nhsdWmAfHoA0Ikbk3PS6PHBto27eJJy2GAN4AQa3v0te2\nE2GxC7Y0K/+4qx+ahzw97x7hPsKYCKCxfg0YycACAnO7gK4iDdX9kBExAtigIABT79U2f+/mn2yF\n8MHV+OTpmgiZYbiZbg4yrsK33n82RDo/H3f3h59/cvdyN1vXvKO2RRUBnTt5vYlvPdlcnI0ll9VA\nJrLPjZ6G5LR3YLReRm1TwNH5twwIgAS8MOEIVbXUEiOhGXKXKMUu564tm/BlIyLq2itEGANLU8hi\n1UrMq0TMGCKPYxzH1J4fogscq6ghcptRWF0qyLX4EZCYkECqmlmIITATohSbqzSuh6GaifXirUe4\n2oBkFYGuDL74leX/lyPqftuIyBWs/SXOrSN0Uj2pEZ5yLDMQbVUHa3PQwMiFodtua2M4zQywSeNa\nP/YArn3Nfd87btdwbK/SIht3mMVzHUBBDdSHbi234PanM0WXD21c8uUEPH7JYioXiPyEeWBLr6F5\nPC/bQNM27i+yxQIu0N3pl6fG2HagTufIeohvPWR1qAF7tfSNOLWnK+14LZD4ci+tGGPLJS+Qc+sx\na4CLLVe52I6/5Cxgea9+Fb1JuTukf6/vAkKsqm9dj7/y4WVaDb/grfpbY1NgISQiIl2tRgpU5gKm\niHS+TWWue7VaQRASwRjwcpM266CgVWw9BmJ2JM0llWPE+WibGLKP8zndVosiEGFgAtEvv3h4er0O\n29Wv/dq7OX/8/S8OMbDzP2Fx4y0vPN1o06Rwch6AB4MdSffFMwRgajSIpsGPb9w1tK0LPtsFXSWq\nGXRfUiLsIpfLifRj1m+mW/r2t0CO5J58puEJkqsdijdCaiOv2sAEA6xmawQkIHZ5O02gaDCDh7R+\nnGGbuIi8c725vloxMwc+u9qmxE9nObvaPn16TiHk6Tiu0wfvXXyD+ePPXn12Uzgygvgh8+saBv7W\nN56uRs5VURgRt2ej7PJUXXcPCfowXz/F2Jgc6MYeu1iRmSnUKlWkmy8nMaOBqaiYUmve6y075MYM\ng5GACSgKIBoToakhjinESIExMMaUhjFQoB7L6nL0VIzIYz5Qq1pbj0vXFWcMWKW2B87MkamgCHU2\nMTpNoJ91MUDRjka0k3sK/E8howGiMaMpGhst2hpg1KA8Yi8sIwC5+T1RvahZRkVmADCyJoLgpb2W\nFQIDIQCxT6UwcKKNx3p9PRYZQsTW9CdmwE1HpFeZQU2rYmAfl9uDwsW09O902eJm5iMQWlaC3m26\n/BCXfdSD21P1DjufuCGJ3YD2WOYUUp+KeEv4+QjP6C/DflHQw1CEhQ5pPSdeVuxkIkFUVcwQman1\nmNpi0PuxPDFvYflPD4ThzWxicZQnq3Sy/AaLELr18wKPfnW6gW7K3TqNDO9cDXGInNIv5hMAqqqi\n2kIGJcKYUhij1OKJZy0IABxwYLxcUdGGCe7289lFfP+DpwgoqnV/5JjMtFb1yXurTXzrah0f5q8e\nZiOfgnpiIyKAgm6TPblcuX2jIXzro6tPXh5qU4/si9FLw4gnR9sNvRGSvrmO2JcZARmN2Glw0J1o\ngwM7GGgIoGAM4HVK69PV1M+u47WLYgMA9JqB/UL7KCj14KSjmX5ZHi/haesiFh9vtaweIKBFgMDE\nTAGJ2FSJiESNxBTh2VniQCq2WcWYaFwlTuz1WTQz4nfev2TG4+7AMSKZqo6bNAzhnbqdprtDUWmR\nq1s5uFgPMbISpRRcc4fYR06B53MGjUbjEJPzQzvghr2VENqjaMPGkJkBwIW/3ZoQEzNCV8pAYACv\nmqGzRtWAGJFAfZyLEQVkxhQpBA6hT+069YiZGaiCVCPympFrdHlHUJMjQ1dN4iiqUpWZQ+SYogGV\n6tNuENuwtB4MeNDr2bI0eT23470uvsRC0tcPFXqfj4FK03JqVQwGUzIAAwFAx709jTPziZvOtIlG\noo1H62kOOrewyQ7jqT1ZTDwMIkAKhK570wl4isDYB0OrVnMjogkhIHTEGN6whm+CENZ+1lDvZm7b\ncbHH7YKLtbFWKnwjhIVlt51Maw99e1lneXlnbT5SpHkUejaQAHsCvlwz4gJHtCwNEU3NVMBgO8br\ni3Eu9aubyfVSnbxszcF1C7Nc9yP3cDqvbYvDY+t5mkOx4KaPIJKl0uq3608AW1My9DYBRAAFvFgF\nQshTHbb8CyG272YVBTBiqNUcWpt2R0AjJAIMga+frccVv/7yLh3q8VAVQESq2t3tdHYxPXl6aSCH\ncizHKaQ2GMmKWLX1OgDYw5xnQXTZDWseN6JtBvrlj56++/6FC/ca4OXTs1/5YPvJV/OkOhVAQyVz\n1eIl0zmlRXjC7/ENQ+lP3JhaU4wDldbDjsaHPK2szwPzJ+ru1fmMbSdxQ0Ue+7a2GZcSSq9EdKy6\nKXHAgsD0bX/aC9qLPMtFd90VZAYiYiZShQpn3HzE+Tr4BwyrIaRADKqiYrnU9XakQCUX4oYoeB/c\nPBdmev/ts+OhfHF3rNW2Y4oBAexiE3IuYxggQghhNQ6lKhEzWsN9WgSB2GY7GmjTNvCW4gr97CDG\nFFbr0Y2pGVC7MwyBEaHKKS1BEmv92CRegm0P3RkJTvdEYp+kh9ZFYKCPrWpLjF6oFnM1q2ocmFgB\nUcGYXUKUwEyLox4YYlBRNf9oA1NvtzEfa2N0al4mak2APXlqDafQKkPuZhCBPbInNwvq3HJTUAJU\nRFXldhoFVXpRqvkSp6c4WdVYwYtKDl1TCN5SikQO4zTTJNoC7sAUiMBMRGqL8BERmdDBHlWt3nzD\nwIynVu9m+Xpo54blMXm4XceSrj4KKqFTZe3RIVw2+SObtrzZI/Dh9AHWPwU84mwqhWaPr2F5pV/d\nY5WaDq60MNatPCFJrevE3/rWu1IFSnn29oWp4Z9+/vqhuMiLb7PlKpey2KOPfHyd7VvrdPEltn50\njbi4juWst+pW9+YexiZqDPsWBagS4lRKrukMW472holuJX1QbzPFU9NSYBo3g45pWA8USWq9fuuC\nXu+Z83SUCQBBzOCwm862OQ4hxjBLmediZlq0FBGFWuV4nKUIkm0HKmrqdTyzbzxfv3W9uny+Be42\nyswMn1+tv3p1mAQSgizkRXu8Wt2jvrmtOmGo8VyIAdSkqri4kWnPDFuhrdv09kSbDlGbTgQnFic+\nXrUGyvWIHprNx7Z+p1UCMIJFiq2DuH3Z+zo68XnBZRDBe3+DefVamZogDQKkSDEGIsZAFJgYXENT\npJS5lqJEFAdmRiLOc3ZVnZoFFMMQRrPtnmaAMdJ2G531kacSYyCymFiGkOZwtgW9s8mVHVo+0moa\n2KaYAkOr4A6MbmBi4GEIaQgx8bLzTZo9RURT8fBLREybuekRFTK1VgxvNudAzA2KdHTbO6pEBYFi\naup5zE0IRkRUQaqoKAdCIg7ITjQHBIBghoDSNDKtVmvS9kqEZAYNanbufauNodc4PPxj7HimNyaY\ngpKbQOhNLqamRqbq6JVnbCKsqGYggrUAgQk7ONcYIw0u957VZT6yY42MoEaEHIOfD1hqrWYCgAiB\nPF9x8p6LbzTiNgfSNh4UArNPVOnb8VHo2ha3q220KLTDtz368xOKJyy5b2KCx4nxG6a1nd2+DD3m\nXYzsY0veAk3yWtwpV3r0Xqczf/rLfmF+hlFNnl6sP/jGk4sn5wA2749zFhV7++nGdHdzqOQ6AL1/\nfckj8PEVofVmCP9Nj8J8DU7mA5aw/dE1GSKAmCogE3ZHZGbX2/i19y9B9OFhfnl7BOJ3nm8e7g5T\nteOxzlm2iAAmUhGAOMLicwwBULVNpzfDNK44oHU/X3KRbKawWcVAhDjL3moMMTIY3d/vVuMgKmYg\nVaRqLjodq6muVkFliOMQ2XYP0/0kglCqno3pfB0pepBiyKRqpqKlHA6FW2Nxw+rhMdDs1rU/tr7i\nLfwCAPC5OYBYZbWKz642r24OD5MRkfmT7twP6OgR9lyLO/jWtKkQ3hyCdnKgJ8XPxYWSw5kn9/mL\nth3RQZ4TGbkpJrq1UWcZ+zuIKjRKCaYICqaAMTIQUCAMzIzaxHRMqtRapdoD2Pn1GRJLqWaQ5zof\nc0gpjQPUkiEPQwhBEaUUGkYGac+jFgEEQAuRKderqxEMHvbzlKuYN0k6Agk+ONFnuilgNGCEGPB8\nE8MY27Abz+EQDHyiMaABqho1uRZFfRRRLYTsbhnarnOrYWYuQGoiUkolQqLIQ4BGjrAqZmZStVZB\nQBUKKYTIj3qSIUQmQHJ+OwAA8FxqtSpmClUVlADQ0Lu+VdWhDUOoLQViCEyxew43kaVUEVXRRgkh\nQBVXQ3VRfhEmA+6IRq2Si893NW24PCICBQ7sVAwMicDnKZIhEDEBASN5kdzAexobDFBFkZzFDtqI\nr2ZmLRWm7gURQmAiaK3e1BHAR7tYYTkAPRxcCms9PFqAwZONPlmmU+y85LrWopYeondsw//IM69u\nHjtLtEc/fi66ubduNRsaTMvWaag3ASCo2DbxL/3K82G7liJISCGYmZmMm+HZlZS6m6r1Ovsp+F7u\nq9+nP/3uvwg643c58x0ocgynmyRPKqTqN792rqV+/NVxwa8Z4evvnp9fDSa6XofLq1VIMUVcBeDA\nAMiRVISY6zRTYDfQAOC7pI1CRQRVYuJIy+MXqVZNRVpmG3RYh1otMKbEVWQ6glTPgxtvqsx1OpYh\nhefvXAyb0VQ1l49/fvPMkFDv7uf1KsUUNufbcT1QIBPNc62l1JyPcxWxyKSgpkCgzXN4l2LfO8s+\nWHZK2xa+BUQ/+vrVL/3KO5tN+OqT13/w3c8eJnXbvIRm/UG349YxJeAlFG/PvnvtBVXGthu7MQbA\nDlD2qANPOJ31+Bic5RrQOwbRgyhFE4de3dP02UzMjACq6uJZRNg7RxouKMVqzYhQcwXDEFFN72/u\nU0zDGAH1uDuWqkBUc86zIMHZ2ZDnkrNIValu8Y0I8jHPUx3Xo6oMYxjHZGaXV6tpync3x5yLAu6r\nRYJNwlItd1GrohaIYmBgCoE9vOuKoGiApqaiIvIo1Okyha3jqfXILScdEVWVqDkvWEBzP2nidqPD\n4l4GN0WCYUghMhIigU9TbSm4t8oCEEIIpBqsFf2qene1X22TLPKO694x0TudiHBcxXGMzKwitYqp\ncWCpUquK1FrNzBDNSdkxJWKT0nav35cUoTnXIiLNjRERMwIhMzrazs6rR0TAEDm6MDkSIpZcOAYm\nrMU7qCiOK6jl4X5Xpem1+PN3C6LVFCHEwAilFkIM7j8X3fklE8WTBYbTMWrfPGqjcZhl0RM4WWjH\nOpQWDbtHRs9Or3dD+yhw1s567qSojiQ8ou713y0f+ghEMUT0we9oQGabVUqrEQBdvdFTwBAjAmy2\nw3WWF6/2zAxmRXxYBaChNhWgdrnQMsbTLdqSUjy6j0b/9nopgQggYAqUBnz2dMQqN3fzPhsZjImv\nzocYqU4VmJFpczFyjLXW9dUZEYKImpXjTMymvba6fLlmoasQEIUY3vglsbJiBSCfDIQh0God8wyA\npmJStQFpYCpWq643abUO45jSKiGymKrhex88UZX5mNfr5MtXczUwMy2lllzynMuUOdAqBRNRs+0m\nffi1q/3D8dMvd8csBlABQwNh8I1b6OdITddj+A9+/b3n712BUZ7m1Xb45tevX74+rjfpeJi+ej0N\nMRxydRNgPYmnpvvRKYstIDDCLrS8bDi3yYTccH8TAEIMZhEhOP8JTQwqgBgSGiNiF7cz8Lk3KGot\neQHuHSWIZqLAAClxmau1K0JQm+caYyUiElBFrSK5eA8yRQohAAIhztOsUh3TH9cDqNVaQ2DvwInK\ntZiAzXNVtVJERVPgWus0z6XIej0SIxFxIA5U53qWJAJ8fCdXm3g94stdfZihAqrZZgirkYcUGFGq\nILE509p7tES7FEaDJACsodlIiOQK0N6HtGS0/o13sjj3w48GMQULXjx3tSC3wqjaRs0xcwzdqtNC\nzbA2/2ZZu9asji5d2tEWL+93bVJonAuMjnQP67jZDqtVCsziI0uq5pxn9yUUDGoTy234oxEgJ25c\nYyeaBB4sEJEamgEzhYhEiEDEGCOnyCGQV59TSowACDEGZlKRPLMZUKCYmNN6ReVn3//TA23e+/oH\nASBXRbMyl6IypKAlV6AyT59//LOSxq9/8N56jKFR76AHF80QLQWvX7SDftAeW4tH+jbLj07G1SHk\nFrW0N9Q3js4CHqKBtSOBy/v0xX580jwCbVXFR5baqfUMsEpcqoxDXEU8u14joapIrQgI6jPTAAmR\nab0Kl9tQBKepBmJkrEUR0VRNjAPCQr1oitd9ahEAtknYXWKUQQQI7PxyAIXXd/N7T8fDLq838a0n\naXqYi9jVxXApSkwcaLWKcQgUCImkGBCFIXGMIgUBkRgRai6qaoBlKojIKWIPIBGdUOl1+DcWgIiY\n2SJoMSRgIiPDAWJMpYiqMZOIVdEl7ji/XMehwXAARkQCVnLBBq6x1AqmpdYyZWaqWUouJZdSas5K\nhFEVEJ9ejm+/e65l9ezJOhvHAD/+i5cv7icO1CQr26n2HaSEKFU3A18/WbcggZACX16uUwgcaPPe\n2fbnNwbh9f3xbp+xc5V9td0veUURmjxLa9f2Jpgl5PBA3jNXAosADBZ9/DaaAUibd2MBQQ0rgDWR\nEGhtoQjrIcy5AiCgJSQErWpMiADjKl1erh7ujzm7wKYhoAkej8UMhhSh+VwDsxACejsHmIqpyuEg\nADiOkQAxMhOpSM61Su1L3bQEESnPpUxF1EWErNaKGCFABJrzXGpdIQayJ+sQA+RqgLzd0vFQgej8\nLIYQQmAA0KqCSCToHYDu1bDFZ4RookatdNIPf4M3Dd74ehT8LjIkiIiU2jQpE1MSikT8Zqhhtswe\ndNwPtEvVAThOIlXmYy61q/QbAJBfjHrXKFgFF1JtOqhmOk96CHJ+NQwJTblm2T0cRUyVADSmFEIo\nc3aNclGrVRADByQycJUnH4tIPsQKzIwCxUhMjGhEOKQQvSPBDBCHIYQUAhKAqSqFxENAimOA+Tjd\n/uz7f+8//y//+e/84W0ev/4f/sbf/h/9jScJaxrPYhwj7V6//M6//e6Lh/nLT372h9//2by++Ovf\n/uZb738QArThvW8AvAuyix0nXrwl/OLXm8Z5gSAa3/3f99vm7AAec5nbpBH+y+91KjqCgalCQIhD\nQEQ1zbnlYqbKgRFws0lf/+jZ/u7oR3N9sSklgytsc/BPRTA0BVMm2J6Nu4dZI6UhIPFhX0tVA5yq\nJIP1yL4nAgP2SSfVKWCgY6QxkhQ5u1pdXIyHQz673BDocV8Z7enlcEhUSr27n8bNdnUWNxf0+qvb\n7dnABE7gBx9fpm3+NxKy90USAUBISUQ4BKnVg7LWtS3aSr9/aTl8WyMjCgAZByKCEEkFpAoRrrdD\nCPxwdzgeSxZDxJgo5xLjgAzQxH/BiVaq/kFIRioqWQ73RwosopJLyfU4y3GqahYwCNQ8VyDi1XB1\ndkZIJoWJjt/9eJotMi4+VcU1KwnMVqukuX756e17Hz0HAA4UUhiGkLPMc8UJz87H+4d8vklayiwu\nKtNjCkNTWKcgIt73mBImBhE7FuPm17GCg6IgBtwm3SCYzQY+ZqRq22iehWuPCQhQwefewtk6PblM\nX706FIFa6lvXMYVwMxOD+1CjFC4uN/OUc6lMpKrzLFp0guw+z/nFzMyEoqAqzGymxFxrUcNcqohQ\nEy1FYkKlWlrvTmAOjBQoRgaAw8Oh5gpmZc7MBJWPOk+HvN/NNpCuhvFqDIEOD0eMigbjEBQsVw0B\nVDSXAgDBoSIvgS3gkUMSambWmt5axGzgDc3aNLAQTlNXm0WmbrDaofUWFVAFK6pSmJGYAcGaVJ22\nfNPfQ7vZFWk9IopgWKvMRRaj4PU9MBwiV5Xj3PREvVceCZgYEaepvny1u7hYpYjiBh6RY/BJ88DK\nTGiQc85zEVNAVSVgjByIyNTEm4ldHRCBiM1QgYZE0XsjqQ3h8ufmo2yN4iaGfP9SQ9r97Ae/950/\n/Vf//F99/vqrP/3h52fPLrnWf/PP/tn3fue/frKtX95ySusnTy/m27uHOQNxNDs731xT+aPf//3j\nv/6DwD2JQ+oDJKEXvB8DDYu5fMyasL9srpv97ZHugga6HT452KYB3rr1jAg5UCmdqNgBwVZQds8q\nxghXV6vnb185neWwm7768hYAVepmuzm/WIUYiBgAt+erWgrHiGj1OLdOIAUKCGYiAGrzlPNckJmI\nhtTotzFBnwJJuchmMES8uohDAE5pex5fvTjMWZnQiM7PV/WYzWR7PhLRZjukgaeHgqjrdfj8y/3Z\n+bDarEqRkAjRmOnZe0/mY85TBjTdZwAQ0bQeAEytEkYkXuwstEgQ4jB43gVONRIlr4l7NQ7fcIW9\nYoPEzAG9SF2mIlJbXrZOnGh8mO7ujkSsWudjHlMgIAAlcPat91+DkhKhEaFKybWUSoHNTKvMk0xT\nRYLz7XA4zM/evjzbxjLXYTM6FiFiaYwfvHf14uVhOpbWewJwfr46TqUUQ4Qx8kcfXm3OBxElFjAj\nhJjCuFIzK7kyU4y8P8wX61RLFYrbbbrbzccM48gl17PtgFp3hxJC+OgJlKJT0c9vavUGX/Ux6k1Y\noxoqWhW7XPM6wm5SAMiFiHx2iZkrPJmKAiFuhkgI5+fD+flQStmu0zzXbDys02YzXo8p56JqOZcQ\nUhwhBEpFapF5zo7tmkGelYNTBQwQRA2Da2LbajWGyLVUVSOifJxzzgYYU2QAc/uFgIhEMK4HrZKP\nswHEyMgMZrXUUiRGlKKc4uWTMynKY0qrKFWQ0bI2Sytask6QpaqIMXPhnNTIkp9BctUMaVQvZm7E\nKDEFIVzQZQUz1lZEXdLbJez1qNnhNYA2RFDV9eTQEAIHDNytxdLC3Gdx9wlYiI2UHVJg0VK9s8kQ\nAQli4GEFeaJpEt/wZpBSWK85EImqiN3dTPuHeRw5BgID8lFpamqGTMMQhyFIGT1Or1VqVWJiJiJU\ntQg0IuQsx6NUMwAyhDSENCA13oYBQtputpsVap3uH/KcrZY//M2///f+4W/Fq+ef/+gnP/nqbrUO\nwHT+5KqKjFv8q9dPAunL+3x2SXlXf/TTL8ZNulinVQoDI0etatu6HQVCH+z0hiHVHjbTL0TA8EbA\n7BW6lqwCQCdsd46Vf+/Gw3p/pqs4YQwUIpei61WY5nx1uY0pvHz1cJyqSzR4ZdSH+AiYqY4jXz/d\nXj45C0MEwFoKmD57dhYCIfNqO/q1aal1nhvG6mhGz3VNKgCJqol6AYkjI/H2bJinIgKiYmqExmYs\nVVVqgTQyIzDh9jyBymqggFDVLp9ehIhzYDCrClVRirz48pUonJ0PaeBVjSEwRx43CRHyXFR03K7N\nqohxaNqCpSjnWqeJaGWBlm4CDxMW3BuZQNT5lGYmZppnAGAKFB4nHs1GEyFgMB8hBcaRo4aYjAKZ\nIYc4bgzMJ3+n6ZjnUhMGUzVR3wfaM000AxWRlq1WtVpFxErR41S2q/jBN65KqevNyCmoqOTKMSCb\noaWRr643wzi8vj3sHnKZcxVI6/Hp87PXL3Z3d1OtstvN4zr4BE0VVVUkCJGIAMVqVREDhcpskWuu\nVfXZ081hL0+erhT01ctjXI1naXyY5JP7/P5aX+xliFQnZYL1GuYKprAZqFY7ZFCfsq7GRB7fna1B\nFOcCYyADzcXGMb711kUMGMhevzoAQJ6rU3dL1advnV89P+OAUOs4JFOIMYSAAMox5FxzqUC03oYY\nwnTMOVczCoE5BFUjJh8IDYyb7UiBavbWdIyB4N6OhyxYXR6bCItqDBRCWxWP1FKKYDbPMyFqqUWV\nmDmFzRClqoqUuYJoCAE3pFVF1LkZefbxXlCK6KxSBUwHSSGQAnTJIY8IBUVOXv8NQ+yRdus7buIY\nRB0G6WGaE5wQAH0Mk2OcZqjIy/iVJQZssAUaMFGpYgLECIgcQ8hSc+nQNyICBwAFFY0BgrW+qu1Z\nXA+hVkEBNNxsIhGCaanKgDEGJVMRj1qYiSikbQpMpeQ8V587ZeaDuIGZwDQESokPBznMNUZmRqlW\na6FAw2p88uTys3/zW7/5Jz99562zf/fP/9svc3n96aef3b5OY3j90xe0is/eOr/fGQM87AonWyea\nD/OLXI9HPs4FiIYUUIWZGEvcWAT9+BNNI8dIYSGHmcEq2OU2vLgvhBQYxTAXg79koh/bao8CHoGg\nBgDcy0EtIwLomuOIqKttTCkR4jAmJ0xEJmIc1/FK1vWrBy+4+eppJ3eGQM+fn509OUNmUQNTqRIC\nhJh4jBx8hLGKqM+eUREMjObv4E29nhcBqGopUhFdsb4KEYZIIqUW1WqgKrWO0cZEITIxHo6ZMGqu\nKq7MYhQCWK0FwVq4Mc/lONXDQUq1+4eSAl9eDduLTck5TyWNTAS1VBWJQ6yzAhgSModcpnnKIVHN\nJSBRWPikZKba5cRAnDTpY4CaIQtDoviL1rn9uc9B5obZeaEeAIjZl4QQ0hCqCorFQKYmPvodl4QW\nVBUqaFUV0SpSVRVy1XlW8VoW47N3z4dNHIRLqfVQAJBEiFEKIAIxjauIZgBpPfLDHe8PUnJVDRdX\naxFjy3ev7mPg1Xaj7CkTcggDYJlKPs55ljwJgDFxVQHm+4eSEl1fpRhge74eCF+8ngIhId7WYPeV\nkdVAUnx6EWKZjpOGCFkUAIksMIyBDllf3FcDTIyJMStkAR93YGBf/8aTt94+kyIvvriJic00Z12t\nhu0Gt9t4/XQcAhgBpICi81xqqfKghDhNswFS4BgDMRECM4ZE42pAIhCVWhijp0lhiBTZvW8rJlBY\n1aFWIfaZi1KlMhgDlCwARUstRdbbMTDlnH2i9CoMKUYMTrpURGBmV6qOTKpWc6m5ZtUYQ85VivVO\nWiKmENkARAUNiAiwjRwUFRXtwAVg00XBN6ywgQvqwYJsdHPg1TR4xK4k77RrowNOFably6Nv9a47\nF9ZAA4DAnIZgatPsc3LQHGmJPCQ2ABXIVUJgRqhVPFeLiQdq9T8nHCPBEAJCkCoUOcXoJotiSAxM\nQdVKKSIqVQEQyR0iGsJ6HVLCXFRqBQyb9RkzDjj9/t/7z//Of/Zf/OzujsOIBC938PazGIfN4agw\nposNHPfl7sGE6MmWa7Yy1P1BP79RYguAaDpGjgR3u5m2Efb6ukjcWJnMDAOhC1Abml1swnqA5xch\nBL7bFRXkPihbW1EO7XG/sgtyNE7ZUmrEJsPelwmgoUliNqb07K1LZwLOUylFONDmfEWEpjof5+DN\no2TEQABSDciIaBwZ0ayKISKRqaIqAHLgmKJ48GW6JPsevUsui/93qgmaEYAAqlYVkSKliqmVLFW0\niknVPKuZXF2NX//a5f3t8f5+HoewXkc3W6pYKxDqYTermogCWC06z3XOKtr0iLXaPFEtxQyOx5wz\nhsB5qsd93lysiLEWHVYDGMRAc5aSNW0Ccujhc0tsfcsSkUf30AvoWisgxSH+ez0oQhuORr0Eq9o7\n1UwByR0XuH6EWWAyA60K1OacNHlJURWVKjVLKTpnKUVL0ZLFFW7Or1ZP37qgyNP9ngjLVHOR1Wqs\nJXMI2EbNGSIQUmABMCIYE81TQaRhFcq+MnObJZqiikKtTvYCM3+S0zFXNSJApDGAMavC2dUK1dAg\nDfSNDy+h6l/89LYK3s5Rq4jBW9fDRx9dfPrx7fTqfgBQgMCgYLWCiMv2oCnMzkIzGCNmEVO4ulhd\nPVlTpHk/E1OMVGYDhJwzM45j0iozWBXVqilFESMiqXKY5jCO4xBrLswEBioaAscxEcFxP6kqIZFr\n6jNxHyJAROBG0IADpRQoBI407yeioKRzFhHNx+oItWsAupxQGtK4WYXgeq2tVIeMIbBzjT0YVjQe\nmJkxUMiqqgrIYqIwbkYOZI5sAAAYGwGgVpSaRSoiMnMPdbkdaltOfSctojUt12amzXEyEbWleZ4W\n69HAUu07vknOqVVxXrPzgg0AfGwJMRJiEXGGTi3KBOMQhoHnLDSBIYpaipwS1SpAFAJi6wdBNWPm\nwEQEIRERcWBCMDVixJAIq4ohoogINyEnwkBkiDhPJQQ0IAjrfPPZ7/43/+aLVzcPn/3oe3/0ew+0\nPb946zZPZ0OAOzlmW5m9uM0ACSvsCo6JQzRUO9sAGB4KJEIiCIxotE40lTLPcMeCdzippY2D7BDO\nBzSAIlAVSwaJtl7RcVKRUyk1EQrAI/B5qfV5bOYWoZnFQD5KsZV9QyAzELUQnCcIRBhSrKVywFJA\nauUYweCwm/Mxj5HCiFOWNEYtlZAIMTEM0Y67Y0hxCIgKWmSe8nwseS7jnNMYgZG4qUyRISA/5v1Y\n/6KmnY3MbCoasFar0qBPAhOz87Ow2Yzn52OtyoxXV+PuYUZiZDCB9eVmqPLqxe7hfqZW6AJVc8iT\nyVCxmIlU0XDYZ1WNQxzHqKo5y3zM6/N1WkWAGiIjgNQwz/V4mNeXm0cBhZqaSjsAvmEbadnMzESU\nF5XVUwDiFALuJwQbIq3SsCs0FYE2ncjtHzQilPZ5a90rAKCJVhFnFBxnPU61Fquitdb1wDHCkydb\nMDWhMCTnGADlkrOYbC5CLWKimqUU7ycwJjCrqoxIaBoZrt69iClcPVmBap0zdq+gRRAgBspUDazW\nCqApxTgEM9tu4zgGUshzlaKrDWLgD95ZXz7Mh70dMq1WfHkeperzt85CoNevDrt9qdXHl2IRDQwI\nkKJPgsFhCKsx3NwclHE1klU1tZA4cZisMBMH9KdSiwYWUD3s5iK6WqmBxRibqr13DzqMpVZLBYCI\nOE+1lupGQUR1UlxFT/UcICdmACCzMMRUtRZBRA5NJYMRKJBUny7fVjtEWq3TsBoouQozqAL4yGAw\nVTE1dT0kNaJGqECEGEldlZ5tmuTLz26vnmxXq+AeEZlCYvNas8WcLc+lgBBjjI6do4EQdwI6uZKU\nFxvb2XcqEXXxDwEj17G1hoD6XF1c4hEzcJ0c69NXnflnIN7fSIQoHsIvKhYGEBIHxBh4HNUHhROh\nU0sVgJhQzWVsm81SUwDy+1B16diWEDARCrcuMAQABlYyUmOC1WpMY5Ld7e/+47/7D//Rb37/Z59e\nXEKpw9OrJ+eYZ92FQ/ziftqshrorL0EJCUle3FQxeHa5slrDilH0xaGEGJ5cBpL66etjWKVLxvmo\n59u435W92JAYqh0PRqSBsdEKx4iINGWjrLXxJowQVgMT2FzN+d4G4C3t7vgBNbirBETAojYEI6Ji\nQGBTtlVAQZCKgZEJjKzk4rp2iLBaDaptBsIwDnAJWjKhzZV9/6FgGgjEzDQSTA8TkTHzdCzzsRwO\n2Uyn/bxeD3EVhvVAgQ2CAVqtCGCKzQK5nHkbLwsqziggJk2RiaiS1CIQMIRwtk3bs8iIx8NkimkI\n2+0AVplGHJgTj+djHOLu/ljmmks1ddysgrgSuUXEsI6BUUQD0dnlNkSULGC43ozDGMwMARVgWCXK\nhRBNVaosBhqRwLtbu1Hu5teFOHDYrIjeBDfMTMXMlh+7pQaTpnrkm1/U6a4qp6qM40mu3Ki9EgRi\nrllTsxyPuj+WUjTXFseHQE+frsZ1cpJ2iEHE28YIAw5D0iJoJlVzllJqKVWqtCKPAQOI1cuL4fJq\nbWYmqrkCU4tfsqkKMRCTt78DQJ0FBALTxWVKgbw9ffcwiUiawrge1psBVE3qkODyeowp5KmWUodE\nZ2fDccqqNo5JRKTaOMT1Ou5202o7EEAuoqarVRJVHyKlRcAMqKEB4xjmqTjnqBQxw5QiVnH2japw\n5NhLXSEFyChQKZCKTsepyWD2IhsigVgtQk3TmprgDjGyhSEiolTxFM1UibCIChgbcCBVNRVFDCkg\ndyZcrwqdOKmuy2rW+K6IXefPAQlnYUIpctzNEaGKUAxpiOhqPkHZCDOa2ZzFzNKgKYVhHFertZYy\nzxMimUFw9ga76KO5aBf1aJqZsZf/uspex61RcdFvdha2QVPmByPyhlMjpBDASiUGDmjV1Hu21dAM\niThQQEYAZmoKrQrcOpuR/CCAlSLtYYgpKRqSEbbJr14iw46+NJxdahWl1XrYff7pv/7t3/7Ov/6X\nf/S973/8kK6vLiDi2Ug3txOysdHLOxlSSMkCDDTNX5TKSDGG5+d2e39cjeMm1S9vy+1ehgRPNzxV\nfXY9lArZdDXw7a6o4SqQVLufLA66XnlpGW2M6KlcFr3fVTEgs2dPz9ZXG6xy2Oe1GRPc3c5lzkwS\nCEVA0QIxQB9hTBgDIRNC1ayGwExZYBiQDHIVHhgB8pxjip6/IxIR1VqQEJE4cojreSoUSqneywui\nYAbTpIEBMMcxVrI8ZzMbhlhFVPT+dp+OTEzDMBAiMEMKdZprrrWoqTSYBh2LJS+FuGcgwsRspqU2\ngAYAS9apChMpqGiNidVLi4RlmnmMq/PRr6FUNdBh4CoCCFBRBZhhSAEAUqLNdmSycsxlrl46n/ez\nd9IQMRKP2xEAmTkmBscfli/vOtSufOt1cDGKHIfgfA8zBwphKZ2rVPDaS9ehcMzC2gRv0l758bTS\nGxBaHbfPO/RuLhFVsbnCMethElETA1WLqKuBA5PUCprEioF5H26MjICiAlJVYT4WNZVapmMxhRAg\neEsamla7v8uIuN0OIbCZgQggaZFaFQxqlTqXaZZ5zlq9Sq8X5+n5s/Na68Pd0VRzzlpVsuAaQuDV\ndpin4o3K0z5XcfegIrJZj9NcGCGLbbbx6nK8vl7dviIKXKuUIiVbDByRhhRLrqXWw8Oknh4V3csc\nmNIQU2qNMhS9p0RDIBEVUSamNigFQqKIQy2S5wwGSKri0glGRHGVODgJoElluZN0ej5HAmA5CiGl\nGI9VcymlSq3ATIDArKVoCK4o11wvQlejae7ZhdNirSIijYUNhkSgzmRAt2iGQKi1SK6VDVIKFCgS\nVX8JoqqJmIgUNUJ4+OrHP/rBj55/9O1f/fVf9mG88zSrKrFrGWD3Q7aksL2nGZYfNlaSoaA5q8ta\ndc7AwEQFgA05YIikClAcRzJmBIXiJBOAxYE5UA5qjF7KaDwoBH9GAEAh+N73HLTVJ6moEOEi1FVV\ntTYEB3FYr206/NH/9x/8l3/n/3FTXocUHmB7fsagVTPezQbBsNjPv6w7gw+uVrt9QZLDUZji03VQ\nE62Y2Bjry3uZhd65HA5T2c8zUrhYw8O+3B8wBgiMx2zDACgybPH5FR3uOby6VzO92MAQcc61qnpW\nPIzx/HobNmPeTWk0qaVMhRAYcbUZQgpmsHuYaq0pERiotQ7frOLMG/VuLcK5uPwH5QqAtib2sTSA\nyKSIEBIzUSk1xIBAtSqWWouo2GaTEEANiqhRQNX9/WFYpZQSEkrVnDEwAeLZ9RmAqWgIERkpDoBk\ndjStx/2kommIRuQ+wbStUuMwkaUUpBolBjVmCiG4bqSJ7Q+KIIxQK4ybAQPV/bTPctjnksX1CIsH\n7NIIizFiCHBxuU6J5ymrtC4mbwgMgZ3trlJrQQActoOJt9hWDmkJiZ325bbZFFSlOXeRMmeOwURr\nKRRDjAOoyJyFydNDC4G0Op3TlQcaVGit2o6sLkeLZurhjHnTrIpTdtVUNIvmrJ7xVFEDJLT33tqs\nVwEI5uMEpkQUx+jiMaJ63E8hRI6kVb76/K4CDpFVsdT69Ml6u023d8da0NSOuc5zRcAQA/PSAmUq\nUkstc51yMQAEOj8PZ2cpJj47G6Ypq+g8ZecbcGBkmKfpeMjDEEMKeZY8ZRUThSoiFcAsJTTjEOgs\nDgB6POaXLzQEd04YB3awAQH3U56/KoHJxAdsmgLIrI5LAvAwBmwDMyjnrBZ7G7RAgeBcYDSOnMYA\nqnluk7zcSjET+0xVM1X13rWGNHlFLgRQC0NAFDSrEuZcYgwIUkSrQBSVKgBqxsQcohPqvczYRzcD\nACMxMDFkAAOTNlzWabRWQX2rVMhZiIqZaRVvNfD5qYExRlTVkosQr2j4vb//f/ut/98//fnLWcZn\n73z04a/+2q/82q/91d/4W7/+9OlFrtU7EdfjCETTbjdnCU2E4yTD4Ngu2uOuFhclN4dmVKFNOVZV\no8iBwTCoBhoSi6kSW3ZOiM1z9aZLZgqRtamDOsFRzBFu7UmoM/3AvKMCAIkECYiJiCiEYRzjAFVE\npZbjfLx5+Tv/9J/99u9958///Hsyhvfev/j8U7m5l7jJ33oy3ryU+wkMFRlSoqcRQ5pNWARU4WyF\npVYBq4JX26ggqlArGUoMej/Z+dqOk+wyXK0HENnlKQ4YCKaMJPD5ZypagojOpe6PerGR7TYh0fWT\nDY+BkQ2wzsVEpNY8i4kR2rCK1+8+o0RlykAkYqvVILUawuH+WKYMAIbARAZNFzNFdl0dF8jgY0YG\n5kAczJSQVK3UyoHjEKVWLhSYhZUjDgMRYCmKmWpRRDCtRDSsUwhU0NTw/NlFSLGRN6sYII3J155C\ngCyISJEpMgX2sFWKShX0OELMEAJzZGxTU81dKDxiYmOuCgxn4zAdD7d3ZZpySHGeaq1qiNOxpkjg\npOZIgXGzjoEMCS3L7phdjSUkRqI0RB6ThwzQZekR0QBUhFgQ0MDJmmJSPThyzNgbyUupQMBMkouJ\n0DAgkSeYkKsBqBkFVVM0iOMA7eyjqprPdkAjIDOfqSmofVKQ82DFtWxMVUvVeZKcq7fOm8nZJm03\nIUUMiZHYzIbVgAHzruS5ElOZKyia8Xyc1aQWPB6KAqUIh2N++52LwHhzc8xqw4B5rof9fHYxABjH\nKKUANDMRx2FQOx7qZh03Z+HsLMUY5mMREW+JJKQYaVwFKZLncn8/mR4IQRWG0efmiYiV7KM8LMbW\nT0hIMYZ+u8DswjRkhMzIjFK1ZA1MZlrFU12TiqWUaapbhch4PBZiBsRaqijESGRUzYhoSDEwI4KI\nejVvFgUvDAYmQvT3BWMAlU4851Y8ACIOAdQMpVaLiS8u1ky0ezje3E7VYMZqoBFC706MIjJNeRiG\nODbtuCULA1YIaIaosFhJ/1LxqiWamlRdbcYhEZhoRUR1DU4zBeJxNfzod3/re3/6R/effvc+16fP\nN/X48Nu/9dv/7//PvxhS/I//+//R/+R//B998xtvfeOvfGtj89/9h/9Ch7O//T//n339o2e3Nw8x\nxKai1Xka2Ar2gKiuuKcdWmulEXOaMRBS6+bW9sfzXMGViMzbKVz2Bcxgngr6rDKikGIIpFKBw7Be\nRwQzzUUQwNWmtFQwIk4UACyX46Hk6Yff//5nn794uLl5/fr2i08+//LFV59++vGU+GsfbPJBX9+K\nmW63cLkNu3urQY0sRnx9V9OY3rmgm4dytsKbqQwpBNb7gwxDPFtBHG2/U6K4HmVXMGB850l4a4s/\nf7F7er7Kx/LF/ayIZ0THWTbrCNUmsOsNh3EgM5BK9zuZ8jwOgWMeqmzO1yVn2QsQqppVUYE0BGKq\nNUdMqHZ+tXUjphJKlmGlIlKLenm6Fu/6wiIq2hTsELEWnacSozIrEmFCBLJWEGhKx8uA+Jw1MIBZ\nICgCzh9SsXwstI5ENI4DIYACIRmaqeU5RzIiqqWoCIBxigAgYqIVAZBAquS5mAIaqFhVyShO70mJ\nwGw6tOPkH42AKQb3H/O+7g9Z1Q6HyZuhPFGqVUMkMEOEzWaIkWuptRRTMAE1CTEgAgdGIjCghtWR\ntdINoZlHxC6gbtpogR5bkQ9+YOQQsCASWY9KyuFImzbRXWrxoqLnclJFVTmEOCbHbwgAyJkViIha\nRUBJTHpHnNfCRExEc5VarVYpuZhBQBhX4clVrLkyBmYNIzNxnjIRlVznuQxjColLqSL1sJsAcMp1\nPxlYvdqyKedpHkYaI90/ZE9TDkf5+U/vQqTtNqzXiQnzXIbNelwPKYXjPlcyIqzVEHSeshmoqUtC\nqVLrfyFP6hkBpmmuVRFhmoUJagUDiBGZOQQi5pgImpKfAMCcM7ZOVSNkAhjWcZ5LmYuoVgERAHCZ\neRTV+4c5EhpAOTjsjogYQvPQqgrok12kZlG1OARR7cUubPbGBzeKFDOfHEVEFGMbeoLoTAwX20wp\nAkCIfHExPjzMx0mYUecqYquVr3s97LMqUCQMwfndbouRkIiYTIn6fChQaww2KRUAEew4CRCmcR0Y\nVZUJSq7TYVYaD5/82W/9k9/84+/9W5WXl0+2Z5v4xf18nviDd7bfoLM//fT44z/5zv/l3/3eAVbb\nzer6fP3TTz7fT/b3//Hv/B//T/+b3/ib3767uVMfl9WBA0CQLhWNJs4/aFyOxp5QooBEIFUQtUop\nkoti9GwgxIRgEiKwynR/y6uBMaRxZCZT0Frq7m6f68WTK324+c4/+Qff+eGnzz/89gdvX9QiTPjF\nn/zxn3/yotD4zb/y7XWwL3/2088+/vjlzec/+dkLCnDMOXCaC2yehuu3L17d6U9/kqvA5VY/eaUf\nPjk/TLmgMGAuclR6ep5EdSp2saU819ujvf+M8ySz2tef8Vmqr+9KnsM4IgJHqRLx6YXdPUgKw+5Y\nvribMKaziAgaA5+vacp5E2LQELzFXVt5Hfb7/LDL7757tlqLIbiwKROh2nE/MSeOlA8zbwMPCRHV\nDFQDotRqZkCE3GYKA3vW17jMhp55mZrNk6pgjBUQpYrHFKJYQTy3VadVEOdcZ7VxCBR4iOgChmKE\nR0Bw3UuM60QcnZUhYGBaDkckBjWRNvdManVcxVubrKpUEDNUm+dSRd1XczCbjRFULUSOEUuxWryG\nyRxM8tykakRooFxUqgFiZANAUEXQIcY0sgIyBkHjRHWfpSiAUOAxspEHTeCQbwMzGsEZULTDhaBq\nXinEDqohIqUwjNGZ4ForqKlKmWZnH3YYz0tDgERaxStOnhMuraktN1AF8OHNwIyPEBV/Xyul5lwJ\ncUyQi6RAWuQwaWCCQbWWroUBUpXMjrvjnBUAAqEo3N/XY1YiRIJabTrW+9spBtod8v5YUwqIeJzK\nejWo6HQoqFaLYiDxljmzYQiIEgPPx3wQZSKXlyxFxfENIhXFrjQfAk3HebcvYIBkwOwRQgxB1WKi\nYQgphZLrLDJXkU6LUIRxiCGgmjJTSjFPpVZziSIzABBmZCYQFcYQmQiJQaqGSPMsZjUNHKqICKIR\nAzEgkqh4O7WqSpHS9LygAR0BAI0RVMTUwhChdeiBt50TsYPIrqBkavt9ebjPXuYxa4OI5ynHGFEB\ntOm9dRvtOsmACKxQAFAN1VqxzgsOBgQwH8uOpxgZzEqp81TSsHn553/4T//e3/mnv/Od82eb956c\nff6VBNS3nrCJjjs8T/wrz5KFgOery6pf3e1+tNtjHM7X4Y//+Lv/yf/if/2//d/9r/6X/8n/dDtG\nYhLRUrWWmue8oO6AsIz6FhEVK7k60oEVY4wYMAxDiJb2x5//7LMvX9zc3DzUOj988fmPf/LTvHt1\nfLj9yUuL6/Wv/tpfffLkfIB8+8VPHr768k8+Pnz7l97Ldzcon/zkVZ6OvxkM77Nut/H5BUySDwf6\nzu//87uDVODL85CIzt4Zz0e62Y2vX+LZc12zfvFq4kQghGh54kh0lw83d0YDPD8f3trSbZVj1ost\njcBf3ef1Kr1/JdOUa6W3z3lgeH2j90rX50G17oq9+x7dvLaPPxOOOh3hk9czDvEbTwaSwpCKqlRh\njnGoh50EbYiYrUYuRTIgKOx203oV0yoi4rw/mlnNyiEQM4fAKVIgjsGtMyi47B+SIQIxxBSlSs7Z\nDNhczNTUTMSYKSaPN6XWmlIw1VokDszBefZtdGGItN6EkiFPUkqVxosEQyhFRapUJYT1xcpFZ0Sq\nqrjuGLgQTFUvIHj7nc9UhKqA4ERLAHMMDiuQ82+qEas4DKJyOKg1XS07HFTUYgwh+UAeA/LxbS6M\nqoFpGAiNYmQXsi1zGbcrZJRq82F2nkatlTl41ygwqYhWI2ZXYQJRKS6D2OilHRpuZhrMJBdidF8H\np7qfQhGtVcUjNZ+7Y846UPXhF0gBDdsg0pbga1WthGiMpqrVJ2aYqUameEbHvY2JpIqpQhGp+rDL\nTEAPs4GlRMzVE4CSRQWqaBENhLPIzc10nAwDm0kp+FAKBSODXOQ46ziE7SZKlWEMgQ0MA/PxWGsV\nb+WXyhwoDbEUPR4yEUqpBVHEpKpUS2P0GplbSQB1fZJxlaqgJ7ylllwAASeo6/Xglc8qGgIXqsdj\nFQUmJKbVOsWEaCBi81zQYFwPXLRmuXuYq8IQSBVylhh4HKjONbuKR6BknmqCqkkRAxjH2HrxvTGa\nUapp1QLVAEIw54EBQFOsdPFlMBMBhMZRMAXAEEgUa63TXFSUmNbrsD+IAXmHkWdv6/UQkjdHev81\nOWtDtVXFzNRMqTEerTcPo5pp1apQRaeppJETYy4aQvrJH/yL/+af/Kef3uzPri7Xg7x4VfcFn17I\nxQW9eKHXT3EzlNnoZjcLwtNNfPdqq7P+y7+4PxAMKe6n3f/hf/9//kf/r3/8G3/jV99++8lH3/zw\nvXefnF1dvv3O8ynPJRczU5NajYgpMlkwK3EYvNnaVGs91v3xx9/7wV/86Cd/9r0//9Gf/ejTl7dK\nmo8lo20GO9/GMdrDDtP9zf/zuz+ICZ+fh6uzdJxoV/V3/+CPP3gXQxgER17Z7mhpgzVYvNTy+iwl\n/eRlfXoVE9b1Bu7vYGR6KGWacdyKCXECFcpHTiwPO9s8jb/+/vTzF3Z5Nh72cjzaGDHvdXvJ2wBT\nlfM13hzy9SYOFS6e0VtXOu3gmGHOvH4b7g72za/HDchnX+rmDF6/sK+mOkQqYKq2HUiFrq4Fc/ji\nhc3M19c1MGIVQSdiJkwDzNnASESZURtFDQDh7OqCU0AmTlFrdQRNpqJmWiXn4srX5qWvSVR6w3g1\nQCDmYQwqtnuYU4rjKsxTjcTGTr0hE1E0ROBAaQzMhGaMkBKWQgjCzLWaWPVOjeMxb8/G9XaFZlKL\niVhV56ISUa3qDYOOclhVwcaUr9lUDdRExGtoTnAwACsFCQO2MpqrWfYBdXjcV0QLgQ3B1NyOhggI\namYECorjKq23g9M/x+0QGKoIoQUvviPWrBYqIqIBQ6NSEYEpevG6VnHRALfPUquIsI8DIjIAOU6E\nxIEBUR02MpNSpYpWrT4wxweuLTRw0TzPYBCHAMm0E6Aa6CzeLkAu1oGCAEBsKfK4imfbyMz394cv\nP38oVV0vEwF3+0o3RyIcIo2ryExAyIRqUKtOAkVqrcYMZkoAxBoC5UmO+4zEjDgkMnDLpQgUAhpo\nTMyBpJUoW7aLBHW2Wur+kEUNgGpVANv6IPAqXnDzLC5GJsZxYKkyTbWIzpMSIWIw05LBwEoRBpjn\nejiUY7Yh4HqdHHdy5ydiZhoCq2pWqdWOBUTU+50C62FGHymSAsUgOIALmxEhEpa5IJiHoi1EbbC+\nkgsnEfYWUTMVU5+OA2A9u3IN5d76xQzMYRiwlgoITBjCmHMNgREwBIqRY/RDY2aGVfrJakLsC4de\npVOY1ExATZ3MJwpQDUBCUKMx1Om3//5/+jt/8F9b5GrDRx/Ul69sBnpyhhfn9sXn8rPX+jf/hta7\ntM+y2uKa4PVx/ui9mh6GD58On9xV01oEAsff+/0//J1//e9iCNtxTCm8+957f+M//Cv/w7/9t/67\nv/GrMRAQcQwI9vDq9XycatW7Fy8+/ckPPv7489d3DzdfffmTj1/e3dzc7/JXR3z37dV2FS4uaLdP\ncaUrjiPhVMs3vg53r+g5X5yv4P1n8fWNVKyBw3rLm029vSvzNMyT7MWGZCPwi89pd8j7rIcqEGza\n2SdH/ObXgcx29xRYpYQ5410BVEKrGOmtc/7wa+VqBZ99Gj6+na4uhlWoN7O8dZ3Y4PW+AMH9PTy5\nGq7Wdvl1vDjT3UFHLj9/SR++w+OQK2Ld65cTjkSffjkXpauRXtyX8SwEkGGDKpWM7g9l3DKCDZHD\n5fkI6GpnhgZiVmsGtTrL/n42qcN2PazGmjNH4hQATWtRFVTfTFXEtGqeyjSLFgGwrL4RfXylrjZD\n8Pk6Y8pzebif5rmWUgNDKYWFXG0AEClSCAwGIMYBRNUAGSmsUI3TmOYp7+5rYBqGwByHzSAiiICB\ntIgUdSzSyx/W5OwbAViKU/SZmMLAqppvs5hk8cnCPc1VrGYA0obZgovOWUoBAproPGdoU0CUkXvb\nVO9wRTNQl7kStVKqVdUq3gFYciVVMCJmEJTcgA4pdVHj1Gqiwsz+riKioubdlS4jYBYSyyQAQIEQ\nSXw+s2prfTJQEJeYcn/lpg6JpEoSJSZiQiYQ0yq11PmYEVHUCClEAuBioKK1SFpFZhrHsN0Mzloz\nQSALIZhZFSUGqgZVRNRHGuXs4IqVqqVYoxYgqLdQAKKZmh0Ps0gYBxaRUmy9SggArIjIaFLEVLRf\nRsny8DAdZq9rVs/cSsm1yHoVYqDDVL09gcBjVggMIYApWkRVq1Ue7idmCoGYUESnLLtjLRXnbMes\nAlprTAOvVilGLllqlVrciGuuWgSIiBDn3GRaiamKJsGc5yERM9FMQ6LAWHJJQ6hFprl6L6iqIdJI\nAZzL1bdNrZWMWA3ZO6zBH6M38Ro6Tu5jjjEEXkhpgYP5ZGixMldAVCYgcUCDl5YlbfJFKlqr+2Mk\nINXqyF9VrSJSLFcBxClzfvjpd/+r/+vv/8GfXH0wkOlXN7YK9fMXeH7JH35QwpDv71bf+gA++xkB\n1tUakRQsgeBhzu+/zR9NCVkJx8/upqlotRRHiMx70cM83/zwz//NH37vv/i7/+iXv/nhe0/Pr68v\nv/GNd2Pd/fj7f7rbHW6Oc663dZL9QfaqAZKO+O6zhGmsR1mv7fwsT9lCoOOOKssO7Osf2H5fPr/H\n7RAvt3w4Tq92cD6Gl/f269+UNZWH29XIcCu2CjQQyowPsx5EAMKa+MtXQlXP3qGkw6cvZwXghNuL\nUl6nm1sTqBcrwnX9j/8DuP1Kvv8zvJsgMW8D7maTynHAbaw84u4oT6+Hb76DYZD1WRkJ7jMK2dtX\n/PyJvX5FVxtkNpn5y9vMIyWEPMPVVXh6zgnJJipaWQOYiuizZzKwhdUmhBDCwAR42M0PD9MQaRxT\nGNnEOKaYAjPyauDIPjSv5dFFrKkCsh8INMultq0TiQBWYxzXIyestXJkYhpWQ4j8+tX+uJ8Ig6qF\nYMxUiogaZzyoISIT1qJIUIsxAVRA1AJACMMYXSEFEbUUCUSEUK1WUTEkZKNaq5Sq1dAsOtUBDBFy\nrlKNGBg5xgCA01Ska2ktRW8TGwbebod5rhyYiaTUUqoBMHOgoA5oe4URnC+IYMAhEgcVmKfMzICg\n1SUszEPykotOUJjCwNyCdNdb9sEHiNSOo9P4TVXVkFBKdbAOzDhwKSJFAJEqgvpEXK/mI6KCzzrq\nnaAO+JgpAlbGMhVmCJGH1WimeS51LppVFJARmnw5BmY1VZH5IESYjxlJA2MxFNVA6J0L7nUeHooX\noxid8QuISkQ5a1XzXijEzrcGC0ytSXJfAdFlrh/uSvI91hiQ6IkAM+z2Za4qgqKGpgbgfSSVrIg9\n7KuZHecaAq8HZvZ5QqoKalhq9VHPpZbedN76P6uaijdZIaPOub4kONsMz9+yFH1uE0i1aRbvl5YC\nQEptjDSAAUiVQD56aK4C5rU+ZAJGYIZSLRd1xnGMeHkWQuAUzdC7Es3UiEnFjNU1MQBQEdAlUQC0\ntWuDc0Rt+QIlBlWrWXMtZhCIhVHNTDkENgUkRSRTFRGppVaT2lLbBkl77mZQS1Vl5uHzn//oi5/9\n4OaH/+33P/3Z135pnB701QPfv6KvPqeLTdiMGZO8+DIVqVLs7IKs6s2rBBomK3E0PYaffgz3k37w\nZD0XWw1RJJjJy125neRsxFqVV/z07PxhlvuXn+n9J69/Lr/9L8s7l2MIdnP0OeJMuEorHSO8fijr\nAeej3eyzFPzqpRrAk218tauR6f6+PnuLH6Y8363WWM83hCAvb3F3qA9H++/9hl4P9Mc/iC8f9H7K\nBuEixIst3+wOFpX3eF9kO0CMSBzOiKfJ5skeZnh+zn/+sYTRzrfGwNdn/OSdnKf68y/SzY28OsyX\n283DLmfSp9uRscJa0m0i4KutvniQ5yOdB/rDH+affEUX5/zBpX38hTy9wocbeHmnL++lAlxGmo71\nxU7/yofjxUqPRw2Rpp3tRUBprjWtIAoGAJvnuRZi5lKKqo7DkAYKTBTAZ5Ec9xMzBzNKAdS0iAnU\nXNGUfTQDcWWkgQMPNzd7NDjfjswUBh7Xg1QBMFPLx4kCWdUhEqQYE8XIIRAiMlNKZGbBWyeYchYt\nKmLayh1WSsEmHA5mIBXKLBwyYhM1MRVEkipVpNPSHIOzea5eU0ITROMY1mtKYxQFNc2ziBfHDABt\ns4rX12tEQMBaxUTSGJG5zDnPBX1iAqCqiUluk4QpBIiJYmJTrVMtlomRmiC8luJwgpWiFYAyGygz\nxSGk6PPgDRzx8NwWERG0Ol+8tbWatrIYWBvBZNVLeqCiTY6q0adbIxSAiRMQ1aqombpgTUqB74+1\nSs4yHYsBbrZjCFSdsCPgHcK1iBr4cBCptj+6rYCaofW4ADThQjMAyL0Fy51JNTMDNAVreofuMUpr\nnmlKL8cMTXPSMfell9m8KR9zETVvM2xxpTr/S6yIYbZAyMhz1sNUxJo2jPmVobYiNTQaOGAvmgGK\nOa6GAlYEQS2XOURajeRyOVUADJiACWu12XM7qWqtyGWgtVSKvApEQHOWJm3eTDh6jY6Q6qxMhUMA\ns5goRA4QWM3UvGrr/FxEVB+m4s1HqlJFRBdN2R5PmCiYqojNh1JEhyHE4HNRgwRlBnQ6sGotVaT1\n0OdcxRtQfJ3M58PG+5sv//R3/6vvffdfw909xnDx7Oz51fHjHbx4QUwYop5dze+/pfMd72tNMVSA\n996un3+mk9A7z+Pu3m4nvBr4j36Yz57SO0/s5itcBToG/fB6uLyjKoCEbHo749nAXz5MX3uSXu/K\n+VnSOHzjyfblYXdmtq8yBBjGMk1VjOYiW433D7UKpgGvNsO0188OFYGfXRoC5FlfPax2Wd5+C48H\n/eIegOtxrmdv03tP6Id/wl/dV1F5uhlKQWAps+Vq6w0Nkm72h7qC96/GejTEEtcaEl2HdDgIBQao\naCkFi9t8sbbv/yB+daeHjGc8VNN3LsP9JFXkR5/O46u0CeX5cy6TKAzbcd7l+cUtbdL4bKsUy/EY\nXlTdTfByVz6/l+dPBhPYTXZ5nrbMeQ9T1u3KmFAirNn29/AHf2QUKdQiYJhzBRREioFqLTiHBoPO\nGZnUvHGgsKszMjNyiAHQiMiqAsCwTqYSstSciGgYQhjYC4lm6jMPRUHmIgqEECKaaikgouPI601w\nOQsErrXOxxaRSa61gLMXaq1ElIbAgd1m1Wq7u9lg4uBKM167RrM2h1REa3H4z8wgpVDmWgXiQLlk\nYtxsU63ChMdca4EqimbDxaCq07H6JLLVegACKEpMULRUqVJSCqo2VfFJl7WqGu7uj4fdEQA8/Zfq\nkZfrWhBAH37Y2r0spRCn6i2tMYZTZ4q1Co6bMFMPQZ1xROgC9IyBCQCkWsOdXThb+0xPaLMvq7oC\nH07ZW8PQZUNq9cFv4DZofxSXKFKnJIoDRKBm1vAS7N2IBj6zEvqdeHuYg9pNSQfAZfIX7Vr3GOik\nwcWAtvFpvT3ZIVcD54E5Jlva7XRuSycnkA/+AMfB2yAgIS0mbzT5GPg8c+yz2dRDSGzdpaDYuhkA\nAfdF7u/zfMTjLFlsLgqAxGBqpRoQqJIoiov0I6gSAoCosEb2CBe8wWVZCDMgVATIBQxm2QTbWRp4\nuxliYCQLgUlJBR/3afsm12oqVmZXU6GFw6divr2cuH481GmWwDiOMbIQYxo5BgaEWrXkCoYGUrKV\nIvNcsrjcgrOV1vcf/9Fv/oP/7JNPPktxTLQFsG8nPeTy4mYgShwzVgxAh3s8zvD0HCvU1zd8dwOf\nvAhAdn0OkClX/ekXevUEv/aBxmw/f2Ffe2/Ekl8f8rhSMRLV8y0evyg7re89xfvjMQ4xFzvWiud3\n+c4eqj3dcuF5zkiB8qxPriAicIhWdRYzVaj68qi/9u0R9gUChQr31Z6dw5wVAqSV3O3x7Serp2dy\n+5Bf70AFAuN2jBOXp2/DGdX6GR/v4aDz288DCtwe5Z1LlKi3t5wkvjzUMcE60cPR8ko+eNfefzdP\ne6pFD1nOrsKQw9m1nRPMr+wwZYXw6x+Gm9f186/q9dPw1395f3srf/Gz4WzgWasAPOwYozx9zuEF\nvLpFQtvlyiNQoGdnvEl4JLgI8fVdCRt8/9JevMDDETjZs4uAf/qv/tD5LsyMCKUKAIxjGoZA3LRa\nmkq/mz8CTimEICJSxJOwRXR4PpaSTUSGIabRiY9QsyCCGxEimqfWZeBlCgAYx0CEObtQs2g1Vev1\nFjKzUhb5QWBu9fEq1RSqtJZVr6F1U9UmUznTzHN/QAXEXAUMwmlymndXYRFVRTMwtcheKbIQMMVo\njTcttTZr0ikr6F14pxlhrZXWox//LYDpEhIC9NYpF5yBJjIFrvvVCOAAANrwjGYN3fL57YDPdwMA\nF3F1k+AhmzYLuVCYAMwU3EQDtjYqn4AJC08Wmhtw42ptBB+A/1Wbp+Glf3+k1t4aGkurfw8ArmTY\nAmo1W+7BAEBBsYX2bNiHQqhPTD+xCgAQsXXwtJcp9HFZCNTSg3693q/rtUs3bdoG63n7Q3trw062\n7V/aMw7PBXxJiMy1PwFNBdT6bHsw74n2ac2LEaXlqgmaD25tfK2fT/uQC0NgxEC4Hsh90tk6DgOX\nWmOks82QEnMgIlSf/Uzoz6EWcVV+FR9ADyHgfl93R8lFgCgS5Gr7g1CAMYUYaD3wes3jyGh42GcF\nqKK52DSXUg2RcpZsjAws0/7jH/zJ7/yDF1+8UtperLRU3VV8a6P7kI9HvLlLCYBJt1c23fJmrbxR\nyQBqP/yq3O/pa89SijUlshl+8GX98Gt2e2vnaXy9y289DV+9hNWaykEOgjHq5ZZA8LP76elzSzkc\nSpRSCDFE2j3IeAlvr+l7P5aza3h+YV++hCEFm+z1UW5nvTrnr52n+weacR5WAnNS0jWFGeazVSii\n02TH2XYzPD+LnGS7ljIHM3j1YKsVXF3IvKPXx/rsOhzv6SbXCDD4UMRQz1ZwPCRTkVDKHKc5K8Rf\n/eX4tben+6PJLn7+wv78U9le8nUiHuz2tvz8lX37W+GbT8AYfvhDvav4638FoORPPhsTso7z28/1\n5ouhsg2BHh7k1Z3uDzCLzVVj5FWKb1/TgPXmoCngq3t8511ZJdjtqkGiwvu54L/9rX9LbcKqqRkC\nMSER+MzaGIKBiRiYhcgckJmGIQCSOjNToQ34tTa7U6rMuZFLfRhrFU2xqQbUoqVoLhWBWsN3O9It\nQbcm1tWPWgc0HsVOLQZ1+whLLa9FS96+0TVREcBQTRE6w9ePmjbDdzIx2BNS679x2NRLjNg/+XTA\nrZv4RwEPIKLCG0bgZAdhObmnJL6Bs49+++jKT3/YPA106wvd5yzWb6H5N3vvUC2C6WJCT+/36HrA\nTgbUHzR6zuNT4f1ztQEsrdmw/5UHw6A+Ut4HhLcOCDDz3/bVAgAA6dG1GgCStrjbfFBFe08AAkX0\nSZfoolLtTv2WwCnh3lSzhOgn7uCjO2s8CHP7DuBW37ripf2lpWoeo0+edwmwvm1cPJMer6ABsLUU\noL/DI9fbHEibCK8IhG3cn1dNESEQogETrkcOjA7pIEEK6Nw7DhiZDseyP9ZcNRcLAZngbleOGRTA\nAAJjZKxqYkAIjODaZIEwBgLDqpqrHGYvYoOqAVGd8+ff+ec//vHPPsw/n2rGOLza1zHwZqRPbvLV\nKlYryEFV9jOiwvlKdzuM5zJlO+eQQW5nHDdKCtVwd9DzS7nZIVJdRfrkU9tcxGdnkgtPD+HnN9Pz\np3R9jkPSj3+OP9tNv/LBOD3ArsoHz4IcqZCKypOnsL+Vz1+kkKpUCpFGhmmGF4fp6nzYEuVqtcqk\nNLJNIu9erCfNT6/1fqeG9P77cryPL15YWAkHAOCzMbx8WeaC19c2HW1cY1rl+wfYDGE+4GprUOnm\nhkooz64h7/kw6f5IWul2mr72fnr3CodgwCB7/PlLG4b0jbfthz+dXz/gZ3f5o68N/4O/hncP9bAP\n9wc6HoWSnkWeBNabfDji1XlYrXQ62s2r8MWr+nKvUvHmIEBSFX/5w+HtFZXCc1EKAgDrCAfUp2ew\n3yFquj/U4DGhNJoZAqhVAAMjAKuMeTFG3kdFrt/XDEILTpuFwyZcAIBSTQEMiseMZAU8oVrOj71p\n7sAPcxtWuBS32gvsZIneOFM9UmuBX48FTa0lzobWuAOPP9l8AoyBx0LWY0/o4+iawcMlLGxGDheD\nho+vojE5lr4To+Zy2mv9cC5PEk6WXckz2eYelsBseQR2shvtUlv0CHZ6FObTmgAE2jKcItrWttI/\nvQWfurynh/m24BTNyDvU4St70mtdvllC1O48Hv1qeciOYyh1h+je158+moqzzBQAoH3vUacug7rd\nY0Dre3wcizfzj74iBoggy00+WidYLk47AI1YW4kMDIyavFqjHfq29t3hkNFpXTzf8ks9JUXWnvnp\neWDbIV30qnsQMHdRJghsXs8FJSOwInj0mXsIAMAEkUnFWwcwBZqKVPWeZ1MAb2HtTwmKWu1nRQAF\nLQv4fHPwLe1oiV+MmQIkkJ/+7t/543/3x2uM5+9s3o346YMA0stSnm7DR1e0K5UMGcJ24D/bH59f\nxwsMn72eL3N8nvDi3OYDs+g5DVfr+hrs+1P+9BW9e4Vf3lqeeLWCdy/49s5+fpv/O9+y7TZ+elO/\nEv7aM5RYfvlr4b0r/DxDSHjcIZBu1qoFp3t8yDJulGo6mhDAas0DYob4ZMMl1+MMiWAT4NllQrBc\nSmR7eW8olCLdvpZywIsncj7ysQiYrQ2eRfqLqQrZe+/BZk13t/zFrF97Wz++py+/DNtAmOy9a5RQ\nng7wg79gBGK0q6vwK9+AH/9QDhT+2of41UM+Px+ejvLdH+hBcHesV+v00buUQv7xJ7iKqKDDyr66\nAdvGAPnPf4blyPO7/MsfQpnlOOfbPe6P9pCzEsZIv/Q8vbXFIaBWvX4Cr1+bsQEn2tefHvTZMKpp\nqRC8mK6Pgtbm9Q3RQFolaOmGR9MKPRHuG/d0OAHezMrB7ZP1rffYrr3xBnb64ckkLWWkXod69Idv\nfPPGey4Jd/vewDf2m69qBFHsL+uipNCvGcwr5o/f3ZboeLGz/p/+T2g6NU3ItkOq7a8fkUXMDIGs\njZp0xNzfEN+8L1tswfIwrBm8xdv9ws2dAslHTsXefCzt510DsyMl7plddBS6XW0GxjEQfydb3vG0\nbU6mGcy0u21AEIOTymUzePiL72DWAeY3DXFDjgG9M/jRs3Gr2435CUpBM3PcwdwI9gnGbuzBx38T\nAICaglC7f1xYx6d3QTw93JNF/oUbBwA7pUGtu+iUdzUsaBmE7Y++ahsGVxsB2tpnmAGiVJuyE4QM\nFR+yQKsIYHtU2NGrFrMDeFuvLycagTM+etbR94+XiYNOf/Zb//cf/Pl3xrNxLauB9f4A51veQ0kw\nHLJkMWK7HtJ5kLnQe+t4xmaFPjhLYnq2sq1xFkEjRFMMJdfrtKKqL18ZJr46QxR9cT8f5/j+Zfrk\ncwGEjz4Es/LqczSwfOT91r729Xpzw0epY4Ao+MUdbc5sTeF1hesVHev85NkwFvzhYbq45DHoq1d6\nrIYr/tb7orUOY6xSQrIvP8dxle5u5atXvN3Ktz+0jerhqzGRfPzCLtd8toFgyoaHr2hf5RvvYi12\ndoHnoR53lhjCINsBv/yCVhfl4oyiMic8HvXrH8HNrfz8p3wn9ktv5e/+WUVKerRK+OSSYqqHCc/X\ncRjs9h5Ljusgt/cyhmAKbz2HcV1R46ef6Kt7PuYyiUXmAna24qszHhiJdVzJlPH5k/DyJr+6L1q0\nMPJZvtnLe++nUNtJbAeBWpXch6X4DnCtCw8/3rCJJ4ts7QBQCwXNzwb2A3nayT14tDci31/8eiPO\nbsbrL0MH9viVj374hhzMGzE7QCtltU92fZYOzZ6Mmn/po6tbzJB2G3qy1Gi2ZLiujG7oP2iVKO9J\ngZ5AeFrqzFR7VKxqXDR8BJ23c92N53KPHYX99zw7+IV775l+P/7LjVifAeB/ouYO1Dra0GGKNjoR\ntAuD6Mkln9YafJ7tksS4z8P2fByGf3TBpN2KvbFMZg3QWGqJHvrCUno8DfSxPoIDmivpdtDaEG9E\ndFbf8ki0LQKagBGYAff4Xxu27fbSWiC9oNqARgaPhGBPl91ApU7qeCPYd3Y8tofZw2wvSSIgAFVd\nYm3zF/ylM9G9qemSUYJ1NKudqd5UBWCGSsC+bo0c09AmABAQFTx88v35J999eLV6+zw+WQtCWF/Q\n5w+wm+HrV/TFjaxjzFrmGe5mzWbPL1bbIN95eYjE52scRrPZbnY6Dbif5d9+Uo7C7zzBGcrtLp6v\n4+tiJeMh09nGrrfh84/L9VOSmW939eUezjc0VdtQuP0sZMX3tvzTu/12Synp5hxIjaPNeY4J1wmL\n6Pnz+v5TSYiz8P5zutgYk6kwmpyf6/3/n67/6pUtWc4EQTNzXyp0bL2PzDyprr5kF9klunQ3gUZj\nBDBv8zQYYH5VAzMY9MOgUTNdU6KnyKpiV5FFUZfk1Td1Hi23Dh1LuZnNg7uv2El2b2Ses8/eESuW\ncDfx2WefbZHBtI07X7qTo+T9Q3rzWDbA9yb69lofHKdT4vUCeJuSoVG/tb12b4CvXhsDoKgHJ9LU\nuF0bYjPqtb1BUq7kupbZje4dpt+5I2fv3LzWH33HLq61P0xHZM7B/u7HvKkay8nTl9TW9Pys/fhH\nahb06kJbpSwRank4NSnQtmxUdbZxq0bIioA5HGQHOZVbqbb84J7dHySrjWxbTRODGRcZVitzc8Mb\nwGNiG2d2hKgSYj9xDG2jjdUQdMZYJIZq/u/4arkVo/1vmA/oAiW/+W7DuH/zZfrXMvT/ja9ue3vU\n4tZH/823hBaDW6ZrBzJ2iX84vdvH78beBtwiOhgIFgli9CesEDL7UMj37DGMb5AQZsZg1AdA4RUa\n5pzHj999E+Pe//Uv/NbN7O5eoE3sDEeMpXfWOVjOqAkS7wwoSBT/jFFzPJ8YekfyHKgfhxBdgbeq\nHY0Cdqulu38SfTfufhqMu+wc020QCxVEsTsgei8WzjqUFDFewLfuWzh+0CkORwwSgf4ou9onShjU\ni0BqJN63gHkLahwghPHphJAm2GB/Ghj/joFIePlutasnFXbIiNfa/9ZXjNQJOs3D3dXFjQaxVAwQ\nVDlUgaHFALzs/KIGn1bX2/XjvzzforHUz/VkZBPAd3N3sWoFLbaSG0Joz1cuT7GnuGTZtPVBlvay\nZNOoSbUC82SmK0MP9+2XL6p3SzceIUiaUZKnfDLIBLS2rmTJC71cNf0BHk/gesa1o34Pl1txoHlD\nmCkBgXBCprD4rnRjQGQjLM64j75jqN4+eat3ju3qmiRpaqHjCSlxXZoM7NBgD+x2Y+uqQpveO4S6\nxqqmxjGgPbvig/3kYU8fL1xuzF5ieqk0yHVpblgXcwMWuBGbkawSw3ZvT97NlBGHI7255OHYui18\n8xhmJfSGupol6mDYa+sNffJJa6nZVqmr6flb3T9y3/kOPLoPNDKvz5piAOOURiMaWXh7BVVp61b6\nGWzqdsvIrOttuzdO7h5YBe7lmhm5noHN9KO77tlzZEKLykKEcn6N1iN3wfDCbrSV8dNe4yL0KyXi\neXHd+7oLgqrG2d5+i1J89bfXXRc1R3uFEuGSuOLD2XjOnHqwADsrEz8eb5v1zp76GpXcDnwhxDa7\n5R5Dv1uXEW1fPAdEjLV4CqlAlz8HcoSnWPhhkggGxU+sgC6oQ687DqC3ziaeSbwZKiFliWkHIIK6\neIt2xlPDB8vO8iAoKSpEYMFb+gDCRMlbBQQTIqfbVjsMOfdGkTr6hqp2Z+JDse5l/ms3akhVFG/d\nRQwXj76PxGdbfonsQl9QjXfFB8XeVN/ypog+Q+8sUmfYVQVo52D8wHb/O0IEEwNIAFAMOoA7v62+\nGOlvOEc3Ax6gFQ1V1fBs4uRNQQkJU7zIiPWHT+oCXwCiziMARo5gYOzEzIViHRn8lA/61ma6vZLj\nN3G1YHSGpNqlMj67CZsnOquulADh9sitYypwpUUx+83s1ZebNq/EEdoigc+uqryXFYUe9PDN0iWY\njPP0vuCKdd2IArbsnq/h/VG+bMs8tZc38nolJ3tpWYNY+PBeTx1fbVzPGAP66qo93UN26lqdZtnN\ntj3qG1tm9wtaVfVV3RrF03H2alY3xhz0ddma/ZHtj9zHfTwY6sVMh47YQWH4ppFH962s9cu3kubJ\nvb0sSbly6aiABGCzwj7kPWjnW+7nrpeBE9lUMJ1SalKp5enZtjlI10u1BqYn9nqzvZ4Zm6M40Nb0\nEZZbGNrB0bR53ZSLVVKtbYUt7rm/+1syP0+ev4Cn53VtzYOjBBSmE16WlGbui6/FYq+q3cGJfu97\nOp9J6+zLJ3hzAf1x0ZZuUfNHx1htuWZ0W1w1vGnUGkRWsnRvP9vrCxrNrJmO5OlzsP30INWf/rzR\nzI5FHNqDPVg5fXAMNlR7gpX0iyGAExSWU3y6ChBNRNzBoCqCqApBKg26JL6rXO+qJ98ym36e4W6l\nfyuekg41Q1C8FaTHU+3M/g607ZYl3eINdCsT4zKXWJfXjn6iGEtuSmFfhWkTwbwg+nTUIzi+tB8t\noAarowGU8GGU6bzQtwIjwm7joKKHCsMlBGoe7u5/t+NZxZ+5P7yqengSQiuft/UQN7E3ByrqaV7e\n/Eq37UNYH/0WQpdBxHAwtKvFIysBdNo6GFocVSke2j8OAhQCAAUTaGgSk/UY8MWnEk1iME4x2oym\nioJqX1wJ3m2g973B5BEFAgz4SRoQP4lBQQIkrYBe6cpfdViaXVRP4UYB7CQr/G2gIF/RwSkeh/Ls\nkW4dfitF9EN7bn0F74rYDe0M6whVvbZfHHntbzN1CH7nETyqTBAnN3uRSD8SUHfwCwQnpxpKrF7c\nbnd+ceeKKkCzObz6yTcVpgQPhsn3j9KLTbVBmK/ascXEyGwrpxNJCO5Oc1c3FxUJYss837YvV3q4\nZ1NUIHOyJ+ORrDYuUdM0UDYyTundvJ4OzMWK+5XtJXQ0sDmLsbqucDwGMM2Lt04tNsz9vhInv3lV\nt3VisD1OzXarTul6S8pGgQ8H6XLmEoubOT19J0WfXWtEm0VDB2NtSDNIe71sQ/Xjm5aIWnUlwdFR\n0ke8WvPeqN04dzzNjvqgG3zbtG8qrBuoHa+35t4QX87hg0lqscasnbu2rExVASWARvby4unX7mqD\nmLjpXnJ8kCQCBmA2h63T0Yi4kd6h+9ufQCJ4fgMf3k3fXINLYdPyeo6XK/edj81mJfOlyTKGUmdL\nHY+T1by+USwszKpm6vLVpjk50W2jTUu1Sj0zDikhGQ6ScqMblY8fmKEFK+L7YBEAbCQH+zXgVxN0\n5k5DGijiGRq3f94tBR8+KwC4Wym6fjuqxbiYOS5EiCWmWHBDBOTu9SGY9Ls+bBIfWPuNQJ3z2CF4\nGNcmxvgN4l6NnVih0zCevwIxIKKEGUTRuCI4QAsoKEhIGDaavzBQZFX0FLRAt1Zw4coByZd3EFEp\nTGnzm9bH6Sa6wFvRVSRiAKgCC1ggEfWYqe+ORP9hBhHizg7zOA16vlmELPwUWY7Y7K0nEG9WZGsH\n+xufbXSEoH4yX9DaIZ9GpJaQwJDx+rKA3WjmABlrkEwDCfVMFOlwncCSiCxmb/2CcwrJiaAEOraq\noOxKjiG6xQD8KyL4gTH+UyDQoTVo3RJEsEUhkkMwMvq9k+xA/85jea0rRCQkn74Q7AKN0BaJyF0T\nTsfbDDRAYNAOr2YGQKUQR4vXEA033BNCFTRwWeHWl4b00ec73myHWgXEdDZEL7ewN+9dujjacxkZ\nQFVNXW73X/3hmy9eXNbpsq4PbVbXrZA5LUyqetjHTy/k3jj7YEKTHN4t3dN1ezwo3swcEz8Y9SqW\n/RzOV5oZGQzNuJdohTPmtuFGqV+YSStVjdaSNWQUj3vQS7RPZjCE1my/uRAqQFoFgzeVK5A2tbup\n9JN7iShKlYjDTavTITJQkUqrkObazzAtiqurmsloDaXjYWorxtqy1NW7m4YKeydJFpsW26ZuWSTt\n95USN84t17jciCR2SO5yxQ+mhkXbCrbMd+7JtbOz1ixm7arkJKVN2T76hI+MXJxrWSaAzE77WXHY\n14ulOxlbU0hT4ZszOb6rd47o+h385pt2Mk2J3dmNOsV+QcJNlsHJPukcZgu+qmRvDIf7drFul60W\nKY4H5miCoO1mZS4T2B8LA2Uqz27c6XHCTbtp7EnPvt5uj4bJdtVYdgKe7QXQOvXmmbrI+VYSF0IS\nCXhlhwAGJMOHzX7mSTTNetvL7xbNrZ8EOwghrOuWJnR+oTPNwbBghAJuf/ndIzEDkIgGdsb+lmFy\nsKuwdVhqdDCCAD709ABLF8wAIyIgeXFLolt8aVBP74jwoMcaPL5AHjolIBQUVAQyPhDzOxYFpSsM\nxlR4d5ei0ezOEL1JopDYIgCAn8JBGpGZHSakiswoIkZBBDmG2R7mAAEXK3DxJgSph/Az8VfnSYv+\nNwKIpGINmAQTA8aSpfjBggLqQFS9VpUK+1IoiiAjaABTPXxMGGwlIGJifY3UBCElAGZwvoNRkFUU\nUEJsG76i2Kp448ohf0GMxA7VGKUCqNmZ4OhilUJqFG+yX/bBg0Z7jQS6g4pFAxcUQRPsEHEvgBIz\nhbBvEABEtKu7d15CJWCKFBdtfMS7SrKP1hGBukBktxs0NKZinGwhIciWHQN9Z7JF1Oc16bv/dPnV\nL2ZbS+BOxsV3T01ZuSdL98F+uqn1cgZ3h8V4lFvZXK9x3aixiQEa5LQ/GtysVscjm6CtnR4Psnlb\nXt64Z5fNnGWQmePU3KzkZissyZ1Jsp9jgthPXUs6Hdokla+vAJxR1CzB1MgXz5p7++n+IDsYJdMc\nEsFRSi3D2kqNYC1YMNbSaG99dWHPz1snBkGvtjgXKWtzdz9ZbPn5Rbtu03sH2UW1GfRgvjVJSqNE\n20a4zH50mHPT/MeX9ZrdpI8J4MWCCezegE2vAYLVqu31cLORdwv34UlfDL96QT002xYHBfaYzhr3\nvfd5u3WZtTaRl2/gzqlmOWxX8otzGOXJ/tQOBnx+zjXqeESTzM6XsDem47G+W/GsksFIJnn66qwp\n1Rwe2cSIY+0XSd/AktVidn3VUALbjR7sUYb6ekaTI2dG/PEwXW3aGsB6QmWATlEBlLhzyWFdxOKS\nN4Ieg8QYhkZLeCtNvhWpwa3N3x1HIWzPGGUG8xSD3O5d0JmlHTC3+8jOqt4y4dqhIV0bR7RqJPHX\nGiPLeJ47x+HBEP42RnJrTnLYwBTMxA6nV71lRqF7c9hm5I07IiCyEoLzTQhBERQIEKNXDNyuWxtM\nd0W5gKKoUgdC+M/xNa9A747HCMZRRRXiPHtlDVi9amBPagDUATwRY3d3kANaEhAoDD0cgdYORkVU\n4tVpuLXRlrO68Cl+KiIFKMgfSRVAiQAJjVEkMhBgWQ9yQOSVaywDEEU0BEEVyYegnk3mg0rxOrII\nqCIoogLI8UmHGxYWh/cWHpWh4Gs90hHXGoTeQBXwJA5EAuufpX9MGNNNCPF7UFxWZVAGQAFRkriY\nsfvbR8N+hUvAnSLjsVuTQY/F5xxBq8OvgdvwhShSbFWU2/tCxUuZqwoZWpzZy79snv/00wtbMh8X\ndOcQGie/PufJ1DwYwJ/OXGGMc9t1JcMUXm8bh3ZiddXQnb1JkdkRtWTNu03btjQdFgcy+PTtbG9E\nx4hVy1+fNwzJ0SRpaxknNM6JrXu94WJAObWvL7RITUp6ttL70/zJ683hKC1Al4i1k/nSfHBgcuDK\n4XE/KUHSwlZVk+3DF59nVzc0WzlIdNgzmsF7k+zRXlrXenXF/cxIym+Xa1AlwEoRkU576es1z4XP\nV+28dv0cbmYCuS2dU8KDwl4sm5EUL9+19+6SRd442RvYyjXjPU0gX25dYglUL7ftR+/bPvAF0919\ne3PVWqLt0mw3zaqm8QhTgnv33GoOmOHxEKsNOwN375qDKXzxqXtyLWJ0f5AsF9Ifm6SG/bGVSt7W\nkhtIkMZDLl2dZ9A35grhaCKzK5iMyQKcpEUG1SvnoMxtG+TYvhWWxm8Ud844mC+NAGIHRHfmaGee\nNEbW+O2f3/oefZ0qDm26XfRD3AHLOyTBxyPcdTx864BdgAnR7IZOsJgNKoYUNQ6RIl//+Ws4XTAw\n2DmP0GGIGHlqHpCJ4EuMvvwuIugw95BshssJN9O37hKieqwjyEB5OBKps+ffSiZEvOy6imAsQQbT\nChDDeAD08b6f4qWmC5RBkf2IN/aq/coSMXNVDRCEt8IRRIolOhHWaJ097ultvyG0CsAoRpTF+VlT\nsS85BOCiThUQhL2R9eKx/prCc0dEUW+T0RuqaK38bHH0k4j9XDxA78QEdAcFh+cLYb0gEbD4NC/e\nv4Bw7TKDmPF5sy4IqhzOx191GPCsiEFjSQNopBbAovopKob8eAlfV8BYRyE/h9N5F6XAoiygiEFt\nMNjgYK79mgz4/m6bhOcfvT5AF6kDKssuCPFvYcVIeuFb9l28lBKadPvuqz/5H011rVrM26ZmnvTM\npuIvzyDv0/0hPbt2h/1ku3JPGH+YMVEyMq4UrpQstrJdVg2DTfvqNs5NCnI1H93ff69s62bFBqrK\nfXUhpyOzLbmsNbPQzJqV4+kgu2+K1rm9E1PL9jdv6qaR19fucNy7MzSfvazFwDDDh0NsRVPTU9wa\noHTQlHXSH8tSyputZaJ8aE5G9mLRaEo/Pi3SBF6tN5dbysgWmblcNXlm5hudjHCSWmAyKdmKf/Gu\nHI6ScuXOZ/LgNONGReXdvHl7hfdS+PBuVrWyET2a2sW8qdrElXSxadKcrFFXy2KLbUXnNYzHti7b\nyYG8e8qiOF9Jb0zoqL/Xak0v3sjLmfvex/0xtufbtl/Q0QH++hfu6wv47v20rAASEQdpaoRl28i9\nsWkbTBN7b9I7m63nW2DCPJdyaV7f1Hv7Zi+FN/N6Pqejoz4mYpk5Wp5gUBxiLIWF5U+BJB2DH9wV\nz/zmjQggRGpqXE+7jXJrPd1ahp1p1m+tzm9Z2Fvxsi+WRev/N/jOuOv865CTuB+xs+TeBLJKgIv9\n0XbR+K2/gjFEDLIN6A8FO3aXokcpO6j+VogGjIqoIBrUfUUQb8HMAORRafC4NsTp8Dv7HAXOvDVF\nAa/EADERueU541NEAADnf+sRDQnoLwRp/tDMDbFG2lmtmKGriiAEpAG9b4gaGyPhN+UAAQAASURB\nVD6IRxEgFCPk2OPp4mckhmceMR4FUFZR4BC++1eEwNfrdholT65wEJoWAw0jlEfUq4V6ERHw824B\nACPPQUMVzj9nAfHyggJh4qkL5g9iUKFxhSJgoPkHp43oGXcoaAi98h8Rkqof5OYfj4fd/UQrL+pC\nRAHPBwA14inmCirqZZVYQTV2zPu+mRiooKr3vLGlE7rHGm8/AmjE3YN36oARj7LE7RCWfhzGoqxG\n6vnlL/75Pl4l0/4XL+onZ9XRJMlSAJd+uIcHE6XWPV+zq+yD/eT9Pg4JL7fOpgbatmy0b7BVEU36\nBos83a/M8aB8MtvCxRtI2x6Yr5flF2eudO75lRv3qN9DBO5ZKp0bZ5qY1mizIKoaWmzgeJq2tbxb\nuHVtIJGT3I7JgIGhJZvCyai3apt9GJem+emLpnG9ciVpDse9ZD535wv5L7/TB3afrsvZQihz1yvd\nR6obYKuHe3Q4NNute0WuqW1dmf6wzZh/fa6nd+1sXWZkpz3z+l2d9s3JVKttU7O2jKaGdU1pRlWt\nilhWDAS9Qj+8m23nTD0h1s+eu/EBPLyHN5dqrR0U0Lcwu5bXlSlrbBV7metbu9gyM/ziS0dF8v33\nIFW8mrUHE5jdCBXUL3QwpExNZkGofbGQ1FIvkVbkaJxuZtwf49EB7GV4Na82xgil1XZnoBFCo3BY\nH6EMhgrgt4RGMRjQQHYIX77IqDursbPP37a83/pJB5v8teA9pOcQuagxiw/RcSglkgZToPHnGr0M\nQrcDIQDDtzgcGP7eWfmwU79t68Md8GcaT0CDIQiZdfAZ2J2FOlVzO6UAVfBC9aAR0QzN7H4OsUjQ\nxOk2K0Y69G3gHWI8HCr3XR9epDbHF0F3F2Jopb5sAIqsvu8OFCiS0L51I4JdlXBdABC7PFRiCdQ3\npiMzEloCkTAeLFbtdmfSdR0KIHNg28cY2q8yIQBEJRIiRG8pCbuHoqoswKreconXKoLY/AIQVOi6\n+BnQU4I5Fg3DaQCG4Sy7m6TgQSFUCcUFL+xCSICkdMsg+jXj8X1VL5UEPqo2iIRe9pUgFBsRFNT4\nyayqilb8I/A4UghbIEY40aWrpxzGoSc+A6EAPoE/VsjWfPcJ+yHsEm56yHLiTQ7W2Umrjp//eXv1\n5sHR6Is35fNZNZribz+y0OqWZJRzVWMlLk2Na+p3tTns5SeZ/c1itRKbJ4gEaUJAsG0YSN6W7d1e\ncVOZ8UiwLC83riX75Lz9zcv6aD95f9+WjawcWEPHBzhkWi7cKkmGU3t9oW+v2quVG+8lSi4xmlo7\nSOiqbPp76dToogR28GAIaCQ19ZPr6ldPdFTwzbb55KS3blwF/PA0Gw/a822yb4osBQdQYnm9hHt7\n+b1pKqbuFZkVebYuGdWmfHWjZcO9qfnBXfOzz91wInlCj06ydQmzG9c41zgDAk9v2v2pHWfybskn\nI7ta0/WmLVKTFpoOqa7hbF6Px1TN6ZfnbWrt3QNYlG1/bNYVMIIlBea375KBcJHTfN5kA7x/HzcL\n/eWTerxvWQCIyXBdY1lDkbuTAaUpXs+brZrhgI4KrNuGMzhw5ETLhrcVHZ2gsJuXxooIIgSuXTST\nROjLDhr2gQIgRYATAG6jYNLFX3ELROrrzsbszGFw+x3JKnyJdJZFfMVJg3zStxHnSMr3+w6itdpZ\n6Z1djo0MMVSJjiG8PBCGVfVbkhy785VIJoYADgO77hXda/2Vde+TnS/wvwh9PxLdXlSBYCE/OY4E\nd8lKNM2BbOe5cKEPT8P9ABEBz+NQjc9OAr8ueqTwh4aKm7/2CGQ7QegsQSeqsbt9ihL8AUZYIFhW\nb2fI+xwCIiEMqgCh3tYF4RjUQVWBPWYS/bevjQEiIaAKEJEBAgVUYuP79wTCFEYJF+CPs4svNQBX\nwfN1jkr9+ILoPz0IqxpEBiRYShQJ7eAeTRP0KJYSIwpQoHkIeXa7ARFVR2qEQq8fop+MIArGADpf\nNo7ts9jlQyF0IYDYxoVob22LWPOMO8hX2EWFhbpUBJTiLgtyHKigiILAAr66zBBaQP2Xc8Jo1zdv\nNk9/uV/03l63v3rtWLL7hzIcimXrRD592X7nxGiBsqSjsSHl82V7r7AHA6MsN8RJahqQQaKQ6AbQ\nCWzasgU8sTRbyNHA/PnrclXD6Z7dbPmrLRtrPj7Njnq0bXWL9K7mw1xvNu3rS1lumwpgnML5GqbD\ntGCAFD4cpAcJUoNZgb1cP12298YZkL68aSdjYw3vG2usOuA8x9NDyNIkZ3h3I5klg1gQmh7kqRrj\nGoXJQJdbEUyyoro+w2nP3D+0Jet8w5MBJURtDWKc6ZmRoRdXyWiMlzf1ndM8aeDxWf3B+7nbCKUw\nTml/TFrDsm3WpRn1oXTSK2zey08PCKXetNAIk0VindeQmbR2fO8Ob7dal5o4/OyJ62V2MDAocjnT\n3iAZ91VamY6ye32iTJ2qMhR9VHaLxhrH16VMB8n9IW2cHE7x6ro1xrKA3YneeHQVoItPdz9GAFD3\nNyLN3cb2lXO/4HaJfhf5dql0MD4iEFQa/fr1RZ/OFHsD06nlhLw31DuCoYjLWolVKIYi3w47d+en\noZTU7e/YSeB/5GJc3bmOaOJi+CzfKpr6CA+h674LbfCC6vXREbF7e1RGA0ClkIIIokcJ0Bd5EAIN\ny8MptzB4f67+vIMoFaB0LFjdDbPbQSw+fw8gh4aNG6TmIFpbDYzgLgGKSKcCikpou9FduoGB2SwB\nP/ZmF1HQfQtAV/UinyDgcZ3QnLIbX+6pf0iKSgAkQuKRXjABw/FjTkNEGcZFxrtwa12Gb0zMjjS4\nhpCcCYjHOoJd88Kf4umb3nsEbMJPMPEH8dmiEKCAIY8rARlkFBJsWRP/6awAqAbZiTEEgIFLSt0y\n+RbxH2JuFE4b/QPtFl7EsXaRRGjexiBlQ4iBCa7hHgWGrIa5zej80AoB17QObL263rz8yWHSKtov\nL6rKYb/QvR7OZjTM6NVVNbZJkpjZqm1LvhApK/z797N125YAC1FEbJ1W1gy0/XJeExbvT3Cjkie4\nEcgP8Nevm/kSty2Lw00tw7797nFihDelJk77Q/tPPjbXVV21NEpgSfDj+72e0MnQFGSgRUk4ATFi\nRwVwKsuSKzFq3acv3fUKsoJnCyZM5xs5PUxSCwQKW9OIO9ij2dpdrNVYkxonqC/PuUrgYNS+3XAl\nsF3Bg6Nk0sPZxn39rO6NkvsTq4jDHKsq6WWthfT9A3y3rU8OisQ011s63E9ylA1q7XgwNWSkdlg6\neHQf370yrdD4yMw27WzdCLjNFrc1OvZJYAsWH9x1d+7Yq7N2XVO5TBbLapm4IoFyQ3mO9w5os4BF\nXc83fCfPEmjezgWNOSyy52eiCaUAKdoPjpLNtjm70G2LiYG2hV4CNm5ADUs9mLTbK0tvfRNy6NgQ\n0cWRGmEN7d7hJy9hYC775DSmzzE2CBGub03o4iAfO/r97Y8EiAjsUWZvRSEaLejyxe7EMVqr3fe3\nAQz/QozMBQw1NoGwsaPl7d6DMfrDXaTmg+Qoh9BZRsBbvXbhUzyRQ0PTTRjtEWpQiBg1ABG6EFRB\nKW5wD5ajIvge8hi4R2AFfbKLAZHp7gUGHU6BUC6KZK1YkkJVz4/d2ZEYhO5MM3dLI+YwGCN1xdAI\n2imIdIZIwz1nHz4DEAtHRCWixireRgsBMiAROHVRosJD3UF9LVpef4uxAwdAVYEodqtGrN6Ta24l\ndhBYd5E7HDlp4SPCPx0gohpfmgAQMAio0CIY49sjPUDFoGjIeBtvxA/zEYeBlRPVvzE+0LiIEHZR\nAv4NIS7wuyW0aoNGMRz/alQJnTA+dEYW8f2Q3oGFaiqosLrWtZpAs+Fv/jm9fMaT0dW6XjdyvayF\nstOkv9jyu6UrMioGUrZ6s4S2xYPc9Pq6amWSUJHSwLmbKq03cE2VTGjj6HAgdQ17uUlbwFRWtW5r\nmk50XsPVqlaDqVWDaAAGPZgO8YzbGiiBpKdwsamzIukTrbbOEM1dkxGtKzSZ5mNNkPqC1Oq9qbma\n188XzaahQZZMRliXshEVSAcTuZ/n7grOalhBs1zK5bLdGxejzK6WDJlO+vCrb+oa7P4Ymio5X7lZ\nY3LE0Tg5PUyqa71u+cEpT4ZJtaEqcT1LUOv1Wh4e55Mhi8i7MzzZs3sT6BnbtDgr63UL55dic8pa\nuFlqMXRtq/MVsMVp35RLfrduigzvDPH9O/KbXzeaJInKRpwIWsX1hsXqOMV3F3C8bwSRnaVENg0z\n0oMD+/xlyTk+PNLVpX3vXiHt+uWVMkB/YMepvbxpv3znbExMY+p+25L9rwbLOyAkWmVvMeWvvTbG\nl11Xno9XMdiQXTYfijRd8SzY/VvIqt98aJVuHafDmjHUskIEEipgO74shlI83D5eNPMdvTAwuzUE\n+qqqKqQUxXYivzYo6Ue23+5DdnUb8Eag+4389Y2IgcwhiGp8ToHUBYQYMuRg5EzokxMNav6qgWWB\n0VqGtg+9fcuQRXbxqv9haCEJuEcw7j7BuP3EpeuwVGDwbLYIiYT0ARFBPPyqnpEb0wUKbGtfo1NQ\nURRliPfWN/Z5D+aj1yCHqdE1A2gYcx08N3MojoVziJ3YvqKH4k0qdimUx2YwZkg+dtaYDu0wr86r\nh6ZKVFHyhhWBCESVPcSnYoyqkoqABVE1BApECEwQx68DGedZyeEqwpreRc2BnEmkHaIXt5R6qF9E\nAD2HMgyvIYy9VNDxjlxwdsQcvK0otMKq6FoRzOrla376L5ZnZx+ejNfcPL9qrxayP05+50EODr67\nZ84zudziSZHUtUtILfEvz9w/fjh4kKHJ+cWCXYsp8IYkK5KzuXtxpskdPD0g04PrjTsg+/mL+vmN\ngOGykVWteYqtg6cX9cEoHfSpbdwPDrO3s+rxW3DOGUJieTOvD/P0ZISr2iiYYc4np8mgUSYscrNm\nubuXPJ+7EaUfPUqezMvzEmuBw6ElZKmtIdyqoEhdgQDmuaW0WTMM9+zpHjSlOS/d9NT0RBetK0u9\nmLu//d2+4er1eTPbwukhWaC6EmfNfmLeXLfzUg8Pzb1p/vpsey4y2dN32/rDcW+aFm/q9f646Dda\nujZNsJH65UzHbEY9TFMzTNUiZgXpjC9r/j/+Y6atudlSkbfnl6op5ZlxzNta+8YYJGuhruG9k+zy\nmjID08wqaFO7NbQnE1NvcDCGRbW5XiCCrkq427fKddmwGrUGsGsLEYyIXQxhohneAQs7vDXkaNHe\nhbpZV2YMRrlj5Jsgw6i+NReRAtIHGvsrBGIXYuAqhADBJ34AgZv3LWtHACQ+hOty911o7y35zlZj\npF/EXFgUnIoqUGR0+ZIUKUQUxosX3WpFhM4OQxD/U8VO/Tf4GYqwZ7w3Ma6N3d5CsUeD/C4mQPXt\nKxhPlmLTD+7IG4AxbQfxPSTBtXRilCFOlQiERLvog0rsAuVwbsEfBeOlnT+EQJLYBaf+g3ZmDmOI\nJx24JOGMYsyr3hR7YTW/xnbBfxSzDfGsXz8EHiZQFlVBkEDdQY83K4AI0M63fqtMCpGd/9dIxeAz\nBk9EQfHdruJtcXSrqDF6BSSPqqFTISJQIEX2DaNAlkhApGVLZIiEwPtQz2TxmRn5umfwYqHH1deK\nQ3GDKN6GLtIXjzWJCnsRYABxQYfAT40LMzaDI/UnjaoMCgTUcCto27PP1l/8QaoX/XQ6LuSLb9xi\ng6q4P0gOiqRl92yB8xVOBjQpzDdvm9czd1TYSUZHBfaHtiXtG2xbkkxP9uy6wZ9fu995mE16yfEA\nztecJ8nPX5VvV5wRrBrYNnBnkiy2MixokpNJ8e6RyRI4GNqT3sRuNl8tjdZskdJE85SOi+Qgb28a\nONhPNo3UrT0YqHU4Tmhi7chi6bB2nBrct2mVuP2xHg5NvzHrNUxTVICzNdctipGDfj83anK+vK7P\nLnk0oXGf6yXs7+vVBaCx81U538L+QZJvObWauQSMS8kOCxGS3/5eISU8P9tWLP2CEkwOe1lO9npT\nL1eUZFq1DSYwHPHynI4OpS35/MaMe9jWOC+dAIzG6Vj06hWScFpI25reUFdbToxpKgXFQYaJmGFf\nRWS+pIMeOYd1jqmRr945S+bmEqZjOBjj8zdONCsQjyZwvdi2oK+veTBOLQRlx7/+1YXHGgGCblOH\nnXqrbOVNjxcrvRUd+D/F178VAD1hFhERjA+BfKcvaTTo6jce+gqJKnjVcQ21+x3qEItqBIgWPREQ\nbIxeOkMZjU1nVH0ICbHRgxRIyA/fIkJGZSfox0/5+eIKCsoApJE0GEkmMQ3wWXe0/dH+3jK0EO10\nAGR86d8YX4kKTADwKMGOYuddIPno3Vu62C0Nwt51obCncHUOcocIGNwJZ4pRBT9lymdLwZLDzhGC\nR2RC1VTR45pWAVAZgHxXoYSAb1eP8OP+fGnXKyVFBy8x6PZLSW75gm/dl9B/JKoofh44qNeeYlGI\nqqWqKt6RK3q2d7ilgWwUWnswmrrw+28XmQNjTVEQInXY36AgGBuWPYbOIERvl8FT7ixhy8rCpGhQ\n2agRQQBjEAJDnLxcKgCT8RUHQeOTjnC5AQhxYWIMBH0bj4Uhq/i6n/fBrEqqIkGh3zs0Xzj19U4F\nYAYWFWGhnlk9x8f/IpF6nE/6BJsKDnp4MrCLVB+MiQxnQLNa7h2n4x5/+mL9H76uPnmQI+mdaXIy\ngiyH2ZL7gMMpNQyN0/qK3xvQ6TgZF/pmJkmmFbeuoWGGxsjNBVuERiBNMLF0MkpQkBzMtjyr2kd9\nfbGSuoEiMf2UBKSfY63slN4/wBbbJ9cCidIAKcWHo/Tsptwo7g/kZpOMk1RsO+on3903E6B1BVt1\nBGaQwv6eTVtezHG+rE/2zPm1AmJvAAT8+DG+uqq/83FaDOg4pYsbYbJjYCjwzjh989Z9tN/LBk0D\n2htoltippSdvF5LQXobbjbtzmu7nelYSkrva1IpNW5pFpU1pqxlfb3Uw4Elu1yXaxD57Uw3G9Hc/\nMa8v3KqCdYMsmlldr1mIDoZGsO3n+SAXAtWU/W4WS8t1Vdn29ICcIzI0Lmhx5Vqku3sWWxBu3iz0\nqpSqxtVVazUm9uFpa7fhQ9Efw4Q3v7O95sSOZaeh38kbeY5WOeAAAbQF3/WFNtZvAFAMEhGoCikw\nxDi6M/gakrrIVY6sBT8WFhTEIJKXOEAfuXixMCAVItOpWQJAHGcKfidG2ZjOBYQGXk8A8J8t/ie+\n7hMKTN4Uh2jIW2MBH2qip49Dh6Sjv5u0s9Gh/QzIECIaQmOICKz17/C/9bcyqjjgLrSLTAYNig3i\nZ5ogq7mlz4wdtXmXyceLDEB+FwvvkByPN1OXrKgq+/2v4Vb4eaXqrcUu5IMAZMVEXkVCY08kG3Qf\nDaG/2XRdez7Z8k8MQ84BxhCGd/hjIWhIU7zOBsTPvL0CJVrlrrYar52iPCgoKisgIIk3n4CeVqpK\n3pGJ7yQU34qNqgBEJKjIhhiEGFWIUImABAXRsfqQGRkSg6BqyFAcrogsxhgABedDk5BJoXjvLhiT\nu5htAAL556sqLqZBvpbo4cKQjkDMS4BVURhY2CnW81f85b9OpB3mxV5mlsv6T19Wgz4tnBiLowMi\ngu1GTo71+Xq5WuWLjewdWGFMBnoyhCVo0zRfX7cfTLOtYzL2etHcOCkMjcBagGHWSEZZo4SaE863\nvG20YlmtzfHY9C2UlX7/0JxaelzReg2Pr+qvrtr703Ri8HrVjifpoKfnrdsvbJHYeqb3coCeKygZ\npXix4n/+s41mtk8wynFRKiXpQV+3C+Ys+Z3vJV+9WP3Bl/X9/WyU2rTQdSXHBzBf6Ome4QYuFvr6\nsn495w/v9/ooamTbcFoQMt/M5XzB8wmOU31R1p/syds3ko+yca1vVuVkmoBIXSoYyFN9t25mNfYG\nnCFuKjub4+tZO+3zrNT+mB4dYrOVmtVB+v37w5UrtwzrNc8qcIKKOltozdQvBMlM8zwDrkULxMwS\nOrzZVGm/eXgEXzyh+/f1+lx6w2KM7U/O3dF+tl61RPLqiu2IHgzsm8t2w2Ade6Jmh4Up78rtYadF\nbirFxFYpNKpKLHd8OwQP3RvQBZYIQADsmf8A6KdmiSCi4ZhedlCxf4dGlwGgqqThT0BQrzEEfprA\nLTZfF08FnoAPgqODuZV0S1QUEVFhFoHQ3SAKgCIailQSSGphk6hSMC2+AuSTCPVJQcA0Qt4JiF3f\nScDcEcEQESESJoRkwXiT7SUhgkBPuIquwnQrfRf1xwoVSBPNr+91RtUdIIGKniQXaXc7m4YhwAwN\ne+rp0gziQQABUHTBQKOKp3ypKLBBUBVmT9GN/iwGnjufcsuIR6jJ31hV9uk4kpKCBHINqiqRhNBe\nwxrTeKhgwkL4q8FmxRAYIiMn/DR8H9w8CjAoo1KMmcUT+eNpEyBHCo0PDwTi7AJU4yEXZjIggA7A\n+pVDQIQkIupHp4csCkIxL9Bw/FR467F6BkS/cqLPDp2uGjsDUVVcADFAARhU1CPXXqvqlswoevEp\nVAHlVjBDt3a/+Wfzy8uPjvamfdhy8xfPakqSaQKv63Y6Ku7kONu4aS9ZN81emtVL/OqSW4X5uu73\n+ndyW5C5WTsBUqKTgf7l89UffgU/vpvdHVE+QrdtR1me9+TJebuotbVSs81Me76SewfJtKBGoN+H\nxujC8On9fHkmf/yyRsJNIzXR4UGCqbStQTYZ2utlu3HSHxuyyMAMyYu37ZNrNxnadEgtwAf7OVED\nZA57+fDAPX9Tv1mn7x/iV5fNh4c9Ajw+oF5urNHtVhrWeVmDoe89pEmPhimdL9veAPat/exl5Qzs\nTZL9MeY5TMfy+tokmDy0ac80ZxYOCyy3dtLD0dDIhsuWjo7bppLFCi9nogY+PEmevG4wM+8fWnRg\nEKrKjcd4vCfzN+7nX9PhyI4QR4U5u2q2iEeTZJgb0dYB9HuYZkxg84Qmx/XZhRsN6PjAPX9j3r02\nDacnIzxb6/3TjFoqm7pybVv3CqqfXbeptdMMbRunYncgnaqAUsDDfFLsyVqwm5kGO/mYQC6KCebt\nBB12EbH6ZM+bCvViZd4K7fgHfpBfCJYi2SBudYZu6xEKKCAaIAQRRsQgCofgOdwKYvwORJXAxo05\ngY9EGQV9k5uKxKZYT0EN/wy2vFPIi8FlAA0JqCNxYEyHwx8atYpVEcGgl5UGYzzzVwkJDIBqxDo9\nZKmhfOgPRBHE2X3RbVO4u8fx5CDiw9+KciMA4v/2e1wwYhpgVETU73/0+hWqQBIiaFFgJiOxG5BV\nwHhFPSVAEY6qbeoTHH8D4wnFtuvuSRKCCjBKfJocg++Y4IQEpSvceQMW1qXHso36BahhwSB5fIQ0\n1G+V1VtM7VamIgBQbOJDUTUeOvbcRxXCSIDpasIQKr+KKgwGVUEYFQVA0Bj/gQEyEwVjPLznjySW\njE8vHAoxRueoJiQGnivi+YgBfg7rzX+Cr70KCKpI630zhikp5L2jT6Mc5Tp7ev2r31/OL/t2gET9\njL56unm5kR/dw6rlvV764z273jaTJDsoihcLfLHa/vyZ27ZQOndvrxgZUCWbtefXbZZSkwCpmW+T\nh/uyYV3lKgkZQ2XaYGP6faRrvp7jpBAgu9+ju8N8kuOyrfMCx33MhjCw+PS6bhRzyzcbuHto7wzN\ny0umQfHdfSnBTnuDvKknPTpblYd7tlzIk4X84GG+WCsg3Kya5dr94NRYx1mm9w/Mv3u9fbU0gwTe\nPy3UVotKpkU6X0I/NfONWwmfHuaE2lSgyjcbvl7hdIRv5pXt492e3dvXzUamw/STXnFTtk1OeaaU\n6+bCgaTHI1v00Tp4VjY1aH2DdeNenxOrSa28WXB/kBJguUVtdV7K3aOBtfWXb9pG0sMBQovLtWsa\ndYKjzPRscjzQV3NBQkUyaMeTdjyVu6fNg7vw7Fny098kXCdq8OEenl03trDTvL3ctldzaZj60zZl\nHGVmtpWsb624WwoJ2m31jgehEBNnjIgrgGe8ddYh2o+wQ2P+H4IURqTAjI16baGogkgEO0V0D5Co\nxN7qsGQRo9SlehhEglanBycICdX4mI4A0QkihI6RSPcAXwAMnyOCXTQSUngB9R1ooVMYvS3T23P4\n/KV6OYhwegE3iA3aAGE+V4imUEhRBZQMGgMi6gDIEIigIBGKiLFIFMEZRC/iGQLoW+XNYPlVA+vF\n12Pj40DsAuXOVmpnzXV3CRgi/nDlwACKRAqCKKyEyBSOLKAiIqDGgAApKwW2pMSLxa5KHJxZNM0S\ny1lBs0S7ljz27iHqEin5yD+wD0MOomF0Slx1oenc6ztFerBIgLz90b2VRT9ITANV04NNPjJGUHDR\nBXo8zhtvIQqtRLG0EEEvFUak4ExBvbwrgn/eIoIaH7p3g0KAYIyCzxFFENEPNQmiK/4hejFbxQ50\nijcpPkh/c7rqryigAZOhAgMYEm5qZkUARcNi7Nlfvfnl76+2m1WbTcaY5uUvnvK/+2abZOkggc+u\n6kHaP5xaIc4Al1wfDe1ffC3WEKlYpHFif3xk7x3oVxfNkuHDMT0YmfKm3RsoU/rhYZpxW61l2Dd9\nMo8vtp+9qr+84LuDrN+HCZNJpOHm6yvNEnwEpDkPsoG7rNHSoJDRsL+qmodj+PWrCiw9KKpSUUwz\nL5PDzMq2vTvILMOfPFl9c94qoFMUR+827mBkndh+isP95GrOB5P02awZ9NP7QzxrdNxL0cjhOK3K\ndjyw7xGtHQhp0tfZirlJ7he2bSQv3GhoBqhtDWiNkvzyRb03hMkQL1aa1nC5UEn5YGAzqy/nDfU0\nVS6XsGoBUvzOCX3zlK+38vFxxo1bbRiVNrX0hphuzYIAVI3aVvhgkpaVy1K7PzC1KIA5GKRI9mAI\nD+8354vWqbTOffPl4CefSj7G0zE8mJiz6zbp4919WixhW2Fq0pNDbaglpmStJ3eA163lKNusPoPu\nWuFC5hWzPQj0eB9k+DW6ixi9VfZwYUg3fRUxRtC3zAjc6huUW4FLgLIh7lHdFa/8pkM/jij8GWhH\nykgIREB+plyoOEXymY8bO4Kx7DATz2nyQYgqOF/GYnC+MBW3Rgyk/VX44pO/H56AF8N/jOg7AiJy\nmJ7hvISQb7YGg62yDRUwAlRjAQBVwBhFUkSjyihAxlu/AFt2dy7SXaADQDCWtsI5xDtwi9vgEyPF\njnSBgiGwxnh9EFCEWwPDOsEhn8/4eBRDJTdMx1NAwNDHHYDvkGgAAHVTZjiWN70Vlpg4qYAaFGUC\n6CqaAU0LeYiGuxuoEQiAvj4KOyEXVFEBjPL2qISi6gsTGqG2IPYfFpei7/RGAC93t5tuEoILiEVp\nlUDNRvJOS223sMN5qSAaClF/cCsKoQOeIIixQAdAhWcY8HwBCFRy9Y5BgosMIlOKVtpy9c1fbhj3\nelTqcPTge5ZczVStFsn5f05f/tnbK+31h8iSJvr1G/mzbzbXFeYNL9fF/aE5GWUobd2kgHJVtqzA\n1j4cwQuuyxqPx2Qz+fRM1jXeLegHJ5kInZUKLQwIqOVhmqeF2lwur1nrwdVNCwCfHGVM7aqUy408\nXvDdaXZnYvO+mJS2K3c5aysHTJBZKgX/5MutGPrkjsGUTC9d8HK+aJ/P8c7d4ndO8z/8s7NfX7gs\nM8M8KV376CA1C6jZfbaE3/2kd5zhN+fy5sINMOkhmDY/QhoW2ZrLV9f127m7MywubnQtsjdIB2mz\nyZKHe73Zok77yJBuKi2GIITfH/eevF28KXU4TN4s3YBxscZP7hYNYj+zz882w2MdiM6r9MFR/fmX\n+axsnpw3+4e9432tlMd5+uqmurOf7FX09qrqA7DF474hsvemWbWRJ5cgBQG6/VGxn+irudmbpB99\nvGbXtKjvneihKc5GzQ9/SG1pGmfOtlwM7d4Ary6a5+c6OcIDhG0jfqX1BzgcaptaywxBTiKMxIzS\nhb76RV21TyFMsgIkpBgmajDZYd+GalZchz7m9TaAyIvudES3UNkh6mJwDe8InGaQnZHtbP1t3bv4\nPQKSrxn6ZS4dkhvjyRC7B1gzlAgD+uETdlH0Kr0AwKJRTCJoU4TIphvy1I2tAwD0JsOjl16FsuPS\ngYgYQCBUImElA8osRo36BnNUIBQRFWPI8/qIGHyiTAYC82xHAoj9ILdNMIQHpborDe4i6L/5Q4Au\n2vXhanj+gfwQPY+Hh6C7DdA9HlBAH0F6rB8Ddh/MfWeJBACEQ1FWMfD8YpalCBh4YxCC6kAM0dAG\n7jM5iSCSBiewcyLhIxBUxCvGBOvuqym72xb1A0KD0s7TdPFAtwpDp168Uo4eT0UU0YBvAVUW8GJJ\nwXFS9ECq4eR9PzaAIe/Goy6Yf06e1CwetvApQUTKwhmSj0LAkvn6D9znf4lJseb2yzMz+u3/du/O\n+0ZaOPtP2eKrLRRsmvmMHp4ms8vmPz3fpgOAslVN3m3K0749X2zv7Oenff7NVZO25jfnZevS7VZH\ngyQt4INj06Ksajgp6GSSbhpZVXLd6E1JwxyMgeyQMlCrpkjl1cWiSPG/OE3zgi9XmqTwYC95dtkw\nyaP3oLWSmpwWLins27OSEkrUDfpm1ajNwCGwSJ7r06/b61a+d79/p2f+7/+/tz953aQZjY0pHfcL\n4lT3R/r4nR7fsz96kM1m7bN5/XqrDw/F1WkrLVtsHd9s2rNzuNpQs3LHY3uQ4HCsKSSyllVZj/tm\nUbcMmCayrijLzPXSHQxzSOtnM/3Bw/ztWfVyCfVcfvuj7LNn5YNH5qDg33ylB/ea+RpraIYZPb/h\n1Ei9aC8a/Lsf0CA1rsVBP/lOmj5f1A/HmbY6yFBYzive3y/ujuGnz1xueQ04GXPab/fSQZsuVpW7\nejJe1vCuKQV1tbWTkWxZR32dDpOeUmLYWVevdL7Ug/0Upd6UoIl5uJ9YdhynUnXteRhnCElItKPH\n96EZQVD099rA8d1Rb6GzCKFgHzLiwADDHV6tOymJzpoGKFP/umnWbk6zdx3UoZSxw4NVBNCoX9eq\n2uXO/oQCQ8Fbl9D5rGGLe+vsA2r/wih7DeIVPFmwG56HquyDo8i7C7qiYHwDMICiIASIGdHHUCKE\nHiUVEXIAiQFFbsVYVEQWFlJrSAFF1Bjr/YCf5eGza9QACwCE6U+dje4iYV8U1VtfYfN71xReHP8T\n5fA+EAaR0Pkg7O9EUIbzL46SQ+rrgxDwH4oH95+y87Qahem9Qez8rh8tSL6tToXiOD+/KgiBoiK3\nJ+550CWy9aOnjpfuFwgh+hIuBJaeggYqN4FR31Qee2swRt/+nhBhJNuHkD16dgAAitrfgcNi/Dxy\n9A0zjsVQUMFDDA07htSYMM3SBFJ1jHzCgC5FQN9JCfGZKqAoonoNbBbyKXSi29nqxdOljMeaMvBV\nOX/1Z//mt9/f+2RPnl0skkGxqMumdfdPiiJxT5fV2km+RQJzOEgEZMs83ofxHmQVFog/Oa8ul5Il\nePeAVi18r5feGejj82axovem2aRvb7btL1+W1dZYS4OcMqvLVXk6KmZN+elbl/Swr9I6fXnTlo77\nadEfCTeAhc1zPE6L+4l9Uzdv1ny50f196vfgMLEvxskXl9VX7/D9A/v0esmWfnin93sfDn7yi5s/\ne1H1+klTS2s1M5gSOmxK5e9/p/i974xev9h+ce2S1P7giJxzV7UbTemkj6/PNm1r70zxo4d6Nuf7\nY7OpdF7rUU4/PkoQki9fV9nA5lZsT11rhlm6kc3QJKNxPumbTdnUBPtTU6SmFH7wgR7sw4snDgua\npOZ6206HSW21TuByVrkWRoOEjB5OUpvKHpjz2g365mrWjMYmS+2WoOjhg3E6oWZcWLGN66UfHuWI\nMJ7U27bUyzxtB8dF867mWaXTEVAmDwb5OMV3F3UuWW7kxY2AJm3DN3NttrSqtHVaDnPb+vHTAXlD\nz8oAhm4WU+BnBs1fgjj7EmIIGUI5iRyMLk6ALrzzCCCQhnUcf45/7ZsQr2lsa/DxuZJGMDP2QPjh\nGuK5caiGyIc4IorRpoWU/RaWF07Gf4gIhdiNgQBc3PDO610He76jqETTFkqDEYDxZxgCWiHfwg2h\nO81LmoZEGJSBUdVRYoGZFZWIUmvYCQRFaHGqJrHBXnoPA0iGwOuyA4BEPkYYPoXBaMWQULsU5ttG\nWnd5CYDGwi8jxMqc15tGxNjuF3gqEFnYsVwKgNGPSvfgtDNpnd2EqIDh67/qIWTfY6LAzN5qB6w2\n4DmgvvzoR+KG+XuBvdItOu0St/gHhs5QABAvghSD4xAQeBZ7eDYBzzFhUcnu9AOSFWk/iBokU7wb\nVlAGMMiiifH4hfe4isYgCHlKh6igWPJDDED9DC1FQygoHo0DQBPgkPgOz20SoSSzCRGAc66lTLbr\numxXdZoIODV5lu9lOkw3VQ0K+TSRl9d6Ou5Z5Mev21+eVXmWiggT5j0YZFQk8PFJntbweoGvzpAw\ne7gPkGieYengwcRcz9zjBb7fp5Nperlqzi60UEuZHQzwcsvHE6viyNqxM9tV+dUlVqLHY1UnaWLG\nPXlx2Zxt+f1jezdP6q188bZ5tlALdpBwVQKgyQXqsjUpDvvSOh3k9kcP7W/t9y7Pt79521qL27pF\nMNfbppXk/ZM8UUgH5sdHWbusvjirrxr7fpLMV+7eNAfbnPSyFy9KZ7NB7i7X0u/LvtKm1WvH45F9\nMEheX9X9Pj3Ygy+vmkGPPj4q7k2TF7MNtIkCNmu42lSibGxx3Dfnm006MqejZLFZDaYGG3jxrn19\nRsuNfHI/7zFWpO89ShPk1GBrtQ8ZJQobZ8BuGx4iSdJSjY8mvf3CfX7e5Kn9+GExsMjGlLx5vp67\ntX17A01zfVHmyCaxdUt00s9yTHtAzVI31nHTgtgS2v4UytKtWj25a46GZLG1oMrq5cnR148VlAlI\nEChCEIAkpCjgS/dx7QKgqk/wQ3KpoW0BomkCUEJFQV+1JhUEwqAMgdoJOHiLjITgK9PR4gX4EHwM\nHUpP0TcIIhogABbyplgBjG+hDodlj70GKNMTdVUh1MdVIbRZhGjRz+PwFpx3ag4B8QzFw8hbAQlN\nN6DgJ3UCdwkFIKIXaXOsikAARIRCqgxAxqA4zdOdnUIU9IpqwiE4d4yGGLyJwc6QBTPDkYEeDArs\nbKWqcMcV7DCBMGjch7pxupVIGGcVyo4swuyNssRebfG22/dHeClL77i96rAESFc1UPiAOxACgq3U\nALbe8nXBrEfwNSIC6PmCPvGQENcCgoZVFH4diiUx9tYg2oEm2NiA4IcGTF+D9aKmgbfRaRKFqSnh\ndGPXFoYWd1BVVkUEQhVfI/bXCwDAhCgmG2bUbOemNyUQX1REAmN27eu+wMiqiMSqCQX9A+9VEdAA\nsT9g0auuXt48+/Jqw9NHP+inOr74eZ5maak1UG5canhZJ4dZseXmZMyzFilNyOhqBYu6nY4yVKqd\nHA3tbMFVTt9/lJkaNrX85JsqH6Spa354ml+u2rdrt58m+zlerPEHU9MSNCSzlrM+iuqT8+0eJ8cD\nWzMP8zQhWQKfr2Fe8t6ITGv6RdLv86KqAfXRcfGPHqQDQ5W2Z2v3YK/48u2mAbCs0GiVygd38va6\n+d79YjzWfpZ+OEz3RtmT88WXF1LkKQCuqtYQNaLzrZ4M02kG+2myLZtpkQwSPMryg7RGkQ+muVu5\ny9o9uOMu3/G6zq7f4kbp7tD2B+oYtQVWuNw2350UF5clJQisBmnSS3iBVSPTIVmsK+m1tXs2b77z\nkc2StmLmJq1anA7bZ0+NOrpZNs/fMrCY1BDjzRrzA8yV7gzMpmpWrflgmg3z9pIl5USsyRK5WrRv\nZnxwB097pEa2ZVNXFpaDsxu+n0+HfX61chW0TQOFTU7GpqlX89YcnpjH5+7jD+VnP9e0n0DruHV3\n7tu9BBcbJHXW8zalq8+p+GBGVE1kiyJ6nTZPm79V9osLOyiBhfQwQiUQLERQtA98VYYgExT7IgAA\nCJFDq4gIIEX2BPhGlmgm40ZWRVJAT7MT8HynwFJjz3P1+IpBDDrpAb4kUT/PU1WDcpqKCgsHYl/o\nUfDfdBGph6yDInasH6kKAKmf2xSqotHE+L4WRiVFBQmaaaKtkCEAQTSGwDlHZIwB59haE2AEESIh\nQ4qIEJTXvO32fA8N8wwDZWQHc+ysHkTIGEJC4itpvoF4p08KnjwHXSUW1HHo1RYBxwJeNZRBFdgP\nlBIRUVHsAA0AFPEeAeP98hi3Z/OFFudIYvM2NVIaoKO2+TJFOJ7/f2fO9fYFQgdzAAAhCfhpNthx\nozUUctEnQRjHpgL4+Vu7FRy9P0BQy/KhdyD6a7DqYf0BApMGCX9EUTE2NfXNq1/9yZtvHt/7vf/r\nwzuH6pxf76xKRJZ8PzmpMVa5SxTQoIiEGrKqIhArZQO+/uqb//n/9ep89WIp1/wff+tO8luH9tki\nVYDB2Bz14A+/cfcOzNvt5sP9XiXtr19y3Zgide9mzfMrUdLM4NWqnW24SO3vPkygx19fuj7SmzWP\nTN3LIAVl1XYj/T5kfRk4uGPtaM/Mti6r6PmqfrOCIrfj1N4fUZFinuRzV/3+L6rfvG1WreyPhhbd\n4xv+JwfZy5v2tx717vUoF0QxzcoZJGE36VtITWG4Bi4QM8RHk/yjfnqzLg+o2EvT9Wb7559XQPao\nT2fzBhEGmSn69sE0OS5oMqViaLaVPc7wybq+sNtGXGaTi3fVxUY2Km8uoanM/UE6srp2+uFedr7V\nNKGa3ahvWfX1vCz65s4hPhjYn361Ohz3qXJqBESsMwzt3jBdSaUI6uBiBaen8t0HtayK8iL7T59u\n+3l6ta4GqdnO25Miub9nc4B7B5a27rKmbV09P4fUUq/ARYPHQ3AOzhZ8etr/h/ezrZQ6IajBiPnm\n82QF2XsPYbVpGtHG4aM7yWJpVluXG/3yCd09gtMpXZ3pstRpKk7hzmlCyteLlB2uWrFRyChmpwjk\ny2UKSAKAzEqkRFFpy6elGE03ovgoOrB0vY1S9RiwgkjQuff1946bQFGmx6e/XRneB6vedniEzm9o\nvrWpSGMxCfxGR/asOs8O8f3mvjhOIYj0QKWvCvkUXtQXl2Li7y9aAqfwls6mzz8DNOkPgKH5JJbO\nBIIacDAlPhXwuhPo7wp6KYmADmDrWkxsy2BABMAYQwLAYPxd9CkKhTxdI0DbBdGBFBGD9b9mpTXG\nryrKXrQf1U9x7dBkMMguJCQonhGOoYFYVUXZazGzAhCwsipLVCtRFFUniggqqgKs4DnU4AETn2KI\nKICwIPnG+sBmRgAlQhFEj2LEkq4A7XqsAbFrrokySb544IX+fX1RQVHFoL8KjCyagGioAgDHgIEU\nlHb0TYBYvWBBsh2WAwC+v9qnLRz7Oi0GOEidApmmFbJJpu6P/6f/52yx2SvM2b//N+/9X/5vLC1a\nq9YwYGosGBUl0sZWN9rbV2GPliMCkU/LPNNZ0Wbt4uXjP/hnN6Vs8wE6PtC2FtS+OXu7PRqk48Jw\nq/cmycfH1qb6+Xn1el5vWtzr2cUGe5QOqF6jeXCUbkqpmaZ9czrNoKl/8s0m7yf7I1Pkuti6L+fW\nKjw4yL9/bG6W2rY03E+soaSuXq2bnibf2ZObhveGOOzzq1Wr0iYl/PTrqlKY9Ay3nBX52NZnMxhQ\n8WA06mlzVjdv5s3Pn9Zi6Ds9s2Z5fl7dPSw+HMFnL910YO716N2FGw7MyXBI6D59Xr5dYtVyYs3h\nKFls+WbT5gkpa5PKeGKXNy6z0mQGUni+bHKwL99uGoCPjlJ1MFvYj0fJ2pVFz45S+vnbxZ0T+/qm\nGprs3bIeD0xK9Og42RvJltuTEbqmTBIRNnf72edL2Tty4tY9gfcfufln/Q9GWm/b82/6ruyPqeUW\n1baA2iofTFMGt20pKVJq8e0GVo7LGkVcYhFFyfE3y2ZQJHvDLM/p6xftBx8V6bI5X/GreZP1LaJr\nGMDYUaZFAaOC376r06yoVL7zvUaWyca50pn+oEKbH49Sw3BTSi1uOE5+/F5iu0FxGGNjCdWMWApD\nUEFGRQSiGABH6kUgyUkXHikGpBg0DJaVOA0Abhf5fKOvejaWBx3QZ9sdPIEh1osMgU4CByLnr2My\nIMakVIkM+IIkIrKEVjplBBRftgIE0i6k8q0LKqrCwR6Iih8u569RYtDXcac9aO/DOELyqX4o/2MY\nfepB2HBAAWeAAIz3QqBE6FisMZ7GSL7rDAkUyKAIYOi4VwAwRChCZocyW2s7EkaATaOBjjg+AKif\nBwjBVAIAcmBvoDB7RZ5bv0bn/PQG9TNQRNE3XYsfNevvIICEYiKoBiGIkJ+osqfnhTGx3t0HdAO6\neNkXaiGaUeroamF6lWczI/n5VV5kDlSBg3Rth9qEGrawRNoh7CJy6BYLxmvEjsAD8bmgB/fiZMaI\niWgAJgBAAvjv0wk0xiR5ZsQY1La+/PynVLqjaX9bt9n65bPf/Oze937kygXUmxSZ6/ny4nWaJNV2\nvn32+vrwk//iv/3fI6uvTPodEZYUWpT2+qf/Ut3SZiNqqv2hlBXlGS03+PFeXgsOM/1iXtZEN428\neNmsGxkN7DCjm0X94tp54AsZnp3VCZmHd82jw2wP7eub5mCcoULPplOC/T2z2cq9cWZTvdnCYZGP\nh0y5WS3bz85gLXY6SCyqrVtpIcHx3Wn9l69nv3qivV4yNpiSbhteuXY6wMS475yidaXmgi1+9ao6\nW6lBTu4M1teXs4q+n9l6m47T9YtZnWF2MKY7RZrb6uXN5l/9omQ1/QxyNM9nzb1Tw0BMOgP93YfZ\noOXHy/rrC3e6n+cj/cEo//J5yUgPDpKPJunzZXm55eczOBgVdwamKvVkrE/OyoyKwwIWC1MyPhgl\n0yE55vmWZwy9zJzP3clh1jT1y8v2aMCnx/jROMf15vFz7A/SxKRG4fAOjCZ108rKgbbiesXvfNTX\nsjy+k9xP8Fev6sbhtlST4r2xuVlBkhA7bQEHidgcNjPJpknPys++LN+uYdhLCgRAWjX12bLt99O2\nbn7xpfSHlGdwXJiqyqu0GmRmOHAJ5S3jYu5GE0XUpIBxj2aXzgZ+aAyho8lTIhJQDFQJJUUJBRNS\nVRD1JKBQRwz8WQAQUELq8tDQ9AwBPQ4vCiLRvo1WmZS8go16+kNsI+zq7Oqj6i6vVfEgoI+ACEIH\neGCUqsdpQIOIaOD9B94GACkKEvteZgBRDgCrx8f9SA5lT4IOvJVgc243MnhKijIwx4wibnHxUzkQ\nVdA3pPhikahRNESiisgiomKNSdEya3iJISU0oG3jbEIISAZEVVlIyZgQpTZNC6ERI9AEOwcZzReC\nCrMqeBnpANaKKIuyBCZiVMT3kbKf/+3hZt/H4fEfcCKAwIF+CBGGBj+02x9HfHrgYW72hB3qwt7o\nPEKdLnbEec6JhlwMvZfyWQZ68+mTknAyEET4YwdnCNRjlgF+wrgf4A4hjQs0IvL5Ae0q0gC+Aumh\nBojeW8MI929XuUGBlB2lE62bV1989vbdzcX1fLOYX1yd7vXNui5SYoRXP/uDk9nn1XKWS5UX8uli\nBQ7zDASSNzf49Os/qXsH/+j3/qnbbp1zhEgGRRhMglKf//J/2ly9rqgAbCeFzGulhG+2OClyblkI\nLiv3bNa+uuSv3sG4j4rwoJ/MZoIt7uW2UjiaZpu1m5fcn2Q/+iD9eGj+8NezL890PIAUqGrbu6O8\nn+p8rZmxBFyQIWMO98yz8+3lXBxDAvjkus5N7wcnvaGsLxfyyQd7m1/MvrpoC6vvT3vLrYMUxjnM\nNuL6MLA4J81ZP/+6erlsneiG8enN4uFxdnIM90b6brmeTOyibRepe++T7LRH2jb/+lfrr6+aad9s\na/i6Losi/e2T4k8Wyy20TZKP1b58zSmOiqIc5Zi12dkV39SUWkiMMT3Y43TdVjdbSi2XMhr2ynIJ\niaMWddXw0RS30A4m6bZpnly5h9N0r4fzVX0w6hVZ89V60bsP733ipjDBeXr5FNpMHehBzxQjPjza\n/vRLzgpaV+JQJ3159WYDPfyob37zonk+c9ygTWSUWRBK00ZI+4UpyOQ5IuHRPhy+R5cv1l+f62Bg\n25LyBBTRpDAdQZbpdtz8zkd2PaO0qGbXJofhowP7k8fzq4beO0lvZvVoqE9euqNpriyjLF/fbG0X\ndsUgRhGN33JBfdjXWEJZxA8HAkD04gq+HhhYFiHsEHA+aVXtaLPxtxIGiACSBj2uKFgvil7ZHcKb\nRX1HRQRONMDdPnz28TeCh1NDnyIighPf/Cu7wr036jFV8DtZvNENmTD6sqGKqMdsJADqPgeVWASN\nQBCGtCFOoovRmrfwCooi6vEKZiSDRklRhdUpG0JWNIAG1bEot8ZAktimYWMURSzFITKoyGiIVAVZ\nmYJRi65UKA7SJkQW8Vmzhol4IeNAREDg0CzvAQZl9nEzeURBYhAdTarvzFNv4j2Y66PfDt71mQ0E\nWaO4ivyhvEuOCFG8V/41XmxLfa5EAf/aITQIPomiGDeERMR7/NgbqhABny6HCKBzIKR0H+cvp8Pw\nfIhAt357y2QHbxryL/9bEmU/WNYWU7M5efyv/+WfP/7zS0cKlBhjbbsoeymZytybmkXT/Prp84Oe\nhRShlAp7TIiWrmfus6ttkvZ+9kd/YN3qk9/+ncF42tSNOqdgrFs9+Y//4+XZi7sHk3ZbNi3t9fJ1\n1dRtO+jJ3G1SMoT85095vuXjw3S9lfmmFsDLlcsNVg20rY77xghXLIfjpAY4yns3N+tlAydTnC/V\nZubRfpIQr7cW1ZVte7luHkyLu5b3x731uvr8rRDQ2cb1LKjWoDoaJEnR/PmnL376rukZXDXyZln3\nrEHFSUGV8Lhn+kOyQzl/2v76uhFDNcPpMMlSlpSub6Sf2DzVrcD37xZun98f0qilm7J8fi2D3Fyt\nddgz7+1nwx6MU/jBA9v07N96ZN9tt7ZvLLjvT8y76/Y/f1kvRQ562LR4vmj3U9pPLTCWzJeVa2SV\nDk2vkeTKmgE7oQeHaW5HeyMY57y/p7Nrd37t0tT0U3WK9+7JpOUhFK8+zz7eL04tvcvr643bP8T7\nRcoV/N27gxdfrK5LBQCD5mrLf+u93vpd88Wr6t3cmcwe9WG24d4+JSbJEzPoy9391LTMatJEN+f1\nr89plFMjigUL0ezaYUGjw3I4hvOnWoyaXt+u54ZLO+flyXj/w4ErDNcrN5/TycTcGyYP7thlhT0r\nLyuxEkpvIZEziKwSCuWesBnMsRIgGFBx5HXUCQAgjojw4WzYqBLy+7AdI/mZIQolYDT3fqS1H0ka\nzFycki0+XgrWNQZEELgEXcMWBvaJN2hMaBTAj3/2qasnCfi+AJ+LE6AXBgrtE2EmdECXNfLY/Glz\nABIifUAD1uFrXN42oe/NUzSE7OdmR4YrgSIBO1HaZbXCYhPjWlECIkAiEEDHnsdlARwyJVaYAcFQ\nIuKlHBhAEZAMYcBqCXbnpgBKiOwDWPUTN9QLk4LvYlcO1HEA5xiJxAvyhI47UQHPN3fM2sXXoAoo\ncTh3sLK3K4EQ9NWCb40eJBjFGISGjkNPxUFABIsUSSy36oWhyzA4RqVIivd6VV5nI/QoYWgMwo4V\nh2CCP4FIt4fYRw6qHogG9ZG7dA0rLBCcsb/ieDYY4nEGkxbNG/r63389v8b+IFluUmNEAFQaRm1h\n0tfayf7ApildORaAXoLCYlBbZ/788Wqrdt/o6RB/+ZP/5ad//sc//Af/3X/1D/7etmqyPJ/94t++\nefbE9Mbza9xWFohbZ4aFTWjw4am5WFcXq+Z6JXlKjsE5bVt3seRRz/QLvLpy1xuXqLGNvVi3Bwfm\nhw8zafX5q/Xjs7JCGvfh47tmSLpunGDay8TUXLN5f9rbLzjt2atN9a9+s7oqbU70wX46SmHVyHEG\nKwfXV80fP+GLtSJoakyjMEpJFBaV5pZSoNVKhq15u1JSmq2dKF5veLA2ZcOlQM00HSRtI5zAPbS9\nMtmq+/1PK5aEtB3lmFnMDLYsr7Z8ste3qI+fbAT7P5qamZZvL+Uvv25XNdpE2779wZ3cEjisv6mT\nf/C9w58+uf7gfn5/37yr3EExPjht91N+feOWNe/tDQ/7KFiNyOnIXCyThutkxPNVvX2dIeUPMR+n\n8tXlelHqtpGDabJeyJ+d1b/9Xn9PWkBxAAmZmw384x8NbVV/s8SmhTQzd/fzkxO5XjMWUG3coC+Y\n2MWy3Tr43vvJwOjXr9kZtEMhtZtta/Z63/mY6t68qYkcfnifRYpBlV9dNcNx/9gaMunBYTa7WT++\nlunUDgeUp/ruph0P9WJl7xz2rDAGlSIBRWDRbtcD36LBRUjBt4HEUh6qBjw6JP6hoTa+J2SYYa9q\nTB9FxM+wd6oK4k27qlc+Egrxo9dDCLaZEJVB4tBVjVB2sOu+1ITE4t0K+LDNJ7Vd05zfwxIkd4P5\nwBgWi1ecVL9XAzYduAEQugcl4sLafbMD8QPiHuCVwLMIdzBAOxjKr46FCA0Qu3CTnbIxZEhYiBDE\nOSIgY0ScCZxnAARR5EbIoIk0WtwxeTHU+nwfRNRVi2B6qDr6EyZDooqgHAWSEMA5EUYPJasqiyIR\nc0B8RaLEvgLrbT2TDnBXiC3wvms7huyBAxdj3e6GdwZ8F8+yU/UKywLqcSdfivRH9jQNJQl5AAMA\neX7RzspLGC4VqdWeMdrJY4uKMFBntH2mqELky8zYxdSECMJos37S2M/+8NnZ4oO7k7MnF8zgdZ4U\nUFiahvcG+aAgA7SX2mVbHw/N25WsapkMzevzplTsWS3b5npj0rRX19Vf/NH/8tEPftQ3fPPV729e\n/KpNcpF2gTyr2RpspJ0UUJYwMuZmC1Utzqmm0rT8/JyR4Lc+6ruNe/WuVYYiyfdzM0rso8NkbwDH\nPVwt6I9eLBjMwQBztMdDvLh0kJhZrRkpkqwa96CwSUYbqH/2y+WfPG0eHaDtgXJ9vjajETxfurOq\n/A+f1ZcbQQMKCKJO8WBgq63cVPijE1unzSjtnVB2lROBW7fcs2bj3LJK747yhtqbsj0Z2NMiTaYA\nGzdL5emz+hdveNs6Rc1NkhEx8aO95Gbmzrb4yd3hj3748dt35wu3sCm9ua5sBj3LVWMyojfz+oP9\nYjLEy+vNv/28LCvoD929PJ1aGA6z93qyuTEXC/dokNnyEka9PM9WpZBNT09cP9XH18tXr+ywsPv7\nWd6YyQSzFdVQU9+6ldw/SA5P8yxtn1y1mCVF0rgWENvLeblvElV5/6SHBo6GicO6LaBaN5VYcfhu\n7frG3HmQNEn95KUsaxoOZFG61pn9/TwbVJN75fUVzZa2XmDvqOWrpG7yk0kvtenNakN4dTZvFlvT\n6+swg1VjBmRycVO0w73szvG+DfFiSEExmtXQ9U2dqhcIIpJ43fZoIkE4ks4AQnFaIRTzdhsPAkzi\nsYWAfmqMW0UwiicBADOHLlgFjUw8RAwzkDQCzwCEKi78ACWCmz5D7rBuBQduF0RHdelghUN90pfq\n1ZPaOEAD6NiL7qMfNdRxjb1p3hWlbuXnzIpICXUaJuAw4AWtiieVI4IiWiJRdR45aBUA1QCwAqgS\noQ0oPTvx527IkAFfQmRQQWAWZjFkUUQCMQUiE1kjBAMsHIS2EYQ9/cszl1FY2HcM+l5Bjz/7tF6E\nGRVU2HWiEfFZxiJkgPkDUBWkXEIdt1OeiJVORYZO1cJXCiIXUIJYCiJ6T6QQPCih6cJZDeoqnvPs\neXji1aqY/XCyzvqr58hDhEeCalNooQ+JTBBA1RBKQAT6uieKCKygNh3KbP2zP7y5mD86KX71dP50\n4YrUBBxfAQCsNfO1q1v5/mE/S0TFziowBscZ9pC+PneOYauagxnmVlgPp4PZuvyX/8P/43fuQLO+\nFOqPEzBGzhey309vtvWeLZbrmg2mVl5VdUsk6K6WYBOYpPbNVb3YNsc2uZq5vWFCqY6G0FS1A/ud\ncb69ab+8kFFGlZIF07PJ9RLE4CCnd8v60aT3Qd+sa+31TT8jBb1cqZIOe3T/kNqSn7n2PU7+6PHq\n+RVkBo1BIkLSuhVgfbOopdWa3Osy+Z3jYnMp//1nl4NhMi4EFghK08y0Uj++hg/2kl7Wtk6HvWQP\neTG022v+gy83ikSIItiCLio5UjPOTJ2hGO0PysXsbY0LsrbcCqVgCRmIWb58V9eOTU7HCd87tucX\nYHMaTvlMVoVLRcv5vP3Ny01/krfSvirtncLe2OV6lTZlm/ayLE2GnBwM6bSwD6cD59aJwGfPt9eG\nprmyxSKDG23u9hScXq7dozvJkxdV6bRq9FLbhKhEPurhp683Hz/MeKVXayjbar1M9kfJ3XuYJ8Ys\n7fPFqmQz7EFGxfsf4iBf/+fP6svW4NZsme/db7TqaWkggbv7wxZbSqABWbTtisEksNlQU+sC6klO\nVwt3Miou3r6xrOrp/xI6rDwY7Md8RM3+yC916jDS6RAh0KQhSLsgUBiEIpGLFo4csvFgrj2T12Mc\n4BVwNMguIgAgM8TutlDRRzSiAKJkAmrhd5Un3oY6ZCRd0E7wR4Ix6PgQQYROO7J2wCgJhL3kg6Aq\n+6ncAEToRUhDDB4tQHfQuL8REEzQigOnSqG2CAJC6ntzNPoyFFAGNQjMSuQrrABAoOicIjokg4gt\ne34aqAgYDIpHLoj+eTfhnBhQ9nM6aDf/MFhFCQ3cgRHiaXA+WwrN9N4wxSFQCoB+miEi+WGAAf0B\nCGIRPtruSr7ezioH/MGTa2Ke4Yt4nbophjuMCrKjDAYKBwCoGu/aCFRVWB2yZ3xHIx1oGN6eigds\nBJDQc5cj1NPR8kJIjdgVnJVDO6pG6o831tKBzp6mSYSCiTG2t3p29vN/K67pT/Xdavv4Qsj4JUTG\nILOXl9FtretGf+6ak/2ssNorsG5hlJsXl9VlyZlFFnWsdQO9HE9Guqrw2evX+6b3/bvDVcn7WVLX\nfGN0UtD5QucNnw4Hs3b1k1frRcs187RInq0cJNJL+b3T/Khnb2btzMkHU2sc54TXjn/nfmEc/+WL\n9vjQOkiWDfd6qsCkkhlS57aNQ9AkwXFCR31LKKXYwmTfO0nSTHKVMoWTLONSl6XLbFrkkAmuK1CB\nIkuc400L28qlw+xH3+ufgPyb1+sK6XuH9vwGTga0rNt3K+43eGfSzms6TpMkxa3jhNWhPLuptoyL\nLSeEHlk0RutaN6UZJ3J0Onywb3//ZxfvnfavVtWba1e3+G5Wo7HH4yy3CsZ8cmzY6Kpq51stTmSb\nQWKyi+323bvFs7f6d7/X/3CP5s5tq+q//3erD76bH4+3X124kel//9j0B2bUcJZDa7aUmDcv1l8t\nzN0D6qfmB3eSFNQM7It38y/PsWx0caVCmJBJ0RZ9Ltd6edUulzSv4XLZPHnTukwzoOk0ub8PtcLJ\nnsXlspi4mzNwlb1/AIDrpfB7p3ZW2pMTudnKB3do9kVWZzpfrx9fVSm1r+oKILtYCFi7n3DbajF1\nzkmjAOr+6Al/eK9nfTDlOaUYAx9vMpWjHBGqQQLj4ywxISXsbFzcByChJdtjib41zlO1PKc6ZsNB\nkxNAFdhzNCTyjUJWjGF/icSCIQCA41CHitUhP/pPAUkDMwGVA2mAMGDGrD7lD8IifuYQAgqHxLmD\nQDDwHwIozoxExrHTAPwAEgUTES2hN/sQIHvfCdnJkAIAKYlwaKJGjBZARYSyhDC4IRIWY/yAG3BO\nEdUYr8GjqijCgpBa40TJALWgimCBEJhBFJmZKMAC3lL7jgxECv/ygaUTiLrbIgqEBEhArF5t2bs5\nUQV24UQhQlQSaR7OR4+CiCjKjsU3yPkvBD+kKXjIiIOQxtGFod3J3xxvwNGnNwDOh/oQe1yC6EgI\nFCjWJyBWJSUOlhU2YPz6Cy4XIlFcgfzTi3iTN8PeQKsIdUR99O9VBsO2OFp/Pfvmp+dX55yoZFCA\nTTBZusqzro2faIXkl6YxhCIgerZs9gter3GYJI+vqz/9skqMQVRAbRkXjX73jl1U7vXSObSNmJbb\n02FinBUjZOSL82avDxttITfP321eXLAa+iffHb6fUdVsa8lyKx+cFlklv3i8GY2zSUrXTjKjP3i/\nuGvSP/50/q6GqYNlLXlq2cmvLqof3stA2sUW707My025l/cOh2g46fWxXG/W3FCCHw3ttIB3AOWl\n/PptsyqNortZoyFQBWYZ9ExvbFAwNcm9Q7ueu3/21fb5gu5NaVXynVF6Nt86svuFeT2rX1zipqrH\nvcISNFBft/jLr7d/+rhNEpumoUDfqkmcPLtsj4buwz1bQDW/Gf/X3937q5ery4o5kYtrqB0ZgPN5\n++Aw/XsP8+OJfH2GqU2//wFsFYaQTQftfpalLjkaKY2kKtwgoeRaf/Rhf7tqXm2Q2rR0XJakiuOe\n2Z9CPraz82p5Yx7uadGD/tDmKaxKHZZsbP7w0H36Dt7M6wToaN9w627mutkwYtJWcjo1FrlRbVo8\nPbLbBgTNBw+HY8YXJYqmoyl/cK/oy/p//iv5/gd5f9CWNaZt8oOp/vondk8tmHp6aF5fNWdrODmi\n0jWjPd1uDKuOp4IGqw03IufXnE9wxkursGtz9egAoQFQ30flucvgm/vY09tAUIG0q+vHnRZKOzF6\nQ9PZ7sD9BYw7S0lFXLTk6oevBksU4lyCmD9CrDpB6LLVKDYGncYzxjKh9xmxHog73AMAQjNklFaD\n6DIi4VWDFfPskDA0xInzQZiPECHg1KEbPVy1dwy778OvBDDSbP31IzNYE2JHJWkcIKghQiuA2gID\nqIlIsTCLMV5QEElRoXG+w8Mrb6s4jHGtf0uIn7llUF+XEwqzUJVZxI+H9HmDJ6YwOBBPvNPOaiMK\nSzgH34niH6SgAnivFqoDGsTewuSZgEKFBhLfsYKIIT3yEXDsiozVDQgRty9OqAABaohMvYI2xtUQ\nGfWg4qW7FNGG92JoyJb41Ls/EIxEhZSworxWoCJ3dQ4NWgMGtMS0D1Xv7LPZk5+cLRrJrXPVZgUH\nR2mKDMAAZCwZQwDELMgB/jLWWmseHdiq4U0NecrLla4dZolXRQjEnsPCtLVawGkfximBM6/WeHeI\newW+WtO0J2Tsw34iTbNfZBe9rSGsNZPpSF6uPzjpX8/rZxfb8xuejHs/flBsqpaJe/1eW8K/+Gqe\nWdwr6GJBBmS51dZhkdC6dQbk8ZU7aPOTnmmB0kSXdZNO0rMbpxaaCvp9vJT27Bq/educb9la3LZo\nDfYScIxsqXVweemKMf7442LPwr//q7WAGRV0b0TvNq62fDA0+0irUlihEjdvknGaWJLjffz9X67/\n7An3eiY1kDEtNoKGULVlFNBFJTPQY5PP5fpNg7Nte7nR9/fTK2wSSw1zmiKivr5uWdCq9lIacMIo\nWaqHTb5YuKevqvfvFg8LbJUe9mjWJnXpzmcOBb9+5/7W+/2fPq8mI/PRe2l2kh2Inq+htWABcg2n\nkfVokKUHA/2zi7aXmbtTXG54udKjA4MNp5TYREqnhqlZmdOpvllK21LPQH+U/fDEfPr5atVYrOTR\ng96Hk2TxevD330sQ5eIS6oW5nmM5lb2Rkmlzgsfn9fVanepwD6aJuzxPRkaVKCF6fu4GA2Bn/taP\ns/WivViBvZW7h7yPkUNM7cNn3+Pn2P/TS92E+UwQYGGPGmPEMbxZ5sB/QgBlHxR1tGhfNJcYYu/Y\neAiA4vFH2o34FoBIjg2wCURqhWIAICBaZIrGRAGEfZcZgFcjA+o6zLsOX+9DJAKrO/w65Am7eiAC\nCWsnv4Sh3xcgDoSWKHTtUwQFQcDWIaEqqlMwqBD8n4CQglpLrIriJzF5rQZhFvRd6sCkhCAkasmI\nCCoxOC/Doz7Jia2bZIywSDxfFvVd7LHUarxCEyo0bWz2g1Da9Vg+c5jo4W+CKgZBJC/HGvytulgH\nVNE4+gXQ07/VeFclgApEoYPR+1hVP+YvUCY1gO5+gfmDqNcCCAacPD9DMcw6Cx1QSITs2/lRVdXP\n4AKQOAMyaiFSLH8DBv6GN+EMDv04wbiQEUjVITaa3tEXm1//8cX8ssRBf1ismnXj8MFxVje4ccxC\nlghAnGOvzwUKqbVAhARkiCB5f68n1fZqIy+uGgFxgkRkCBp2/TxdOFm2bjyERSlzrnhNlSMtqi+e\nVhcrmhYIZP7JMFXn3t8371ZpK+7nz66rapOm5hfPrgnTydD2hzTqJYs1X27a44l5WGT/9per80rf\nn9ht2W7E/OgkfXXdMlBO/M0Z/N6H46+bm5PJ4H6PEsJekUhS/r//7OZnz/Wj4+xv37M3XD2+5Hpj\nG/FULuhnZAgOhvZqzpmlYR/y/SwvWlRNmdYNvn+QvD8mVr03ypSkf5jeLOSri9IaUpQ8wdd1dXyU\n/OkX5efveNSnNLGp4nXTJNawX3qICLAWbRkvS/7Vy7bomZMs/989LI567fnbdmvs5Qz2B4ljfVu3\n1Yo+meaDHNoarUDuTDJu5nP4+x/0B+N0pJL1THnTXs/ck+vt1Y2+vtDxXtKb4mjPjAp7cGL7CH/5\nq8V//sY5tD+4m/WGLVrMjZEMrZE/+3T92TVmKelWCNGxNKAbB0WurPbuQ6hmvKyp3tLJxJDh93+c\n/aOPi6dfrVsERiwGUG3W/+Fdc3IX59dpVth+Dqg6OZS3V4xgm8X21y/a6xV+//3B774v19t6tsXF\nwpzuk2vVGT09pCLF5RYt6/UK+oPEBhpWiFJRRMn4qAwhdutRVHXZhSCgQSBCd4zRiHWE4AyDYMQu\nHcWY22PYFaSCAs6j2SxAGlBOBPQMXARkkNhgFqyphMFFEIBzD6N7mXbRSLIKcIlILOiFbpfQzhiy\nBH+GAWChzmKLiO9s70JyRGQOFSuF0KEs3jogeoTDH9m/yZfBvEtA4++tH60FZCBBrwoCHppwjIkX\nqAS21jhh64uJSsyiqta3UhC2vt3SOSAILBYfnSs453aDa0S9RpOACAOoioS2EoQdduGdHJINGJcv\nscakJawLBdYOs47ZjPqGHN+StGu29O/SMK4wCE55zUECUAFFkejIY6uRL4KgSFQQ8A6ZgofX7hVG\nVYNeh0HDnhWIaIlAQGJLNyIikfpniIihFBguwJIfuxWYG9A5e1VV+af5z/jpT//FRbM/GmeihM1B\nXiRTfPJ6c1PSf/NodDJsni5bAvCeDxGBkEFBXdvAqEezsjoYS28Ar9+1rxattQH+d6Lv7Rf/5CPb\nOO4ldLeXQ9s+Ok4F6mHf/OWn5ZdnbWrM1ZL+zqP0/X1YqvnLx04BNjV+fLcAaOelmw7yoyktS7k6\ndzfreq/IBgPz3jT51ZPZs1V7Z5i8ntc2xYMBLDfqRHq5gkMVuKq510eR8tHJwHL5et32UvnVi2YL\n6cHQVthebXWY2stZPSudIBqkumEycLEATPXOXn5nT29W9aJJ/06/9+Tl9rCfDFM6HpjllnsZrgWe\nz7WXmR8e914tm/NS9yb04K5Jybybc5rYzaad9GmcWAF+u2jJkio4loNJ9jsfDOZXmxW2dw9oWcmL\nuuaZnpfm+LB48nSVmSSxeHKETQuJhZusqdkMU2paODyii9qJsdDj51ezcX+479pffNNcbnUtcP8w\nzft1r2eevqsefpgUQyKFz7+c/avPmrRv/9bD9Ni4haXpITLqJEUSFqWGqa75bMGJxSRDUDPqARMc\nDQy2yqlmBbxo5bBPe5PiH363d/GsWrZ0vm77o8Rw0wpoQ9cruP/91dOvBlnTy7Nmu4J6aYZ9czGT\nQQGgcnHlXg+IMJmvuVdo02Leo6MRP3/NZPOiV33+EkpOvntkiUGC9pjv0wVg9lsutOoGyyLKIqyq\nCBKVOz0ai7FQJqrulsyybzfzGsKhHUS8mY4WULxuPIBf7hxiWxPmhiArNH6KaXy5Y2mdsDCzMCuL\nr3mFaJfCSJdQkAqgNsQ5KD7TB+BQ8kMiH6Z7qxrE3kRECcFgDK8IlIShZWHwYk4CAKzSejCtqz6J\nsIgTZhUnDAqopAKs8aca5HvEaVOxa8UPq2XHbctl3TZOhKlpRJlcAyLgWgFF4XDw1jnxgL6Ca8W1\nvOM1oqpHWTW0Ykfo1kPH3tEGSRGPTAfejoIwRwkkD62oijCrl0ByLvyWWRi0FS+a5B2DaMhI/D8R\ngBVBCQ1143WCZ90lLkgCyN5eS6h7eFFpAQgKoyEB8mhyDA8UAER8ezkogofQ46EhlLYFVJXVc+Qx\n1r5RCT0+pmFoFsCuR1S5oV6++ar55if/n6+qySBrmtYCH/cpTRBLKWvs50gAmZG2FWXxPD80ouJE\nGtZ2NODpQC6qptfTnzzd/NuvKq86oEEFECdpdmAT2xp1KAKf3E8ykrtF8dU37dslIODenv2vPu79\n4wc5Iz+dtevGrUopCsoTOb/hXpZ8fJK7Sp+8rZFMXUPJ8MlJ8uq6+dOXdZbSrGRB2NTydta0KsdD\nGiQ8r/jvvZ/dXC9O9mhI7s1FOU7pk33z2dPqfI0FoVgtAa2V2bqdraVmbFt1TgWpZUwSu5eb+abK\nC9sKfLzfy9r2XUl/571k4+qfvGkuN9CI9hIlkKKPDybmzjBNFB7e6X2Up3/4y+XLtay26pAmPWOt\nvjfOPPBoECunD44zacqrujlb46ZmAvqtO+nxwLy3l3x4x6SG1OAkM0UPklyLgiYDapLm6bra9PVf\n/8XN//Dv6//vz9dfl1IW8Oqi/KPfVL94w3fumkkG59dwkKeHPfvRe/n+ON3LUjd3v3nZbho+6iUD\nUU2SQc8UiH1rueZfPy3nZZpy+/i6TVIEBCewKfl4z+YEN2u+vJGqpYM9e/fIFnv0f/rHk6Nl2pT2\n/buDST/NCl6UMqTszRmur0aHtt9LcVLI6V6uTet6temtnt+Iikkp6SWwqbSfYq+P1lCem2HKl5eY\n50lqRDm9v188PATJnFVFIoqU1lB0V2+3CCXUDUlViYyHDxB99q0KYKKFDHgFGudxYQzBDxISkNyy\n+aGroJsYoegc+wpaiLaCPYlkBYnhXIzpYnwacF6vRiMACIRR58jjKL4Gh5GS689eREAxzsiNOEcc\nBuOnyWlEOhQogrGBYRvIeegtIITuZn+xvr6F6BvqAkWBPGP2dsRKgUZHiACGrE0JQEkAUKOevc82\nwLWMkQHDDI5dF6oaMiLKzKo++Yg5BqKIigv0Zw0sxwC0eifDKqjIATWOpDOAaGnRV42lU6WI7Eav\nCs5RbhC7kwNoQ7IiAMj+Joc7G8U7w6IIbT7Gu5NwPT6IVqFgNxWUDAhHFVaAeK4IQWbI06ND1K4C\n3XRHUWD2bd9e0SnMPqDY0YOe8u/Z2aIt5nv1N4eLX/z7Z+6qRIYqS/TRXtaqbhrHjTYoqYEXy/LN\nujEIFDvwQcGmvn0RB6nJLf7uo97Q4R9+3jBgiihqPK2cEK6b7aVkpXEvV80oy3IHRyNzfdH85ElV\n9EyW04/uDj4ZMZOkJpkwj1LIMzkc02rJg2H6vbvp1dtqs4J74/zjO+MXN/P7h4WW7a9eVWlqULUV\nZaDE4qRv3qzKTYv/8IP+tMcf7tGJKX556b5cuCKzL0pYXJYvF/BbHxbbxim5xaIuTHZizc/X8ySj\nptbhICHQq4UsN/W6MsxwcwP/6HuT+oL/6EnbJCZNKSN7XUPbx6NBerNq+3kzSmBVw90hLjD57hj+\n6ovVizUj4v7ETnomS1VakkYSg40og/7ug+HDIZ3NKqREgQtICjJfvSjfOxk0pWxX+uPT3ufnTW4J\nRA+n1ddf28++obv30v/Df5O/fFWe3fAgL4b7eofS+Yy+frfYavb9B+abZzWQHfS0tYp96fVtigag\n/sXXq7MtfPye6YtuAPs9mKRgU3w536L2DFdX26ZmYGUCAwiulauaP4Lk3kG22rQz1aOpfPNYegP7\nf/77e6dGX61WkCU3rhoduLNztU16cc33jnufHJvm5XBA7uQUf/VVmfeL7x1ufv1NcnoEdaVrp70+\n7Y/MagPTEUFLmaVmm6jT0ZQdaN0yI/bH1C+MDRvY2w5AQkDrZx57FTcNNCikIHEfEATw45gZIXKL\nIeCX6OFZzz3zmGcgvEZ6nHrZGvFgN6CCBQy1KOVbreQxqQ5ApM+rAy4sfvAWiwAogWFhABfAk6BX\nCmKC3fS70psfjKWheJJhWgf4E5UQp8Xbwgoo4FWhRGO7ocfDCSmQTEIqEep1gmqJAl2BAQAIlUBF\nwAkkVhCAQA0oqDBBW2KaGAD0slSE6EUpKFVFZQERZYN+YvnO2iEqC4XZeyiMIbCLuLunXbeNdDyJ\nDo8iQFYhMh7DxSDFHQCcQN4R//gAEIMfAFJlVeAdBrZrgw9Ye4R4AmfD8zVDi4sAGIzVOvm261RF\nAnTsJcjjsHAPk3kuh68MYFwYhBoqGBAXi8Zlhn6IQ0DhCAhD1RcByPdTIgJAzZasudt8PTn/T7/8\nZvO2Su8P8XBoBqk41rMtE+O6bmqnhwbrGsoGDQUTH05FkQidCCt8eJrf78OfflbWAonxG8ElKRGC\nNnBnipRoUpsso2XT5FX69Wv++YvGJGa5kQ/uF3s5Tvu9umrfLHlQZP2Vq2uXmH6v0KxnRqi/KcER\n3h+Zq/nm49PsKKN/9cvaIRgvu0ouMQiqTrVfUK36dttaJ3+1UQC43jIbSIf4xVX5+DUPB7Y/sIc5\nfv60rIX+3gd02Dd7Q3OzAUJoWu5ngCCslBs6HCX3BqZg+vWcS8R1pb980Z6t8dF+lqC8W/G8Tppa\nSksv5/Wgl/zT7xe04N9cyvvH9vW5lo6/O0qOx3S5lJfn3DN0up/eH6RjwxfrOrU0VMoIDsb20xfb\nk5Phdw7Naqv3jvLSlWtNDkamNO1sad/N2uFB+tvfzz79fP3Z0/ZwnH1w39rK/dHPZw0Sgi2MYcTJ\nMEOAJG9E+g/3B02yfXiUmnda1nR4RHvj5pdfrrf56KM8nU5oSa1J+OpdKZhUTf1yyUVqKwfGQC36\n0b3sYEDjMTYNHA0IbXUB8Hs/7GUql+dyVbk//bJxZP/LR3qxoL1BfjrEPBUkbZwOBubtG1dXcFO3\nz59lYGiSuktsyWjbErSmKmGaJIXhutQiyScn7cWKhmlxOEpeXc5ckd8fWNuFij67VABGoCjjAAAO\n1CiKCHqabQSmMXQMiPjJ2iEW9XXEoEnhi3gdhhjaoCluKQJ2CshB1D+K3rF4xYcA9Xq7ScHGeylk\niiU8T44Gjg3lRMZXxhDCtG2VWFsKETKanQaeL4IqS1Cd9uEzBL0ODRbittoZsoKBQBpBJ9wploAi\nIql6zhkrhy538v1oCoJoKUSQDIFdhgjCaiw2bVDP8c7C46oG0BpUIBZBUURv3pTIAEDbOAAVFrQK\nEqaDI6mKOieqaoyRoMLpaSuhTtaFwt6wWTIK4MI89KDyAYpApJ3KMwRuO6IRFRsURAMZzoWmRVBV\nQvJCeQwCYPxT8qGtSGjhBlBQ41WmQ8LBiiiERBiaWgP7h0KDIsTEyAcTiCjMhERdX1Qsaauov7Hd\nU1ZVQSVUoKSBxD8+o8yCEyofrf7i2fPPVttio71PDmFRuaMMh6Pk1czdLPWHp8kXF+Wkn/Ry+MWT\nMk/tumkR0CCwiCJaRFBgwb/zsPdff5h/87T8i+cVIHloUBVUwKkeTc2DqRWRCoQUPz5O1xX8x8+3\ny5aHWTLo2R/f6Z/0DAIVBi5XOki5SPCHd0ZHQ9g0jkAVoQFBg7PKZql+OM1fvqxaxf3MzEoV0IRM\nkVonTdMCA530yTolS/9/pv6rybIsy87F5pxrra2OPse1e7iHjkidlZWlWhZa4AIXgjDAQHFhNKMZ\nH/gP+AP4E/hAoxn5QBqNNBLE5YVoA9BAN9CNUl0iRWWlDC08XB8ttlhrzcmHtU9U51NaZriH+zln\nLzHmGN/oxjiceST8/nvxZF798mvPyINt0A79HGOtOrFMfOkq20xguGKtqbJQOklShSCWbT81OpHz\n8erpVbksyKE8mOJGM+K41BFdLaHb4/Ocm045hN5AdQX+9cNydzOOmRU5Eehkpk2wIK8ifetAHQ7M\nZFL95kJubKtFIc0IKwIF6k/fGQhWx1O/tFWyagxa+sL5VgMaJjq+Kr/73c4fv9e0i+Wvf+3PLqDR\n5tmVPD3OH1zw/pbebtLF3MdpJMR7/TjJyJPudX2jk6wu7Ddf55RFOwiLafKHbzlPmjJY6kpZ6HD6\ndLY4LwFQ5pULooL30mroKgdpONVQcUrK0WyV/q/+budPDtWjRyvp51eFzTb4sOcuR+l2T9gVJSTb\nMV2WvtWh81fFcKJubqbfnK9Mpkiq4zkYo96/RQSUYoLtFTWqsoBU66Th5nO5e9Btm2zQ7dztNR4N\nr/7qk6leP6xhwfMICPVTFK6SpAlCLpbXI7l1HKy+tiusW+eDsyCwdMMzyDVcSRSGRz1YOEI/KCAg\nEXqpAf2IoBRCoM0F4RmEEVTAc9SukVCHjb6eOgEqYgDwTKQY2Hr/+qaPXEcm6rU4LKECznuoD+m/\nXbAYIJwJg9W61lbWnJ36Ya/TN4JANaYioJrCjEt+a95+7ShEAC9MiBqJRawPfxQQhJGBgBiQkB1T\nDecHCtM/BgFRgiLEXihseBRgFIiVDwq6CNUOBwIP7G2QHYSIhLFyzkQaNYCTcNwOSXPvRELfoHgG\n0UrXM4b6DBpEQnA+aNh1Wkk4ZF6CXTr8JrWSUyshHoSFA80eCZnr2Hm4VwSgCP6WykQIQT1b4w9Z\nMJj5JOyuPizVED5dhIKOORSvSQBdkeNQifIaPkAIAMEur2qDI4sAIZeUqGJ0171UbEdqY2laXbX6\nlv3l1y/OEtWeQVmyPV0goTzMqy1Un79yH9xID1LVNPpWWy8rocQaK/WIFGvcSLCARKzf3MmU5f/T\nj2cLT7ECo9E54RrerViEIu62cDjm1KidjvoPT5deQRe1aPyDN5sfbumEqfTVisUoN1mxeNOMxInP\nYrXf0pRAgvBk6Lfb7h+/0dxuy2eV67WVVHS5rICloXVb+YXHraYZLso40rsZnHowsbSbamtf9bX6\n9MLtbuskgtvbhiu+nHCcqn6kmtp+duqmOYSao3Clsh5So/b6+jj3f3/Q/U+/uvSsNhp6o0WV9XGq\nDlukRZ5OXDHiiHyaqg/vmNy7T57x8dyRUZqirba5vkW3NyLWPkVz/Gz6/s3mTgqvLrgdKyLabNGK\nXS/Wjy+WK6GNRnowwFWuLwqXZNQrCVd67srLEb/Tj2dXy599lh+f47XD5oeH8t9+nk+tTiJuNvWN\nLX24T1ttWHjc6CkicUpyt8Jp8/RpKXHSNuXJUG4MDEUQRyaLMOkZN5bLwrdb+HIqriL0hBrQiY7g\n7qF5/whjxOEJEkgzi+7e1O/uRl99PuOtMslWxmTtrLTzxFjQCTKBZ1E63tHlb57bV0Pe7sUrh75E\nr2lvEM04nzuqWMUKVrSMMtrc1Eo7V6w+eUDX+urVq/FqY/JoPNwZ6AmXrTjR1rv6YAQAFOK+4EWg\njt0yhxxY4HCC0Nru770nIoCQXhMFtOYLu1AJFXKG4RoYbK1QqxRYr4EswAyEYSzH4TIPuDZgyG9d\nAbLOHYqwFwzjJS8aqLagAnrvZB3uXbtLELn2bLD3r8tJpQ5Q1Fp5bVKuZ54gACyuvur/rau6rE1+\nYQGrrSD1IS1oQuuftr6cB5dgbQ+vAWn8WuuHcMiCsKasZ3cBIhG+PRI6EfasMNTIAgi44NOWANCQ\ndXNVKKitt716hgcoANa68DIE+qgKCA4Ksc1g+sMAdMb12CC88j7IOQCvvSvr868gERJROETX5jcU\nFiAMwjN7WcPAAQAYIaTR16UPIdzBCCpse549oiLSAkwKHUvNh1qf9glq03t9aQq3AdKABOLCS+pF\nQEUojOyknjQAYdjaTUXUcqd/gJ8N5OrlqMKl7KXx3V39eLo6nSdnizxHfzzlN7ejdsythn5+ZrsN\n/d6e/smjRTvRR108nWInpouCg5IDUgcYEcA77DfVQV+dXllFKkYkYkAkJd5BEivveVkgkY5RVpa2\nBnqau0npuxleTvyNPfOtvcZeAvPSeacS5adz36N4YnlF0G/o3Y5esmtDdJDpry+rKONZJbOF0qmO\nS3de8l7HWOZ5zvNSKaMRxLMYrdJUotw1SM81dxN68dy1Ouao5S4LzxVR5G2pjei7G2m7KfPR8vQq\nVwpqbZ7UvYHxKNsD9c71VjUrrnL6/o2G9r5AzFg9mvGgGY+G+dyCARx0dQEOUReFfzzmXhPmnu8N\neK+rGn3GDa+VrUY+d2iEJjPXi82ytPPCx2lzsymvxtVWW48m+bVNvdVOoi599fFlr9FNqXx8VZYg\nv3+n22vKs2fucoy9fnz3QGiOWar3Y9jfoWt7hgu3nUBF8tWT1aSEa4dw83o0OvGoZX87jSbWarO0\nvt0Rp7FF0OrQybPi6lw1E/dy7h5c+Ok84AWQlTRSahLZqSoLWDhmrw4HeivBVydz3nIz64enzeVM\nL+fQS+j2Ucfmy7GlzZY5XxWnx+WwUhv9ZLxykVLdlh5ZdzWnFOPmFjSMxxhjJ2e5TWeu3Y1nOd69\nq+3cf3YM28v4e+/yq/Hw5w8gSzX9dnAjLD4kYcM1VJjF/XbNCktmuCjW50nm9XEI0Av7wLIAWje3\nUtBAxLOql/KaGBGe/+AKcMIeuPTiwDvhyrMN30pEBJwX+7d9AmGtxOBJoGB2C15q1OGCXwu18Noq\nh8LEQsggjtkye+BgAnFevBcv7Bm8D3i73x7tkQhRreWcWs1kQBbwGBwvYYCGXLd6sWNmXE/ZABCD\nocKv3Q5eUZDHAQQcO5bg3UWllNI1+F8gRKlRRIwK8oQISuk8ixASaUKFWhMCaIPKIAaeDZIiijQl\nkSZFhlAjEekwIcT1BI2ZrXW+xl6HH4a99662UAtzIP0zAzv2SKRq9URI1TcPEfbeec8cNF0QAfEs\nPkjJRAjkQ1CzdkILUK1wIzKhBC8z1zsvCYuz3nvwNTy73rHqH0nqphdEQAEmTTpTPsfVkAHZOS+C\nKoqmz3l25YiMiZRSlvQKVOmBl5eblx99e/rjHZpPIM0xYRUZg59d5H/+ZflgZBnwMEv/9HYKzP1G\nTA5eze2gQZNF9XICKqJhoS3ARhYnUe02CbIdAChNuYO/850k61e5qP2+FmbxWFZiLXaaCkQqL0e7\n8bU+2pKSWA8yeH4l3bbyTvVa0d+70z3IorOxX+SqcnS5VITRlMWktNvSDGIRnCfyuj8w+32cLbHB\ncDIuWwk5B4OMepEuLextmZ2e7ia63zAtrauK84q222ZpLZAsJnxZufd2k/3MHDZScDSfwaSwlbdP\n54ul59u7tN2rAZFAsLcVXds2ZQ7tVvThNs5y3+saR1wZKXIZMmw2ae5Fp9JMlfc+R+n24w96jRZH\nv3e/udVUh5um25FmG7b7mGq8pjLx/KdvbX17T1+WMC0cACSxcmjbGB+0G4N2/Mfv9u428Kvnw//4\nm8l7tza/tUftBKHBQNLuUmPD5bmaLl2ro6+3k0XJSaoaqUwn/vzCI8FHj32E0Xt3Gzub+vb1zm4j\nutFrp8ivpm6hkbU66Ko5Wxba6ulUmajUV9aKT7bi9Ht30lvXTWTQikQxaYV5jqyxv2lubuluQinS\nqvIPFwts0rL0j59LVKp3e51OjLOyRGW22sprezEuLiqOU3eeF3OwFuxCW6VkXvnOJhrwXnO7Ad0+\nNGK8GEkl0ojU+Vn18Mrff0u/d18+eTD964/1eA5nS6sRESAcWOq+u7+lJgMgurW2AQJEyOw11nUf\nRLWRdI1tFhRgZITQjxlCIUBEjhkFAanmrhGKiBNGIIUELCweBRTWP0MtizMTICN7wPU9u3Yj/FZ1\nlLXA7Bh/y/MFYSEiAWT2YZ7jw7yxxmci4eucMQZ6j6zXBQDkv6WN/FbKrPeGECtHRKy5o2GBIqI1\nOyjsWiJCSiFgoGGAcCD1CDMoCv/OAk5EhQEcKiTQSnlmYCaFnoUUMrMiMkqBiPeMAuhFmEKIO7xU\ntZ7uvQhxmLEJuuB+ZhBhzyGbF0hQXCvBUutbnjlUjHnnw0XAC0AgbIiv7+l1In+9aYdXS5B9mEPg\n3xpCotSs5zCire8USORFCOA15K9+u0MxDaJjT6HoiwgI16cHERACCgFTK5rGp6enpzJ6fHI2vPH7\n/3Tv2mFZrNzTn1Qvf7Pb6bi0/1w6rr23n3qocp6fVFen7QbHB+2lJVu4jbbeacuo8ufn7ovLMjP6\ncOAvcx97wFjOltXj8zK3CDGfVXg19/222WroM+9OxmVuMag0vD5FF6Xf36G/c8+8uHC9TqOonCCh\nAiUMQJUVD7DbNzHhRjO6qOxgEx88sKMC3rmWnE/9H17P3tyOpnm1BG6TL1lnUTaZLx3Jkj0WlGhE\nMr2UqpJbjXSnYXd7iUHg2FyMqp2uduI+f1FVDiZLHzE7wEXlGzGeTF3F8E4SJ5lsZurFmYu0EYB+\nmiwrGytsqvTabX+54H4bZ0V5Oi9XJYYPiBIYjosfDwW1ursf24Wgxn5LldYLqHYKUw97XdXRMCtx\nzm5c+mv9xo02/dknU5OZTeEk0V1jNhp8rRHjyhuv5p49yPHl9GLMiwo908pyJv5kaEe5+e/ebjQz\nUcAPx/mZ5eczGCyLycK3s+T2YX7m9OFdM3xR6VS+/0HraFuNhm5Yuk5DXa04R5lbbK/U3aNIIhsZ\n2m6YPU1ffzZvRI2uppbxC7DTOZ9dYautv3sn0QKqTO4dgXP88mx1NuN7e9FwWtmNeDi1q5KtyMER\ntQ756mKlE5NukMng89N5q2NOHrvpqv+9baNAddv65MpnBr2iLFWPXky/fMHbnYS8axidGC4q6Kpo\npSpldKspsGSvTBa56USNh7a7YzZb8GKOOfhuz1zblZ//zerJhLTzbOh3vqW1Yx/UZEaup0gO6oFO\nOMBQjdFEIq5plkAo3vvXgDcEQAhyY6BVMDMTheI1JSCe1yfHYHAOeWFEXMe1dWAa1DhT8J5f6y51\neKFWluvaFRDQipCCMBpwCiICvkYpYXDIsnjgcBflcPUOX4K1ol3jmepjGtSMNwR6TYCrxQ2quVFh\nKgWIPlj1sIaxydp0oIBCH+h6jUZUSKiY2Sj1ulQ6fF/vRREgEIQUjBLwEMyN2pCE+gAnCOJEEMQo\n0gqRQi0Wes/s6rhE4HD49dUBOFQBhHcyeCIwLKcIyIKERBAOvMB15YmIgNLBsRiQWMIiQXVRhM6v\nt+11sqfemUCAwaN4CX7CsECLCIRKeA7b+2u5X8IYTyhgNEAQ0IMHIK31euwc5sP0+k4jzAhSYPqG\n/+SvfvKjjy/L1KSAkP/Nf56f3VkNX12ePL+/31Mrl5anjx5/vSDzxp2GRjAGuKv3BulGR1clawXj\nIv/XX8zOFlA68UiIMFqyTkkq2Bvop+f26yv7u9cbf3jU/POvRk/HpVKqFScj1isGyyKMSoMiBcia\nqBT40/tdKXm5iE4nsxdTl8a6rBgIIg2OIY4QGN+/FTUT99G0+uyFU2B+9040Wth/+Ea/k8WXOfo8\nv7vXSby7nK1wUUxy2e3Ge4mf5NYxjub5dpasvFjPR51oo0Gz0k+t7nWNy93MRr0mI6GzeLWyoPBm\nJ0pR0oi+tRNVZCtlOippxKsHZ/nDTbwOam45zkyX5HyBg3Z0swfPFtVmM07MgigQK9E7ZIB/8Qe9\na4Y/e1V1mnqf7WevWBk62CWwLk6ocDxomepp0d2MvntTP3u8YI1pLAh6r+Vn1nWTqLTOii7Fjyfu\n+MqX4K+m5t2b9PhldTGXOEmakaWEd9vw8mLR7reubbcOVPtP3qhOJovJPNnqqatX7u992Nsr5WyR\nmwybma8q306QCVfWLedIAHttNSmqVlclHZQFnZyu/t1fTj58c+u712Q48800zRfVsuKsrW5tJl1F\nuQMLxTz3WFHh8e5Rg+aVsHr/MPr5A7uy2Ej13W3gSymtNkDW6WxQ3Wrg14/Uk1PzJ+82FKHSkgNl\n7eag39bE1Wo0XUqUUG59U2nlMCGjfPVq7ncG7dFqJZGPvem2TblyT86cTtLdlkBl9jd5MufCRqsr\nER1vpfjRw+W1e43Mes0iigikHtO9PjAGl24gvAXfxmusTOjtREVrIkNQA+B1qBqBlFKvT5EBxRCM\ntEHTrY9tdQABqW5AImZAqNe2INl6ZkK15uwF1iSiEAI4z+DrZJwXkVCFEshxYVEOjODaDlVz0Orf\nN+SbYb1Oo4SxVDBIhCNi2B48CBAJSqj4EL9OKgYTMwU7d939Eg7jAEBE9eLO4p0IgiJiAYVQo1VD\nMngttXOtaLJWygc5CUGEtaqt0+xDwMcjELvgMgwaDIacumNGRO/XR3sBrZQQeMdaK1iL7KhIBJCp\nVnHXdwIXDsvssD7Xc/3eCbAPi1jYfNHXGCaANYKVORT+hY/Dmr2F9RQjeHiIaO2QrLOMUDsbYf15\nA1ffM+qjt6vLIhkJEIlBF6x7i692yt9cWW0iCka6xWz0+Uc/JaV01Pj8vMiy6O9eTwsVN7JoIXq/\nbW70FKBsdLW1pXWgMv74cfWTp0UUawDRSHMGK/julu40YVTJy4lvpcoCj9l9dewd4v6G7jT58df5\naGmJSBMCACkSwdLy/d3Gn97NPno5Rof//rMFI3nPSKA0skiklC0BM2po+Polzhb0Xr/93iE+WRTd\nzPQU/+rp6t71gTEyz3m+rE4W8OjKM6I4FyV6A/3Tob19rd1UFKNrMt7qJ8ez4qJC8ZYqeDaSfoeS\nVEZTaBlSCgRwux09vZhPVuqNTTPo4mjpPj8uO4aaKb651e9qt5jnOubREsGgQaeibK9H+dL7MnJs\ntUZFlFd87yi9mdHnx6vhUn+/l7y84Imt9lugMpqeSxJTK/Ujkf1tc3grO2pneaNc9qSVaK39PKdG\nqpSmVKtmxCdjfjmujoeeAQdtuLiUB8e8OYj7iX82lDtdN2eVGfNqVtzZbF3MZycT2R+kLbEM7u23\nenuRWRW5ivX3bjUXo+XSecwx0yr3nrRugAxH7t37zawjOsf33+sdfzM5+r12TG5SqEiZk+nCshmo\nuLWtDwbKW8krIoTFvHg1d57wcrwsnT7YSltarh/E7VW1KuHBN9zOYL8Vlyt782YGlzYvE3TYafB2\np5jM1f5mfzUaWhtFWlK9+E9/Mx7leqeruZDJymetKElBa9UWj8YfHZooqU4LyrT3On7rAObepzEO\n/SqyymfJm5vy0aeWu9ju8r03dTdxP30gml7beEmJiAATIUqohQ9n2HpJFaCaAU+q7pgShPA8AwRX\nAgAoIgmdHh5oDVkPQITaLiX1UhisDOE5r2doIKGpRSAcHwFR1b5WAvbivSACKQIAZFrfjmshE2vL\nWx3SZhYgCm5sgLA0ASAyiKrz63WwRaEKM7cwmwsWO2T4rasr7A8sgOjDIDXsIiyvOU3hHuHWV4dw\nT9dqPS0MUGlghPVpn9fpD6z3g8qC8z7S6Bl0ONsGWDN6o7RSCME0TsigPbMwK6VUqKtWACJKkWXx\nPtx5GEmhQmFQiqzzSLUeAwpYSGpwB4jn+tclYg8MHAyX7nWrLAT/BlIQNQABQo1sDaEOxa9qHS6V\nurJ6bTYX8l4CYSNYPkJKdf221yUFqtZChH3daynCisAjeVEmP2+OXtyJnyZNA1AT97wgkdFJjMBx\nhJXDvYaxlZ1U/L0dBSDNBAn98ciZlCIgp6pfvSx+fpzHkVYUet3ECxujroCLEqcjnpT+aCPa66jz\nqa+UjxROSvtfn/rnhW3EUFSApFmssNeEgDAvnAWbaXUxc6/mNiEtjIDiHYbuTGZ47yhuJPDkFL+9\n3by3H39xNZmXpCr5D48WpxfuD39w7/sfHD3+9Wd//rN5I5LLBV/fiM8WtmT/6NL2GxqcQ5WMKspS\neudWu3iQFxaUUs8u3EZHugZWyuiuutYEf+aXjLPcIqhuE7sdfLvXOqfi5dXy42Pe2Yxa2p0NXbet\nY7QVYrcpjUiT4X6cPFstc7Fa18q/J/W/+E5nPp+eXCERn02sM7LfpvHUz7oowkLUJBkRd3bgeqeX\nsLBTpHl301zNeaujHEK58r9eLpJEuyWJliyiy6XH0pTErNXNza5brvot2W+nk6n/4sy/d8N8/Him\nte4207wqc4sbm8lOJ57MVrnlpKWfPc57DXx2snxyzKXH3QHuNBWkVdRMuh0yzAc3un0wcatZoVnO\ny4WzufWpi51UZ9NqWKr9NtnCZ0SryfTrS4dGjZd2sxXv9uHFRfn4ytw4UOkcTi/kfGofXRHddD94\nb+eDzeq/fjKdsnr/O+VoiL96Yu9eSxb2lbXQbvuz88nHz4rjMWUZVdbOChOj6qbpdlaMS2o5tMsK\nTCQ+ahj39Fjd3IlbSo2jZVUApqrRRH4hvxn6b87tXttc28CK9ONv7EgZHZ4h/O1piJGoDvWFsF24\n8SJKaMyuL9QqDPfxtyptyKuIeA8B6kYkodG19hjU8IsApqi9ZmvwZFB4UWrr23pNCyIxC0gN9kBg\nYecYAYjCWgccHAkEoU1xbcfDgFpe68EAIGFlhzX/l33wXIRD3nqBrtlt9e0dKTDsoD6DIzCJDpWw\nCHUMXSREp9cn3/pgjAIWRSMCcB2MZLTWK0SlCLHm13vvjVJKkdaCBNaxCTsQEgAYo71nx0JrEHdY\nxhRisHA4DgO/OkenFCEEHyHVGSGqj/fM4v26egqw/rI6bAJhPwxbpCAIi1IoAL5udEAW8chSpwvX\nDBZE//oXBpAAsQNk/9vvXJuY15BvhQSIAkykQtBaay2vuxyQ4LVEBlJg7BfTncVnyeRZV/nD/XQ8\nx6s5KwreyTCTdUQEwqvK7bbxdFYlmjZSVEpKkeMpZw3lnT1duVel+8mD8sXUR+r1XuKrCpqJ6TTV\no+fL50MwMVj2jDp3brySQUvvdLSU2DLm0ofphg33H41omXc31ecnCxDzzekCSHkRz4ASfJCaWYio\nk5FbQjcBh+W//GQ5r/xumnhX/qffrPYHyej0pJJrR7cONn9z9uuXVbsTbw+yJxeXlHa/952ji8fP\nFNH50j8c+g/2VL4s0dNGyk+nFUWqpeGT49yJurmJgxS+c5hOKv7yrGynymuWDJdF9ZPjVaLiH9yT\nJxOPEUbGni0JJDpss4rinYHMVjaK/aPnxfnMR9ooxFnlfu9eo2XdIjc3BjhjaTS543CR0uOJG89U\nmkapdh5UbNlEza2EH5+Xi0qO+vFqVbWTaLnMnw79jUGy2W1eTgpv5Xo3KXuyNSBVUJziDYYXw8md\nnbitrOhCpHpzL7qzHX32fJo0G62GFqgaWcyV8SscXS1nYgZteuqn7ag9iFuuh1lCF4uxKLXbji9y\nt1hE377fbLfczz6ePDmRd26mscb2RsSvbG5lXhSLsnGvQ5yrLDOVlaKMb2xnLG5a+CwyTeRWos4m\nnBhz86h4+IwLMVla3X77/j//tv7Jz57t37ftJUts926rBNupwatp2etn8zL/6dfLR5fYimknJcM4\nrNy7R9FkUWTNrW7XL/Nxa7NF1s0Wscodr/Iqj+eq0jptD6rxwp2cuqwLszO/vWeOevzRZz433Oip\nwRbq0BO3towJ1uJjQG6GExuF3re1gFHffHF9GqszxlKrnPD6MUcOQzlcO7TqL3du7YkQWIujQiJh\nJAiCQsBQr+kitdtXRIUVee0DIwwneqld3CAiHoBYJAiy4T8QYd3ZDKhgHcGQ2jNbS6WIIAQoLA6B\nCNEFomUAG3P4E/jaQlJvHFIr5vi38oSCNd1DAFQ4Z5IgomeHiApRKyXB1QuvGX7KeRYUTWSIJKLX\nii2LEIhWqLSC17PbtUoTnDagQIH2HJwVa/xyPepjFnCeQWpiUmi8DtZ1QKa1yTiczp1nIWAPPkju\nIiHtHW4EigiIWIA9hBg5cy061Z+eestd69QS4tXghX/7aRGpkXkgUMeOwmRyze4QXg8/xZHuLx+p\ns8+bbp4LDZ1uDc14biuBRmTWo2sBAIVKRLIsutnT//rpohnrh0P77Wtp08jHZ/lbO3HlZaXLn3zm\nng1dbJDDYAQgMkoncHufYutfXnmlKVV6t2G+fRD/24+mZGivoS+uXCPB82lVMiqs00mEUDiOEvUn\nb2YN4BiT/8f5qBaiGLDeQNg6uH8j6qTy8NJ+dWI9cyeJIwW+Sb5MtFpaxjmnX/z4541rN//wTz7c\nfjZqU/XJZ89XXv3g+sbFxcnESl5SI7I3BjDM5WIJm5udlxeLbhz9zePxVqf19ra+zCtipbR+oy2W\n+WrMFvwb15Jv7Q4mF4ujTuqAzybuYJB6dq8W/s2dbFZVwtAwKtKq0zTK4zgXzypcmKLI/M7NbulW\nCwaPqpPxy6ErWHUbKlX2OLe7KTZajWJe6VYWsf3Zg3knxahJ/a5yC3owLI4XqqmBU0CArlInUD0Y\n8pOJdDtS+vzx42pZ4o1tslDNrFuMqRthr8XLEt49aj+5yBMVKTS6pUgZ0CUQHW61l/nMoC7HPIjx\nUbnQ1LjTjxfK//Tz1d297gd70WyymF/q4bxUaQtAktQUSxEdd5puZpN7+9G7NxMdl9alrWYnbUZg\nixdD1+2aWVWdXXixcHNbz8dVMU5ubUOyiTvdzX9wHR9+NdQqbjWW40nsz5tD52arauVM1tYPnk4v\n5z5t0rbD0vsHJ7zR1m8cUlFIaf3J2SVsN1SCkKZbg2R6trh3lKavhnGKjUy9OndfH/NwwVEMBfuD\n2/HdNv3f/8flFaof/l701gCHK9DBc6CCLhieIoU18T6oGxis6+FWLgoxlFiIiGe/tiUEKRplrQPU\nQgMIiyekMER7bYd4PV0MRtlASKAwUar/gHjvSdXI0fDnHYKwV+Ga7D2iKCJBZg7UfQwZxpplB4gY\nMCPinCciQLLO03pxFhERh6BIoWUvjMIMIXPjPQXaXNiXgrEs2MDDr0ZhdLa2ajMK1EkJBCBSCGJI\nxGONYQVQSgFCGGMKgK5FdQlKABCygGe2jgVQac2eBSXSWgQK6zRTWNmDUMDiRSRUetcdVgIuOAUB\nAOpNNUgnZDjAk4WRBdb6Eiog/xocB8wc3NIACsTBa2d02J2VRhBwwQLCHmrOSS0gE63ldArKDDMg\nBN05UD7CoPJ1jXe9/TAzewkfv/ryxIiORQFV7O+Uv07OvlpZirM4Et+N1FGHrqaevcSaKsdB4hIQ\nz1yC/91bTWd57rFpYFI45zhT+u1+dK1jrKl+9Zl7fFVyfe5ApZT3nBg63OONWP38y2LFtBlRL1Fv\nH2QxyGdnbunhn9xLu5H9iydV7pQm8V5SozyIB2kl6n/4/XbKvPL6xXj5alolcew9IoW+BBDBdoe+\nfzseD+2jMzteybUNHQF7YtTutLTGyNL7p7PCL+Z70eqtP7pTcNFINr94tvjf/+/u55PRf/jJNz/8\nw/euv7nx6LPHo4urRiKrylsmC3o6L0+n/p1rkhCWVh4P8+8c9bZjfj7mow3NDfngsOlddukKIbWZ\n0qL0796KXVE8G7oIV50s6XZhs+mtr5Z53OrFWSYIUnqvlfJeHl0Vv7+rxnObJPFRS/30+PLaRrMs\n6du7agE2UaCAqa23emY7zoqqenWaH+4mr8aLLx667e2kH8FCfBLL+XDx/IQGPQKmTortVI9KaafR\n3oYaNHCy9LevpezcaWlXE4hSfDRecdnZaUd5RdQghVVZOG9wspqVC19e6VUXJgWDFq2BYjW88N+5\n0d9oU165jx+6b73duX0ERa6AYXhqP342jpvd+91q7NX3NxInUuZEDOKu4kzPZj7RptFwJyNvjbJs\nFyv/4e3k1Xn59o3NOCpvX2spzi2JgExPW49f5XcGWTPyZyu30UzevZ7aSXUifjiXfpcami4SHM2q\nZN446LrCESBP5oudTVXmlw/OMLdKDiXr8tfHw9NKf/tG+vlHKxpoHPuJV/0N/18f5Xfezt5L+GaX\nzs6EtNbhKBu6U4hQRNbdzOuyuNdjw2DAqO10HtZ6bqgYkfXqCxhG/0j1aZLq2G34egpLWXj2w7Ql\nmCnqRRABgAAJKfRL11awMILC4E0L10YkWgMqPXsAQFGCwoTB5BemWB4BA3HiNce53ilqCYedY9K0\nDk8hM6OqAUNB1lBIJMgECjAcBkFAvCChD4hSCj8/B8aIhLTj69ULPAKhBIYzh/2ssh4JNAVDBf5W\n5UfQiti5MKv0zgkRKhQRz0yI4sXL66yEp0DxFEFEYxQzScD/1QlsHyyETtCHpgKQIIwoChlICt7I\ntdYBPhxwCUnqZgMRdigsioUVEjMLgQpGRdK1wTsUpcnrPVgDs7zOYIfsUJCJaoTTelKICMB+7adD\nJC2VVNZq8+bi5303sllmLCzLqtEw3aa/nK/+w9ezKFIsgiiVd94zIBmFlad3trJPvhmXLMZ6j8hC\naUxZP8KIf/Q4//NvbBQR1pULIgBE4pi3ksazV/xiKkYBgiwKd9jWT8arvMIkoSxSleVJLsagqziK\npJkoz7Lbid/aiu7vqGenhQh8fZprbUCYUAApjZQmWlj/z97v34nwzy6L0Uqu92NDUlaeETsazpTv\nNqNmin/xycP/7YeDcnJ5/rI3enX1kqdbO81H3zy+uJi9d3vrW83hxRdPj26//eGHd//qP/9ikrtF\nzonI12erLNEkMszBWZ82JLeeM31tm6Z2uX9r51rHTKejVmQ2dtRklr91qCKysxIOd4u/+NL+o3f1\nXiNVkUyWqjsg9p6rtLCrttHeixc42jLjvPjNRbnVV0D6/l58Pil6DT2r/J2DpKogIcZMgfOfnKx2\n+wnGOMzd0uqZiJsURYG9bZosypdDVIkuHJHBOMLlXNLIb/Vkr2+6pE8W+dXY3tmPvIDSaCt/foq7\nG/BytNBxNPAGTJLqPNbV6ST/8nGhsbmTwotLjk26s8lfvqwenvCNfbzba7YzunPAkbIriytfGIi6\nXfPB/Q2xwMDXOpQlaGf5Z1dw9yjpZ3FZVpPcRbHuxiaJXRbBWY6FRYopaqruoPXOTncxnak406qk\nKG43+DpW52fVxg0YP/G3b9LnX0+ytP3t/vjPP3atTTVf+P1NFWuN2qZt3YtcXsCtOxlZNs0sStzZ\ntPrJZxffXFTjHJNYvjYWG9BvQ9zXf3AtnZ7bU9Y3B5jn/se/dFvbUTclHR6X4ETwHnDNX5f1GrfW\nGdaJtXCHqyFn9alVQFDVVdwCr7N0ddvHa0oaA4Hn0MEXCuSCz07WqLSgRK/hkQCeIWBuMIR3AcNS\nLAIgjhUKCIoOfsD1suTBB/8X1/JNHQBEFgQMGcG14IJEQkDeCwNqwkBEE0APHikMN71AzYCQNbxt\nrVbXlwYI5NL10A+hDjGE02JNZkYQ7wlREEJbUh3HQyQACiFRheIFCeJIMYfDOEl9GK0TOKSRIMTj\niAGAhUKpdXCeELCToEFJHfiGyvtgWgME4VrM9w4BiOvtL9w4AqSttmgIctgoicgyMAsjkQh6TSKu\ntnJIUPHXdYRIRAFcqohEQgt7yI8KB5VcxHsGQi+iAL3zUpe4CopUpI8mvzTj06O7mwAXcSPJcz+s\nqkXpru+bGPnff7l8OuVWgtY5hQQoSEgIRinS9B8/nwJjJ6HKgQBkBjVBKfbprPrylVfKayAfroMA\niOg8aFJv9tN/82zqURKllgVs96FU/ODUp5l4hmHOrVSW1kUxJRq0VlXFSBID7HbUk7PqbGlfnOef\nn1aKiNk5hkhTrxkvC/jTd2Pj5f/yk+mLhTvciBIDThgQr/ejacnDhZBIUcof3e5vkzbif/FXH18U\nutWUrx+MvryAi9K9edB+dkkdjB9+9HDWj5RQ6ahiPJ7alwvbzeLzBSkls0Ju7aaF8yWZ7Xby1nXq\nd5LjIVclJMa32uXJMG93Wy2jRleLtmre3ZXUiFJcucqSaTUiO5ObgyRSoBVVTm7sNN5p0y8fu4N2\n3DS+34TlkvLMny3coKEmI5s2VSam12mOR3Mhdzp02209GsturzEfTC6XUCr+8F7nxePJc1Fv7NKr\ny+JqqphsrHCaK4fy+MS+dz379k0+LfzFxI6W+McfZi9fLF5OzA/fbTNaNFB5SdA7igRVqvRswbOy\niCPV6vCqKn72ECurb+yphtEb++np8fibU38L4dVLn3Wa++3VTx8Xtzab+71ER3q+tAVJs5N9b1dX\nZf7qUtoNSjtZguVHj+cY4XDOScvbOf/sN+6tW5t39xDBZllnNpnOKI8HUWpb727oX18VL57L9aM4\nKqTyWbZR+WXj1u7i2Ym8eRhHEae7ajxVeSEXMzrc1JdTt9+Lvvh8fjxyp1PbinSFanNAGv3Dl3Bw\nGF3fSsHm0xEhq+W0ePRYP3hpRRnThcF2oQEYFdVnHaz9Z3VuTASC8gDs2FMwAxO9Pg2zsAtPPNTn\n5jUbFJjZ19dPfu0/e01kx9qhF+7L9aIfMikUGJ5ABEiEjCIECBSB4kDWYIY1cT/gnRheo9qD3kKe\nhbDGLWGdOg7G31DnGoq+mJCEhUBhXcZUk0I9M9Zs49fmFhGoO2JqpAfKbxGc7OuTNTNSyBaG2aoP\n6jyBeGaF6IUVKhew8x69s2SUFlSMTliYEaWwmjwoBK1JhJF0aZ1XHHYAhUAKhEErRADrmb0gQuD6\nIyIhVeEVRBAPQKi0knUNYCWslYp1JMzOswmMN0RmXEMwgp9QsRAScIjGgAJ2wSAIIUcCIACe18YL\nEhIKoFhC5Br7Ecj9QKFDK7yeCEDELEpRrY4EcJYAg27igpdn3U2/Q6NTNJXz/aY6HfHNTT3IVEMl\nj4dzRPQeAnBKKYXBMSSiUF5MXErg2JPSLACRGOW+mpdKkov5iqDukwz7P4Eg0VvX44uxu1w5JLWo\n/PvXoyzmJyP73n58ZYuvXvFnF4uNFrRSGi7dZttMlywi3hNrZRo8LPyLM/74pUXRBEyKtMF+I76a\nVoz6syfL/zT2DqmTKEBpNtVoxgVW7Q39my/8rIBlKUlMdwexU3Stp44tbHjrLb9/q5PjSs/0UROe\njOwHO+p7N9NqMT8X6aXxne38k0dlN9ZWQRzH331vb3Ry8ehk2o+N9u5soeI4eXVyNarinW4UpX5Z\n8bV+stdrlyvZactqaT+8Djstvir8za3Oboumq3xZOTRlEhvPIIRHO+qj49kwR4X4fFLtt+Jm5GYl\nxcZZgSUgL73fi1sZLCdSuuR6n06mVST0aDSfWLq/ky7RtVCPCr/I5WzB5wuOY3V9L7kYlWdTn8Rq\nu5tu9KSpk924mqVuiwlKc3uz14ur//zJ8dFu74/uNVeCsTHzKp+7/NNvFo8u4L1DtZj7g61UCrm3\n01zkRbdjdvtRB8D3Gn/coV98s9xst7c6/pOX+WQRv6jKqxN/eKDAJBsmarZ0hTaf+48ezN+83zls\nu+MzuPdGZ+FHZx/pfEyNAczmTncRQNhq1pYaKqoysG6xKpdLXpWsjX5nP51d2ptvqfPni4qzXUnK\nFFKIkmh1npvDHRmv9Hu3oKjUtT1lV9MHL4vPTjhOyTYhQz2e2v4AK1998YTGc/WDt6KHH0+/vKBr\n1xqdrns7M/Nc72+LVpEmVLXxmDAM0LF+BAMKJkyWApsrKIgSfGvrZlRcU98AQn0z1/3KhEoo0Mrq\nJqygaAT1FQJrDkN1YW1Y1qA4MNjW9LXA+ASEytvwbdeyqfrb4YegJxADoxCheu3eCGtJPZuSWvjl\nYLCj4NKqGU4YzG/igvbNJF5IgefgGYbX5/wArqxv6QjEhOuyVqzt5Bj0FKMUALAwAcm6+VS8J0UI\n5IBV2IKImMAAOc8IqJSEZhT2ogkV+TjSAKywJg4qhU7As5CiNNVICALOe++99ewZCJXIGmchAIBK\nha570caLiHPMzGF26GunNSDSa1I2gGdmZAIMpEAGJB9seUHQ8GGeB1C/OFDnnzHsTBg+NrxWVcJb\n4HgtFNWfKxIJry4yc4W6M33UM6tW1FrlYjS3M/PVq/wXp9X/fLuRaJMLD3MXaURC9uCFYyRLEBzT\niBARFGvHXqTpL76eN5O011K/+nI1LFjXXmxGICQpLWcp3etFx6eeFICVt470dkvNK5mv3NkMRlMe\n9NTtPfPF87ywzCLjmUubkC+ZlP7gVly66vlpOVpKpGDlPIOLY7PXM8cXZekhivhkwpowIfHIzZhO\nhsXd3cikaTMSYcwrAcTCyTdXsN+UA5DSwjeXVUW6nwILvLWb3htALnz3oDWfV89HftCJ376tf/Jg\n+h+/Kqc5iIXd3fKTjx6bWBNSRWZYVDG5x6PyZj+7uSmdiEoBZyPoGIVUecg6GZ9dZioWp7KmLpBi\n0yhzpwmKUooKgPjb91u3U3i2kAxhVjnH6sr6b+9HrU75+DN59qp891bc3uLmViplZX3c7xS/fDIb\nFebta/jy3H1wvTueVTeOmtPLyV67R9eXH50uzqfR/T2ar/zZhIlUEsFGHzaaVJVVDoDWbLRML46M\nyDcv7RtHXRVV06XNurpEW8jqky9mX7yI3rthGhGfTyly8uZeNi6Lv/x88c5byV5CrJPHk8lWt/f2\n7iAiVNFiuTRv7rTnC7YkyzIhZ2crC94Wqru/3f0XPxxXrJ++Wt290ZnMRtWq9f6beHphBfQ/+eHW\nhlm8OJlttlqdZmWMj6RBio4vzh698tCgdi9pUvPJ6mrTNYRT6+yMGbU5PFSff8XxBpV+dTLTt67r\njb1IZv6Lhx5QGgkJ4sW47DTiogQUdE6VTlY5Hx/HYwsH1/F/9sOoGMrlKlpcoVIRFFYTqnBuCrgI\nCsddqB+tGhsvUg/na3QbEIBbVz0FQ5twUDtZQLi+R3NgSQaXBwsQkiIAQvYYSkrXJoj6YFrH74Cl\nhlVCAMkRISnwNXn4dRAPmAGQ68xLYGOu8Unr46QK1mmsd5R6eUUUDgAmH1Lr6Jgl8NUQvfMoGByD\nEiZiUK8jsJZKmZlUgPXVyW8IEWCpm08pdFCFM5uq1ymFpII9rzaEgAgHVhEpVIqUChgQ8QIKwYX/\nBR4JhYAIvGexhBqYAViqNUAjioygAhBCdOAB0Vqg2uXOtfQEihQhSFCwQ0oQUYCDjznUZtfLJwB6\nYfDoEUBCwSEgACNQ0LMQAKiqnAQycxC8ENc1UgAAisgyu3qTC+7moGWFOQQhovdIIJ5Uww+z0TdL\nhYA+7qpeUyeR/NlX851OXFnmSB68yCe5T1LNHEh7yIKGFAgQKQr7ECEiaKUcg0f15vUWLvPPzxeB\ncBruQojIDGkK372T/vir5cUKkkgdbui7A2U0tBvx42O73YnubMePxm46ERRqpAbBjhY+8QSKvns/\n6Sb+l9+Ujy7dsmBUkCheCb21n379crnyGCGydTWcUWBV8Fev7Ps3skhDqnQ5F4odLqC0oI2cztxm\nIxrNV8/HPPZ+o4mjmb9aeSvuc8Zv3UwvFi6veKurPVnMtJL4oliyKA1+VvjM4E5bthN9a6CyJJms\nXPda98a2yXObW6sEy4qTBIscFrlvxD4yOC+5kybdGM5OV6gbgmq+qlDTB4fpae42Enx25XcGkTi3\n34sXpc8a5Eg5p271TSXS6+o3rid7SRNmeWbyXpbwFpYWLxbFW4dN0tDtU7MBZ3O5mBbO01bLaKdm\nuT0fq81WmhkY7MCNXepTdFa4RKMIdJQZT60yuD2Ib++m4ysLCWatZlmuLudzC4aa1fkUug211VS5\nwIu5Swz94J3k3mH//VvpsuDfvb/15fHV3xxfad/5++92emkZR+rCjza3Os2sjI1uJopS0wA+H01f\nDMdv3zrY26hOZzou2j2SnWx5uNnd6ncm51cfneT3bvTbHbArmY8BsLi4LETiwx17lsvdw52kHKNx\nXszWINIFn8wg8jA8ta04YwuLubm/DxdXuH+gLy+Xv3nK49IkKXsrjrDXddMrGefw7bey8Xl+vrSf\nPJL2RuMf/n5ajKajKvYrhW2/vwOPf+20Bw+gAoYSGHwIQK9z1YLhHARBvA34sXqoB+iBEV8bqesu\nwfAPM4dGPgFYP9p1CxYprI2xISMdHnoMoI918R0ElxI5ZHaB1Q8EJHWVHxNqQVEYVFSG+rAmqraB\nQC2GgiCRgFCACHLgDaEL1/YgZofTI9Fv/YMBWomAjKzW1mwJ3uQ6poKEFOzNCKGHu3ZOCyhFgPW0\nUCnyLE6kdpEjsoAGVe8OCJEiReRZrGdhJg+kVByhEmABUqQUiRfPrImYQwuJCCMRaRT2QWgRaz0p\nNEo5AQ2ACFoje3GONZHUr60wg3WCVNfOSA0DFQEM+pPzPuxVCIqFnReA9QQC1kGgEBwE8M4DoYIQ\nwAkVMBwKegDQBy+ziPcCKFQzAIAFgCgQpZkZUNh5R7pz9dVqWXYGaS/jZYmllWZK80pMwQ9m2GV6\nceVYkWWoRwVSnyE0iaLQ3gshaITClvEff9D48sns//bfJqUiQ7DW0hAJxLEhRRU9HrtmTHvd5O6G\nygynCRUrdXvD3BjwX34tR1uUV/Z06tKExYkxdDr0d66nb+zif/ls9sWJ66S629GTuR/n8Pv3B6eX\ni/EKYwNrLQWFRESIlPMSaxnO5L2+KZa+9EUaqUXBALBc2Wu91Dthkm5K47lEWt/ZSKz3QFEWKWHx\nVpYVPBvZqLX64lm+O9AXM6cAPrpY3dhWUJqTJTU7xY00vppX2xuZUcXL2Upn6Wzh9gftONXjuSVw\n46m0G/HFvFw6dTKzm4O030Gb83wpNzZa2sDPH8tyDuxgusS2MQtrbuzqm33SHtKtRNFqZe1WO9rb\nbo2vJmeX5c3d5q+/nJaKmil+uNX98nLCI7h71M+MAokXUl4tpIGNpO0bqZpZfz6F3S1qaUrQXCyq\nXjuZrOy7B/1Xk7lomi38vZ10NasqY5rtNCF8NZ08eeUssy/lpKweX+BWS9/ejN89wmlpt9vm3n52\ncXXx4y/9dl+fnDNU8UYLTyZFEpN15a29pNXS5FdRHB9e60ZKqsIeDxeXLiGxTgou/c5mI4pxOLft\nJNloT3/0s/Fb9/fubNFqtjq7qCDzOqaNm3gUm9E5Xz5lg66Vwna30Wi6WemjBm32UVnZ20hnJRYl\nZh1YIu7sp61k6veq/R21uGAqaLT0B9eiNvkzwXduRtpyWdF07rOm73a9LIHz+MnzcsWYaRqNLJZE\nzMHEVgMSAk8HIKQeAAUVUaBeYAjCve5CDkg5UhTGQcw+FPqFbxXEh2BAlhBVCIxeFUx3RKiUAqx9\nlyx1THnt+kAEJYIkpEgxAEOQLL1RpJQCFCIQFARWay/uGqBBLIEzWucDA+PJOWZB5rDohMVirS4j\nwDoSGEiejn3gIwPUHmhSChUBqvDbE5JncSzOiXVsPThf965YX0fkgMgLoMJI60hrQgxpZiuubifx\nsCqqyrrAWdWRVkp54WXuLIsHKCtfWMsQMKHsvA+1kMJQVa6wwggYLiYKw9+lSJJIxUYlRhujTKRR\nEwqSQq2U1hjFaLTSpCKNxqhgQBQUL+yYBZGIFBIEhgcSKcVh8ClBRKb6HC7B5AN1g6OgQmVMDPV0\nk7TSweoYhb+YSCutdMCZSMAZsveu8iXqxA791bEl00yJkQG9ieiLs9Vw4W/36FqLuSzH80qRwoBb\nCiF7RWtMH5IEH74QYeH5aEsNz1f/1x9NV6Q0IsNr670Ii/Oy0Y6OxxWI6jXNwUCWlf3ylW131Gen\ny1+9XA4tmQbMFlBWkhhZrNy0YM+SJOo7h8mnXxUPz7iRqM0+OeeLiv/eh/13dvHxeRVF9eBVBGrQ\nLAIKKwWvhnJ/N1UMS0v9RM+WHolKC7c241sDP17J+9ezw6a+3oneGOh5URVe7XWiNMLtLTVo4XRW\nXpUwnpWXC0BPG43k1m72znU1mvCLsc8adDy3vzkvms14q0t5ZbOW8a4UcFknjhvRcCJ5qXInjsl5\nkxdVr9VMEu3AD6e+cqK0b2g+m7px5c+XvNVUTQ3XGraYF7NhpZWbXC0HTf2Do8afvLvbi7rs8HCv\nzVJNLDcixYCPx6N5Dre2u28dNVYTT0ptdPhsmv/82er51OUsG5nZ72Ll1NF2v5fI0knSEituDtJu\nm+0mnI8WFtJeS2910rK0z6enj17lr06gqGgzS9+5ZfY2od93P3+0ulhpBll5HWdwNhVuiG7z1i7m\nYzct0XobC8ZSQqz2epREaRqhY67EY6QH/e4/+2Djv/zi+c8e8LVefDwZnwzn+cLppPz8o/LDtw8+\nvN6ejdXS0tnMNVqb12/ud9o9J+xb7b//O4emnPzVw1mn004wyaKMcorY6I5+dJEv89VyOfc63uzp\nZtf6yj88s3fft5td2NqQo0PTJf76OVeWu5vRdlu/unTXbjTv7SZo+fhi+fWZIwOdAbYb+PhVFW9l\nOkRQwioW8Bphold/yJCtk3qcRyCAoae5Jk2gsHdUx07qXIP1TFJbNFiYiABDFRNopcKIH9bTOOG6\nHqD2RbAAgCKFWA/xeH0VlrpdFgKRnwhZQKEEqCkJUgjFUC1HeGGFhOGYFgLqGjWh91ivu2tzGwSm\nUqg3IQqbCYd2Eea1qwQBPKz5v8F/hwSmNnWo114RQTCkBeS1Ti2CjsVoRGaiQPqHiICQSKNBss4r\nRBC0JRtd1684LxLaDgALsOzBaI0IzrMIKCKQ0IJOwqw1AYBzwdKAVjwzGEUs4kW8r1/adTErKiBF\njIjWOURSSgUiikBo6kNRIiIKhVmc8yygiKznYGQmFSaj9b4bLjeoxMuafwJgQ+m1iAAyMHtkEa1I\nBIhQESKiZ2EvQJgot3v18wX5nV6E4DupyWLa7WX//tOpKGpGEep4taJxQZnB0qIiCcDS8CqHeSQR\nEYJnqbzvZ+bVWf7pgrNUZ4COucZo1u8qxIlKCZ4M3f2DZCuT07EHkNvXoquhHRUeUO1mZmRUFsGi\nqCKjnBdip5T+zu3Gw+fFJ8c2jqCpaTKWNw+zrfvQa1IarpC1swkRfxvaBAUi/mJum2krcuydu1gU\nixIiQ4SYsx1Z8ILzwlSkMq3OF3ZSwl6HIuN00wxX+WjCBalv34y/OB1drqDdVkh8OSwjDVYkL+X5\nBWcbajvzO4Nu26iVi9OYy1Wx3Tcbnfj4YpEk/nBTnxzLw3PIS7y2aYbj1dUifqcdK216TZs21MtT\njAy1jTMSbTfly4vi2m7j3W48zMvLOU4nLlN49/qg2yTn1G63tSzZA+z3oWu4YHJKf29rsN9z4zy3\nxlGs1NhMV2W/B91MTVZ2uqCDDS2EKcjlGFUik1XBHEFkh+f5aFmaRjKqFra0abNR4OKr46WmaH/X\nPjmpQNHdjdjw6nwlb9ww03mZS/YPfrebK7h7r3nys/HVuVpZvsj56sp990b763weZY3NhlQAcaq8\nct5whgkjJ6Dm0+K7b2x7lwi4rx/kR9cpbsct8T97OH1PmSZVuSuqIn373i6rcjXkRtZfLPNus80W\nU5U2s2R70Hzx7MXpLNqIzSqvvCOt9WZPvcrtagm9btJJsCgXdw6y49MqIegO4nwpkyVHMRZL+NWv\nVzttYqI0xbdvR69OaLmg45OytUdJSQfXG/ePsmv7kVYqDLUVYsgN1JkCWSt2WBsRqCaOhgxx7SUO\nzAZQipjrYDgCCgGLUCiWFqntaCKhFpzU6y8HIURSSjhUvkpdwuqCnum8q1dQ/5rUEb5PqOx4bQ8J\nKoQAovfipSKgYD4J/rS16UDCeq0IvWep44vIIbNOtS8FZE1rCg6O0FkakKoh5kzEDIgAdXlXHS5n\nCfV74NgbTRLgeQhI6JxnL0opVZeDoefAfhNSaIwOpeYExDWBBLSmUPcFKFpFEkR8ESIBRHZhpQSl\nUFAJoEJMUvCWBcAEgpIDRFQksUFA9C5U96LzzosP4CmjCJG8iPPr2a4SW3mk2taNSDX2CtEAu3of\nhjrxHeyDgF48QP1fhKQuEOd6bwtnyfC2h9MuALIPpeGUg3nTfTSfjL8Z62t9GmTYa0cZ8VcvFj9/\nsYpiurT+2w368VezXqZjkoqIVNjhlRNGRRqRNNXZT4YoUlkkxyV3mtqv68xrjCwiiFTg3t5pcOV3\nuqYf0WFHa7aDbexF6v/94/nBrrndjlxhlx52WubSsdHOGNeNks2mOj2vxgXvbeD5mGONlZfDXgy4\n3NwdPPli4VGi2pDqMYxw6kC8INBGTwv5ykNZ4qJUkfEsfLiZfP9mrMm0KSfP6OB8ZVuZOej4Zgq3\nDrKtnv7q2fLRsAJUXvhHX69GhZ5ZuH+QbB6o5Yo7se836Hzm2pEZNONuqr2tGHmVV7nFCCJKEqEp\npVhZayLeyKokwY22ffCq3N5JHUC3WT186Z+OTQHNxarINlt3N0Qn0RubFBngONqPMYrVp6Ub5tEH\n/Uy0KsvFZOUTRcsle02nZYlEnSjutujh1fLl0N466G42ZW70zZ2Y0A8nHpA2+nae661uVNKi0Ulb\nyfLZWfn5y7LXM7Z0qyq51ouWy3IpePeArvLltYNBfrL4/Kllr08XZf5MXesn2aqcTtgL/J3v8Pll\n0d/Ofv3r0den0m/rnTagtsVy9YuX6f5O1GhJJ01KZ/utxBIqjIS49MWr4dh6hQuJGpBE5nvvdkwj\nSjvReDje2FRKmSSV1ZiJSgf6YjK3ZfHiFN55Wx9/dbV30MWV+ta9trfzJMpuDnA6W966EyMnVTXL\ntbp5Oy6YbrypYsVfP9AO5fg0unGDWyb58d8sHl5yq6G95dkKgLxDPnmVf0XqcB/aqXnvg/jTb5Zb\n292jRqYyevFspOtT1etkXxhz87oINoy3UMFrBllI/SFq0pY9IiFLGIbVjo7QX/TbKDgwIUgA04cZ\nHXrh8MQwivdeQahtdWqNAfECGB44eG2cCIhnVFpxgM1D6IBWYUbH4b4raNAEYweS8swsKOxD0Z0t\nGACq2qkir615dfywBjaBCiNOAe/r7HgdqOC6WUbC3dULgagaT4dEugafMjjn6u4AFKMQVN39yCAi\nrBUREq97yBExSRPxPqAx2HsWKUobaaWIQCQvnDYUGQ0sodxJaWL2IlBV7EMHGaLxqBUJg2chQm0o\nVGsH9jMDOOfX88rgHgZB8CIeBFQQfxiBlFYiEpHi2nkSBr4ABFrIelkHRyEQkJTiIHKwMAB5gRpW\njSBCfm1nBAAidI7DsuWERCkF+Y3iix33+KWLCW0WS6sRnY1cK6Ffv1g2UnX/QF/f96ui+vGT4nvX\nG0aR8qJIhTuQQQPIKmyuSoShdHx9I7kY5RLCjaHdGNdvnwACNZKo14QvnlcA9NhDJ6YbR3h6af/b\nk2rsMJ75+9sIMTvtL6dYaZ1FbpGrt/ayp8N87PxWT724cGlCvT69vZ/ZWXGl9O80Gw9wBrVvSdUH\nFURV1/K6wsobe/HXx26rEeXscgsIZL2/s5PeHESjJVU6mi0KkahyIEg3NtNBk1pZBKzzOS0ttmO6\nXC1ejDiKmFmNlq7RxVTj3MrF0i4qcppyxyVpHTFbP55VjLLV39RKjDHXdtqzyZiMLCt4NObbG9n3\n7qYmlaIUJHcyLqYVLCs5HMRWPCh0lTQTvd2MO1pmEjGYD28nFPvj5eKot7Uc26xBq9X0m4vizm7r\nalZdLSGKnRe6e9j8+sX4+ZPiL07mQvEb28nQFshyPpOVFcuun0SYQaTtorQriX7/3fjZyapBIhZS\nAwSiojSOfUTNO73dF6Ovn13a2xtRJwEb+6rCRQE39+J33tDdXry33Z9Nrn75Jf3uOx2xxadfVIVD\niPTzy9ler3l9M2IDaBUL9JuZlOwiHM+mTyeTX34m7x31N3gVp5k14HS0FXXF5N9/06C33kd5xSbW\nufN39nemy6uLefHqFF2qWy3++uTs+FR/91B5n2PaENDlwu7v88sL3YvjTte3tuI4s7/5eP70rPHe\nmzC5zCuQ5crtHtLCq8uFzzJczuTu3fS9zejf/2Q6F3GWvzzltz+gf/b3Wy+/SdIN99b7lZ2BZgSF\nChDW1dyCWmEwQAUVOgy/RADWhmFBDqVEWLusUBGtCUQSzMdQc91C46sAEAaVFuqjL6FfA+xZQFi0\nIV93NUGQGxgEa3qycoFTw+w4IJcCIJ5cDUMFAGGozQUiQgoEhLQOJxjnmUSUJkHUACjg6mNwmI+F\naSeEU7f3gkgC65wg6XDWV7q29AHUfarM6MM5GQA8I4JnUUSaNDOwZ0QpJBzkBQSJJTI60FC1AlLo\nBb14qcpYGxWH2aR2nm2N+gwgDnLOl7aKFBIiO1ZUD1q9ZxaINCqFXkA8AjELKC9Inr0XICZwTgAQ\nFTILqsAogrAjhCO9AhSllRbvPAh4CNEWFATSpJE8CwsxCyEScEg4akTHWHrwIokGBWK9k7r5gUNM\nBhVxHUkFJBKjbOXEzdlZvbxsjD7vp6VNk1IqTQAMLy4tOMx24lEB97bSQau6sZE+f+aOl/5PEq0R\nPIAGCFQQADGKYC2ceevamXlr1zw4WRijaiyh1AxCx6wUFpX84EZ7NrMe6cYgvbEpjU45KfTPHvBF\n4dsZnc1cM46O+urLU9fexk+fLidL+tO326fj8qBvWkt5NZY4oqyl3r0e26HlKP2j201ZLjR5rKc4\nHgAFKGBlGEgb3e1AjJgmvnKeBZalB1IAuKykVJnG1aSSfpsenjI1GwDlvGAmuJWaonCR1oddO8v9\nj36Ts5B4Whbec7KZRs9mdpnT5RLfPkhthYsSREWgvc0hy6LYULuZOVc4K7ExzRb8p09Gv3ho/uRb\n2ZNhfpDEhwPVAPvrx4sfPypLcgol0dQ17uEl9FtJqqrLiqLELFn5dhwr3hm052V1eY5W6SzOVxcA\nGJe2LCvZ6iXsUKfEq/j7d7ZNhL0m/eTB8osXcHMrGarq/rUkMnD/JqFHVyXdmItldL/XuT3Q/79n\nj//yG7i/O7i+xZ4RfPFqKtf290+Pz/7dp0uM1J99vLx3u/39A/vrL9yygHFlu43ubrOZRfnDUdnr\nmt88meUlp8oY7fr76loHR/nq61fVoJPe2mlFGlLTWNlpJUA6urPZ+TJZkfGoI/IQZdo7LmbLVamg\n8EussrRDxh8OGipVo1n+9FXxcpSjo61+m8F6ZV++GB+YXk6DzYhHBrvNwfGri0J0bKpl2UyL6OVx\n+eyF8351eRnPV/zrL+T2rlot0TM4RzPLcQIxNLdafG2gXlzwV0tVrdB/Djc2s9YWvXGHH31qP/5K\ndB32DT2ntYEfBGqRt0ba1z45sbXCKLUCXYu0YdkKh3ACfP2d6uswooSWXFzf2UOR3TrkLABAYVeg\nes3kWnapFQEANIS4Bj6EAlXPTIQBCsx+jd6vB/VgGVBA6XApYK0QgMLyL7W1DQDltSHMMwsHCF9d\nQS2igp0lwOyB/pZhpR4Bheu/EsGQww4yjPfsnV+7vwFFBS6pJmSWynpN5DxTbRcHRcgMpXdKCFGS\nJGoYE0SDYBYHAcLYOlf7BT0EXjOzEJIiAawrxFCJQWQPVjjIF8zsnYggM4ug0sC+pluF+W0IGDkv\nHurJLbMnIakBKcCCgkQKCMSxxIDiQSlAwEWFWM42cKHAnuUtjpprDT242liEnQ+mS648VsDfMt/0\nqnPnqx89GBfWRQkN4yRyUpTgHTmF1zpRmsgkr07GfGdX73XNnc3mR78ZNxI9s2yFwhjQeQi1Ckjo\nnQdBY2iY+3/0XrcoyoXDpgLHtXaGEioWZVW4D+60//Bm/P/9ZY5Itwbmh0f6mSv+7U/tuIRM4yqX\n/V78vVstt1gMEi3iL6fw1mF7ubCj3G8a9fLKb2xE3Tbe221gUeWovv1WO6vKlaVVqVXN/gJBEuBg\nqPQg3Qbd3dWn0/zhmfrWgb5aMBEpLYWXViwZCaTqUKqfPVie28af3GtOzvlkPF9C1mwls8tRqphj\nXCj39YnVSgdPjFIwKqtc7MXcWVAPzlcG03f2sygiJ1yVTIYG/U6SqslKmq0oSbwdU2bUrT2301U3\nDqAAJp2W1fLpmZ9WgOgIMY10QtHta+ZbtzuXF9OiECEEmV+dDZeNQa/P3kVKE4lSHDPkSSSbm/H5\nsuw0CZyJFbw6m0WNdpZCFEXbnXJegHV01DVZA+eF90VUVcWiwqONzDVhfyN5+nz0ndu7m9n06ag6\nniZbrWjBdqfVLYbzEvK9HfSRPD32z87mUqrnF9VONz24ni1puahS5QRKOB6tFEcUqe2upBV9cEvP\nhvlPX9jtHnTzIk4dl8nde5EkDiNzdbayC/0//J2t2bBIko3OQTUe2WrlLnilgB+drK5dH7QbsTGV\n6DjVfDGu8qW/d7NBefVi6ouF28r6xtE0r3a3m2dPz+Le4ObtxumL6dMr2TvYGc/OH75a3tpLb2wk\nv/za2kTbpdnryXTmvNdb2yrOyqvc3NuXx99MplN9ayddXRTXD83upmpE5tNfLr7zhzS5NKORns0r\nzV48sAhjoA0LEqFS5NnX3X8gilSgSOq6pgTq7K6AUrXxToIx7nV3FKJSof9UQJB9va6F5T54El7X\nBgVIQ7iJeg9aoSZConDll3BFRxHLHFzUgqH72nqvhMJ4CyGUs5CtT9IU4KLMAQniVc31FxEgHZin\n4NkjoyhiD6RBYeh/qSuvIaQZnbCwc0IAv5Vdg7AZYERaRZHynkFA6WBRCMqMglC/GV4JkDSuheDw\nYgmEc6qiNV5UIXjnShAiVCp0VwMSErLR5LwHIECPEnhSwbgdWH0haSJWICy+zktwTgB7ZsAgi4c1\nC0RQGMmz8z6EyUHQiYP1W8H8259SoJ6+ApFiFi8gLAvLG/7k95pfpVC9fFVsWvPSHA2zW2wioxUI\nrhyC1grZeu+9VkbdKD/tzx4j0k8f509H7rCvncZe6kdL1jEeZmiAIIK5c//Tr6ZLUXe2o8OdWDkC\nFu/l01dFyWAUKlIh5C0hnY+ECiercntT/elbjf/Xf84jvc5PoSCqMLxlz42G+UdvZ58/ruJI3WnR\n6cSuKOpAPC9LRQ5RW/a/d7vVNvzpzA8r7gt+527nWwfZ//MnF8cLrxQCqljHf3BHaYdPJ6J78VYz\nefjNcm+rr1WRxMp6JkJXd9SAYKgf4jRCRLUq+fG5Gy2sR4lQbTTprcN00FKTCzYEHlRRrs6Pz49a\n0YWhjaZeLlcrqVaqGpM8uChWjmPjPaDW8PCkeHEBnkGTMsClhcnCxVnU65rTcY4SR+QnK5+fvXo6\nHBodP3m6/NnX5f0bcb/JeVJO0E7Gyd5u+qO/PntwzmkkeYVW+HJedhrCI74x4UErvpqvorSxEzVn\nc97f7xqtV7gAXLCPgNVyhneOmgqr/U46W1Q6iboMSTMazexikZbMhO7lUH14B8/PoWH1vVvpYR9s\n1Z5X1YOXi7uHG/ki90h7jewynfYH8ny6eDykm7ca948Oz07PxK9G5zhfwWZXb26a7e24tyk7B+kf\nfaeNFd281ZZp8Wq12E3Vz5/a+7ejjc320S03Wa2OF/CDd5Neh4ymB+PLq1Xz7h/EdphXC313Z/P8\navTFw8tRYb7/Hpqqml7YqK98idVK9nfShpazy6veRjdJ7IMHL55N9Dv39yJZXM3M3jZ9/Xj85q2N\ni/EyitPRxWmZZB1/+fThSBFuNSltWJS0n84+/XR+p7d3f+MslLld29Ivh1Iu/eXYtRpih+7zB+gN\nfPs23OzxoxNcCu9uJuXCidIXL6sHY6+Bdg+NXhPggjgQsEZsrUdSAGEJIAH2zF4kFIJ4z2EKVKPs\nalNdzUvGOkLCzOuivNoXVa/qRCghnMAhilz7H2qztCIG8M5TGJjVR1xBCkB4QNQYCJaAsVE+bBdE\nAKgVwN+qsuUazEMhfoJKaUIBLwAM6GxgYCpQwMwIJJ5LtvXRH1CpGpypDbJEhOh96FN5jQkN9hUp\nqirc3wnQOguAWpNnz2JBRJNSFFZUcIxRpKROnGPIjqtaxA7dg4oZwqTWWdGqnstaz5EOozHRmpwN\n5mIoxWNQZgRASCtwjAFN7by3YhUrQqysD4I4ASmtkFhEsYBWJhzRmYPvDSwH94gQrYlP4drhBQCd\ntUESKj1l/urvdb+KE1dVkYqhH/nb2dOfvXz+zG9N04Ok2biWTOJV/pux6aXYwmJbzeL5q1Wcfvxy\n+vFpvt2Il4X0Mx0hzqxMlrKxqStrUdRfflX86LndH6COkzjWk9JfLTmOyAI5cEQIJOB5XVZbv4Ab\n3ej/8L/c81e2dNiKcVn5AGP0npFIEeYVfuugoSRZeruV0ILh3T2zndG//HxhImqBHpf+aBDf38AS\noRtHHxzFT8fzg7TpKrAsrpJvXrlBV3/nMEZXPTirwMcf7GeT8/nLCXXbi2WZh1IwESEM7elExARy\n70a01VA/+Wp1tcAbPT3NJYuifleQ/cnQXeYcRXA+cYawn6rUkBLXS+DOniGMQLBwOK/8X39aGqMZ\nmJlJkVEAEDhaLAAaYVa60yX+XjuJJ0MVk3cJp/acLx5dri6ulrOFjC2Shu2eOtxKjKFkDzWmBtSr\ny8KRaqfiPMxLPy+w18TPLkYu52u9xmRRdHrJTj9NQIoyL5AisF4vCk5VWtoioxgdcjuN9g/j0Xhl\nGmAnRZzoxEakukYvXl5BnEadFrVNdDY2d44GLz9+kmx3GhH99Vfja5vZ6Wr+1VnOnA7n9qrEf/pP\n3ky9GrTij349HYmaLW1nI3r7KEINzY7s78rleXHjsC9sT56NCqcUxDeO+Lu32vmqcPPG7U26sSVf\nPZqrXttwHmeNf/53OjhTkutqtXoyk8UKkzi5td1MkvlH35xNVlmffStpttNqUXrnfafTibMcIDm4\n3raXyXa3/fjlxeUwubVVXs3y/+O/Ovmj76aDjvYqwlkZ+SRqJFenV9BrmmZjJ6WffjXdyJKZHz6Z\n+8sh3rre2dqaUyQvXvnxSwA0d26xB7h7pB98WR531J/+cePBF9Wf/Xl1/1v0T/9+49/+f/Jxt/Gn\nb8X/7UdTHVx0qCDUfhKIr5sFQ1CPPAMiKKNCJxIgkjahU1TCkQyAlKrZ8AGuxqIU1pO2AMwI/hAI\n6yas+6gUgFBdLchQB+FABIxWABICIBRYbr62g9RQSgFCrlyALiGgOPZUkyQAgymw9lAEd4lYx5XU\noRJee1BCJgMJiEJcBtW6RiCMJUM2EFHXaUpcx22CYE+ICMZo9igoREBEDMDCRivA4JoGIiQE630I\nQxKKMHgERSIC1rJSpBAIUGtSCtkzKQSuu2Z06BhX2DSGQqt6xI7BCwtDWTHh69+LRUJ0U4jAr1En\nmVZOmJQC5soFoL4L76cTZicAWOcvQQDRgbBlBEEiUkQagQOvihURKll6fDcdau/KIhpN7LKwzSx+\nMnSXs+IHO8cWrmKh+7H9ybPJN5/lg5b5zq6eE5RZhlX+6atCIZwtq/fbSUsJOxoWPovgzb7ptLGZ\n0NVUjjbiP7qZHvWQjIsx3mjoQSNs2AoxMLgoNBuEuTU7+F//YOfdjfQ/PFywOGZUSBAcOkFsQoiN\n2m4YdtVRF6eFMpZVzH/x+fLrIXcSPMkBgH7/RnpjIykKp0X63ejhONlvyKvLVSOi2Ejp/KrAVeGO\nNqKdHk4LdWOr+ejpcGdAsTLDFbCAAvIgABw6GUQwd/LGVjuqinnFO52klcLFArz4/V52cr66nFsv\nNknwai6zUpgAxbOK7+xSlTsLUBV6qx0/uSxzhliLD1st1rFVYQ6fLlJwufCXTlewnCyspqibJr57\nMTkuv/xcj6w0Mnc58U+uZHs/Q7CWVopb1cpezYQMdWKKFa8QypV0mmqj6S/n+HtvdO/3E0W8tExE\nTOSdi5VZuWoyc0cDn2UUp76TwlWedwYHjURGrgBUviznnGfUnC3s997sar8qgRPjitK1ejQbn2UD\nc2uv1SC6v9vudDeA8b3r6mJe7m0aaaiOiEn0Z79+VaCejBefX9J1sv/ul+72DfO73052Bupws7G5\nuRw9jzw0ks40HunfPcxeXi6Vsp6Lz5/QvXvZm9c3VxVnTd1JYrNsD4dzX/GrS7fXa5SRTVJsb0SX\nJ/PTKR3tN7uZSSjKl94b2D/YaDXw6aulOLtYSmeQuKI82NsWvPjrr4sPP+gYXVGcZW33+EQOmt35\nctVIDe41o0G2vFzkZHf72ekLfnGcN9Po/vsRt8s2c6XMg5y3tnB/22w1bZ7irQMoltEEIGvITkMN\nC399n56eju9/O/WuoZVs3Iw0KaqVW6nFZrXOPSulwhrHNZYuCMviva8Z+yDCohR5J3VEvCYwMNYJ\nvfoiv6bfIQCHm7hSRASe0XqHrAhrj53zgIgKBBFcwF/4WswmQAiNhQLKaBBfY0MQWYRQsWcMt9rX\nddchaB5AmgAkIdoNQODr8m8QYHa1VEmg6yxw7Y4KTgC07BGAlMaQOSSG2uFKIY6uDEqQqRGCjq2I\nnIgPO0nIoSilCDwzCgXxIGjM9canQEQWudUKldIEPtJGKVJ1BzaX3oNoLQQoRitE1B4IxESMgs45\nJGJR4j0LOAbL4iwTAosvAYXZcSVMSoeyVpQwNxBUigCAUBAVBptIqOUKqI1gppbXfkqflxDhatcd\nT0q4mluM1cF2U0P16KR8fydqp/DN+aqf4aWJH80jIVd6dVpFzsMHPf18WCBiaXHQVjf6epCK94KK\n7vZIaZkX8i//cvho5G4O0sOu6bR1o91yKyvM4qFwHhG8D8o4O0aN9fCZiG5umfHCH499HCkAG/Kk\nNdEKmRCUgn4K3UiuNO20ocMwmslPHlfXehEJD1qwF+HtTa0jaKtktly8nLrIYhzrcb58OgrDT1WU\nkKaoCdqR6g4aSWZPRzbJMhYrQI1Ir0oLSCyeUAS895hl5rt3Wv/qzxdnU+o18PogOR7ZnX68keiD\nm6lkMinLJmMsyoNvRJor5DaWjBhjFsmDkbz5RlceTb0DUUQUTEgALB6FUIkAg2hNy1KSjppO8kXu\nNrLEJbPLRTU5SxoJpG0+GcLBTvT9d02v5ace4hJbqZmMi/NpUTkfa7VwaJ0g4Ghiv3XUe/caJoA5\nY7GoIqPQRBvd9NlomrUbpy8Wf/nL6p//QcdQSxF5Ujd2N7d2mi8fLp6/0r2GfnamNzbSq0VBSWlL\nTlrxZgqPh2PE7GbMXzxcvnWn3c2gWKwaKold4Uh9cHtvVVx89nz2xrsHu72u9XL7YJPUxXhsGtvV\ntY4e2WojhT/7s/K//8ftd2/I2RMnRYM5Z4Mg9uRl1cqifn+LcCQ5+aLqtLduZMtHF3y9tx3nvrz0\nM6vSdGDU1Pu00XIohdHMBHM3p6V8+VCq2Pzh93aamXEst49av/j1i7/4lbtxaLMY7+23nDNkeMHw\n4bu4nKL1xBgNxytXURZXB9cGF7NZs0kxmPMrV1Z2q9ubWtvtk7W+qBpGu+sD2k1dxVa19c2BlDne\nvY9g3X/90fLOjc6fvqvfPoouvqIEZJovR/Pkd9/ua0QK2V0EUQrda7mCwTthYFUXi4Z7OQkziMfw\n6RMBBl4TQBFRwFNo+1MUOrEAxAnQetwVooPBCevD4siilCCBIQUATrxIQNh5IvR+3fCkwk0uYDtq\n/STA4NmzZwkZm3ru50VElEYKQ0tC9kI1kaMG2atgUjEEzAwEoBk4dBTW+vPavFLHwFkJixeHwStC\nWBcFQOBT+8DVq0khKLVFDwBZQrcAsyiNilQtxtcIC2QErUPFNmitgYTBi8cqlMaGVI+QIqyYLQsi\nFE5K59Bjbr0AmFCrJUpTgJcAi5DCOKIQ4XDOAysDykO9KYEmEUGWMNgiTb7OVQoKczjswzqU48F6\nZg6zVnBIN+Vsv5HPq+TypNg2Oi/gZFhUIi9npV5SbuliRR59gkIgk1LYy42OAZCzSSmMzH4rNt7L\nwtI8Z00gjH/2xeLh0E2sNAx8dLz6/TcarSTWWn/zYhQZSrSMCjZr3AczI2oGQWbnPQimrdh5G9f9\nEiQ1SgsRQClExGZErYYuBLdaOC1wmdNPHixGlbyVmeMrRxrKCoYrwdhczPNvTgHRVwC2tMtK95Lo\namUrK7d3k99/q7tYrBYTvrOXXZ7n5zP+oxtmuSwr750AkXLiUQGilCV2e/SDt+JHL+dfXrpOpi9n\n7ovLMknUdO7VjvrWLf1skj899W9vpoOuXb3yHFG0W/3F48XBftR0SzxRNw5bzQSfnzkvuJ5Qg9JB\nXRQCQUSjlWVpNeJ3jtDmtNFprgpX8PLsPP/p5/NCmXe2U+u5vWFaLTWZ+90t3WzBRiuZjmS2Qk8q\nt5xoVVSWgE5n3nm31W1oUrGpdDPJV/nZqOx1kmaj67BqZO3/7jvlcrUcTqCvtYmiaxv7y3meF7yz\nbRLNN65JL3MjA9ubSe4gy3Q7khsbvf1eenZue4MmGWRP2qSN7qpcVYNuN/G29NGdG92eiqNYr1bn\nX704+ewRW3Ll1H526vLC/+sT+0d/3H7vRvLzH83TWB8NeKwWs1JyTzd2o2JqEZeJi9+9IY1mc2uA\n80va3+jsd7ujl+NGI7u2tb3TSb58LlrZwb7ign/zqhhErZt9+h//6vjZuP2/+YeDTo9fXFy1okGn\n65pZ9ObN2Ofu1vVOZWePz913321qyl9c6kE/ylR6o19+/nCaJD2MZLycD0ezoY1cpSm3eaX2t2D0\n3JacprG+WMj164kxq7OVunk9bW7N/82/K6+c+eEP1BuHncVZPrTJ2/t89gSqOUOkMUbsrP7jX3gd\nArtEJCye65AKhgkbBXZ9UHTVOjFIIRflvA+Zg9BHRXXxnUIk78WzKCQBYGYFBIheJDSMKiIk9Bx4\n/qhI14WFYQdAhICLkyC7EKnafI2KQZA9Y13LHXYOQERlFAJrojrRoupkokiogEFtKKDYlNLBswEA\nlffkPTAwCogHCr1XQT9Z98ogQFA7FYigIrWuNgdl6mNwwJqGWlsgCR1bhOAYAcJ8U7z3xujKilGA\nGoRZBCqGJFGKQcQrRQHk5FmM0SDiQz8koCZUCrznwG/1Xgrr2QsqiGItngFJKxJhz8jeO5FIa++k\n9A4BnfekNCIoRUYTO/aeQxuOEDkvzjmxyByMKrDeQcnX1yZGoliRCLEX762zqO3swdQ/G+WkJMvU\nZJSrWG8IMbtJrrYH6v1rarSA35yumgldrZjF39tOhksrqJyUVmTJAohXS7CClYP/6bP5sJJWRJkO\nJhx5vvAU02JR/ubZskI1Wla+TgKJAghkD8dIBJ7p2mZ6rWO+/GY8cciISUy+DJwP8QIIKIIatUbt\nnTwfW4/4cuRO59KI8WdPylhjXvn7O9mN7XS88H/x6bKdRoMWHfXjjPhqVi29VwpJ4NaORsXiUKVm\no5N++mIxKWWYK7EGSAE4ACACZch7aDbwd96KOwr/zc8n50tJDbLgs6GNNLSbkLaryqiM1KriU8uC\nandbb113L1dW2nz9JvziY77xpk5ILiZyOrFxrDTB+tcJaSAU71GDUrhY+e9/2HnvsDs5Xel48eBk\n+F//anm1hMIqVFxisX+NNgcqM1AQVA4++1L+4G789HTy7EI0QSPRlXUqgiqHuEFLV17lnfeOkl99\nfXn9aPPeVjbNQXQcs4miqJheKaXF8uY2dpqMVCzz5bKQs+FKlBoM0ul8VVg3nvg0jl6N7O2DZDis\nvv9u97/8+LS9s3sUVYVvpAhRHDU9oK8EiBX6xJluqyymv/j8dKMZP3xpzxd2YrER4cNTu7NBf/eH\nrT96p/nlF5PPf62+82FzscqHV9JIVG79MqeNpm4o02wpjKTViiNqdDtAWXu1RIqxY/qtRE4uLjd7\nbVCnzK1WKW/ciXzqG1n6j/74EBvZUUfPizk7NStPZ7k0qXHQEhygX/Bpqa8fxcQ0u4LZyq+WefMm\n2zz/6rm7e9+ywofH8fffvvuzXz348gRvt9Ux8IYU/+Wb1b+42xYP87Kq5vzgtHzjzYOOzl1ZzZdV\ntCH9jdgBffBGa6M5WF4VgyQ6gWXa611rmudwEW9pTYrqXDIBA2oApUiQkEWANClABUjOexWCG6SC\nyQGRmF24bAEhKQUswQ6NBIh1V6xSAPUQEBQpL0zBpqyoNrABVc4TCAIoTSIBFBdWwdcQ6XAuFAy3\nbF97roPVz3kJqY9SvKYQKIe6fwpBgmztgBCJ0HvHDB45EPPDGVjqGWbg+FPoLlQKEcgzs//tgdqz\nUKAKEdbF2+tBWjjcEoNjF5giKkjQxIqARXkPsSFhcQ6MQtAogNZ6RaSVrthrkiRSmkEEtEHnKISh\nvRcImA+NYV80hlSsrGPvPSEBgmfnBQHRRDpGYpE4UiBi2XtWiBQIzXnppJ6rYkAVMUgo5FIqTAUg\nYJ0FIMwJmYk9WxZmIJZpjsTzg+hqulIsrI3abOKDpxVFUYSycqg0vHOk83n57IytU42IrhZVtxk9\nHbqXU3aAzVjPrcsiyCJeerjdi376cDW13E/12jvCSaJ/507Wb5kvng5fLZ1lWFnQChWi9+wD6QUp\nIHCt4//+exsibrJkAlYgMcnYi6ptHCH3D9MVF9Zb8tbhja34q5MSQQQUCLIoK7LX1Tf34i9e+kEz\nbcR+t6832+r4tHw5sStHEXAS4d0dczYq5lN/dDgQ9p89X2kksi6JJNOwtrugc2JFfvit9l6LlkuZ\nrCRWIMBaC7DKrbyzSV88WWWqtd2DVVz9+nRlDLZ2cDixaUv90Xvq1TPHNubCnZYSNWC28FppFbCR\n9RUOjUKP6JkRyTG/e7OvZPH5+YvzpfvFo9WrEYmSooJbR/rmoWJL8yXlhdnZTBpN/+Ab93/+NyeD\nptnsG8p5v2tenDnnUJEA0bXN5mK1mpb69v5GBcuLpUoag2aTTi7Gp+euEGVXPtHUbkYqxgjTbrcj\nk/Oz+Wx3u0ueOy0VG4xIzqdVO5OIygfDRfY0HpaSVqtxITvNRpPYEZF4EfSoV1Lmib7W6dp41If2\n06enuqG/czPNp9Unn/ub2+rD32v8g99p/PWfzz99lDd056395umzWa/dPT4eb7TaP/xW528+Psdm\ndm+rxejTpN0wWAnMF/MqivpJY5avHJq4CU/Pnr0cFbu4ffOobVbjczv89MFkbxDvNn3ubLlSUObW\nmU6TJTUHqUbnz5f2II5WM9u59/6tmzF+/Ou432hnfDXH9943b92NT47LrOuomRcVHQ7UbOyrqJu1\n4k7vqtM98JPx2wfFk1f5Tr/JixXtgBvDzs30g7v4y5/4v/eD3TbGtmptb1A5O6dNLt2rl7O0sOkP\nv6e1FwEE8eC5JnuG4FMQkUXK2sKMUNVMegm6JNQuX6zPfd4BoLiaRFCntmqmKIRkNtR9fSAilh1I\nna8OhhAIRd8opKmOyUq90AsAA3gWAtBaEFGjERcQbKQwtJeGtj3wHmopBAAAuTb8CgCDJ0GFyhuk\n9fX3dYQ9zJSEvYAHK84zoyBpqtOGAiCiSHFI8QKUTgTBIGmFSCiiapM3hS4VERFFSq1P3F6EAXT4\n7QiZgQgUakQBAoOKxTuPzOKdsARqBzND6DLxzqMnTSCIlqVkS4S4bvNSWhMqFMcMlr11nn1FdSsg\neLYh2agUAile2w21UUTkvA905gCIQkQHQSEIzZJCWhlG9m6U825j/m36zfnzybgws9wddvWnT/Jn\nM7rec1clnC/l9qa6GMOLKywdWoarpRt04lZszlc2ifUWyauxTw00jD6ZgRWoGE6XEhnFGMQyrCrY\n39Jv7KRn4+qjR0U7MT97sfRIStD6YPwJuX+OtALhNI3euxY/eDZfQvJ8XhJFpbMASASWBYEIoXKu\nESsCsajvbdmrQlaVKKUUEhI49kbJraP0cpZ/+mx1dzdLjG7EZK3/5IVdODIoLJgQvnXYP+jwnz+5\n3D5U02nxyYv8u7c7O32azLxWyIAKuWLst6M3D2m5rI5u9P76yWJasAn2JE+keW9AyyWmmXnzenK1\nXC6morR6dFKaK7p3Sx0d4PwMi4UWKr58Mf7772z+zaPxqIy0Fl477pUiBp6toJ3qQVvlTuLU3NnX\nw+nifFH8q78qHegkwVXlAPGN22o685s9jBWlBpuJjiv15q5wwR89LNPU/MFhVKz801MkVB68Be5v\nJE+eXRxyp0tiC2m14yyJR4vRg9HT5y9otsJ7u+1mlxpplMZRqrtZU3/6zeVgJ4mMVbFs9niygHaq\nZ3kx8fZsru7tR0qV33qrvZ3RQooYy1nJhL6osNtLp9OhJ3zjnTuymM18u5fOn1Ly/j1mU3x84i/n\n8nd/p/v2NfXxLxarub7W775zPTkfctrqeNJL4Q/eyqoJk8nu7XcAsB01tU4IK9KoU51XZ88mBSVx\nMy8uT8sq1vsb23eu8y++euIxu3YtqgrbamSpSkkbng9HU9dqNfJpPq+WuUfF0fYWcJIo7RU/cm7j\nzr0dVt67stffOOwNP38+PzjcaJH75pvzwvNyukjb6u1sNTq33z6MVtNJZJypqNd3SSNparFzOy8a\nb+2CmsMf3I+yZaWubUVuQf3dhl/MjO3HN7r0/KsTL0VP13owhvSvCIdVkgFRUZ30NcaIMIQ+PQnn\nUJZ1qjuwexBVeCQk9In4kCcMNYIcYDUCgPX8jDVBKMdDBPX/J+o/gy3NsjM9bK219/7M8edcbzNv\n+qysqqzqsu3RDqaBngFmQMwQHBpNSENSlCJI/aFCEiNISSEpgqJIUSAVwxAlcGaIAQecAQYDM0D7\n7urq7nJZLr2/3h9/PrP3Xks/9knwT/7IzHvjZuS9+9vfWu/7PKHrMu2/hL43BDESAj5zxoIAOmYu\nkQi8eAXAIN5NE35EYQqrBZHUNGQsyJ4lBOBC/CMQ9cGFO6I8MxyiZx+oo8HqEimDgULG5MWrqQ+X\niBCfiasjowGFPTsvUw0BI4sgo4hVpJRCx+w80JQbBaVD0WKCkYDAOWFio5EZFCEwWcdJrAVcYV2s\nTRxrBrElC0iUamEQFud9IJo6DwIuDOnzohQQUhRC41qF/qMoQlRgMLIeEMFoEmHvGVAJAHt2nr0H\nYAytnGm40AszhsUBYii7c8HqXLv7mn3/9HCwN6FmBAvteL5GP76Xv7SWHHcLrTUp7ykelGqhjUcn\n45GVdjU+P28ScEkMD7tF7jlzrBCHmRtN8MUzFROpcS5I4Dk8ktkKz9XUYMC3t8ef7rk3Niozqd0e\nTsgYZGRgAoy0FhKjcTRwL11tzSZyd+IdYzXRQ2uViSLv2LnpDJ25kupfea6uwM0209O+T7TPStYK\nAcUzz7fNufk40vLhpkfEbuaTktI0KsDnXiPlUUTDUXFxLS1wvHksi0vplfO1t97e7uey1EbPkjuY\nODCaSiv11Hzuijo9ldevdSLvN0+tVooRjYLCST3BxUZyYd7YtLjdHyceEfFkUIKodktVUn78GCOl\nGYr2DH76oJ9ZjZ4ZeJr3D6tclLzAz7+cLFP06UFWoLswp9/62f1/sFPuDYPlyFtLDqTVMBoparpx\nxmR1px5X2fQPyqdHynlYmVPNKrGV9TmqPaGx9UqRLZSbuKVWUkeTZWUJlUZaq1eqB+6oUa9VItFJ\n/vQ46+VqZV5dXavqhJ/u7Xx4L0+qaXstGhfArA56dqVF3T7Mtmoz9cgBz1RVpx1XYqx43W5XjOJJ\nntVBly5jkdnlxVTZvUn34MB+fO+wkOjOY8+pnWnq82s8c5563eE/+uPR8ZAurTVfPtcQgxH6d++M\nFlZn3PDop73oTF1v7pUvv7Duy6PeaDI/M1etRBVZRDf46PR+XOH94dHjkY5yuny5xWWxuTtaWIsb\nJrl+ntrtarPeOD3oHh0N/uDt0atXcc74aIm/88MsihtfAJV2hv/NP+v/7V+BLz8H3czoai2VfG8y\nGhknqtI/ONnMZP+AewO598RREp/t2EF/fO5yc67GpYzmz6TRw1jS5kyCu3snJ92Js6bSSRozxY9/\nMjnbLxbmpbnQ60qsq41qpeZBm6RaTUmrwHYh0Hq6uA/3ymfhYZx2oIlEwDlQQdU6DTiHyPB0uQTA\n3qGAePEK1DMBFQAQTDdpQe+KMjVn2ekG7hk7CRHYW5oWCpkQLSgEQhTCMHoACBAi8EKkQ/kwXPaZ\nUROzB2Dvib2QlsBJnZ7/AKRIEVjH8uz3n6WweRprFlQB6sMBuRFa6xjYbOKnFoNAL0G0imj6CHFB\nMDjlvSnSjh0DopA2wckIWmsQzgtfgtdKRSaYv6AoWSn0SFpjXvjClWmkjFa5d/lYEMEYYgZbMgU2\nqFKWPQsaIkbSigwSqr+KZk+r9iHj5Zk9C4uoZxZI60CY+FldkwCNmcKgwsy5dBBphWb66ZjReZd7\nSbH/prx//3Hfx0msCkSuJHpccitRw4nfGvhGBXuTYtmnzHxnf7zXt1v9Yn0mLSxueSHBJNK9gY00\nnV+M2wmOMzdX01s918t9GisWEGAUEaTr61UV0Vw9Wojl+kqyezr68CA0t0XjFB/rhVjkwlL11y4n\n9x4NHdBpb6KRxdveqAy1VGZWCIXQ1y81r83q/cxuHRcM6tMno72RxApKKxdWk5bh7kRxLoc9Z1QS\nJ4krC/bWCr1yNrq1y7sjH8X0ylXNBN7h4nyzovB7H/dNbKqJjiNzOij3+xxFOC5lJsUqJdefq71y\nOf2n3znoWghXitmWOhmILahWxYUFa41WQLfvT9CQMF5/PnrxqmxvSVSDceZORsIaJ0KddvTj906A\nIMiJSbGA9ui//FLtXF396Ycn+wMVazntTj65B0CgNSCSUejEK4ODrPxwy//NjWRv01hmiOjBzuDp\nEc6l1aEZxYkUZvJwKz4qNBgOPyMs/OEj+9de7WixqqaWWwliFNXMkl4cHZs0Oe4kkZltbu0OlUoq\nFXpw9OT7Pz98/wG98VwlIaHEjHO70I6qmgHAGEirVKmo1Ei/V5rZdHm2iaiihBiq7FzJ7ICqUUMs\nsuD2yXBsoTt0X3u1fpr7f/7d0fr5ma9fan7n24OLF+ILud464IPMf2XDfudH/XR57fIKPXw6t7oW\nGZmszNUSPLhzbM+szpW+TEqTZ5OoZtbm26PM1mYWZ2b48MifWVtYbpRF3v3o9vh33u0tX218cyke\nWT3TWKAZ/Xe/eeLI3Ho4oqflL7++kpdc5sVqpfbFK7Jao3tPyqUzNZKTPJLajPvoZjm/AHee2Ie7\n/vXz1d7BJIn15TX6+PZQNyszqSltASp68ORo83FycaXcJqi1Oy/E0aNDu7waf/rBYONS58VLreZ8\npMpi2DvxOBnb073huF2v257XwX6EQRUvf0UD+p/ywiEVB8hhNFGWfhqkC1SNIAdhCk7WUCZUYWOI\n/AxTg4gQOP1GaYCQUJ6m1gLCPzTLkQW0Cl5qIg2AigWQtMYASILQ4aOA1VTOBS4HICCpgC0KdT9g\no521nkFBAPYTPvtaokiLiPfgmaeV6+n4W5x1gW0nKASglBZmxz70zpVSEP7ZhGGJaAjZM+mgmAIi\nxvBaQKgxEmHvhFlCpXEyLhECaxXYs7WYREqpkIdBAHGOlVGEYH3QgKMy2jvPQmmimTkIupi5qgwL\nS0iUi+TOiwVSqBGYQQI/2osgGKMIMOi7EIGBtULUStgjQXBFiYhnzx68oFZoULyHaWUSgbQYxMJT\ns+yOsqI5W//40fBozDMprcfiPVjvhwWiEgY1KUgZ9ISzrXhipZ9NUoPzNb3TLxfrapzZg6E7MxNf\nmjEfPM3WZnQOQlHEU/CbaFDW8VzTXFuOF2bi3/32wb2u9QDDPMDviAHCRcIHN2MJ1UQlGkclVzTu\nDoRUBOQUAWoUpxFt7vyFlerFtnp6yueX4uHYvbuVv7/nEwVIQAqW2+neaf7VF5rWeiuuNy7OLarZ\ndtLr594YHZncsXdSq+rzC/W5arLby1893/nJz7d/en88M1tJY03oJrnr1JQdeEI6P5ukSs00NUvJ\nwMZ4JBBkpbASwcSLinw/h8U2He3ZB5uyuEjn19X1a3Kyrx48trce88o5aKaEnq6uxE+3s50ha01A\nQIjCyrKfbyWfv6T/u7/odTOdxsIeWTCOCFFQCwDYkpWmRMHFi+q1C6a/qw/2pNKIDp8UH96zvgLK\nG/Spd6OH93FxJvqVl1u/dzLcB4k0ZR6AxMRR1ahCyFCUNhtZefiDt+/d38dK4n72tvw7vzH7wpn2\nxnqrn4/raf3MSvf9+8WNe/mlMxQXFIGar0KRQaMhtYp3E1ubqRTjEgw1W8m461vzvvReKRqNSxXV\nqq1cpcXe7gRUA/ypUsri5C/e4bMz8eqCee1q463vHn30AD+8za22rLTNmcXKYKJ6ZblhsnIECO7M\nfKQ9zjcMqdbVZYXa60gltXSSH/d6Lk0awmOn/M7pga8stdrUOxqcFHhvPLn+2aXLy9TtD2bmOgLu\naFwq5UyUfOWlxd2H+7OLOqm6/pgHtvHK1WhlhT6916XBwkpjycS7Wd+fP7uwd7Q3dv7cTGU4lDNz\nte5w0oj1tdXKCKOzc6lRvsjz1M1cOauFXXMu7mUH4wJ1I1lqNycbptJkZqAyd44nAx+n6fxcPDkF\nVVCl06Bp3CGkKJhEJBCHS8+WWUA8BzLSlPtrjNKalNHKGFSApABIAtIz6LcRJIxvw0FGweBHSM+M\n3QCkaCq0RlQqpKYEEVERIiiltA6JY1SKgMQzO++L0jkPLCzIAZFkDJKCIA34K5RHiCtEGtPUpJEh\nTUgqLBk9i/dSFJyNXVG4snTW+qJ0ReGKwjsnQsrEURzrKDLGRAFVGSkTKa0C4RqBQRx767x1vnSu\nZM4LZ71z7IrSZ6XNnctKm2eFLb3zvrBuPCmtBVIKlIqiKImjNDEm1ozIAMYorQIoKnQ5QWkysaml\nUaSoVomjSDmeul40gSIsvbCHZ8YBSGJtYqUUoVLKKKOD2Aqsl0nuC+sK5/LSOS/MKIDWeQk4PUAJ\nDXigILfxXkQAFYECIGAGa71zPsv9QjoilryQZi2qpipNFAF1qmZj1rBAZEwa4cmoBBWtzlWWqlRL\ndDOljcXqbjcbl7ZR1bsj7peuXol6mbYCu10/t9QKL1lKqxAA9ADtqmq1Grc2i2/fGt4+Ld7fy5NY\n0zPKMmPguioR0Borid4eAibRWCBN1FyVjZG5RuQshzm6ieDyfLzVtQP0QJgDfrqdeWAiKUpYW0wb\nmlcXak3tRwXUKvFsTZ2MwRuNWiFKvZEySLVGCy29Md+YjHyj3Xy4PfxP/smWGGMtDwq2rArmknlc\nQi1Re92y1YhX51KjkihRCzOUGBhntH0kJ0M5u2A6NcAqri+ke3suSWlxLnrpReofwdsflKU3V6/o\n1VU9346LYfT6auPx7qSboyLy3jsniNgd8S+9stDvuxFb9uwchkE7o3gQQHDMShOzDHN/7VK8Uo2O\nTtzx2M3U8ZP7xeMTcCXd2xnvjoqFdlxJ1GEvP+q780vVwovSqLW+sBRVNY8yL4ocmmqrLjr70Sd9\nC37viF++HpfQ2+4O+3bSnq3D2J8O9PwCFKU9OpUc/al13ZE9KKhShft77MmfnjpCWeo0KoTdLBsM\n+ejEb++N4tqaId7t7/SKEszxP/zTT9+57VaXoi88P/vNr6589oX5v/ebl37hQuPxXnbjiXNE/bz4\n9GCcWVM688JGR4a9ga0mUdYbj/KRoKIkqQk4IFJJ6sWLIZNwbvNkxpzku8PMdDpJMSgHY11POi+d\na15bqTXS2FRa1WiJmQ8ng5196R9nExZTdyen3DuRskwXG/VzGx0Bd/3F2YXU15pVhbZSbdZq/dMT\n9867YmppEsfbR54ryXBi9yeCOHnvTn8svtZsrp9dPne5NrcGwsXhMPvBJ/mbL19K2rWNc0plpS2k\n202OtqDeaFTqwI1RtIDRKjbOsA6vvcAcHCrMrJWB4BMMmyxEQCFCrai07DBw+UOAAQjAgyiQvyou\na0JAMpHyjp1npQCBAlUpHKseAZlVgBphyOliiDOLIALT1PcMiMIBz48QmUhpcNYhBMQpWOcAUCua\n+sdBvBURVCSBfqoNaEUcwBoAU9WegFJIWgGIRgoD68DrEAH23joPws/GJuKfqRcDLiosLXl6VoiX\nsBTEaVscSQXBLrIgCZHR08pHcLsoCkk1JoWKEAgZ0DHEBilWAqBCeEKRd35YMCJqraYvNMwsaFmm\nK0lABwBeCIGsKEMgMAVliLBgFGkTAQCwB62RCIVBCIOMwIeaojAAaqOZhfX0CeccM6MLJXJCa6F0\nGEl32R9OWJ0OCuukEZGwoMFqxT/YdsdjWJ7RTw/H1Rhf2TBLqf1g3x31y8vL1VTBSSaodaOaLDTc\n3aMCSHfquJLr40xePpv+93/+iJTiaU4GANBZ2D8c/cFbx5TohOHRiTMeiEQrpTR6EWEhBA9Yr0Wb\nx9n6bHK2TU77Kwu0c8K9CVQTqhU4LBgJihKjeufKTHZynHVa2ipdOERg77Fgeelso5WYxcQf9Iu9\nblFJTKRA2I+6o0Y1ttZ9/6OjEiQxmOX2xsPjxRQXZip//4+fnPqoGSkvOD+jT4fWY9QbDxs1vdiA\n/lhmUh1yTMi4uQ+lFVI011HLbXPlHKFyrz03u/dg8t0Pi5m5ytKMuvup/ZOf5JGJv/HFKKqUR4dy\ncIx1iO5v5aAQJLAXCUEKZ1+41vjFl2r/9/9uE3U014GTQcDfBt8lCgdtJ6axSmpYQzMeGY/01c+l\n/ZP+ja3icAjj0iglkzLfO6nONePDSX448cYkrcpIBFj8kyP/2noJKq1VjUfMvQOpv3Fx5dHheFL4\nFzrNc2s1tKeZd6PheLPfn5sxk9s0dPYv3+1/7fqMEgFqDibDem0GTRFVYWtUXkxo57TwHoaZPjtT\n14QnR7mSSW6y565u7D8+9FjML0SbXbfdd+fPQbUxgjxJUzzuuttbDBqKAY6tqkb4vfd3v369Y9k6\nSQ67jySizrg5SlKVmHwygVhMlSMqBaDa9jt7PaNmskFPp825BZ9KSoVKE3DD7l++M/zX//bS0lyZ\nllFTj7oDefnqxXLYu/Xk6fZJrPRqpxYp1a02G7VqDbyPYUUlEcNxf/Lo0S7U6+qtn4yOR1FBg1tb\n/levtfJeL5bywVZpSW0sVrOTycTPLVQrUdT7/s+2z159sUM71XTuMxsyyYdJrRFHC0vLx4707Hzn\nFAaRq1cbagKnUQOaaZPKmJCIPTOzc74snXXeMVv2ImCtdwIewDGXTrKspMClZ2EA65082+sRogvG\nWQTLHhWxB0DSkVIao0iZ2MRJlMQRGmWUirRCjagxlFoAcGpmVSABZj9NTU/dbqWTQVYUpSVCZ6Uo\nvPWBpIEiFGwdYfZBhACKlFKGPGPJohUF81Oa6jQ1caK1NmF6rZQiRBQ0SglC4MsjCGlNRimjTGSS\nJE6rcVJJTBInaVytxHElqqRxNU7iKIq0ibQyhowx08+tCNSzFB6Kta4srLAQBsS2KIMmVsboZ7Vq\nIEXWB+iPChLyUI0zxgRilNZoNGpNRBAbRQpERIiSONaKAiLaeygtM5BSFEemEpskUgIMSFFEiFiW\nzk61Kuy9KKLIGFKKGfLCTQpXlC7L3WBkh6NiPCnywo1yO8mt9y5zXKexGw9HuXfgghXNkKzM6Pu7\n+YMTu9xWKblhDr9ytbbScJOsGOZubT75zHL8aG/kGKsRPjrITjOXaszLIiIQob/55UUo/NGAYwPC\noogIgYWrafThw8G7e0WtEmltXlxJ+5lNIh22qSACCNZzJ1XWuf1BqUCShGopoofexNUjnKuoZ+sB\niIz+4G53bn7Roy4kmkxwrmGEwbK8cbHaEGsq+spag3ma5WnFGEcYxm4/uHX6z97r5jl2B7x9yr/7\n3Z6ppt+9sfeje1m7qljYCo8LOBk6T7TUSj53Ni0KGWSuUUsqaeKtxIDNRIlQu0qt1MzVo/kanV2t\nrseNd94fnzmT/MrXdKvG1tPnXkm+8KYZj+TDd/HTT+HBLrx4JskLt3NqY43aaFIiiGT43/tXNtZb\ntWqdvONx5sPuYdrzFxFgAPIgaQ2++JohgInjs0uVYsw/+BmfjDwA7pzaQU5n5pNa6mfm3FIT/sVP\nDm4d5csdnZeMwidZtjUs46quJkmaRI2qPtg7BcDFVtpKzdpc02SURPGZxSUiLU79xff82mJrY95M\nyvhgJL2xy5jPL6RHJ3ZjOW7UG2szVYdJZzY66Pq4WmvG5PKJjm290axUKxEXR+PBEGhjI31po1rv\n1Ko1/+CBVExFkuO3fr71wWM+HaCQVFOlVdSpqFtPi92uDFy2OrfEhT3mxuWL7d5wVJoqgHhOS5da\nJAUNkPiof5JxRr6dYpNzVeTa+2S5ufZ//fdeu9goPnpn+87tbp6NbbHz6c3bGKXLzSZzMRwOV1ac\nRh/jOC8LpjTLIkO1VgOOe72zlysKJ42a7h05C/F8DVQ0fnoyursXtTvJV78488IiXVioNjD33H+y\nNTkethBxbOcjnlNxU2y++eCxaszG6SxVG6U/MtUWyujotF94WVydnZs1FTPWABBFZuru9CjCqIIv\ngwwBsDjvA9gXSIMicD4YCY1RABSGvwKggVWoXLCaNrufeaC8gAD7QG4O5cLgB6GAjiRERB1WVKBN\neApA0E4rTYgUKUIC9qCRVKpYwKMHBvAgKIooBOUwHPFhnssiRFpNV9/BbOEJCARANJqgRzKEIXFm\nUIQCWYiQph/kmb1n6xwhhV2bIyYi5xxPgR2hSyghkwiATBJpJX4a+qNwTRYEBq1pyiNxLMgm0sIA\nKEoDewlLeqOmskTrOIoMEealG48cCZJmIgLwUxa+d0ZrbTDRMXuwzipSQbpYOi8iWDAReXHCPoq0\n8+Ktm/oGkYFL76fvKRhWEKEEj0JKIwop9AzWsbWutFCbbHeLcmJpkEN3IhszJis8CtzbcScF1zPb\nB6ok9PPNyT9452SuZgTFaDPM3SBnJMy8X2zEVS0K9YursfL+xY3qN56r/f0/3j7JpFkhFg7BEUBK\njdw5LM/NxXnhLyxWYnYnE4g0lJ4DEhEEYqNaqbq3ny92zEoHO221s8f7Q3uQMWmVeT8uWBF6QRPp\nw+7orcf2m6+ufvLx5uFQ5SVXK5FCqCbxMMelGpEvnZd2RRkt1kMnosUFc9rz728Xq3Pp6dArhSxS\nOn37QfFn7w2TlFCECCJN2agkQnC8WFek8CTDN8436lUDAB4gUqA8xRGNJvLcavTKSrVVlZm0AQNK\ndXTpHF8/m378SX4wYPH+07suz7FRV5dWkkHu94f58UCGDjUxsCARiK/U02sri0UxPreu7x/yxAF4\n1kpZ70mJIipKEYVvPh/ffZTHFew0+fGmunBG/fn3eo9OJWzBlZE0khfOdmJ0f3nzoGnShU683lbj\ncfTwMI+1vrSq83LcG7fXV9Mkjm7du/3Ore6kpEleMppGUhye+kojSuq1k81D9J3rl/sqst7Scb/M\nC7O2pOvJhMnMzziluLBUa0ZaOFX+SNzCnB4PbS4apLt1nB8djg6z03YrioyPdBlV8OJZc/cuQY7N\njnn4aPOP3slKBPRea20LysW996T4d/+V+slmkXR4/6hfS2YXZpwWMCqtxMguQZakFjl2qJK2qZSd\ncnvbfvjJyW9862pLj0oeJ1qOjrL+GKPamDGtx3WxaFSjXgPR5aQc8og6Dcp71ooZTyaWzfx8bPVI\nxbi1mT3cL6pLB4Q0OwtxAu4YJjnV0tZyZzJXIe7ypOsOdZSkkFR0rdHpH5aXz0/a0bhAb09Olhrt\nqqpXl6qxHO8Ndqm1XoUVHWOlWVVQRM2RTAqLSSNBEgIhUJqINGnSkVaktNLGGCKFhFEUGaPRoIqI\nRWA67Q2IImQQL+yDt5tBCSpErQhJPHvnhacYByQKOVylFClFOrSGERnBhbYHkQd2np0PsZAgJ3zm\nuGLUBJa981OfK4SvBcABi8LQNAlRP6UoinQckWcI3WcrXAqIn24ZRbz3zCK59dazC7d1RCH0wJOy\nnFhXOFdaV1rnvOSldSISoqzsUSkdGWW0jrQ2OjJRFCltjIl0FBkiRRoUIBEKCigCQkaxwszgvAgR\ng5SWLTMLOQtIpJRohS6oFxFRkfWegZNYzbTjal0liTaaIhMCNWIdn/Tz426xdzjqDvKy5Cy3eWEd\nCxEYEy7IFGmK40gREWElNWmiAcU5yQopHWe5n2S2dN5Z9t4DQC2NlSZFip33pWPrumP5TPX+9Xib\nWe/0pD+RrHA7p0Ulwf6g3Oz73b7XxpybjUX49mGeMz3tu4enxZ3j/CBzhfel90fD8nSSnQ59wVxL\nknYtPrOYjMf+nYfDyISn1nSZrBVtzCcO8Mp8IiDtGO7t5/0iEFwFAIjQOpmp6VHBlqE/9p6k12Pr\n4TiDbu4Xm3q6Ow68T+FaDN/56YNerpVSR6Ny4uGNi00QfrCbFSwbiw2ipBAdGYqN6rT0TAPn5yr7\nQ194XVr9LFqpEkM/vj0+yjDS5EUUkvdyPAJn3W6vSKqV00wuLMTXlxNR7MCL0MDLiBkAnKPCUayo\nXW1GSLGpZHlZr7XXcd4fmdQnylViQ0kE+Zge78tyJ+qOvCOupzLbVgoBvPRH/CtvrkF/+GR/73RU\nEKo8DyFRIRWaBBClulHF40Fx7bm0FUGZ08KMqlXSYWFycZrQgxijjvrl9z8dDHNIfGW1U/2l68m1\n+cjmoEihVg1TnalXEtQiFNdiZaVew+OB80Tra5G3ihQlUZMzmUjzwWFRqUbdU2i1atc24tKRYX13\ny8/MVtcWkiiOqvNcr01OJ4VXnjE/Oum5ZOSgN6H87U/ufffj/QxxbpYS8FkOacI/+kk2R60L680M\n+x9/YgWj5QXdaUG9whZBReQJj7ZkpVFdqrZWFqrterSx3MmHWXtuPiGflUpFVRIfm3qsPAItdOba\n9ebf/tbFGZWBV8N+31lYPb969uy8Rr20Qsb2/oc/eTB2yhCzL9LWwn6/iBtVSStprfKDd8Y+yhj2\nTbu3NdjyVXf+Sop5MzIxn/rTIZxZSnqlFKWtxXFN3O1jTOu1F661lhdbxYQdthjVaelL2z3YH567\nWF9YiQSK+XptcLqtKtW1JtQq3lRPF9ZmqkiJO1OrLa2t1pRUtfMg1kEwhk7RywAgpHwgWhBOx7dO\nfGDxI00Nb4Dgvcfg9WEpgbWCAFGXoFMRIEIIyegAmgtZOgAUIALvgp0Vc5mSGoOlmQgUKRYmpQLH\nznkJu36lUCEhohNWSABgxUMAIiF4wUhjyMkJodZgnQ+SUkAMbIywYCSFJKAwwJUA0XgfAJVgDHnP\nzAxIWqtwxbTsUYAQ2YtjDzxNGQYVALMQCAsG5gYSOi8YXgKEiSgy4WLOgX4KIdkBwYWLtvTeszZi\nDIX+urAHgdIBe2axAKIRicIVExEwjRTFwEhl6RBREIwB78ULOzctParwiCAhERZVWBtIKyBTc0Jk\nCAm9+PAf6gVGkyLwBRlJAfczdaG+vzy8++iYHp8WHlUaa0ZIYpUg3953iFh6VkT7Q3drvyQkBE8I\nRilQmCqZ5B4oUop6OTarkh0zO3d2xVTa8VG/7E7AaJqGAgGshygCYZivRqsNeNuK9/Li+eTd7Szz\nEPI27EEpWmrqG5uZMTjIWRDnZo0G2xsVkSLvpGLUFLQISEilh0pV72/vEVK7Fq13eOdoDJrOLxiV\n6mal3DoZL9Xh8bGf75j5Vry2lGSlP+yKALG4cNCDktmaHk68iRQH2CEqcP72fvH5C7XjUXlhXbUT\ndTrh3EOzUVHknIg2REqAMY6wYQCTMmdeazeOD04W1+uvnm189Lhf7USbW5OMpRpTrw+IcmGF52fx\n4ID2B3kcR3OzfjQCrMBvf63VkfHO0fjhdoSqsdgud059oqdWZWG0wrNNfP26nmRukvNkbM6fT5Hl\n6V5vVDoWRqWMwqJwSiWH/ezPb4yHI/203/9ky2zMm91MtIIkZpP6zb7t6cEZM3N6eqojfLg98b5y\nebl6Yb3KRdkv7epC2xcTEnz9ucbBSb8oqZIksy15sj9+666/eM6cDopG1czVdQ3jSXcQI45G7vGx\n/8qVyrgcHo/saNL70Qe+EMnKYn51UifTA//SpcrD98f7jfj1a/jBjezo2IyKcRrr86vVmbr++e3R\nMJf5+eij7ZOfjJRBtbSor661VWyapsYiZVRJkzytsHMYacdZwREWo0mvV0R4fOTcmfkzaUqmXms0\nUnGjpo4/+nDQasZXLq6aWisaDQGhVaOzC2leYHuu0jvtnbkS19o0yiWmbDDy6ytLCca9Uxnm5Qf3\ncey4KrA0K9s7PTPbMMP+lfPR0lI5LDHqrEa+v7n5dG21ag8EOV5sUTU1YKpJxMPJKONKY7apMc8K\n55HK8kTH4op+paLHI1+WuSZE1gqCboRDAzrU6oCRFSBP0cAYom3hhseh4CyitGZmzz7SmgNpR8CL\n14SBxeFBSKOSKcoOniWfmX2a6JB1814UKqXCcg08CiJ67zxzYWGKEA0FRRAR9NPAHZTspvE5RFFI\nCoWlsBxprSN0jhGn/GWFJBhCtiAMipAUMKNGAELrrIh3XgDQB6WW4PSyHBp1GNboPPViESnECMNb\nJwiCQm0UFpYRkDQAUqSRgYm0Z0ZGL0ACIOCsrcSRInTP5jNKoYnA+ym6BACUovB4C9X2EAV3YdUI\nAaEHllmF2JxBELJO2PkpgU6ANJLC0MCfOgNCUEcC+zSoE1krch6AsVrRiFg6Zs+25IkrwfMwo7Z7\n8mL+6daxnGTucMitqtZamol+sD9+fArPzVci5UeFu7Sc3tkcnuTu4nxl63jCIKXjmXr011+q/bff\nOYiUF8bTkS80O8+7A3t9Pb681vjH3948mpTtWhSewYhQlP7KRl15t1RRrRibiWolqmUk1ugmogm0\nJmv9xaX0eOByLzERaGk2kiii7eNyaDGN1KRkK6IRCifaKEQSlM+cTasaPt7K39sqMsulxy9ebW3u\n9H/6YHRuLr44qwonM1W1kCKALHTSf/gvt+7uQbOC3VFAN8LZ2fr2cTYufKSJkQKMupGa0cj+8O7k\nqy/U23X37Q/LF1cr51drSayz0fioV0ZGu5IIMXfOinlufUGQs4nd7mbn1ltb2wOT+oHNDsd2sV45\nHueffYH6GfVH9pNHPBhQ7qD0/HgbSvBnF6u//ub60fZwcbGWJpOopt69ZRsNdIzWARKlCSSgVlew\nGanuiV87i9UkWllo/ul3dhc3osUO3NpBD2ACygCERfUmwuCJ9d4ADse5UR6J8hzfvel/82tLq+u4\nX25Fk9bJZKKUzqEcl/Ltnw+vnWtcPjMfx+VR93D3YMg6tqWvJUimzIVmalWX80KjfWk9ubdzUGYV\nRXk3x/ZMcdyjr/zC7Gi09f0Pxt9/3y2soiPWKZy5iilVV9bq52oQ2eODEYy6o/ND+8dvnX6644nU\ndo9Py+LqGfXmi8n9LdcblxvP0dHDUiK6vc33dkczy7XXzywM835cjZOaz7qZarWqikpVq3dsROKc\nHvP82kzcHe9E1dpyI2XpP3m8/Qd/dLib0asvpL/0emKtXlpc7B8f5l6Z1Cx1qFoxCmZfrdQnHk/o\nqTbN84vxR58e1NqVGR2XExxD5Ve/kPzp94++8JXOo1unNapkJ2VlbfbcyuyTh9vKjJfb5nTv2PLy\nQsfnmZBRgmm9Vmk2/OGOWl5fIelbC5nPwVtFpJNanuWFyyLtHt471I59mCGocCIgEJJ3DCF8QCIi\njqfXaoUEBOxZKx1Kxs5ZrTRpFUjHQEAqzDOn6NHpsx0gVAmRcMpRR8pKH+ISABJ6xs678EHuWY18\nKh0PSRKEaeFFUYAyq+nNeFqEEfChzGK9KzyqwGES8MwlOxGvNBEq9uCAyZNS4NmLFyAKa0kJ12uZ\nemGM0VN8WOhca1Skn8lksGRB9gHkJCI5Ymx0sLqwiGOvgRiEgEBJsPNFpCMU56wXUgYJCBlyx5qD\nCZKZgQW9C75RJB0yjMDiAYBQCzjhKfzTQgDOCQhPqUZICtGLFyFF5NiXLMgEEAinICLIQEqLY0bA\n0gfKdQGWSLGwsJiISJsshzod/UL9/qjnvYAmqiaEYhXoViqooPQwyt3BmBsVzey3uyUANhP9VAAQ\nJ4V8cb2y3CDnwYgAwLD0g4lv1c2lM5VaRX1w6+QffP+wXom8Z88oCBo4Z/nF6zPHT7tPJtyzvD5n\nCuufdKlippDxvPTzjdiWfOsgq0SaQYzGJIK9kzJnjDTkpazOm2GWDQtbjWKlcTQpLi5Xev3JYQ3e\nezLeHnJp+Y1rs8Ukv7GVGYX/7784+MXna7UE0kh1LSxq+uEHRz+4PSalvWcWLj1vLFXzrMgsxEYJ\nog5vMkSTUnqln01kcQbuPs49wUInSSuRZxZWEanSQbOG+z1fic2VVV2vVxTL8XG/3amfm2l8cHP/\nbKfyyZ1sYyEdDX29btDjapOe5mi9n22rTsdUu7y959Y26v/2L5+t+0oyi4Rxp1maPbp4znQHvN9l\nFvAOX1yP8twvzqvNnXJEdPlcuqDqn9zMLp9rPNju39osIq10pMpSWGFoNsSxsEdEURrEUcnKaCbC\nn9webJ1kr56v/sJXF85U9O073RsP/MvPpcZUvvhGvaaIK66bnXSHjDV/886gsLi4DHuHGWh0pZwM\n+fe+u3Vne+mNC3PtSEYDlWh3dm1jdfX44eO9p0fZBKoWhs7HFy+Vr11oPLeh7j4evjOgLzzfunFP\n6jP6h+8evXPD3nuMudNJgo06GqPu72X9MQ1zOdNiL3LuAuoJ//gpCdCNeyfXzy4o9MxoqIyrca1l\nysnO0SSrxFF5XP3cywuHu/0SnK7UO+2Oov77728dDPKipncPhr3BKSl9st1vzC02Zxfz3dGZJfV4\n99RLnNbz2YWlerxVVa2i9I3Fydw2fXRnWFdlr6uuX7T9cX7hXIXGE1/it98+WJutfnbJ9bp+d8/r\nsheNzekY5wk1VEf93SSpm1h0PHLWdVpNlw26k5EC0pVWrWOUGg1OBwoprcre4/5WTzQg0rQbDX4K\nikNlppUTUhBSZjgNniELsGIBUM8ah1qTF9ZELMCevYSC97S9LcIIqJUSFEVagls2sKNDLZwDEw5I\n6VjpKcZ5CtIAFlFAQAKo2AN7p5RilHD7IwTPgCREqBAFtA/8TAJgZGHvQwucdAQOFAkQgtIoghIo\nSSiA6D1qrRQFb7cQUqiFW+f8lGwZQCJTbl+YCJFWU0cugFIUsJzBRK5xyr0TAGFUKEDAwqUHAtFa\nWy/OcqSJSBlEAvDAWqlaqp/197h0AOIte0AgQqMCBC8CYQYmgZIltBe9Z+cAlOKAVNIUXAnaGBHR\niKQIZLqPJY2I4HnqKANA571zwMLWMTsg7UvLeZH/xspOY4TZWNcS6z3M1ZRCriWUM19fTf7o4/5W\n144LF2v8z/9iu3Coie4fTLxAQGP3+8VPbhfGUHj7AZFJ4b90tXVphsaF+5N3T44ynq1j4UUANFLp\nZKaZvH659R//eMdpfa5J9Yj6Ex54AEEnUlhp1sxsXX2yOY6NApDSwUo7nm/RyZFdnI0vrcZ/+dEI\nQR4cZMYo6731AIjnF6ODk/LTnWxggb28fLHx+RXzu2+Pk5hEJMuKP/pQllrRG+fM9bX4wXb+X357\nX4xOtChjSudW5iuK9ZP+pGI0i1cYQHIoAJrAe3r1XKXfL+OG6W8P/+TD/t9bbQozVFTZg/2u9Me+\nUTffeD4FxQJinVOKZmbqxSTvtNFZIdKnA84sPL+axB5yVeiYE4pAxCRwoQG1RvTLr59bTPyth0fN\nRiWqZkaZpXUdPwLrFBK0tMpiR6gm4Fs13e+6uYaeiwz48mfvd0dM1Qoc9sEDOi+iArTdOwdKkVIo\nyKUtEhNrJMsMXtJY756Wfz5Wv/k3LgwO74Cqv/Y8xDpfmEnXF6qlnRxMBlXRgwmfntQaMZ+9kiQ4\nHI7iK1foP/37j5Spm9g/OTxsVKqLreTClTqq/s2Dg9Vm/NOP+pVmtZUUs031eLt8sOm2TyZrVz9T\n0N2Zumw+OrVW/eSdsVQqL56rHZwM12cisXh4Us61sCzo1ra/dEExivX6zXPxzz7Kz12Uj27lNz7p\nXZ4//vrrndJbxmpcB0QuyojjPlBz//AorZtxUaRGZhtpq63HWW84GWee3rjejkp/2B8dDGfSWPpH\nu53Z6s7x4YXzV2dmXDLfXpstS7jXlbyKS5XW/sOPJ3ce6zPLFcwbrRjubx7udvNEU1HMmsTtHm4l\ndX3rcf9EN16eXzgZYfT8tfOzp8aV/eF+tdqINEUQ2ZEtnCopblWrzcrI6gqydYXSUbvZLL0/PToY\nWmymyVCTotAfRMAg6hNmUuS9OPbiwnkKSik/Zd5BaPIhkhdGRCfhDisU3K+EzwjKgiHngUhADCzg\np5kBBGCEkEZTQScILKIVUiBPB/ypm54pLGwL5wGQEDwjIpHmAEelaREOiAAChg+89WFQ4IVRkY6o\nLC0QWhFvRTxrhVprBmHAwD327EorYcgwtdIKKKOVCgFxBYAi3jlnS6+UQgBm0URaoUdEgShSTgME\n5pQAi3jPhMjAKGG/CioIuBCrFY0AHGa/nkEhgSpKV5YlIBqlQFhFpIHCiMa7kHwBBLECsTGIGKBH\naNA5byKMIsU81Y4pUoAcWo8inqdiERTgwgJ7IBQvogkRiQUZpXSehaIIPPv+xP5i6+ZZOrndzU+6\nbn9gRbAa6XpFRqUsNcz9nfG4EKU5Msp7cIwB7t3LgwdHKom6s2dTkiTSljkMyEDhS2fTorAZ062d\nspZEIoHwigopF7Uwm1pHVlSzokeFJKnS6OOqWengpwdcq8ea4NFRDkqBCCPlznVaJjbC6KsVevuT\n0d4QD8bFa+dq20f5UZ8BcXk+efNi7X3f/dH9LCul1Ug/e7b2Fx8eDQqpaGU9E8LS4swky793Kxvl\nxTv3xjqJiNiLuNIqQ400enIwadWM9yxOBTwiAgJBbr0ns1jj21uy0NGrjbiXsZYyihoVw99/Omw0\n0SA1Uj1fi2aaNa1M5nNK48mk3N/PKvV0Z2dwZ7tYW4yvn4sGI9fpVO4eFgv1SruOlt1Y3F43+dZL\nc1Q6T3ppsdZqxTFFDr1D6fd4/4QFsSztyUhWZkUjPtgtv3g93jyUdqP+s58ePDkFi3awxYVDZYJI\nV8J3iycGUd57RCKg0lsLBMJG6dGETaw++3q9roZ7fuZLry9+/0efQGVmfaXay/u6Rt2nk1vHPo7V\nQX+STToXvLx3N7t6Zb7YT5eXT157zXSqSVD1nFkwXve3H5eHKj/ctiqp93v84ZOiy/bilUr/CD7/\nlWSx2R1V1GxTfe8Hx/d3o15ujo/drDKdmvnrXzf9LfgnPyof7hSRxrUV/eXnaieH5cmRvYH2ypUk\n6/mbN8ux8k8Pt3//T/dnFma/+bXzgAjI3pf3746XZ6v39oqO9S9cWB7tP4FoTkNvc3tw49MSmmql\nWd7d8+eXo4cPJ8sLK+uXFgc7d1YXq1Har2El8ic/+uROl5Ivv7lgZdf3aken/acHBSV6Y3ZyPIR6\noz0z6v34njWmWKjh8qL5+muNmtTmVrLuKJtdWEiU1Btpf5CbtLW7P7pydWkyLupzJcbRXCJa+eOu\nqbU6UaNycrhVmLw2m9jxyf3N8fI6Hu4PNIe8GIc5A3pgxCkCXylSBlCZMLsNwVKNKMJMACKpMojg\nOEjYQrRDWFC8OO+V1qHi4T1b8YCgiTgMomUauXvGQpr6vksr02U+/9WfgvUOAEIHGlExMwdWsoAg\nBGwTsyCy1gpRBCRMWQWnv5Sl94wggpoUAJLyzKWX8BkRQVhYUEXTZ0sgVPhGKAlGAAEAAElEQVRw\n7gOQIu+YQzMnSGIIgnDOAzvLROQBiolHxCDoUohKKfYeEAiVhzCnRgCxIhLUFQTwbGWpBIkoifWz\nCTMZJAo5RUSjFKVUWhdGRQmK92KtU1oBg2eOYx36BYjTir4TZuedm4KdBMF5AEATCt+MToSQXHgz\nAFQoSWQAxDk3KvFCvF072Xz7KR5087FTwdskZfnJbvbCRtMjWcHEYOHBCSj0WhE7cE4o+BgABKme\noi08QWj4sC2lUYuuLMSYZ/e38qenrlUzIfjsGTyzEz+b8P/pH378tMevd+J+xvUqtqvq+bX0xzcO\n5hqV1Xb03pNBJdEUgB2AiujccuKcZLn9x9/t3+9Ko6peP5eem1H3tyaKYFz4q6tpKwriRswd/93P\nzvz4/d2PDriRQGElNjpO4kmWN5oVoMZ3b+4SkVLWMzJ7Abq4Wts7yj2gLVmAvLB3ACBEokCQlICq\nVs3H2901W/Wix97efDpJGtW6hcGwvLlfjp2U/bJrm1fblWxc7h65+eVmVajWxPVZc/t+d7YdX19L\nUfxEqdLbudjMNONens0tkjuJP3d5tiq6X/rREFQUNWsV66Bd108PozNLycSXy0vq8RM308ZOx530\nfDZyVJ37O9+aHR13k1h16jw3Y3oZtFrww59mOaLRikVsGaq63oT3WkRlBFiKEll45SL+rb/WPl+B\nP/2Xn9Tm1r968bxN9z9/bWmuaQZlhiLtpLVXHC7NpSdHArVi9yS+8vwCuJ6vZf/bf29hPIRBNpqf\nibcfVx7tTxbmYk7dlbnkwafakN8bjB/23UuvmV/9vJ+Zqb52rvrw46FS8c9uHH/0QG5tjbUhpfRb\ntycOgP7SJQYun9e3H/mTsZypqUlZmIrkB+JinRWWFK3O6ZOyfDjoFt3KWYvfoNUU1Obu1uP9Ppn6\n06eHJ8eTtB4NJ2m60GY4vXmzDyZ689XKP//eydNNac3SfItaunDF8WTMUWQm1urqpDfePe7a+fPL\nn1nCKOqKhXc+PvjOB3jxjEkT5WG8dKmRPcyGj3296vZ7w9EJnF2unG3mo5wur9T7+VyD3JMPftKe\nawx7ZW1m/uy8ETdkJCr0IHPV8+2sP47aG8X4KSVziyuY5ZGJ5eEW/+Cn2YVsfOGFc5q0Ci9rgc+p\nEDVNwW9akQvZKwyKEQGYkuFERJPm0KFQ4Rj1zobqMajwVwvnNIVtYbBWlc4FPIemcANlUgrJkAL2\nnpA40PIFgjtClAgLA2lEpSlI9IhQBbSBCAAE/7dRyofscQg9P/PFekHvHT0rH4r1iggCQomIRRQp\nCjfewP4HCCxkRokUgY6cD84XVIjWOYOUROTFW+/DcY56ahgwIWURAivgASAknRFBa/KOEYQUBToV\nCBitjAr3R5ji91hCeyag9az3ioAZytJLyEkDsbBRSmtMTCQCzBBY2CH2GCLSAKwJFRgBsc6XpVeK\nIgPWBUCgGIWklLccWpqefWa99VJaB4jjkb2YPu2OuTcm51Sjgkd9SSL6+cPRZt/9zS9V3Dh3SLFR\nhZ92EQkktBBDk59BIo0XZszHTwshFaQKo8x983PzCzV80uNJSfPtqLDTAjsjlN4vd+KZRD8+KFDj\nrZ3xYsucjORzl1vKc1JP35iJ9o+LJFZGgffIwIDQbJnPX67ce1L8xY3x/RMwBl67mDQUOIdJhP1J\nWanGn71cvbM9GVuwzv/q6wtk3d1JY2VOev2hiZRzjkrnHaNwWknShER0UUgS0+kgf/PF2Umv7E58\nJdF+eokBZvYCsSLvvTZmOC7fezR+bqUiiD0rn71cv3quurKcbj3au7lTIOkkwmEmRsvKXDwZFybW\ngK5gWJptlNwvvBwNylERITsTYa3KW4cWK7jSimtEUV3ffDJ+ZSNiobykYuDas82KYpGK5WJ+LX/n\njty654YTWF1Udx+4jTX6O//qCxfOzP7o+3cfPxg2K+kXX0l6ff/XvoX3P81/THplTk5PJKweYqM9\nO0YhRGFflGgMpVX87Jvm7/3N1Q6m7/5010WoeLs7Wvnml85z1i1Kgrj75ElWKHhy1Pu9b5+cvVD7\nja8m87N6dNq7s58DFv/0O8XzV3h+kbPRDJpyPB6XzXi53fnOD492TiZLC5AxvPpV+K1vRLw7V3PF\n7/7D00lZib37ySd+wqpexeEQDGG9iWdX0mtnaHm5Uafclr0zKl6vpRcuU1mUX/xSRZN+eDv/kx+O\nHIEV2T0Wg2XvqPiv/j9P/pf/1oKo3mRcHO+OyjF89qV5JUrRSTWJb7y/eexnv/DKzKTID7p+4FQF\nZQc8+PLMRudSa3avt/3kKJt7eXJ+yW8Uq9W0Af7I57j3yNx5IIWPz66Y9z7sdpca58f26ePe3SNX\nUSpn7o5l3C3fuSMvv1A/HdlmooYHg0muk35ZqdRJTkY2aXhgQYrKqgfuHqc61rbvqgnjhFklSSHu\n5Of3DqtLeON2//OvtPQ0aBwKI4IikNtprqiwQKQ8c3grD12QZzJlLNgDgC2etVIIJaTIAARAkQoX\nTsdBJiikCBSF8LJWFIJxzMF6CcrokFMTB0oHCwkoRd77cIsEBoUh8sFTgQkii1gv4BwrFoIA41dh\n/I1IpIiepZERCChA+lWA3uHUJR4ypP/TYAKny7RxYUmpZ5tJFkFCKqwXcEAQKcXwbHoeXuDDv5xE\nYXCjMCKBQmHnPetIRxo9CwIYNTXZuoD288yl+BAvQWe01jogXcF5eLYBoHBeE5EHAQ/hEaaVeEFE\n8uxKJwgeEByLfoayQ0LP7B0DoA7uGlSWwXsGQHCeYZqbJIRKpHsFrOitugz3M5ipq6JwvaElBK3p\ndOJrFT3XSO8ejSe5AABDeIozIjrvw90fEUvLy7Mxi88tRBGE7KaJ9VeeayCXVmDrJJ9rqO1TJ6IA\nsSztxnLFOvzx/VFslCHOGB6d2FT7l84U/+itUWJMkfOgYIXgPQkyARaON2bSre3ywa6bryd3oP/8\naryU6F5hC+tEyHm6tJykII9PsidH9jOX501e/JPHdGallU36HUydhzwPHR+a5DjOrXNMJEapLPPN\nevLcSvJnm5k2FN5diMg5X5ZCikovRKCAh5m/tRP9L77Q/MEnp6TNKxu12bnZXvf0d/755r0Dz+Rq\nCWnlT099LUkMcRXBRzoFqcVwesyVCOoV/vnj0Teu108HfveUDzJsMbJEadUcnOa11IOl+VZldb4K\n6KKYxIL1vcz67rHtDqEQqFfU3pEkFXfm6tIv/tK1pzd+8L23jtfnGvU5M8ryofU/+Gnx8Q3rBAyi\ndRBoZj40DgwwAoFygu02vXRN/51vzODQ746748J5Emdob/ODRlxt1E2ajDZ3jnd7cvvuyEXx134F\nF1p+UvJxr/eTn/ff25osLqbrq5jWMJJOUcSH2dMzFxpV9K3q3EJnuJVNDhy8/gvm8hX33Ebjo4f2\nBx8O2nNq9+Hk/iPZPBJPUjWRdZaMiiPNmt94uX2mE/+zb/eOMppts275FCHLlfbKFuXjJ3mvwF/9\nxty//N7hx7eQVPFodfIb13Gvm5cD4wZRY1aWzqj948PS1J6rzH788e7uIJ7puFt3J+3Zi196kaA9\nGWe21dBXLyStep7OZfPpk2LR9rq1+dna6X5C64/HB+mP3xlNgDpz6ZuvzJtsOFOpN5ne+2SSqfSl\ny5QPcmy6J/eV0uq4C51aNetB3BhmoGYW20fd00vn/M4du36+rRnjNoFLa435tJGzihLSLnMc9Qvo\nHTwpBwP4xq/PLy1V/7+/c/8//X8+0okhAHIi4SaFgKT0FOwYhBOiJODrBEOKywsQQD1WpZAPl1UI\noOFnqWAEFiClhZGBNQAq8szBW4JTRCkzAyEpo7zn0vnAHQUCO3UMonjnGJCgtF6YAUArHfrR9CzI\nLAiBiI+IWutgBFSITqaeW00aUbwXL4HfBF6YBTSgBMgphR8/CDgR7znwSUwUMbNnDsR+rTQSxrFG\nRBZhz/KM1MfMjsUITovmU5Q2CbAiIB0xCwLkhQ9jm7DYDEN/rVSUhgcKAguDZ0Zmdl7CqN8LsAci\niVAhQihVCoTSPTgh75nBEYoxZB0TYDU21nvHHCLjsTGk0YuwZ0BgLyIYKwWIFCkWcY4deGYeWuxQ\n95dmHky62KppW7pahUDUwmLlB7dP94b23/jqQpG7UakrcUlEmqaobv+MQAugEMR6vLCQHh3nweOF\nxEXplhfSiuLdHjw+LJ92y+OJY1YC4L3UKub8fPUHN7tJYgoXdD2SlfzGxcY/ff94fwypLhKNC+0o\nMSp3HLgozOILeO/h6NqVheO9cbWepIpOCyxKuLOV9zIWgavrrVtb5eaJH4NZ7eDf/9ODlfXFwenx\nQg366E5LUYREBkWqjcbiQmtve68scgHdrOP5hegPf3RcgopITeGsCEQUxyFoSRTSlkTnZuJWFWs1\nfbg/3jpuvvk5/E/+X4+++7GbbWnvpXQk3n/4ZDKwMlNXBajSUmlhkI13DkurYuFsv2fvbHu0buzi\n+Rr1+lRL3PPzszdujQUhQzNXcYq41W6e9otGq7F9cv+DW/23PtSieG1WA9vTgaytJY2o+PSn927f\n8C9fnc253Ntr9nrYcwcyTh7vF4VQrQIafelIGaAQ1XZCmoa5fPY18/nnCAF6h6PBEAuUncxGUW3x\ncmvz3k6q4LXlzml2/O5HxZMDd26x1pqNKlFxZ2vwwb3DK+eT5eVaZ2Rffrl2riLZoXpM7c+/sty/\nldmxvz3ofvkLxbf+9fGlD6s/ezi5fp3rMPv4VnE44aVOKxvYwXB47Wzj4GDQYzaCUUWVJUYVODsP\n//gPDldX9ONdNzsXLc/CC5eqpZQvv8y///vdUQEM9Ld/a+7KrG5S89vvD/b79OU3o9/+6gof8t5p\ntr4+H8eT4XHZnF2qr8UyHn373ZOoqS1HcdyvVPULL7RqHR/X4/Y8n5wWnraw8ZN6rXdGXds5GmWZ\nFZQbP1PdfJJ79cP3Jken8Hf/xkL2uFxdm/XD4X5mvvomDCb29gO3c6JNZIdHethB1jRTi2dnq+3a\n6N6D46jaUKPKuQuxJ08xRU1DWI8iVKlCe+J4BSoFqcIP96jaWFqwjzdHolRzhlvnRGfWAqhgDnXO\na609i2MJkQYWCL3gwIsrmcGzCBBi4ciL50D7RCy9FxElohAdoCB4O+1+WS8iFokiJWWA5osL/msG\nh0hKERAwswueYkTH03McEQlQKSUKiUiF8AiyUiqUGxx7YUEBL+yYDSEzFeCN0SGrbZ2LFCmN7EER\nFdYGWp4Po21NRBRi3ShARiErfHbixFEE4q1jj+LAs2WY8rJREQYAKiJqhZVIMQt7ZgBmzguhsB8H\nIg9GoVKkYx0pcj4Y08PMH5jFTWWAEhulQ08HoHQc5t4GoASwzI4FkbPSESmlMNIyDd0QCCKDKEIT\n2FEARmElNg7BlgzihhNmIRVehAi8sLXonBcgRUGjBQw4yos3za28nz3ctefOJg+fjMeln1+M52b1\n/omvV6PPX6tNegNgn8QqIrCeI0Ih4mn8GoU9CxmDV+ei3d0JizB7RCgsr87X6gmdnsjARkiZ80G5\njZZluZE4FwTo6BlA0DluVMzzZ2ofPOg2UgUohYPtrg3ICQAQQaVwt1cYVVvs1HRRXp7EiZY0gcOC\narWoYb1Wphzbg7ysp9FnLtXeu3VSq1ddkTHhk2P2LESoCJ1jDzjqD7qG4zi21mV5+eql+V5vNPGQ\nGmDxwVMRpmekCAiDiYcUAPo/v9Fdmolfv9iYlHz+kjmZ7H34wLVaGhEUofUcG9rrlQcTma9wbKqD\nYTEalUZ8ZNT5xfi9u0Ko7u1Mrp+NlR9mWeWV8+bcpRk7LoHLOElrqZRMURojwHHXLZ6Pdm+5Wi2Z\nn8s3LiUzEf3xj/wws5tP3bl58/TmblptXptz/+Lbo+5o8+l++eXPpwcH+fJadHTMn9xxrdm4kdDJ\nfp6XoFMEwdHEXb9ufvtb0WCr3DqkG91yaS5aWK4/d03tPYVZXStbdXb6zoP+Tz8cP+gWQPz4k2JS\nulFOM011Oo42JpXNY9sfqzROb94f/+iD7vLZ0f0nT3/4czvbAWqPfu2vt2eR4FqxuN4Z2e6wO/f9\nHw9n5sr3f9g9zvDkiE+L/kxLJaBVTAlQPU4i4965UZRKuXlXGFho6XqFW7PJWrP9L39075MtWpiL\nrMcry/qTt0fvPxlPRvK5L9R+63N075Pd7LR2caPdP9p5sjO6uSlf+uzZyqA4KYeLa6Kj2te/VoNB\nDpXx082T/+x3Djcuzvxr30pWNsqkvo/o8kkrx3ih7n/ycfGFr+j64qDXbZsy/ehD+7SEd9/dyzJc\nh8kbz9Vf5XJ3q99epMtnKqsQf/8Hp6ZKv/bX5mZbfv9oVDp744P9o1P+5V9cbjY1pinCkLWQTrQf\nubIQM0dCcZ21H396dztP6q1a1Jo93nxnotcqr39G402vIxMzQCj3aWMQQJGg0eIEhZV6VhEBFsQ4\nMopIEQl451GFtNqUA0kAopUWEWtdeB8UZgRRKjizw+CCAVApFVZzBpFCdEQ8GU2iRYQURgJhS+mY\nEdEAePbhRPMBhFF4BAACpQgBFU1j1xwAqBIOHSI13VERotIEDHEUKUIWMMZIgNk7RgJmIUBB0JoE\nAT2WzpVByYEiXqYOGUAgMJosS3ifZwTLUmQWEbVSSKAQVRgxIMI0+s1FYVkwVxzriD1bJ9aBiK9E\nqgAkRE2QF6wVaMVKkVYYKy08HWdHKkIEEuGpTJ2RUAF7AAyoSU+lZZGg7hUFUDoJxBAiXamSs4IA\nXjwzmIiIQGEU0niCBrzrZvTZys01dbp7xMeZa2egYz3p2efebP/523ub3WKxFX90s6tZSlAAvjux\nABjA//hM4CCIheUzS6lB351wbKZ1Gw98binWRE+PbOm4sOiBEdAgWMTFlnqwN44iHYo4QJxnbrET\nj8Yus6QNEzwbwE3fJ6aw2qz0m6cjn/V9lpWlO9vU94+LcSatWjQqIuv9H/9sf3E+naub+zu5JUqM\noIQ4KUZKB7IuCxcFE7qDA09E9XpyeTbePRxkDivTjKCQVuGbGYGmFmUUxxyjYY+nEx45bLfN9Uv1\n1WX63d/f3e6yitGJAJIIW0H20B9zt6aclfFEKpV4tlZrjkYf3Rmut5NmCmkEwzE3tG7V9QtrteZ8\n887Nx3kptRYQ+jRN88IWRbm+Udt98njvuFhoJy+elcf7tr6imtX82rWmc41vfKX18P3j9Q1+/HDo\nrJ9dVC+/VPd28pd37RffPHPrk+7N8UBYRj2bNhtp5Ha2RxsXai9fqr16Dfv7p58+pefPzDcqFElu\nT+Dek947t7MvvTH0VHmwffzx/fyoR5kC64AiHZuESodp8uZ69NOPxo2W+WtfXjx+NP7pp1lp4lv3\n/IelH+Z84WX6O7+8ONqZ9Gwrz9p3b/UHSe31K+XVc/y7/2M5dP6gx7VK0m5HZJTWBEAWKK0rLokT\nPTuPS53JW/fy/ePJ2SU1u1CO9vJhqf7WtzovL9cfbvcHXdwZytYhnGb6Wwu1lZm14d6OTYvvvLVf\nbeHCcu2VWd1uZ0+f9H/w7uDNr1dVrt766W6h8NqldH8fzpxpfelXYW7jRKVOcaM/yNBujA7c+7eK\nxkJHZ8297e69R+rsKqytJQPMzjyv3Ehu3unNzIy2tnmrhzN7uL6UvvGy7x1F3/sUxrvZnd2yNtce\nZXJvH2dWmo+fHvuF5PzGQlJVVFlM4242tCaZV5EUk4MPf/LJ06Ni6drCck2P7HECM6+8MVNLzmaj\n3Xa9poFAA3oGBiEV9lfInkPNOsSRlSIA8l6YxYsjLyIQjFRI6LwPwTkR8WwVKT1dPGJomiAhoGil\nfdAoBTkVAArGWhXee+ZYxWF08KzF50mRCCoiz14AKTTIgbXRwdZKSglzqAgjkkZ+tutEgGnp2YoE\nN+2kcIRBkSiCpAinVHsBYVakCMkJE6H1wsJKESkFwSdAqFTQ2AYlWEhQS4iMaIWEyAAo6ANL1XsI\nrBIA78Q5hwCkCQG9oPUcGaUIkxgteyLVjMhZJ0hRAjrsoBiy3MVGGUIAUgac80SkNMYKnUfv2XvR\nkYoViYhzrDRoHW6yohUxAyFaZhGY4k0QvbAxSoK2kSX3LmRgPMugVM+rGy/E93WS/uwnB4udtBbR\nRBi1mUz80wMbxVoYtk/d5QVV0fj0mEUp5PCEQJHpOa0UjjL3wpk40oAayUP5rA95fjGOkE+HdjTh\nVk0NrCGEIrfLM3Fu/d4QagnydMULcayWmvrHN7sm1iIuuFEA0CgkCS89rEhNCnjtQmXB+Ltjnnj3\n4EQR6NnZ+NVz0f/jj/u5GBOpFzc6N+4dfzzIKqlRFOToIW2EwT0sgCrSaZp02vWnm/uvXqnXSP3x\ne725VqKUKp0LrHNEDNF1JLHelg6J8LjnZ5r4lTcjU+nvDavrq/H/+b/e/PFNW0koL702UxQYKWSG\ntz/cf/lb63Gl4jCZjMbHvdIWdlzIuYX4tF/+4fujS6v1SgqmqXWjkk/s3oE9s1wlA0lqCAU4znX/\n44e33rvhHz7lxVU5s66Ob/NwQv/6v/ZcxZhf+9WL+/ce/GCrACpIq8+90jCJvXPPTTgSmPzhn+/p\nhBp1lY3s2pXo7KVscqxPT+IkoV/64vOxu31nL3n9etzmNE2LP/v++N3b3fnZ6MJG6+1Pyk9395Ma\ncZRSG6pIRiklOMq4PWeKMX06cteu1/e2y/c+9XakT8ZGpRS3jbJ+plJeuex2Nss/uD3+W79eBx5e\nejH6+D35yQ/tyTDbWFJ/ccN99nPzbox7fYoiCj9aXsB7YDAzi/LK85X1enVz6yhJo7V1n+TwtJ+t\nLcfHB6M/vpMP9fjFpblr19LOKg5A/9Jr67o/f2Ymnuv0fvb2w+9+p/wv/y/fcIefPN02kU3ImAh1\nXbtPJnb54lxRRs0l+803+p97KRqOR0jt7QfJQHc31oedyt5LnbmmSz54t1evrr797s5/+y/if+tb\nnd5gH6y5vKyyEzcuaxev5Hh3vHa22tD43R/ZlYX4yjx8/OFwZbn1hZX6Qt3+rd84s7GRvvPug92y\nXKFmIlJOHuXcbC8slcMu8/HP3t7+4xunL3959XynBjDYuqf/4H88yiNg79ZbSbN9rJnBC2ultFYs\n4r0nxFD3CNNekTCoQK1QYCr2RgCjCZFJKROFCrf8lQrLexEMyzphEWQFgKX31jmakjTAMStFpXWh\no2hLy4IAgboUHClhP0ZTcWkI3YkggtEaUax3hIiMzAxTbxcSMZESkdCQM+EGzRxFRqupHxaevSZP\nda5C1nHJrPV0FxQgTVohKSIMwgKQQOoERATnHCEIKQRxngiD3QRdGDowAIuOiBCjSBSSVipARxQq\nmEpyMVIqBmIUDRClBgmdZ+c5Cuc3QGnFegsspFAbw0Ff7mCqpwHwAsAMAILimcvcESlQoRFDiBxr\nijRFMXgPhfUMSgSs5xD1qCTGegdIWe5f8B++2rlZjOJ/9Gd7g5IXwD7cGhfWRVVV5uXZ2fSTvXKr\nX+4ObMG1a0tmu++tB1LBOOmd8wEZCCLKqCtLyWiQz7WovweE6EGMxo35yuHJeKFJ7RT3+2KtD2ny\nhZrZ7ZdGk2fw3jNionFjrrpzVB6MrDEYVrGk0AsJEqqprMt7T8TNBJ4cW9B4djEd5fajh8W//7eW\n1Hg4zhmNW51rnJszP/yYo1hJaMYyiIhWQUYsIEKISRqR9qNhr1qF1y5Uv/uz4zjSITvDoFUo0up0\nruknk3KUORHRRqGSV6/Ga7Mw37Dff//0yYo536A/f3c8N2OQtNLBkk7MDAJprL/z7v7X35h/cbXS\naWlysL87YA+1asyu/Pnt0cpcZa0hn2yVX5hNF1YW+6Odu93B9m6ytgC6KqLignFtqZrupVqN2kty\n8w6vnTOVyvja577w7/xvXv2j/+b7v/cPfzQ6sOcvNATVbBv3T4uFSnVjY/LB7X6EaadtarX86aYF\niuOo193fZHXll7+x8ptfrfZP70JkN5oLic2HRf50O/cEVIlGvv79T3Kn9MJiRZBjTTqmohTvmCCq\nGKVJxymfDnk84ItryUe3hkktOrdRORwWZ9cTluLhI/vzG/Li+vjc2VbNNY6zAY7L04FkbD+6Z/e7\nvHYhrcbUy1S7qca5J4XL83FvUE4yXFuOjbKtGj/s21dea23o6OnugJJIR4OyTJ5fq32YjzpR4+3H\nh7/+y+3mae35F5fmYG40Hha2yMbFL3954xe/UVmOuv2o2u9zpb72b/5m4/DI1JrJL38pXj/XG8vj\ntN31bE/2Zh7s6cvXd9qLF04/nb9xmJ3dWFxfmafxZtpuiPMvv5DcPcz2C9ucr31wJ7dLyUI7uv5y\ndaHWofI4SeIGTFqz9WbC3nS/8lI9xkqnPhlMhsp0JBtu3c3r5zqVShypTppMOGonyVFv8EF3XEZX\n0v/1l2k0GZnKYP9B9/f+9PSQ5dd/a3lhMvzf/RcHv/bbZ7RSKhAesrxEEq01IZECz0yAYSmPKMzM\ngkZT2MOFup9zwOJpCt4VFjFKhQPReUYMCQgKHmIRUSoshRgRothoImtZafTea60dS/gIEdYBiwNi\nnQ9HJgUhGwKIhNgACLEEYD5O/zBslwIMTpH1/plvC8OQN/yoICIRhflxWA/WUsNIRem8lxDG8J5J\nETsHCDItE7L3oX8nSKCUttZ7EUXPZCfAikgBKKUQBTgApjmwTp3ziOTEOs+ApFB6XGilhFlphcCI\nZBRpjf1xGSmsxFFsKDKR9wwoSqF1SlhKK6hIWOKIUCS8ExijjI7CLZZIAXD8jIpkjAZgiDCKCQCK\nYkrOBAHLwCKnA3mjevOV9p3H+/K9n50eZ7DejuoVHbGvVE1s8IM7/QyMMqohWHq/N/SLTWD23osx\nBOC1QmFiQREYZ/65c7UXz1T+9CdZbJQIO4+CHgCHE6kxnwzcZp/jWM/UZLZJxwPaOnX93EcanQcT\n0S9ca3zyePLkoGCAJNZTNAoRiaAIKQXMAh4UeoZmnRba4mAcxXJlpfI//HDw4uXOSg1vHUgtUScZ\nf+ZK9fHWcJxDmgojIYMIWJ66fUQ4RDCzcaa0FNaeX+90GvVufkQKtcI4kmEmgEDiyjJbP5N+/KD0\njGkSo/K5lZUZc3ZGvvfOePMYv/iauXtvuLqQTgqvCIXBiWgdupoCgN3c73X9G5cTSrSxmUzindPS\nAg9zn1nVNLg3hhfOJVcv17LJhLQdZWVm7I/vu0/3i//Zr7c7ldJCXFVsyPz61/TvPJh88LY7/9zc\nv/Ebszf+7IPnX17IT3pJXWMGplOKqRqQk8ng1oPBX77NYysJurkZqqY4YtrZSrWu/7e/8/nyyXYz\nhtmWuruN9x6fvnc/j2ugOVqaqVHquyPnDRji3nHsMTepj2OKjc68kFI299sH+Zm1KvrJnYf2ceSt\nR5JofaVG+5QPYbnT4Y7rydZJL+r1TXG1PznC926Mt8bwjV9otqt0Y3PSSXV/5E/6iFrQaF9wkXtk\npVB6PVs6ORgU1kl/P29Go0Zdzn+T6aCim0ZhvnbBrs7UW1vuziMxtkxvDvYtSTKzvDp/enTPNHlN\nFUdPTo8tdyfR1eeZ9OzKCo3sUXX5wanfE5XDkEhjLqNqU5V9mPiTWC98dMtnw9m1JtzfrTzeKuY3\nKo+eqsEwPjyyMLQKqx89ttFc6e8PKqjblej9+4PN4/xrb85pHlovtx5Nrl1ccWU2LitVRHK161+Y\nq69Az+9uDqK1xeV2fWf78fb+ztpHuwef+Wy51HzhtMgPeydlffSVX7MTp55fc9v3/H/wH8ycPsm0\nZ48CXsJeOogZkEUYUSOhQBkmGEhEWBThOy3A8ml6X2bRBhUobXS4YupIawRg/KsudbiQago5M+29\nL0vHSkVGMQKiJgCjIGAu2IMlEe+NNnE01ZuGN9yAPdNaW+8BRSGxhGkJkcIw9A4Ld+fAaOXYl9YH\nighpSowSBp6W0MF5L0DWc1aUgKQDsHEKqw5fPCAAERJpUqhEsYhCIkUKMY0jVMjMRlMIcJfWe88B\nfMqOFRFpjcgsaCLDXkxENYXWMgjGCCLeaKM1OieeBQFZII2j3LrTrNAIWqtIkYBkhY+MUpoqClBj\n2ARC+HIJAUFhEOCE3ybnWBEAUp673DrnvfPI3qMihQSAzjthfzjE9drulc7Nn90s33qfS6TEQCWh\nasI297WK+vRhPi4hTiVRcJSz0RgpOh3aSeYdsxKtCJj9VASAYoFfPVcdnuSFx0ZC7VqUxvpomJuE\nuv3iqJvfPChyizOpOjMbHQ/syYiJRGmyTgh5vlHpd113zB4xUuFhiuEpLAw4TVIyKhBmQvIWf3iz\n/Buvps0Ebjwu0zhdr0p/AhfO1qvR7tBSPZaf72TVhIRZSAORQnGAHgCJ2EIUmcKWliGBNLfq1ecW\nI5R2oizLoPBn5isPD8aTErxwFEEjhqIEisSKFS9Xz6WTYZ53zMZqnC7wtTPR9uPyzUuVP3u/byJG\nFKTglgy+IV6dq750pW7dSew61Vplccl5OX7/ji1FXV1Pdo6z2/vuG59bv3Jp1jr/zkfFpZW559rH\n79ygxoyMc15Ozu/ubf7h9ya//q3Vo5PhCxsyhvJ//u9+8coM//73HhwcZBsrHVIFpILKT8Y80456\ng2o9sp95vsICw8KBLcdFXojbuIiYRXvvbZ5ZqXz4Se8HHwzvHvMkQyIDY0jranfbWgsSC5JMJlZp\njHSkNIG4fELsYczsAatNs7XbLy21ZhPEXCBq1eLdzezwxA08PLzfe+HSymsbk1p96Pv2z/60eO+T\nbLPrXn+1erBTjMZkJtHH952vO2MIS1Ov+Mz7wxPxnqEkEA2IWU5FbhcW58/O9hdnJpOBZammCLvZ\naDSKHtzrsvHH+3J4IP/4ZPObv+S++Lzb3d1zhNt3n/75I7y8EV99rdZo1T64s7uwFjcXN/Vsd1iI\niK7oDkT50UmRGDN6lL79FJbW6229v+/6sy2eO2uhjXv7/u7j08OjslQRTvCHn2bCzmD9a883vvIq\n/f7/eLStqF+WX//SYuxO7w8Gn/2M+bPv5sduV5vO+tkyp9F+Q85diYu98W53wvXZeHGX/b3uaKnH\naIxBrwu/024vbd0yj6GTxvPc47d+evDFL9V2b538D+/GWivCIPYOkCARax0hIpDDsNBDAPQsxqDW\nkWNg8SE3prXSSrFwuG8+AxSgQmQQVIBA7FiRMJFS0zEiEBLq2ACITKxFQUUoioggzACMDpJt7Z0A\nglah7S0yjU0AAOjQW1QQeKjOsRdxLApBABlRKQgMpjhRanqXR8ccruIuIEMEETgxioVYxIMQigYC\npZ4dzSChViNSMpOgISSFnn3JQIzEyMxl4YVQESoEUoFtok2K3lkQIK0DDUNESuscIhIqTSQIqDxz\nXnpEimJlFBqF1kkjjhUBSKhWOhCC2HgCY4wRBwhKYUDr6ViRAvGhBzTVNRIgCBROnLVB/qpJATGZ\n2Bi03jNLpPXpWL+0vPMi/OS//2fdb3/EX3i+Ro7BuGZTMuubjfgvP5p8++PhL77SmQHe7zul0LMU\nJU+cr1cjHDoWQA5xFERkRRIp6dSq3Uz2TvsmMi+sm58/HBclXtmobR+MDo9dLVJPT4uljn7v8aRw\naBQIs2NQBC+caXz8ZPToUNJIaRQvIN4hkQh659gzMAl6pRUBOwEEsgxri8qQaVQgjRF9fnun+OYX\nzf5+/6hnL19qE1LJ2KmqwyEr8CwYYu4ASsSHQA4BTbJidSmp15PrG0a62WwTjzMWhs3jovSsSJXW\nz9XNpOSCJRJYWaTxGL/+2fr41LLLPnk4Wb9UV2X87s2tHChNVEhNAyKzRwBAXU30/+pvnF2aJbIJ\nu2KS5exla3dYlH79TKMYTJB5fq65sdjOR7bRiJzuXbhU+86Pda2mf+XL4j0vL0U/f5S/+aW1Ii/b\nbdo7ydauVfpPHj4etubbUelcvVWJlZ7Y0ui0Mzd++CRtNhLZw+tn6d6u+o/+w+f/7J98/OkO/x//\n/SsN2PnwQxjV/Pfe7//Xf3Q0t1KJG3G9SjYjihgjcDkCQVFYtoCKLJcK1biH2oC3uffovVERAHiM\nMDbG5p6R01qU5erxzmlUj1Kto1YFU9vrwejUHB/4vVMpE9JV06wm9x9Ku9J6dLpr61ElirJMDPru\nKc8tS/8YdayYwANUYvPymXSU+YWlTg3iuuHv/3zz/OUk3jJUUVtPshu3cTSBhTPqF36hc+/huLHQ\n3B4c3/h0nJgq6c5nXmvXqnx4epqV+9DBcWXbjpO0nDk+yWPV9N30451H8+vx+Vl3WHhrG4f7o2hV\nvfTSuc9dPx0+UqjlN35T/R/+o5MjPf+3vxz/7P1emqp6ndYW6ZufX6mq3tJM7bSwZ2bU40cDLssP\n9/JLy9RqJ0TDv3h38Eoz/sJL1USdFgfRycFMY25m4zy1qvfHB+n5q5PZk+aFfL5w93v9ZFxuVdZX\nr1N1ZaVAN/Ng9/7xoe2Z5D/8369qwWfMoAB6EEZSAoAKDGkAcOwIUGlChQikSQQUCwSumnU23KML\ny2G3hgIc6hCITIIEwuC8Y0eMggDgiRDiSDkvkdYCQRvILKiUeBahwFpCpSmMCMIlGghJpo8B9l4k\nQOcAEJQOuRBUBApBEB2zUQoQFYaRiwCIUiSAmighzTwVu4QbtwHUIoBinYBIuJmTIsce2IUaiwLw\noX5DAkFBTmCUIqU9cJjBR5HyTrwrnQVUpAjL3JbeAxMSqCA7RY596OxQmmgTKeu89cKCpfVEGHCu\nsYYkglyqrVRqp2/dvr1bnH3TNJZiZDAkGAs7nzGLUgSFZ6OJysKKV4QkUjCQii0IohdUIJ7Beo/e\nyzh3tsxemhnWt77zf/uzE0qr59a5lxfzNRLEVsPMVKg7oI+flgsz8deu1nZOnCJwDIjq9l4+cQl4\nx8xM2EijLM8ZAFGsh1Yj+urL9f2drlb0yvnqP3n7IPcgKLv7k53dUWTMKCsr9ajdSMb5oJrGzADo\nJ5k/u5w2U+hPbK1irIASNlqVHBI0QkDGKBe0v5YTHWZWLECPtsvPX6hXaulHnwwfHxSnheoP/XG/\nvLDe+je/Nvfnbx2Piml/lZ3UU/QCAFh4VkSMXgBGuX/lWuuNdf3/+97B7cfp1UbcaUTVrj3NeGwF\nyWgF47xcn68i516EPW7u+KsX4rm2GOa/+GHx0aPyi19tt2tRAcygFaJ3AEjMHCpLkcHj3qTWqXR0\nfSw6VsXN24fVer1erVzfGP/szlHUaHz1s0sXF9LjwX5cmxeexNo9fjT5G782V/JQYn3l0pmjx/fO\nfmZxLoX/6D+/8/ILC2++vnLukmzePenXi8hV5quq3VCnhRdPtx+NfvwnJ7/81c7O7vitG/CLX86f\nPOn9F78b/du/dXVh7eFv//qZf/B7NyutZk1Wfu/jxxsX2rU6jIZIJqTulIoEUizGgEqXlhFRRSQk\n5USMMZGJhWU8KvMMjNGkNIKw96IMSokmai3E477tDvjcldqTzW4OcO18x1f8c/Pq9tOeI7W5Bf1h\nYdLT6mKqNbqMBaicsBcYd7GYeBUrk+q0Qsd74wdC169Vn2xvTbLq567NW3v6+GBSjZPde3h6RJ7M\n7Ebt0kb8dHOIgPc3c1hpSN3E1bheL+6c7ugJMpVIUnV4OKpgWe/284WzpEq+fWNwIh0Vwei4jCSa\nq9C//NHk2uvL3/rszB/+o/w7H43eeD0abefUnP3MrPv0U7/bpYuXdVP7PB/euX375gm8d99VO/ji\n2eTlc/qffns8M5Mce1drFDt7sHWszl1XVVapqiloQTuvtCaTLbmzX79wbmFw/PiDT3aGIFefm9lo\nQEuNOIpbbvbju3/YV4uLM3aC0fWztbSfay8AEi7QiCRIRKSEBQkYGASRlAh6BhIB4OBFAQ5gUAqs\nS5peUpUggvhwyw1BNI1IWqU6kgBbQhBGQclLJwAAEBnUCgOiOYx3mcU68J61JkT2DozWCOwZgy1U\nyfSoZQm+WiIknuamwQsHUt6ktJ5ZAwoiaUzCOBAJQcrSe/ESFFKehciHABoCoxAEhSBYzwpBa4XT\nKjloDNN2IFSapjtHETGKwja1sD7SCkELC5A4ERNFYXgTaY0IkULBwPaXonCjsRVhpUkTWu8xIFuJ\nFXBmo7HVDbp58Om76cHBi035i3fuV+OzL1xxjx6Z86vLR+mCjaoNOXbdQUVUn9N044UYTeEhV6SV\nN6Ot+dHWuNfzraWRnsviJQZvgV7s3Jp98sGH3yn/6MPhwlz12lL80dNJaXl5DpaapmVMOYaPH460\npl96rrLcij94XI4yryONhKTo/mEea4g0FZ7PL1Tv7djSWUNqWJSvn2nPGP+DJwOM8ZOnk/0x1CLy\nCiYWQGDsuDvm336tORkVPix2hcP32ReudvrdSWSU9UxEDMIspBSLaAx0lsBcYdIaSIHznjgidX+v\n2B1hnpetChFRYiSumCsbnXM3R1lJg8n0fYuVdJrUSfR+14FGD85ZUUqV1jfr6pufaT95OjaRuvXU\nfebNShpDrChSNndKEaF31kunHR1ulwLUaNMbL8aq8H/+l72XPxOvrZovzNG/+vX5H/zhSW51NcW8\n5GnrKnBQEGzhlpabn3thedwbUKNTid0P3j967dXK+kJ698lp4fSvvtyem/H/7IePfv/73c88N3j9\natVDlM5CJnZ+MVqa3Xh85+Te4/HC6uAfvz1szqTffvvk/Hql2qquz1eXzqbrG2fvffj+k52T1kwU\nIw0Kd261c+f2YDBWv/mrzU4q1Vh9eGtkVL+d6qdPclKVw4n/i1td00BfiGWMKyTEZDyw0Qo8OOs4\njgzkvsiAWLmijGKqVOFk30axSVL03peZiyqRIkZQwmoyEo7tqFdOBg7jqLQ0KcvmYtLtm2wCe4dF\n5nVa1adj1vW0RGkk2mhfEGJEQFKMIRsrpYW9d06SOG3OVA5Hk5vbQL4iwG/d3o5m0oOTyfHxQEoT\n1aPajOrMV5ymSRxZwzrKNvv2cFBu7moTMVYgiTCOIiJVyb2wH+wU1ujDXGU96B9JY1aNj8ytw1El\nUuVEkk7reHzy01une5maW8Tv/bg8HshLz0t3z72/yQuzxpVWdWiG4vdu5udW49euGefNZKv8gEYQ\n04ULpCSptt2FC3B/s7FSnQVo1SK+v/t05byf6ZjHH47GGvv97P6mN3OjlxZfWq7lH/z0k67+zC+9\nebZ0b917HDeuFp2q9m62Y+QnNx9rrbWEYKcESYiwZ0T0zhMRCysgQVFaKRHHIQ4sgMiAgT2nlWZh\ncYKKCJGUCaVwpZBBEIg9O+cD/0JCq0RER0YrBEHrPSJ570rvKZRWkLQSY8L1WQMGGSYCCmj0Il4A\nmREJEMJcgp3zzOGW55h1GI6LGKURwAt7x945IiTlQZiQkIIYCXSkgnobQBgQESmAmgDCbAdAKGzl\nARhREZBMj2kECKe6Y2YQTTo20/WT0ooBIiQiCEc5IjovheMwSSfhODbVKikS6wAJjUIQIRAnOPEm\nodHM6Of04Of6iV++UP3+/vhMPb7QPDp8kC/3DfinnMjBKewUbklVL57jP/3e5NznzHNvvtTsP8Gj\nberuRsUO94vlShT17t/sk35xA5P1i9g7euvGP3g3fzqUubpebOrtk8JUcXXOvLpeKYfu8eZkfrZ6\nf99vdotP9vHyJdDko5hCzR4Ja6n2zjuh51biSZbnnpUmQWCQlU703u3+9gnOt9MHOyMCBBQFRCDW\nsxdpNczV1fj3v3OaGAIQBBiX7up68+Kc7KNONeVhqI5kGRQAAnrvC2Yk0BqMIkBmBEZVTcC64s3X\nmleXKkrcbEv6ubmxY6sR/KN/vv/Obvkrv1idTFwS6zSGagQbi1Fv5O7vQ6Q5CHzYS+n4cy/U3vnk\npFNPl1vq0fZQTKei1cQhswIUz2w9VWrm+Y3K7396tLxkfuF6ujwLO8fy8tWa0e7e08EXv95eTVv9\n0Y4AIioBj0qJd2GIrjQcHhX/5m+fraItas1OJ77z0dYfvLX/4kvrCqLnXqvPrMftBnlbWtX2avxn\nP+/9/Fa/muqN9bRzc7K4mDx5cvPGo7GpmEYNdntGg0bHd58M/ugH3f/sP+4sG/ZaLlw4dzg6ert7\n6+JSe24l+fd/683/+r+6sdM7AC8PdmlhLY6k9+CxAt98++724wGkMZ0WWRJjnKq84OHARZEqLUSR\nL50IQ1kCe3AlZkMbVTFOEi5d6aJaS/JxyZ5M7ONUZSNnqqZ0ZTbMYxOPi4KR2is1IHGcd1ZqsUFl\nsBhmwwIVxsNRtrQemQiKsTjnRxMRAR1zUiMQkv8/Uf8VY2uW5Xdia61tPnf8CR9xfd5709tyWd1l\nuqvZ1aa6acThkEOC4HCE0chDwEgYQAJE6EGCBiMRHEoYYAiS4AwHJIfqJqt9N7uqustXZVZWmpt5\nvY244U4cf87n9t5r6eHc4rzESzxFxIn97W+t///3Q/Le2ThCBOBofcP22OezIi+c8449CoqJod9L\ndBJdvO7zmQ9hOll40sAgy1J7RkeaYiqLRKsyVAbbkLa49liXZDcgMzAb29qZEBdLH3/8uBLMWJVe\n2avnWg7GdRL1Uj2aLNtrHDfx8UEVgj53nhYLfnhEaPHlHUsJJU1+fLc4rmAzhe+8569fMwqjz73Z\njaLZ3QP5Wy+u14tqrqd7Dd1q0Z/9yeS5N/st8KcVv3q+CPeLxva5vebi5v2ye6F/fcdy+WS8XNvo\nwZ/+0Qi+0L2wFW00636jo39GeRYRJK0U0oqBSQoQURgVASplNElgjUQoAOiZmZFh1TYUAQQlK9Wq\n914p7XwoHRM8WybSitWgUBEBQ5BV9QO0QaOVCBhjrF1xl3DVH0EEpfRqdgy4qr2Bf1YPARFwPtCK\n/SOijSJRRlGmnqm9WUCvLtKr5DWJhBVED1eH6WoSzQwI6IWD5xVxxAuwF2XQEFYBQCSEZ+8EipAI\nax9+9nOtSNAacVXLpqIOAEAEKEjMgFJKAAECEcDVOwERagLnWGntHUsd1ApO4jmAeFQ16ybNrwzu\nbsA7//SHT6/Va7/+SnST6m7Dfmov6pjokoqeJkKYhu3hjx/I5y61Yw1qw71+NR0/eGfv4sPjh/fn\no+JSO8FYP3FxnOPGxWjz4nQw/Onx45u/99Q9GGpGShVt9c14kZ97Lv61K62TO2Ux8pMqYCpzB6Nl\n4IBOsNvkj/enxmAI4IMwcGAuHb54IXlhQ/3+e3NtNAg4YWP1dtf+i+9PHgz93/3V3nc/GkRWhWdo\nEwHA+TL82psbIZcnZ05Fyvln7Nn1Bj46rq9ux50MD2dBCFfbCkRgASa0GpRGH8LPHpz+8iWKBTut\nxn/2GxfLwfJ0KK6U+0fV197e/vv//O7/8M78//J3rq4bqkRiA2UVWnG02Y63m/UP7swBya9+/wG7\nTTuZwqNT/9oFIVTzwn33o5kS2mmZog6TnJc1v3AVvvB6lk/yQcEX1uz5NVrk1adfic/Gy8MBVwJu\nniqnq1Axgg+ORWrvmFkpLL0fTarf/JVzv/FW6+ipj7umV5X/8nfvfOWLl7ab9cg4o6Lp6eKjeakt\nHJ0OF3kRJWpcwtyFwY0KMSAG1DputgrH8ykapTisnA31Zz/deOHKbmo6bjSHSj05Gh+ezJ/uFw9v\nXpi5j8d5+f7HYTL2n7m6xqHc2zYf3eTp0m9df3R8djZ6TK1zHTC7iupyQlUBy5wbDV3XYTURCmLy\nWeVrRdYY0mVec9BhLHHqFvOa0GQdqzQhsULTyqIQFmXhkth2+hqEItsUzIOT4RnbdBl0ePGtZq8X\nnZyGxXQFpGatIR9ZV4vJZLXrQgOaVLNpo0RB4NFgaRtWjFeMnlGUbO6sHdwfbL1mnrsqg8PKBwAt\niJTPgoIEjDDX3a5ezELUkP6aqnIU0kYjhzxKrY3DfKTE02JcUGwNqFwbrXFeqhrq0wXnhemuJ7OF\noox3umb4lILLX3g+2m3g178xZZHDUx6MFt3UdPt89VXVO/Z/+kP32c9vXdmV8+d2rvTbTu7cK04+\nPmy8tAM//O4ZvbB+54Plew9scg4uvRW/daarM/3c1casWLz3yWnrQvMzF5u37/zkbm5euf7ap2xr\nnjfiZrTWosVycu58oklpEdYiqElARIAUKkVIKgReOaBFJASuao8ixqCXVcyfVmcWosKVz0NEE4Eo\nAiSjzTP25TMCvzIiACJgDSlSzMzMREgKV+Q8Znk2H1EEKPyzazPK6rBWwgz0bL+HiHFkV/JBJGJm\nFPAh1B5WkWRm1kSIgEDGoHM+BFRKoQAjAwADiYRV8YSINJEXWcVEhMR7qZGZQRAibUTAMzMCCa5c\nukQUAoeVflsTe1EkkdFBvGdkEYNKRJBQKdQKFKEh5b049kAUJRFK8K4mUAAhCAMlTqBZnlxX9x9/\n+GGjnj8w/NXr2+nG8nfvhemJegvRzudPs2ppoo0mPc3zGzfh56810o3poyfR6y6lmXupF7Lj+7NF\nWHAEOrrcpqRZHQ742wfVO/fw4WFcBwBtQu0vnI/ObUTfe29y/Xzz1U7yo+/ML/QsZdJRCEkq3rQa\nys797YPqv/jHjxaOSRMJCf6sZq1hNK3fmTMpREBB5MCJVd/+aHbjyfJLn9/aasPpNLRapvYAHAhE\nKXQgr15IR6eLQFoDAkAd5MJWejZzP3mQ/7XPqU7DHEyfMS8QgQEFgyIkxSiKlHgXhOTlK+qzz5vj\nAZ/vtsKc7++XQjSb8WdeXL9/d/ov3pl/5rX++S58fH/mhZ4M68xit4m9hu01TESzwKg0BB+ItLFw\n6yAXRXePK610HcI79/Mr63bhJDA4L689b996XS60er//jbMKJGvylevtO08Wznth1e67nR5qtMsq\nDBaikF3AwCASkGC6dDsbyVc+s/43vrDZRU421ibDk4WGe8fVX/jCTkX1YgEp0+XnzHsfV7cPq/1T\n6q5HgWW2kDgx3oOrjDbaGBCtdrebB3cmuYDSEKf2yp79n/9mf7RPn5zt33o6bav2Ow/S9fOXF4tR\nkrR++08HLugXPnXp7Ons3buzvQudW3e9K0PWoYHV/ShE2up0unsu+uiHzcpJ1lLBYwi+WIi2hgwQ\nqjiFEl1ZuDqvfM06EQmCRGkbkzQGwPEojyOjwI8GDkk1O7bRNkh+OZVgXBC/nCy1NSng1sX06tVp\nG4+azf67P8w8B5siV2i1AYB8WiqrFCCyIPJkUG+fb6ByGFGAWmmdmZA1beWgt1ZunY8VuCf3g81U\nlAUW0QKhVqgEAzfaJs4gSy0KZW0T73BV4ODEUaSqKbpaqkItZj5dbyKLKwIhTudBaUWpjrrRLC8+\n+KjypXhFqeaKcGutf+uTqVxubW+6gxE3LAQfBgv+ve/nLV1Haau7033j1Wuvb+EoP5tOn9y4P776\n2s6G2qyGeazn333n5M9+MP3cl6+5p9WNSK49ZxYP5P/3zdC4EiehvdGZPZmejVyjrFKdLKBe/vIv\n7hIfv3fTN+3a8dFMB+EV6X5FFHWeEdGzB1hpW/2zpTewMVpEGBAAtAHC1e0VmFdxf1CkEYAElKKV\nDAVQAksQUABaUQiBBb1jYY8KFUJdsxNcAZhXuEpm1uYZkAgcswAQBeaVGMUoVApRUfBQ1Z4UITCw\neMcCorUCQmFSiExYOCZEoOAFldLCEoSVolUSzSpiEQACWW0pV+5xFABjSAHAKuCtV9d4EAH+mV7m\nmfMKAQAVUR0CPMPkY4RWfpZ4U0QrYFMQ9gFC4NiQUjGGIh7emsZbzbU1XzkPVFah7+9t8f344EG/\nNz1Iw7dqmA/NX9wVNjw9gdccvfy8Gp2qVCjtw+Ow2NeuveNVY9KYb//VN+jPv7V4dCqfPSdLVgbU\n5R21NP7f3gvv3QtPBsHVQlriGGIWBrh0Pf7rX+scHldXdra+crnzW986+fGhu3Te5gAPT+Fomq9n\nvvZgDWqSiVsxNkVDWI3mAckSDJesFK6y7at5q1Lq/lmJRv3NX9irnp42EhUCr0QORFhW9VpHbaX0\n51MhBUbjKmu/3TU3HpQ1w3///UknpUhDAMHVhgPDSkMsIEigBdsd/dJV2uzC2dRfO9c+10xtpDoN\nKKtAneSP3x386ICbreSzz8XD41mcdRuRSaMQGekncHhWnY1WF3BCUoDSaMSORSeKRU2ZIqRWy8w9\n3RtzXWMtuLejr+7RXlO7uqKE/ld/o3euZza34t56Y3B4cP/h8vGA7jzmvW0/XM4HU7FG1S4govcc\np3L9avy/+NUXtqJqejYbJdHltcXXv/HOD24Wtw4df/zoV5rNvjRzNf/RDffO3aJgKhmFYhdCFAEH\nVEQmRjLEIMHz4HBBkbEGiRRLaKX62z+c3bx38HQoaxd6X/01/sJ1uvVBcXYmJ6dLG6fdONo519AY\nzobh0cCnyjbXQtap1/rb5fgw2ijcHI4fMlqKn/1XS7WQKCHnoJgFbUSTIl0lbZFAvtZKk3AghUlq\nlVJVxY2GLeYeIyBiIWivJVbD6NQt58GHcn0n2djdbfYr5rocmbOhvXVvqtZagNRsY5qpsydhMi2j\nholS42tfVAG1Sjv2/IUGOTcYlMbaJMV8wWWQTs9sX4QsrsdDv1zC2lYm7KYjtBl7L40uInsR1FZI\nkAXHh/70RNprqV/WtYQkIhPZusDFvLRZKkVYllXcsG4uxmCc0nxZnQ6j4PHosLIxhWCG4rVByKpA\ncPNx3mk00nRGKkJbNTLVylr7B8XPv7z3haudZXF6NCmHw6oM/Xre/73fGV/bsk+eHF54s1GN5cFQ\nmVvH3ZfT6lFre288xWn7YuMXP3XufL9wRVIBvvnSk/37av+9Wmxl3fGtk3xj2/zp75z82cNaW6uf\nLV9AgmOtlaAED6QQCAjVyl5NqEk9I/LzKjQWeFXyVVppBS6gWQWgQLwHCawUBREiJAAiYEDSRiEy\nsncUQgBFmpQGWDX1NBECWrWSCj4Trqw4zaQNe3bMgSEEQQ4IqA0hQWAiwCheSU5W3WvCldSbFAdG\nhGcM0pg8MyAr1Kv6imNkBoXIwqQIV2QJluADADCKY+CyxpUFYDWnF0Ci6FlbB1gCIMZWAUkI6AVK\nF1Y00cBgtQAgERmjhRkEPEDl3Nv3/uA7P7r7rdna23/5q2vbGgYPPtccFeWNs1FtKFlYOQplK9/4\nT37NHAzKd//QfDqiC1+R/+97i76Ozl3IDwbQ7gAZ28KkvUhfzUx1Un3rPn/tldbaZv3BKADFH9wr\nH56EB4MQRSq2GEeyYkpMlvyf/t3NF7bNvTslKmMW9O33x/eH9emk/q//xG+0TaTgYJQHxud3sq++\n0vjBvWnFIKtFK+GqJbRyGlirDIHz7Dis1I1KYVVWv/6FvRc34h/tYzvRw2L1NxHPqCL12sXsd34w\nHBYSGy3AZRk2t9JOM5mWC2uVEFSCAoIIpARg1W+CZ6tdFGYk8Bf7cWe9DJgMb5r4gru8xssF/eTB\n4gePJk+X1In0klw/kj95d3H9uYRD0ASJMWczH6T2HsjGWmkDJs2UMWYVg2NBpbQiJEEGLALWQVod\nubBhnj7lcsm/+UvJG8vQ0hI3sfaz/bu+ChwMJhmNCxgXxZOjJ08mhRcNGERQIHzly5u/8mK7Hi+/\n+0nxi1/qb1xpL8LyRzfn37/rr1yKz2Z+ftay2v3DfzdbemW0VlohSagDKIgiE2rRhhiYgyAoEi6L\noC2FAFVZE+Cjx/7JYZCQ2lQnraiE28NTl+e7Wi3f+OweDfRiUc8OFyaiS3uKRUjVLjBGtr919/2b\n0Oy119b9D7+dLV2dJkopYST2DF44BECpCl2z6FijYRFURtkIA3Oz2VDkyrwiDUb7ssKsbzKHdU0+\nhHxWF3NCoKwDrZ4lDvkozOdVWZTrl0z3Gh496TTadjmtR0f1fAStjdjXDELBYdqzaWrjVCsfTo9K\njFSrR2URmp1kc1PreFoWcngALoQ0swe3igAStyVSFCsUljznJLWtho6Mmi/qtYsAYo4fl4X3e5da\nGxtyfN9PRj5Ne0nGQRndUiYAFzAeTnLX3LvSCa4uPaxtdchWHGQ2Co1Ox7npsuDd86ll4aCAdLuV\nZpluNevnLp1XfvnTo0e2UXTO5NatZbi9tBW//zi/3T+sfG12w/a6//VfNpeea19M7A9ujdof9m8d\npOcu4fHNx+9M6t2d3Ws73Xd+MvmXPz597oqucn54c/j2X3zzy1/q3v3xN07fJ+0Dey+0km6vsEhI\n2q64YgIgISApKr1DD5rUCgKkWVb7H62ImT2DCNbAxIDPxHe0OpqFedUm59XsA1EpVIqAFRF6DgqV\nUUQaQYADAwsgMoL9WdnPeQ9BjCEVEAHcCnAJAohaKyPwDAayIlYTCAspAuAgQRiUQiBZAUAVCiM9\n4+PUrDSCgEfgwKFm/ww+SgLAggZBkFARiCgFkV4ZaCEwrHDKkVJK6RCCC6JXKiui1ZCeQSKrnnEl\nADn4IFCDVeS7j37/z+/ePWzrV3uTbPrfUaU6S7Z18n7uN7vx5vnFg6eh39YXd6tRPP/ubTlynee/\n7G7Q8NWXtODs/iE2MNO19LnZSTECfH865yW/thcdFYuv/3EQxgt9d+/UTwrJMqUIQhBhIsTjcf2X\nv9a50qTpPfdP/81oUbEofX070ohxpK7vJK9fVPcPQ+nNwoWdddjuS+spTcqgFHFAxBUmi1fqcB+Y\nQAOAVqRwxSCSzXXzd7+6tRhNq1pamTlZlFqR89xs0OsX+u/emeZO0piQRERyF9643klckVeiDfgg\nGp5lMVfe8VVfFFcnqASE+gufaquMt3eaRx/GD8+WP/eZxq0Hi3/5zsmtgXNOtzK7qFwzofcf+E+G\nsrEHrIyOKGg9rENVRA7IxsoJGGMijd57QlplBAEhSBCROCVrdMrh1RdUC/DhfvX5L3UHk6rTtQ8P\nZou6OjpQ5cREHWHBi9u1K0hF5R98hydTAsUClJdu71zjv/iP3jj6+OBbT8+uvtJs7ywOF+FKZ+vn\nXmkOQ34yRtVNfueHp5NcaozbLWWsKh0TqSxRTqoKPBIgokbyGAKzBNFaiw91zaCw0YsbLQxBtFJE\nXJf544fSWb9UCQTf0DMpQ0ldRzUT+doFZVCshJzb/dQtN3u7cX42u3k06eztZLnJ5zUriiOLrAFQ\nlFeWFpO6yklo5dnUQlDMPUtQmHe61NuAfEEmSkQqXwcOPB/5ONFlLqTI+7LZbpTL6uxkAl554M3L\nDEVQai1NzcHtynNULDDpRpeey46fLMFQVSAASS0ng9mTMujEvnBtPVRjm/huj8cDjwTFIilmlaTg\n2XstQURF6EMwiqpSZ23V72sSNZsymcjn2osnK+cumITMgw/npQvdzYaq4+WkAog0+eFoFkCtbXcY\ntJ9xsRSTWCXBQ4jSiGZYlj5SMSk1m9mrF20ZUMjunY+yxE1ndUC2mQ3YQEo/erSckfGhaGbwwltm\nrR9HRMtx/Tj266k9OJhhM33+UibeNRNfjurf/mBZlFEzPtjdmo6n1WBuNiU6t2kPn44/+rMH/w52\nL1/MNnfnOjZGzEqJxGKAcIWZAwHUBMJMGrQmG8WAAAyklHMr0weLoFuFhQNYi4DiV+9KwiwY2JMA\nPtOYKmAJwoQrtAaysCK1ohtXzvslkBKDyntGjQBQOLcKZwMAKVzhJZ/ZvWnVLmMAIYUaTeDgAiOL\nIJR1EARNChEUURWYAEpYJVVERFatSCCs/Ko1DklkM0Ozon7mEQ9sNRhFq95krHUQCBycB3k22kBC\nrAJHQAFARQRMLCzscRX6I+VXcw9SeZDCq4xCj08b+x/K0V3doU91zaNiUp02PvtyumxXT7joZ6G9\nPnv4KNppZTG1t3Xy9GD08LD6xbd52Zz4kjjIrTvRtVZnowWjBTzO8z+77V/ux3vb5tbUnS3lmx+V\n3VRf3IAiBCDIYsprLv1K+uUZ8a9/beOL19UmZN8fTYvArZbxLA/OKhDZ7akvvaA8e4d+UgQBmlbO\nobrQS/dH8xX6OrBHpZRSLKyVeuY4A3ROAkDlQRv+4uc6CYbHJ/m8kvMb0b3TUkAqFz53pZOqYLOw\n2zSPD11AZQm9yNWt5P0PllGstEYRJglWKwZhIe8diiApYel26M2r8bWX1//aV3e++YOTxzfkxkfL\nc3vJN34w+8MPJ3Hb7q5lJ6PSK28tBtAfHoW1XvNkwlMfgeZAoBJdgiKlkJwGFceWXS1AQYBBlGWt\nmDkYo22ktQ7rvajy7oP9ZX/dfnRr8c3vjdda5je+2n/v3dGrr9sZueda9ts/zO8fR1GCw4mKWzIt\noNHSJL509V//9Tejsjie+F/9jQu1f/KDH9a/9c3y9SvT8Tw4p0Si5RIHQZI0bifKGu0l2Eg7B0UI\njTSrqgWDr3NPhCZCo3Vdh8CsIhvp4LyKElMs6zi2ztVlHZ471589GqdWti9113vDRleJHT14X4qK\nSUPWoKQBk2kYj2w2ObB6AmqjnsPVNy5feVUvzh7f/vj8eIblVJdFFaRGpUwAQo3kktTomNwC3MxH\nsdFWJ01MUh3FejJ0vsbIZrNxWQdeLrxSOmnQcu5sZhGwyEsAIoKNncZ6vwWyH6bVrJqppO3mwVpE\ncE8ezubDqg7c6jfmozztJOeea1MUkHyrOeVGXUzsdOzzhUCdOs9FIeCUIuysYeVVo+2kRoVpqx3Y\nwWIkNhNlOHA0n5HJzOYu2QhcXtq2+CnpEM+m88GZi5K5AqWjpJyUihCUOx4XSEkcYq2LrNPqNhvt\nBrNUSWohWAY28WLrAirjFVSTqUHJZtP8YBpsqtOYGLtau6wZqbTq9HrF3A/mmUjQZWs+r45nZ6fp\nxIO+sGEePcpPl6aTxcOZQ5MtuFiIG53R4SFeJPvZy90/++Hkv/pnt3Y26fXXO7pmJnqGnhMQZlhV\nPpSmVamagKraA4JWKLhSuwIhamUChxX8ARFWXhKlJKwYOgIKtVYqrGQeBEYpRdqH4AIYQwTgQljN\nDbRWUYzeswdhQu/CSh2FSKQRGD0HAnDMiMJhdUmX1WMEaMWoW8WHURE1mmaVrxURrRAVrfaZK/7c\nvwcxsbBSqxUoeBd8CI3UAkrw7AUDQ+D/SUEruCLmEQo8u9kRRc/kUjoIaA2IeqW8hRWHWtlalLDf\nULMtd3x9+Mmd8cM/vDH1efLVF/HD41Lc2ttv2QfhGFm/eLUcDU3+pJ3Vut9MHk6Wd5eLPMpf+wIv\nzRwdDab61sdoivYZy5NJMZiGRw/Vr76dRs48eRROR/pwUSUWW03ptXG48I0Gns2reYXb3aTfxM1u\n/MqF9KUte3BaXLgAs7IOIkGCCEQRgqhxEQ5n1VsX2o9Pltrk/T5Pl3RlLwoLt9mOThdOADQRPbvT\nAaBCAE0gpFotaTTt7oY+muR/5csbstQLLwy8kWmrsGJZlO6taxmUs2kNtx+WNjIXz5uTU/cf/93n\n3t5K//nv1dYoQa8UBvCKwChopMhAzURbEJ3YL7/cefmt5Je+cO6//AcfnzvfiarFiOneJ+XjYdXb\niJWKxnOHxioUVqKj2ChdBzmag1IUGQFk5uCdV8GS1pbIVU5WLUIQYzhKjTADKEDw3quICo9HDwBs\nOj+pbx4EiGMGefwo31qLDx+5tc3o5Ag/eZh9+mvzwWO7ma03jWu152hNKBeNRvTKjjk5mx6W0+Xd\nxWhEf/oD+eSRfHxwQqKbWRxFtgbqtEwSKdACSkWoOCB7D4oL58STsahIh7CaEaGJbT5aXn1p+8pe\n9p1vPJ5OSmvQlaUP7D0oTCFJhU7CfJbE0H3hJ/OTGvQVrkIck42RDEcxEIXh8Va7HbrdU76wvnPR\nHz38yXRx+ezIiq7KsqxLilLLgSdjT0RpxywX3o9RgZQlR5rqKVSVX8y43Y+AYHRWlktZ0TB1QqaD\nzcxUwRezMJYyaZk40oJCGhqpbaU746ePr729OPxobWw0aQL2y4VXEW1sbHz6U/0nDwcqLlIrcWxd\njeNFLU6dPBGwQsh1tUABh6xBY0gWkzJKE64UKe88IEXzMYRovpG2wON8zq1+lDaWxQyXeQPYpGaZ\nSzUcLZyjTjep66LyPs/9zpXYL0AIm91Yk8nSiAWRuFouOVDS9EqLrwOhqgqoy2AspA0lUA+OfdBi\ntIwH+XHtoyiL24590pH20aOFY9XfSqHWEqjRba4ZXLLZ22wcH02WmHa37W67pma+1qCdnf5nXw3l\n/PT2T4offKN67eVse70xHBRTVK922is7ICARcIiNERHnGTUR4s+82hxFmgWc8wgQ5Nk1lMUjoNJK\nIShNhOhZBII1SEqHEBAxBK+NRgAnvDqMlVZaQ1V5v0rLARCpOjAh2BUogxhRGaOYeQV4Q4U+KAZR\nSgNIFBkWIWSklSxcFEGkjYD8zIcC1uhVBDXws0QHoCA8i44EFoVY1izICLIaMSul/GrebVRkiBBW\nZlgWICKjnk2fBUCChNVOEYEFkIUAvQiIByRDUGtbBWm42ZX86OrZzb16UPLkJ4Myj+MLF9t7W2nl\nq/WWa+sKNg/jM7ueqTt3kxY1eIGP7uF4Oc+T2UkpEYh/Kl3dv7IN9+7Vs30Vd6pvfRzEwReupy+/\nEleFXloodTEp62HpA0OShv0zcB5qx40o+Tt/tVeP/b3DYrulO1Q9fOx2LsazMn9w4knT6nUghJWt\nIBzNnTH1xnp9oZQih6rmnz6cf3LgC6cUQoBnAQ4hoNXiE6EocVnx3/4baxqknYANuBxOFbYVGqPD\nYF4CCSD/3Kdb2y3/r76/fP5TvRdepP2j8B/+evu3/mRgFtXDu7bVhQT96VRaLbi2Hn38uEiT6Ffe\niH76ME8TEMd/62uX04X8f/7bh8Tqk/3F1c30k303KGRU+c1ti0ieRRkS5bTVrmbCwABBmBQJonfi\nHLMQIoLBTjOtytpJQEXshXTQEdY1BxeM0e0Ny5U4D4sK+9tZtXAqbl3aUH1b3/34LG7GF7bV7/zh\n8CrBotS5Vv5s3Ony3ft1WVagrNJmXjrS6t27R1/7Su/zO+v/7J89+d3vBB2rre2mVYqREFVkSBkg\nvRLYGzKELizzysSRQSgrjxp9IPasNK2uTt6HRruxHBb3a2diU7mgNYEC9BxH6ujp4SvPjRO+5O3x\ncqEOvnW3rF+ME+rG2lr0IdQFANDaro/SVpaa6fF058LVx+/uD6dZsrlxdlKjxeCZHZQD8eiUIQlc\n59Jsm7oGZUTNgWsRKzaKvCvHZ6ERJ90+DVwJBnubLWtjrvR4eIbG29Q0O9nabqYcF9W8KKpHj04/\n8/rgci967087h4dl1oyrut7c0vm8bO10XnkpO7r/KNRBKTfKeTmN8zmtorRRAgbNeMhlzcYAIMYt\nk607YNPs5tVCqjoyyiyq0pFqZsn4pNBqo9Ow07NpOVfDszo2pauXZVF7gLUNM9gvzuaYrZlrL7QU\n1P11PT6Rqg6kOZ/5spx7jxywqj2I1GKXRVnMJJSkM+mvJ4poOl51MjyQ9ZUzmXQ2GqiFawquPtx3\nDCrtQpVDu50Fx0+P5qQynZXTZT4c+8HcvHHpYuDjRqpvPRFK4NGTik0zart+Jkw6d5qa8Ve/uA61\n6MgoWc0UUdf1vx80Qh1YWIChQhAQRYQIpEghoBil8NnmHgUAnfeKCEkRGuZn2lQQISIRMcai+FUO\nz/mgiKLEGAaWVc6BATQiggQi8kxCIgCkVBCx1gCIgVXWTphZIChCRI0ohECIwcuirGCFtAMMwoUL\nK6osrPDKQZiBERRJpBUiGQ0m1ihQscizTLRoQkD0z6pt7BlAUCsKEtQzKZV3wiKkEAVBI60oewSi\nSDEqhyrnsD4/+Hx5v3P84Mnp5KfT8kMI9wv6dD+5uG56OT536Op1erILdeyfHERR2dfGtsXVM3V2\nNG+0UPeDr7nXwtFM9WzSzVsPflTIVK0lXKqyt85baWYj7aO0od29o7wKwRooPLYzvddXGcaXt602\n8JW3uo/ujv6rb4w6TbOzgeMlnt+0nUaENT0cDlJLDIGUQkEgqb2OMozaQDM/y/3JcWSMHM6qVoem\ndagCOccBJCbNzEHQaFou+T/4zX4Ww9ZGavzs0d3y9XO9XpJm1t6tinZqlNKdVnLlol9r4X/7uyf7\nM4j2q3/wf736gx+f/fDDsZX6uz/2vU7xlbfpcEwnE/IV2IhFsNOm/ZE/10/uPcn7G/bSRvdg+vTx\ncf31b5797/72xd/6F+PvPS50pDpNIyQIuNKoISFIMFYpAqVEW0L0PnAQspFdJYhsZGxkJ9MCFYgL\npFFFJBJctWINSqsXT47K2GJg9kCvvb1TLcvZNDT78eUXzd3D/JPHtUuyj/b1dISJJYZz/e694ygs\nJ+rlVzrT2TKKG7GufMLrO/Hxo9FgqnWset3YGBs8C4ONbRShCKMCo5QIhJprz6ioKD0CKEPCSKyD\nOEQMXlCT8/K5XzhfLRa1RM1mdOvGgD2yYyCIutRsNAE+ofhunVezMqvDehKRtoEChiDGoLIITrK2\nQbWYzZOTB4BRbWJoatvfOFGfto8f9ianNQcvBpIGaDLCIUps1IQMiWsWx8tKWutKkSomESrOF6JE\nZU1LiTTaGdSKFXS6WQBHHb210UlacPhgUDrTbvfz5eKj2+UGmkVBvS0qK17vxUnTXN9sYVgc3Hnc\n6EOcQB00xUhLXkxzIdXsRL6iPC8DQ9ICBFAIKvIKbJRivxf7VlgsZP+T6WKBpqFCsElsnON8mT99\nuuj1WoR8ejytnG+tW7cI928tKm8uXm9eeSHKqJ6Nw92PC18r0sFEWWAvyAqBBZVBEVXPWXWhuYbz\nMbQ3jVKNmkdegMQCqmJco+HuFnKJOkQqmnGpO1vQ6XZjG86Oy8PH06yJZyeFSUIP5WgyPzrBrJlU\nPqsnyeOj2WyOd+7VSsVZA7o9x4rKwo2CpJk+euxaTaVrQeeYCDUyKGQA0gTMKIBKoYIVcX81GggS\nkkgjk7A45iArwZ0QUs2AsuLXgXqWTkZAcSzsvKx2kCDGqCBQeVb/fg0kFERW2RCtMDZ61cR7xu0P\nvOJDr6qzRpEgrrSxpV+9ozOgJgQE8CDAKzKlkCYDwCgWjDGkCI1SIfAqWCIiPgQWSDQ9I02zECkf\nmCEAkAhphRpVQDGrm7KIIkxWrGZhQtFIdUDWuhTg2ttytFOfto4eRfdvX7vg/+28/IP9cKVLl4N+\nIfiduvD3qk3rj9dJLuqDB+pqs5H7+NFtNtHy4XvcvFqdrZe3bqprqXr+UvJ4AL++0bt7p/rReDAb\nmyUEAPrsdmM9jc8n+HufLLpZVcznZ4UsHb+8m/zvX221UmlZUYxRk3cv28lg9P/8N+MlwJYVa1Qr\nNf1eRCjTRZXXgVCRkGdGTUFCHQDIFpj/4Q+rShQivnRdryea2vVkyrMcVrqDwKxIIchiyb/5a73f\n/MWkXNSD6aw4VWaW/PZ3ZzVMkc8W5XK2pCfDUDjO73jvw865RrOa2wT2DxZni/JXXu384Xz5XlVm\nqkYVvXTZhtsB2vjkyH3qTW0C37jripxffCn6m3/xugl+PK1dHd75MId69PFdbnUiFwIArOw5IkgW\niLRCIG3Ye0RcWXSM9bCSoBBikGbLQAgCiCCowSSsrUExWgetlIAOpexeaiVNmpz5F17qPn08ms79\n1l73wcPpsuCzo1A51eomiBZVoYJUfhA1lzaVwGr/0SLtmE9/au1H330KiP/kv3/0r7++sK10rW/j\n2GaRKipewQc9MyoyCFppUsIB8zqUNWvSaTMGCOLQS0AVSK9S1RAC3Ll50u0mGku0FBuqWbQlHXE3\nzdo6Ob378vWtp9qZKNrA+o4ydQjKO6ftigoi1gABLCYcNbLWuUZZzhzPOIn3788YN8k4751JorjJ\ngqggBBHnXPGEtFJkRWnVPy9ksFxURRUEAJitVoK+121gcPNpKcKaUOtIHA8OJ9VhULUaj0ttG89d\ny/LpRhpOf+716vF+o1iGOMZ85qplWC58f0fp2Mym9XwEntEVxpiAkS7zmplVAr2WNQrzRYjbqtG0\n9YKZ/WKaEsaL4TQYJmUjozo9w66o+GRex1mH8nzpq7Cxm5RLCgZ6u/XBMX711zbSqJoO6id3GQx3\n1lqLQV5UFqhUJhDSbESNrmgSQA8WkxamKbV7anTkc5hqDVURsmbIl0Fn0uhJbz2eHcdGk8TU6bWr\nnMsyzEfDp/tkdJfdjCw5Vw0G8eb6WqtHzV6oF/tn46Bj2tvQRKDRREnc6fOimNWNJgqhKo7m/mDg\ndKsRBc8g6IVRwQpqJ0ImWaUsBIVFnkH9HWPtxYegCVea68RaAAjCiBDCSn0kRIpX2grgWGvPnoAE\nZGW6SrVCQM/AHBCFjEaEVekjhPAsUkUEzJoo4M84nCxBWJiV1iKiiFIbaaMUIj9zcYPRhM9408gi\ntQsrxYoTKWvHAYKsNHigCIMIABqjWNgoUoqqwEqp2CpFK8Yz8KrGKIJADAGChyDBg0csHSJAmkC8\nOO6ODq5Gg62zJ4ODybikczvxvykXH+/L/3IvvqqkXrpZVwVNi6Y+UzSdUvgx5hD9ZDK991Bd3DIh\nx2g7NNf8j7+jd9ajq+smqW00c7ePqxtTN66y58+pVod3bXKhX//e+xTtubKAp8tlM4v/6i9Gx6fL\ncwmVMG+o5swsfvBh/T/7hXWp7e9+a7G/YAIIa7plzUY3aadNS3jKC89IJCAYPHvHSKiUVCU/fhKl\nNiLxY4aUonZXHp9Aq2mfjp1SxMF7EHGQtNUX3k5/7ecb7314tv+ofuVcZof6t38wHYrjAMtqqiIy\nRMzBGis2XuTlS89nf+0v969dbiTBb7L6w2+c/OiJPxvDZk83bTIbl901nzTV9kZjOM4nVfjSVzY2\n23ESw2cvbg3uDY8nobPW2eg0bz5wWaKR6wBMSiECC4IoIBAVxAMKImiBgAqU1sAQIJAmbRBJ+tvZ\n0YNcKTExoEETo0YkwKSn87msbWb9TVMVxeZOv9NcPrx9Opj69c3m8Gh0NqjjVJPVWkJR+GZki4ob\n/Ww6l9FRnrWkcqazGRaLcHAocb8xmKrv//kSGw0Ta22N0VoQtZaihoDeO44gRk1AqirdvHCBAxEG\n4dmsNIoiA1WoW924ZsceOfgs0YdPFjsX1toN+Oidw9msJqXbu5lW3lUSX9LrFw7KOl1WaBvx9L72\nxm9cUuAZRIKIK0ErCQGjDNAGXzXbza4fLdAjNc9Vs9TqIm3pOMJiSSaKVOSsBgCaeO/ENyM7HdaR\nI9vyUab2etqiqWbotUWosTbzcbXMa21sMOiXlatrlejEpDpWvYvw1mc6m5ulqcYPbo9mswuhckpD\nnvOykPnc65QWczsegk6UYx9qKMvCqdqSNah1s272bGR1PoVGJ4ozUODTbdjYSKcnxWCgHVtQdW8v\nxpxHx2Xazjr9eZRpt4CTgzr3S6972+d6pGYI6vk3NzqN5WzmOfi0I4MzNz3z8xmnrViVFQZELVk3\ncEisEorrTg+t4cEBBU9py/ogs3Feeglz6G+24kgVizA/5eBcVeXgMJ9MFBmFeHLiFwvprnNVU6tv\nwLu8cMJYL+f3DkpEVnHWaJoowV6vNT7JD55MNzYbxRwxXttuqYdHD9OeNkA6rxzhynKCHHg1kWSQ\nigOLrBZq8gxDVFujATCOVpFjhfTsu1ZpFEIjnsOzyQcIkii1Ynjon4k+xYdQ1h6eiU1WJgBnjI2s\nVsxglAAKCgqgMkg/KxQCBGarDAoyCNCK3ATOew8AIAEQBWvvA0OQlZsFFBERrnCRkbWrYomilXpq\npSj9mV2FMQReGWNcwMKtGjJilKoDgyATOTFIREoMe7csdhtFVN+4/aenb+STl7YQFM2qcDePXu7p\nShe6wF/N4pzCN08wiWISrBf+0ZxTUm0Jaj3uXVs+GFfS07oZT6Z+eNDcOM9vvSiv7bVKqT/eH33v\nRtTbplfe5jem2cspzcb+e7eXo/M8KerbJ95r+crzu3/hc/xPvnXgFlFjm+PICPrIwlfe7l7Zaf2z\n3zr5V+/OrMbSoWPotdX2ZgIBwUpsYcUwUQQrYIhShEGeHOIvvRZ30/LBEJBorUltw599Mfv9b5Xi\nldaMoGvHSLrdMX/wp8MrF6LP7qVmGn/thZ3//L+5PxLupsoLRHFSuGC1VtZ4ZkViI9w/rv7WX2o1\nan/j/cl3Pp794BNXIZ7b0ht96na0Tu18UD+6D/2Ov32veOPtc3/vf33l1o2zf/X7g98e3uPafHBE\nW/1GXXMcKWFB1KSfGY0VKtFApJW2jjwHNFatkqOI4oOAiG3S7sVOYAg5xWnquCAdlKFmU+dzp4xG\nRRee70NZAock0z/53hMMQlZdvd4Zn5UHB7nRuipCCEFppbUult57qBZFo9vCau3qi6fXX+u2suWf\n/UHv6bFs7TTf+QmbuKEUklaVD9ZAcGFZVFoTM6SJERABzqsgAZMoZqnzqqo9x1YZg4KAxtSV1I6Q\nGAVDgCTCB3cG/a46Osyb7XRjPQYVyjk4nJ1NdXsjXg4e1u6V/PgkL0Nns1vlbA0CotbBRlgXqYlC\ne2NoNT91/arIF8tcNXaKM70YF8xA2lY1A6okisbTyjRMFjUIZyhwup8LAmiMdRIZVGwVkNRlsYA6\nrwRZk7CnWupG1jBWdOw6m6bXYJSQNHDw+MnZafn8hXm8HfXbZ3m5dXRIoaS6LqJUa9KDp4VOdVun\nhOWyqnTD9/qRz1lZn2WxAvYcKNJZaheT3KbUaGiX19NcVEZcmbZtB1dBxq31WNfxshwWcz56HATi\nzYuNfj+O7XRtyzea0eRkdv9u7jwtxywK40znLiRdTAxYa8VIgLAco0YVKt9t27qu52dSeyiLuvbU\naFPWy/ppmc+DNgQOhkcFoIob4tjnQ2hmWNeQxiaOU5s4q0odYbXwLmBw/mA8LIoQ2YRVkWkpJpCP\nw/LMN7I0IT7en7c2TDk93M+x1Vc65siAZkHnVglgeHYWIylEbZRSxMwr5Z5SVFWBGQTl2YWVQSPE\nBn1A75nZc0BcVbQQUCkBqB1XzoEgS1hFLJCU0cgCLCwIBgjJIhKgrDygtGKNInrPiCiEfjXUXpHz\nCFZpP78CHxMSEiOQCCGxCBEYUkCoAAVFhI3RJOhBKhcUUmAvwgAMQHVgenZHRlJExiBiDdooFWnE\nVTtSQeVqcoXJH5THx34xCeXT57ZmxaP84QOvZtneC5sFVXf367Wmd56fntU37ssn0yQ4N67h0+tq\nMQ13Z/hSizoWOgkvp3Ru3f9kX5aD3l/7S+F77+VFFb3xtv/kIby40w6+uvmo+uB9u74X/aVfiop7\nPpvVd06gINhr8Q8f+Vr0z32+/eJa13D1wf3pc82O6TuvnSKtmtJKkssvxu98b/zPvztjQ8ieBRaB\n8sY0h6htCZQsl67fMvuzOoAAKFIIxHXFrbYeL+uHJ2FR6CyRZVn2Gs2NPl7YdScz5wMxiDUm69Kr\nV/WVtY2/+sql5zrqhfbs//GPn/70hBuRBFbw7BHuE2vrkvprqqqq8dQ5DCcPw3sfFd+4O3o44FxM\nt6V6LQFUDw59VfDBFBHhyVF47Y2Nt65lX/83B99+b/HT+/Ufl6f9JN1oZoG9A/TiHQgTEKsV+DAI\nKiJSXLsgAqShdt7QCjsYUFOU4eb5RrNDw4Oal14plzZ0FSRJdZbhcqquvLw+OptubtpHt6e61Zoe\nTcfjMo5JMx7uz5YTB4JVxa6UuiJtuGGtFxWnoSjd4cN29/m8OTvp7Z0ePm1Rsnn9hd781JXLidJI\nJkJEBei8OM9xlCWW5lXpefXiBwRiImIfxvOaV2RtpYJAVYnWij2TDVXBURxj5ZSOLanZqVy5tpZk\nGEo3mgdjbZoksSnrp31DuHB5f93qpEI92Ngth0cNx41mUy1m8eAwt5maT/sJH0Cqq5BL7Ss3rYoN\nEKmcxHHkpHLCpStUHFBajWYfxc+nVe9C0kjjdgcXIz86ytu9MM/D8LCySWh2zGRSsUaVUhTp+Wgh\nCDo1aaSM5vkZjI4dNWirCz6X+cOWuhpt7Sw+fIcc614/no6XdVExcFOrk6NJc92013Wcoo2aogUi\nZbhpkrqe5t7j6dPpcum1NaDS2JjlskIPFS0aWSOLjck6rdT4aOCkWc3qRs82u9nFK6hxfnyCrXY2\nelAdT8r5XLmcRyfeNLUlQqJ2VyjkdalJlNaQtNlVi8UZVfseFVvdaLZYJ4HACvpm3HQOjEEUmS2m\nQbliZvKCmar5wlqzQXU+y0WnnBc5ckAg5oAkzmGjo7Tzs/GsuWZ9HaqaRdzpbNhZ62YtxFpmo1rZ\nkDZNs4XMEmday4qzDIQEKyO20vQ/ZRv0qvOsACCK7TPSEAqIkCIBmZcSGdJar7yaIayObmBmBWgi\nLYDWKF6VXkAEUBgMiRcCkcAALIokgCCDC96x905AK4uoCGpmIqVXrZhnPDtiYo1qZZhFREOr8kQw\nipQmDsDIClECVgJA4EUQMI6jWJsAUoZQ1mFVTCARA+QRLGpfB0vFRjSpFkW+qHxd9+yyHJ6shapn\nxw9OBlZCb92NJ8kn76r6NL2e6Ncv4vDo+KORd4g8x6eFPTLyzpmwpe1WsutdA92dRZh6jFINCm6f\nyRt75sxV+Yh+4w1dzDhy6eVLyO2zwTR2W+G9e26n2fs//h19MCxm70BbodN4WsvJzL92MfnMZfPl\nV7av79A77x2dyaSuTQjB+YB5vLMXcZTXzaQYxP/v3zqqyEbkAyoMErfrbrOzXHBrjSeTen9UvXk5\nOfmpD8heWDwFdiHQdgdnc1/VFISyhBFNtwPTqaSoA7u8liKX//P/5vxzfWpT/LmLSZTg47vj/9s/\nP3rnNLTbWgBIG69RO6lrLku2MTrwjvEXvtg7t43/6OtnpyMUlQYlcUPpmApt7h77BydSV2hMqpi3\n9hpvvbnxnR+dfHiryKJ0s9nSmUojcoGrCkKgAtCTB3727ARAhTq2VAVHSEjAwoQEWnsXjKXNCw32\nvlhKkoWwEGBXOg+Ekca6FhfM7qV2mtLtp8v52A/P3Ku9ZHhaJI1YA0vgycC7cgVqBEBEkjiKQMx8\nWQFAkuov/sYwTOXkCNYuXmms3T9fhg9+UOfFLIho1JrQB0+EVR20JqORGYAhBAFB0qgVMZPzIU6V\nAAEHFiYiRNHaEgbvWCBYo0Tk/OYaGTdeLAB4MiyVUVFM3XVe6/v5KCvcgdnsdntN0pP+bubD6XhA\nRb1R5enJo2I0mne20HBaLrKgq+WkaqWGNG9d2pwM8f0fLKNGxr6OYkJFVcVMcbsbRXYxD5y2oo2d\nTr0si2k9OS0n0zAble2eanRoMfOzOdV5kKUV5ZWK4yzbvhD110IxrEYnQooFlDtKzqieLyKdlGsK\nPv5+v6wpsBueMqqITBVrFYLvbOvepjLEzMoXzB7m4+CqWbcVTSdcLCsJqDK1dc4kab2cREnDlGXV\nNe1mt1mMq+FgXM7WdRS3ex1KFps7vtWti7LGJO11+Mb3Rk/2XavfxNpORkNlSStGA1FCmqjRhWqO\nvmYXlCaXtfr1aDI6qdrrxuWVCJmYbZRLwIf3Bp5N2hClnMJM2blzUs8XyUZr73Jf3NRzFWU2sSjK\nFnMo8wCA4lxds4C1EYGpGVzhahRTlzWQijtzinQrbqaJ6q5ZX+VZljqYuMLoONIr2hjCSiUKz+j7\nK26cBkAkD0KokVc4UKvRexEWRZSmBIS1CwAYVnddgEgbQRIggSAgi9IJ82olCMKaVO0lgGiFyqzo\nGc84ltaopok1EmlElBCeGe75GeAInsnDmZhX3FJCJRwoaCPAIlDUzCgsEJwzGlHAMGrF7BzPZ8Oi\nhsAbiX+xJ1gUjWZjEaxSXnx+72w/dWdtWHA1i2icn2A7k+EkGItJL026eqeFbhqHaceOki82VbtT\nK+TBsPyTB7Leib+wFd45VcoqJ4CKm0bO2fL2mB8YqhU1LK2ncLLwWWyPS0hddFGbT6vwxx/XNz8B\nCph+3Pprb6bLEM6/0tjyUR8TNXUfbSyOjvSTI2mtyc99ev0zbzbPJ2byZPE73xiXkUPWg1POnVpv\nRe1I7fXX4mvH5ajx9/7h0cMJtzJhplUM8OJauk4909BGqbnMnyyrtVYDcblSGEaJRjTi690NfTSo\nckdxrOYL2FynaokkcDSqJqV75cLa/+Ev7lzMQrOtbAPKyeJ//MPhP/rmYkam09ZewFrrnM9DTWSM\nscKoE+Wq0GzH08Lvf1wXnjgCCUCRSVPdaFpAcQyLCo3xdQWkZBn0P/36CVa009lIgRx7hQo8VuCb\n29jo6KOn9XCEREpIOPBKweM5KK0I0XmvlCKg1lo0G1W9nUaWUl5AsxPxuCKqR3ne7LXf+uz6B+8O\ntq+sT54eVXV1vF+xoPdhfdvmVclEkchsyXGqdy40l8P65DhnZF8JaszS9PSwTDq2LrFyjeV82W0f\nZcs4MrPp/sbH7/Isr4TZGmUMiYCIcFBZakPwReVIAYMoECQVGasVeu+jWIGTZR4CQkSAGpGCZ1SE\nrUaj1VZu6cfgH5+ekZciuCSLqwKaKRnS7U7yqU/d/+43Li2le/XKwaLau//+SabN6WN/+e1sMTbz\nUaGTurNOadJ8+8vNcvatD985R5yA1d42SY9ia1pr3cV4OpyHK9fXOjGUVWi1W4vl8t5BFSUKgtw8\nPIgT0Spqb1DatVVpZ8OlR8xazdloGDeTOM7Q+M/8/JXNDXx05/bhA1cH1W2TaUjsMOVo8+L5B4cL\nrG+Oz64uIRUYRmlsI45jrCqLIN1109kKxtp8BC5Uvsyns2ASY9J6OK5EJO1SlJo4tQaT+VHx9Di/\n9HJn+0L76c0Z2SYp1czWbVwYxb2NeZZWQBViFMfR4kxmJ0sHsnXBilSLso4aWhNEGREJUEBQXAMI\nKIOWkiACNIu60DBaEEwKNiZUnOcha5ikB3UeiKN66UOoPVamrdO1ZpSlBvMySByZunKTpWStmNJ6\neDQPgZAQFKz1o14DVSSkyJg4ayRU1SfDRXfbzIfV4MAZNLNTE0VmFlUchcnJXK+afisah1YqiACC\ntcYQIVIdAtKqOocEuCIgBxZjFLI4ltIFQBQQ4J8xJVG5ENQq9rG6DKAQoiYMAgJiFIooReACAyIR\nirIxAiEwCIE4rn0J7AOzIBGBKBRhUEoJKkUIpIXQiHN1XTqU+nTy5K7zhL6oisIxRTjv2zBaRMHD\nXk9svBiMciXFdMHTedAdM6ioZvX68903X7Z3Dobv3hrtXSkjAxji1qb/8YcmS/RWO9o0ydblsqhc\nmODmwo7vUzqHX7rkTvJqWmHtwvdPzeVz8RtdZPFrbRN5WToMIdcORwU8LdXPX9HDmR/X8uNh6Kvk\n89f0qao3PL72KfvDu8tP7uhP/YK70E0vd/wgHh4+sOkZ3HlC18/Pf/Khj/fgkzF+8Teii43mF19p\n3nt89p075R98rzia4sb55b37erfReGkP19Msa5jNi8ls0vm//4ODH+6HZqxcHVa1i2Wptts9HfnU\nZkt98vd/+/Sty7sXNxUCIZGwbO+Z6cidDeCHN2qLqtXwAkGhKcpwPPFrUfzL1zf+7tvxxctxs+0n\nJeodPX9c/oN/O/m9O77TbvUMeEYMwTFobTRD6T0LxA1b1xwlJoQwmgT2KB5diXGidGwaDT2b1Uor\nz5y17e659uHjKROdnri1uLXbS8X5aVE6hcJSlg4j/ZmvHF+4Wv7bf9Y/PMa0AS6wBLCGgNizkACL\nAlSATBZ8xc45V1VPbnoWuHY1nizdeFkLmasvr0WJ3b7UHhyc5bMQN+RsUKiIlFIccDJwoVKYkIlx\n72Lj7HCxmLIErp14HxKT1A6756JmFl99aendY8R8OeD1fvfJg4et7k5/Jx0NwVoryCxSuUCEWlNg\nIaMgwLKoCAgtAkoUaeGAStU+MK8knsQii9wZq6wx62tpFtN8keccvCNSlLW9TGwcYRxpQpW2bDmR\nb3y98bmvHWJ1/9b7naeTg043Oby1bHZMvjDDU44yXS4EBK1SZ0eLxUE0HkzJQjucLb0vZ8XudbOx\nW3347qaJWMiLD2ishFDNVkUBmg3rMghaqosqr6jZV42OKeZAKkmSzvrzjbSlumsEVHDxcP92cfQI\nnDedTUDydS2i8MCLXjx67Y2xCtf6u+U736v72y3xfjqqxdUmjaI0Rqb5yBPibFz42qnY9HcTJb4u\nVatJ3ou2ujiDyaxk7zs7dG1PLxfj8Wmn2Ws2GqPa2f5aibra2EtQ5sGbtJGK59P71eGDMK99YNPI\nNGnf6ErtEBhVDKGwxMGxIJGJWVyspBMl9vhkVlW63U3IitYkwcepxJli4c0964v4+OlysSCRpUrC\n2rl2TOngcBCIXG4cm6KureXJKNeZ2bzU8M4pi1m70W00MMw3z6u6wnIqR4/Gna4iFQaPXdyGAG4+\nqhxl63Gcj/LBuGhuaM2AiKTUykWNZqUTFFQESJgZoxBQqRCCY+EVRUg4MKTWaAEGCMyIuLJZeQ6A\nspoA/ywMLUrByny6CuQxgufVZ5dW/mwBDgirQ7z0fmWqV6RiA8yAZISUCIhUyk2qZWWhsuWhKoah\nGMZBXLHUZ5ODI7WxyXtduH2fk46xfX+uJ81URYqGY+k1pRGZZmQvbEMz1boRXKiezA5G91zh+eIL\nppEkK7LSolIvXKHEwkZm3dg1HQKpgZd7B7g1CQju9oE6yqmlZFzTEk2bFKr6xqnPKyYFd2ZQVPDc\nJQghqEH84BDbG+6LX/B3D6pOo7hL0ui5EzDfL63dyb72KzBcyM758XG1fOcd0wJTlVLn9ZMnchyi\nv/pC9td/ube+qb//3pP/7O8dH0xDa6+8ecdsJFHjtPnienxtmydV3b1Qb1yc/PE7k3/y27P9OXU7\nifMs5BRRmYfrV93lNw/eOarPnrY/2S+H09bhyH/wYNlqxaXjQPUk97Op0wb2R6yRjKXpjNNG/fQo\nevOl9hvrsdUh7RmJgyI9jU7v/qT1u39UPc4bOztS1XXBHgmdQGC2gVJj3erzgWAirQ2iEIgNzAyq\nt22MhnzBQLRxvlVXMr41bnXtbJZbq1OO1zpJNzHTspj7OrJRaqmueEHS31VHT8u7H98NyVqrpWqu\nlULUzxxogTAwBmEkdJ5b/dRGgjl5DzaySUTjwdwzgUT9vYaN6Bu/f1/Hevd8I9TQapvlxBlDmzvN\n6dm0LrHZMZsXs/Hx/NZ7Z0lmAZkBGy0bJPTWOuB472rj0Y385gfDqy88pXR7Wkcx+GayWdbl82/e\nP3p8fjoj5pAkGCcmBAnI4MGgqetSREiR0boOPF/WWokLgghFFUCpWJMAmAiN0XnOtXisq+nSz4vK\nF9joqOW8LCvb6EVpSoKU2nh4OOvstmWxOLmPaxc2aj3cvdS9ftEdPDUncxsZunihnW19Mjs2H7+n\n++ujXnr+S3+FH33cmh0t+rEnu2XCfZWeXr7+y08f4/AkB1CuVoZC1sVuHC1OIOlRP9MXrqan+9XB\nE18U1G5HNomqwDuX3KVLejqZCbvFGc+9OIcmRUtoNNcexwOwHbj8VvHwdqnh5OrV/ME97KxfdQIh\nhKzL2mIIgizzaeVHEFyOSaVIN5uRFDLPJc5MFqsAtWgp4tDqxpvndKygZl5bb4yPbZomNgqttWDj\nIonMyQOl0kYjtpP9/OTJ7PSEdQJxikDBA8UaQNhqDCChQhFX1crqSIFLU1xO3fHxEDUz1nVZa2VS\nJTZm2zBcUu2cSchXaaR3z+3hQJ8uy6EQYSnLeVEtpKxKa9KNbqZLXBQz57HT6nQ6oXahs2bqosjH\nC6WDakOSULPV396zdTFoOsynOjV85UW9HBvvrcKamTvra814oZUmAAAhxwERWcAopVewIRYPwMy+\nqIPASstNRAQUGMaFQxBjVs1wYAkACApFoFrhQQEIcIXcrNgRAqwk3MKaVmYt0JoAgYAFhASMIkOr\nZwQFFwqnSTGV+252loazRw8epTzd6oVEw9mgWtbU7xpgKZYyr9IXXoWdvtQVN0a8vk6bbfXoUNhL\nWYlWZi3TB0eu1al2trFyZa8FswXZlopt0vcg4oV9swllrnbWuC4RXayjOk/dyZhi4fe/L/iE3rim\nbMMcz+xOHxdV7UA/Pgo77Wqtw+/c97iMr6wb0p4R6mkY59jbgJfeWjb6+e6eixppr5VIDVmz8I52\nd1jZMWF98sg8GbonD5JUw50bdAFa1788OdzP/ubr2Z2j0b/4g7O7p+F0JiVRp5WMHsXrTbi2Kd0U\ne0l4eOzf+LRpXj39+/+N+fYHhW5Bp2sBKTIKnULtSYAMfvuny9NHnWVpD2aQdqLbE0JKg/VxDL5C\nZeJmzxeL0lhVVyrUbr0X/uO/HMVPG58/n1auLGObRNGNp8Mc6KPH8cf3ZRpIWak8gjIoK10vEyET\nAkhsja9CVYSsqUhAAjjPoCWLVGCmAOJlfOrWNprFbNnZTCITMpc912wAhNq7/dkksPKWCnHtwiyr\nuqhCWYfuORwf7ZkkC5ALEjASERE58cyojfLzWkfxxZfXhP3wZKENJtYq48vcBebaw9W31ocH4/d/\nMFcRXX11e/DweDTy7Z7ZvpRyDUa74aAWUHHmTw4W1TzoyJAGVwgSzpfu1c9c2N1uPLjzeHqqskjf\nu5PtXlq/fG54c2JbWZGjytQaqf20raogxti6JBEmBYYsaajKGkTHCVijrNLlslSK8rxyIlZrbbSr\nvYrIszSylFFBOVlO6imYZhK5OhQxe3ZxnKQZZU0oS0Qxm5f99Vew1Xc7zbwaXo67d0RdabSjL35+\n47/7f90+3o//9n9ap+mNuzdlUB+2OnvDYfra14rgH7V6uxmu3b1xIzl3aXicHR7HywWMzvLhASOq\nzYsoUkxGkiaZipxU6ku/Yj/92vxP/6iJ2rS67UYqadLtbEmzOx5PXVHycqRXux8b6VZm54swLySi\niD1dvjp74dItcOvl+KIrHzG+XYMvi6VRurtmkagsSAJEmS9HnDZsp9NaFNVyVlQTtZy67qY5ebwk\nbXcuwMZWtJxyPta1QojRYjvrDFr95fTEPfhxaJ1rXb/aJvRQLwZH08MnIaCQiWwUdBziKHBt60JT\nJEYZCV48IqdREsCRUYkrIWvVXlzt61ZH5VOZjhHQahUUGNvkTDIgX3sQViTFbHJcYxRzNpuWpJQ4\ntZiVKp6kWUGksr6uSm2R60r5Ws9OnNWNxXTm2G+1aDnhYjrptDuhxEXFrKqTA4wbRmFweRUUIqEC\nOXpcrsCbKIBWP6vLhSB1WMHrxTMgAimdaAIArZ4pRTSBVpoBCFcnMT5LviECgLFgEJEoMKNCQLQK\ncZWtY165tAOveBm8Kk2ziOdQOQ+AQRRqJWhTt98bfVCMH+X15Mc3/KXt+Py2fXIa8gpeuJ50HM8X\n3GyqKFG1D6rgT26GXlu9eTV+8LD66ZOwvsWVV0WBgr4s6idn8nybyuAF+eQ0aSbxdF6EZrmxzg1l\nGpKy5LWLlwd1NDPjszBLZaLUyYf04UN+KdGfva4xUk/m1MoQtGqo5OkylHW1tx57zYtFsJoaqR0d\nhqzNerNuO719cbS2FdJEXd7tvHgR83oxz8NiokpXD8duc0s+uKV6/frmDf2TD832lhgDVzflmltb\n1/6b3xz/6xvVLJhXXtH+TNdBpYmUpZp6+cFj7iflz12S567j+nOL//IfNG49pNZGWO0InDhCssbW\nAYzl8UL9+btJJ018KVlLKYKw1ISQxLrmCr2KtHHBK21Z0c9/vvPCVhzm06eP6sTL792sG3GkY3Xw\nyeTHpy4NzXxGBTtPQhC8R1BQOeGAcRyFwIJQBS8CjBA3bBxTkiofKGbY2EtdVQ7PXLsXL2y1mHFe\n+UZmdpvN7bRZzMtFWZbgixCGvoowXlOJlO5stFSNWCdhOjCf/Dh566un3/3tssxFWUBADoDAiAQM\ndeVRR3EWxYmucrZG28wQutmSrdWhrmvm8UkeNdPhaNTbaG72owcfcW89PTkqt3azclEs5r7RSUgx\nswqVK+vQ7sfj02XUSJ+72qpyvHIp+dGf3xsP9bn1xsGTYXDR4zt7JLf77fXDG0V6uRdtP/zJt3dO\nT6K/85/vL07ld/6H7bIUbYGDN/CsIO8r9o69Ba2Vl0CaUqWQgQjQiDDUZRi5Qmm1vZ4d7I+ZKDhJ\n4jjOPFleSYhmM2+06TSA7KDXeTJbbp+ZI2fbj98dr71x3G2vfe8PPy6gu7FF737vcD57urn7hcGj\nybVPjTfWEyj5/od+UR1vb148d/FinU9G48kyvzQc1cXSv/UVOzlaLAq1vtsmRF/J8WERJc1idvbh\njx41t15cm7az/hLArXcZoT47kskZKCQJIIGitrIIVeFrh7MRFdPFeMLN9W6zypJ06+zBwd2b6VFZ\nkzMmtZEyUoHDisUbbaWKjZlXdTWfOy9aW0l3QmcrcUVArRDo8IHTmtKmDT7YxG50MhPPI4ukPRBt\nXOxfuNSQalxP89nILQtFRoEQGQBRdRGMJaWruohIVO5qAoUBayma1OKQT2aUNV2kTLdFNtEioiG0\n+1TkoEQVBVvxsWnGiQq5I1/OJ6egkGocnk7XLrS4LGuPF1/Y63bs8Pi4Kn2srJFwuj/ubXUbCU7O\nnLamqkIpZT5tW+0WNH9ysASHFHOUElksq2o2c/1+RoGC8a5wJk601hQAlJDRatXfE2AARUoRolH0\nbAYtIoA+sA8MiApx9fWZ+YmFAYhWJm9QiEqtiEYgwTuW4IP3wsIKhAAEkEmR0oIUAI2m1CAQaUYl\necTH+WAwHc/e2Hj/9mR8PExPpzpt6vV1HMwqFeOFnmlrfPd2ub7G8wntH1V5DSOFscU//r77+dck\nasi5LemkEEr81m1utnBrU735qqz1OTGxK7BgqWC+daU+t8m9Js0ft8ppmI2T4uOQbMtHC68fh8vn\npZ3jD/f9etf++hsZo/rO/Xq9ZS7tmA8fF3cnfgpg2yLPlb/179wwT3e61N+AK2n1+a9Mbt7jr/9R\neu66+oXP69k41C4fTOpHh7Lew7V1H+W4qOjHP9FnZ+rf/Tt6/uXwK1/Cq6bdEluP+ZMH+dQp20/a\naxQztFpuMiZXqfkiGFJaMYI6HbvZC0u1gf/wHzUenui1DZVXXqXMLOy0xyBQK1QgKom0MsHj0rsk\n8rr2bEmRiGPPxAIwOq0U2XZmr6w1nmvTd26cTc8ipxkDthObrNVeKh2iNWyfzYs5+9KJsSjAStvS\nudphZLQirD0DCwoVZYgynUUUJdpmWrGsik7OY7ubJAkFp6xViVA/ZCzh/skAGVWEtUjF0Mqaqegq\nD67kKEtQqbJwzvg4soP30sl4bmMjECEIGVRKBR+UxquvbYeqPj7IkaVelI0sYg7TY08pJp1wth+M\nNctFffVCZ3RqJqP81o1TBq1jhbU7O56fHZVkQDGKxrrmrNP81BfPRTGMBou0HTdTenB7dP9+6Gz2\nXv3cvBGftJ8wQnX34x2il5utat5eJnZ+evvy40f9tQv84OOD+WitLoARQGMWKUXEAfKSBSmy5Bwb\nqwiViY0hqGovjCYyyNhoaERY5tXxYR103Gua08N5tNtuZKEs5OSo2rmY9NaTrXXr3czL2snRYlyE\ngtO93UDxBpq24VAVL155bTJcLCIuI6ru3LxjVTo6+ODla739e71447wqq6ODp2lzvZ1OL72J9281\nDv4Mr76eXr7649lm58N3+1mrY7UfHs/WNpu9PV25fpk9ti3KNoq6cgqJQyhL8LlKrUbrI2uaDb1c\nBBXxcobLRbXMQcVm54I+O65uLJNPfblcrLuzIkHAyXS+daFzfDTvdRrOs21a9mE+qoRsY1OMVrLU\nXHNjndImESnxWpTyeXc+Ud1uV8LxaDwd2aLdt8b16lKvbXMzqgb7B4Njv5yjD4o0NRJfOURwrlJx\nQ2lFk4lP24FraDeFgysL5eZS5C5KuNkKWZLWFYOuWQEFAWeqBZGtSVOWKo3t6RAGJ5VwnM8mhWOi\nxFjV3FD5jBFU1kE3XyxCak2ynM8nZa0V1FW1/9idu5ACSXf3ylqve/fOwyiKsDadNWz0awzKLZVN\nAYmmp2LjrNtLz55OfdCkKGqAVkoR/kz/hqKJIopWsuXgJS/dStqEICtUkNaaCDWRZx9YkAiRAgYi\nFIFKArrgEKUGCQFFmEgDaKXiCBEUkGZtAAXrXLhKtcuoBl9PnwyK5cRmE1ecDAdDhnpWlHdEHQ6z\n+4/K4zN1ZZtaFO/sqO2u1ro8GsjWmhnPyiK4D5+AsbrXlmZbNjdUjf7Cti/majiE7/7UtbrhKz9v\nGwY+eeRq4UyJRJIkDCRba74uceTE9qfCiRvxcdd3a7Vh8NqrzTWk257npvqNq73I0jAApLS5ZpD4\n3txvNWmr6+5MwQj0m429Dbz22vJwOBHtTofUadP/6X/rei04eCynU7ywxztbaV1wkvKHPzLL4/W/\n8MvLAY5VJp/9rL4izeu2e3CG33gw//zL5r3D6vULrW/fcYUzqODmTQOIioREC4hnEalff7He6Ka/\n80eNw6mkbaxrIUO5rw2iUdp79oIkGKcWEIScsIgJwWsUIhW8l7qGskSlonbTbHSzXmIE+M8/zAPF\nvX4kSim0WV/GU2ddTJV6Wua5d0HEMUPQFtEDM7koEYHgRQGIkChr+xuq2dZGoSAXM5/nwVhA78va\nK1JnT0MnS9Y7cb6sn8p4WpQAerfVsqSZfUuh9+wLN1lWDqRh07wo53WlbeSr2dmhvnS1d+O9SgCN\nNUoJCoBSvY1kMV36qjJazg6mDBR8ERhNW7FzxVx31hMRDo4/+MHR1Te3Y8LRsD5/Sb38Yvxnf1Kc\nDjntxqNhaZW+eKHdXe++/Hp3OhhPBstzl5pnR4sf/WSUdhtNtGnU8vjB2Xy6cbHR28zvfZLMyzT2\nD3W0BVA+PMgEpNmicmq9tJ7/NMwG6uxMl46VAoViLQLp4DxrjDSicO2k8kyairryS2q2zMUrdjmS\ns9O5iqLeelROS2V1EHCVAdFbezrNmhtrgZKaJavrpd6+tKfutWMzezrf2cySPei0ru5cGpw+0P21\nwf7B49baevjY4da56Tj/4EN/9eWJyc6Njg73b42Kud99qT+bLwaDSdxY5+LOt3+/Ov/Ca9euR8FL\ntxfHcdlop6jm/fWTxSAbPtXlWMoaQJDEeCldzXEirbZBIG3Dk4fFZBK0xbUdmzZFGcEq6e6Z7a3m\n4UePrrzavCL+z7+79ht/6+C53ZMbd/YO7udPHttyYUKNRbVQFnyZkLK+LE1LGKPlHJrtKI33xBNn\nCwt1FA900yW9S74audIyQrtbT4/HDw9zoKjMVdTgVrMKZVzOVKDKGm1NMClPx6rVVlEaXAyKtUlj\nH8ooseWi0taC4HhSRxG30jiO0Ttf1sK6bjY1UfDVGpfakC/9bDn3IbT7PShDs9EqT0+mk4H3PsRN\nUuJPj+eNTixMRVGKo7RjjdJiMSU3PRsthjMFhp0Krl4u1OZlawl9D41Gv9TQB45MlMaNTGaTsnJB\nxOmyDgyrkggKgFXg2GtCpZSKwQoEFr+SqCgSEWF2gRe1AOgojcEvi6rSUYzgA2qtbZQmBMIusHMg\nfmUeEUIOAMZYWXTLk2Jwazm+SV5mA7gzUE+HYbioquBNq1jbcut9HUdqbUt/dBdSxx7D9nY4fz2s\nXyps2ek04cNHyx++76JIz+b6whX/lc+H+Zh/8gn4GrIMq5pv3Uiev0aiypdeUC9fM6GUG0dl1uQi\nN8spPHfVTWZARKMpfXwHX36OtzdgyfKIy/Q6jw8al2tTIH9iq7tbxc8/p9LW+L2BenDfnG801jt0\nOOGyTN+4Vv3z2+Frvy625/7Kf7L4xnf4j953e7vqub0001DNdc9TPlvs32t/8ZfGn3wcD97f7Lag\nnJRpXF3/C6f3x2yz6NPd9XOKle8tx/z1945rij75s+J4FA28PVogqgCISguRkhWQQaT2Zm2TOW79\nwTcTylyFuS5io6wLjoIOQQL6yCTeCxlSCMgCDK5Y2duVQVu7mgFibdZ30oZSzSgmwNmsLnWZJpqz\nutRTLlWHIhio1LWXNRfBuRBqJwHYaAsi87yK00iAnHfALAgBVaONzb6NYyqWrgyMntfWzetvVU8f\n6Pc/QkYqlmGtnTUN3X4y2uy1GlnWjRsGceBzNEYKROZi4evaRXFUVXXpXbMRoYbGhmtvaljMx1Up\nEiUpCKEIZ+2oqvno8QJIKc3iWRlKm1pHKo5Ub61xdjRXqJTl2VSqwmX97qWrG+PjUeSyn/sF6uO3\nPmxe3n+sLr/SffPnMuDo4qUmSb5cTkdzP57w3YcnUWx2L29GXs7ulw6H8XRPQ2Uaxd2fzJFA0fMm\nfYSHAyn38tK62iAsikXWu5RtX/zxg5++dfwUKUKDCKIRGFG0JaW1q8UjBfYc0IKAKFDY2UDn6tEi\nX9tMqxKHZ3m5kI3dbK1tx8MaYt3f1Bu7QbgaTQphEyDYwc1OXGt1ulzGy0Nej8jl78/zItR28PD0\nkx9b0++tn+vNxgMdt+5/PJvPJxqHHFQ7S6eDqCzc/o1SxZuf/fn64U+HWevqzvnILZagMISgDZzu\nL5vdXlPtusPhqFoc3GnEHXKVDyxZU0cxeOYQTLtLw+PS1bS+HaVtno5Db8NIoAe3luvnzOUL+wd3\nd930ftytv/Qr03B69OHZ8vNfLoYX5Hd++9zZGRTLsn++BzA1tg21G51VV89tZcbOF1U5MqU5W9uy\n87OlQ44MotvopxclTZiOg1/MB8Un79RJ1zS7Asr5ssNJVS09g65yyr1BtqZ2cVIxq2oZkJQDPjl0\nCknb4G1Imo6JoybGiQrBFYvo5DTEDWo3o3Jpte774GajYVXU02WQkI+GC9FKUTwtHHsdG1eg6fU7\nkcXWLOi2UcGNpyUSJrE10bIoxJfK4XJzLSuXs9mokgBC7viRj+I4bQJr1oY3LlhRPp+OexdalZ8N\nHztUpFutDAmAvVIEQALimQmwCoGdBBFFiAgBxQUnokBrbVQCrKvxyU/+9OTx8f7jcZYlqWahyERJ\na3fTGt05d3lj71wcpwJMwL3YbTQYZx9NH3//aHg/H8vmZnTngSyCPBEpG9LtxuLUVrtd5NL0IiHY\nLq/39Lt3MKisyH1xX+7vu53UbayFp5OQ9EIzojffgtv3w7s3IMmw1Yl3enDpkjudhe0Ozl3hPF3Y\ncR/eUOttc2Gr7Wu/kJwjby22W4Lg94/p0iX/+MSPZ8nwLPfkX9wm05MHp3OVhv5GiA8pz+tvnixv\n3VHe68fVktbonYf4lS+pu/2TUKrOph6c6e42X1zPfum1TihzTPLjqZy7XpYF8qj/uSsNc9LcjutF\no9TreaNRXDf8/vv6pQubX04apx+GH06LG6fT20/AqaRGNxuYTj9+vFDO1YyiEJmVe5Z1FOeh1cE0\n6p4eq1a3GCxrRq2NIiLNxhKKllKqVfGEIlqV5Fd9IEHRCRuSnk0bicIacq6Dh1nh86JiU+kEMPVU\nUDxPrYqcY4d6WVelq70IACqjJIAIEyLFJMTBrZ7Tpr0Wm1iqwi0m1bgGL77ZJlfjG9fD1csf1fkV\nfWuzl1GvGXkK47zabTc2Gs3gqhrZGNO3zWLG5YIBBIKwKAEU4NKxQTIKhweGIH3phcXNH5bKxFVg\nCgwRQWQUuiTTpDBKtY30+k529Gi6ttc8ezzNZ7X4MB6XaNVLn9778MePr7+yrTh0NtZazfrRo8EZ\ndK9+7kpjr9aROX8+dUU1HY3vP5i023FRc2enub7XGh3kxYDPJhWjcB3yfdvdNRZsPYW0UbeyR2g3\nW7v7NetX3sYXPn28/0l8/LDZWKz/+E9gNg1RG4wCayUExMBIgEh17qMkYmatbai8lxBl0QtbyRLH\nRycha8atzNQVLisQllDL4eGirGVvd73ZrwKMHWRR0nFlqGu+9oJ/cqM4PTt3/aX5Ij8LdbSU3HZi\nzl1RduNOnvabz7362gd//PWaLl19pX/y8JMk7XKNYnH96treWnJrcPryW86mP9g6v3364Mrk6dTV\niCrkS/E+AMPZSZ6+PpEkr2ZqNudl7bXxIei0IQAqaSKjeAfddX18DCFY1Mu69qeHau9C44VP1dOB\nfXgr2bgQnT6dtU03Ct+czBvZ2rWf/PH7947OP3lYJi3b3kz3LpgHt7DRblhdbV/RzQzTRHXW13w1\nVjZzflpUgQyGWgEW3tyUMFnmQlZPBlHW0xQTKJFCzav5Yi51HoQoaVESE7mo0erm5cHgaV1WobNB\nWSNkXZ2mmESslRGOUJSAS2w6PmMA32xpAruYu6OnS6Ck26D9+/PK1xAZQ4TGjQYk9RhttLl9fv1q\nUXNBLmFPSjsoFQiALxxgPpmgDY21hLUTg0nTzmbIipQK+TwkiVrMaldZi5J0nSTQUq3Jcu7DMtuE\nHauXE9DFfKxsFDXbs+USmFGhiBCs1HCYaGWtqoMAQDDGhrI4PTp9+Pjo9r3pYDAazJWKUanx8UCh\nZmRBhx/e0wbT5o/2Lu6t7XbWWtiUkxLOvn9/OZwv09QuXHQ8BqVUvxc210Fqk2GkSS8YnxwqYXs8\nhoLL+g4rRUkD0wQ18XAJJxO4w6G6LS2TxdZtbfm5Y0Xwq78EzSxMpnkSUWzV1oa69SBPGgAebt6h\nrb7e7tiWpQmV3ZRaqdy/D5VTjQYoBYsFxaktwa/tYa8tN+/L5KR643XtQd5/V0dZmJW4tVFUPkzP\n9JufPfvhx7T7mTR92c+HdPkiukK92evs301bQG7J3JmmXd5us2dQCrqNSbDLOYf9cRH67tFp8qpZ\na2r/1ot+exn94/9x9MmiNS3TaZ4nJnFcSKC1ViNKdbGogrAmy8KBhYF9gCDcWcNulrFL0qgqaueZ\nEms1APsQmAOHyBhw5MmRssY6AS5dUIJba01lgB1pB7HgclwJmMBQFLmNfNwn1CYfopkrCxa0mtf1\nqoNZ+VB5IR0EGcEiCXgOTErpIBK3jbGUZDayfjZytcMQwCTYjrskpY30PD/8zp8MJL36wsVOcZQX\nIRTotzrrBedny+V6lhIAOpK8qoqgFDgfglKI7Lz4IB6CZVvVvt1VO+eLD390sVy0vPdkyWQ6Sglc\npRBa3Sh4b2IIPpw+nS5K3LS0mIes6RbLoBvR+rlWt23TJG63olYnObh7MlvUl1/sNruY2CrG3nK4\nvPWdk+Ozqn/eImJgdeVi6/hJXk14fFCXFbISFNIakRIudgt2SykoVNQ8wFLcPH3lLzx0bMYj9kUq\nuAnxkY47PDXCDBEKAXAABdpalAAZ+SIAYWSh8rK9m108H1dlPZkarZTRcnw6a3db2pAyYTbMIYp2\nLqtzl5LFmTq4O4s6y6wHLofz10yWmjiB24PlhUIRaF8bHe3kTw4KnJ2c9IcDyOs7SVYlWXM+mE5H\nx931eDplFrfZ6qt0fufOw4uvtkJ1770fDdPOWjkTX4tSgSxoK0pTHaSRFbc+KLbXesaIzSRJATSl\nCUQJpRn4gm0k84VTijZ20AePCrfORSbq/MIXj+P4+Hf/9fnjs2NU3VRao9Hx0cOmwO6nLxwtZtmy\nfKHVg3aX5/N8uA+vv/Wqy/N5Nbq8uzY4Pp1M/Po2xnFsREB0twc+cD6qLLmHh4xKFktAyV0FOsIq\nV65k8qpwDiQw2zSixSh3ce2DW56M8sK1Gmp9Q2kNzU4UxQhCmW0Wy2o4rfbvhrWtGGWaFzZp2kZa\n+To4F06PXXu90PF27R6O53XWgIuvdSZnyfp2GvJqmTuph8MjcH5pdABRaGPOi6qsdUf3OmY6WZim\njtOgqPP8tbeK4eGje09YqLWRtqwqygUpijvKzXk574FehmgMpBDYkOr2IesGvPr8f6iUefWLb7/4\nC2/bNGKBld1PE4ggEgbvvK/I2ubk4J1/84fvfHBQ14xIQVMgbuhIK+2cFx3QAgAo0aJZkJkDiIsj\nSFIFSp/birOIDgdSV7i3ycMZz+ZQ1NoY1BYAAQ14F9iD8+JKrgpptjUze8eKwMYKCcz/n6b/+vU1\nvRL8vrWe+MZf3Hnvk8+pXEUWM7vJnmn2tDqMpB5rJIxkCDDgC9nwv+BrXxvwna9sw5ZsyJYbg1GH\n6TTNZpNsksWKPFV1ctp5//Ibn7h8UeM/4b15gHdhre9Hou2gcbR/yM5PyHY0zCnXYVzGIo33blqK\nvqrZZMr7Pizm8sY1/vTMPH0EwzK+fhc8+C8f4etv0GAAswUfDmKWAljIU9Y754FePFdK4c0DcTmL\nn75yGGFnSlsjYKn1VhxOxDCXgxSfvjTzCqTCR8/oO+/GRUvbE7eq8f334Gc/YToTy4pdzTFP2aqO\nLlKWwe/d3vln7+ahXJd5+NWv4v/lf0oWPku5aDsXAggVu6bXUfEidxA603oDAOQ9AScfCGXc39Ej\nzK3nDjzK/mqztq2ULCXvUHkuWAg8SWOwrIkdYxFFAJDDpLheDp23V/OeBR5jdBFkzvIxgHGCCyQW\ngyDHXKTOOyWlYGRcZIx5Cq03DDjjvutdcFLnzLmgNE8GTCSBM9SJNJu4qZ1KkuB7RuzG9lhIjJJ5\nl0Z1tpN9APK3zh+IVR/LnJeZcoKv25Y2dgDSI+MSrlaNQc+AeUcevGBcS2mCCeQpcJ1JIbMbt3+Z\nDeXLJ28/e9bvXUu1IqmZ7SMiIKIPYXHVeQNK8X/9v5q+ebv/8d+En/2jefv7B8w552HrYBwcXb5c\n7uzp+5+dlIM8y81br39y/vL2R7+ctKa9vDCK82Kgr98q+pWrnR+Uqm+jiYxBUEo0nSWSf/TfPlud\n5s8fblS5GYyGy3O+e2BZzJPpl73Jq/nErvsmTkSYB3b94kK6joQCIRghfuXHI4uhh9F2ul7E0UTl\nOc8Vb3zrAjetBaRm46qV3zkqlEhWy2b7Gr97azq/qmcnbZTkorCNTYtQjPMf/nGV8DMZm8v53Re/\n+cUYk51v37TtM7GqvrxQ7dV4Nas9dJCpb37ncHn6Wh2/3D2i9mrr+adfpANp7aDYwXJ0ZVb9+XGY\nXLs32dlfXOiusiAgeBKcd40f74hvfl1cvXq5xP17d/IvPmtdAM6RkGwXEi20htoFFrAcatPbtiWU\n1Nf77925Av8XXfFH8+fZeOfJ6nlbjN2qvlr6t9+6MVsu7r085joZADgitzFmuj3K5LDul0S4v8cY\ng0Swbt2vV962vDWu7lvJhWRCJyxENCbOz73KIE2F9T0CyxLZ9EkitLNYFOZ8VqVFZhu3dYQiDTwK\norB9JMuCC5r01vlO9mbTuK5tEk15V28a17KgmrXLtuRgoDfrtq3C/uHeYraU6bbp5tOD1DV+NE18\n29ner+b28gq4IIDAJQKh1IoxLEYYglM55FkqFeuNS2BvkOaLen76bIGF2NtNXNfVbZzs6fnxOhvd\nvXVLNu44LcAbsJ1pa+AaRdMYhvYnf/rnLz7/YvfG3nAynhzt1s3q+OETiWIwHa1Wq+XpRao1Xc1f\nnFc8z5MEe2ulQOagjz0QAxk5xxhJa+HJIpDSYjhUSZIkLOxO9LKOjML5nKoefI+ffRGFZEx8daMO\nPiIXSI5iIO+Cc4gIQqOjQATOU2REhiEnouiIjQbs+jhMEy5T8eUjWlp2cRrJ4ycPZd3Y77xDo2GY\nrVieu663h7vx2g349GP5+MKPCjYYs/G0v7qA0YAmQ3j+gn/6pfxPfuCKIXv8G/HoVfzuN+GXn7ln\nJ+y3v2c+/kJtanHtMNw+Umcn+OWX9O4b7tMHvfNyMRPDcRiNYLLjtrmIQQtkv/h1+Mufp3kmqhqa\nDlUCOuf3btAf7Y/euL5pJsfOyD/908Hf/mzkohgWvK5jYBQRNn3LotbjjCvWbYKPHhiLATwYb8Rg\nAjt7Sq6TtTM4Nu089LVfbuyg5CLpeuON8cxzxrBvo07J1BQd39/fUhzGkNR1P/d1Xqi6rXAAhUoU\nA820d9p1gSInznpvASgAOR8YQ8aQCKvGABcRvO9B5Xk+5kmKLhofetS8N7GZRUCfJZpQJimjoJXK\nDGcbi66Jod9oKsR7uZQPz6vXBrs5gO8p1ot2OTcjJm2Jjbe+N2triROLCaKP5G0gE1yEKDiPRKYN\nr39r6+L8jZtHDw5+MN80wyhaa1RZFlqHatUxTn1t0yzduZVeHldAz6rqs3tfe/t4/low9tc/PomE\n77yHgrum75882JSDJFC49gaun7KHj8qLZSOFSFJRbiWawYunlRACNRqDJoS2651nShFDYkI+f8Ta\n9VmMrw/yR84sSdzYzLujO8uTF1vBxlRt1maQ5pP1+WnlYHEqstIJzSJ9FdQmoaLpSQ8kV240TMqh\nvbrszixGF5RWBCQ0qIznxJOEOdsMx5io5OXnJp3AcDubXRom8Y1v7D2+f+k8Pvj4bFiK7b2F0J8O\n9unso3XWsms3t5/+XcPD/vSIzk+W87NY7pbzxXK5+Wj/OvuHP/3y6z/8bpZPq8Vsf9exBBcP0aW6\n3D0oBmpx+WuA70fizYYYiKwMyZBfv5e98d6DfGy3G/v2a8vZ5X7dhtZE22CSCd85Y2jrIDl5bJ49\n7A5virrKSNh+VT3U5bXDwpn0rW9dN4svPjuBW/nCm+3X3rkH/SXpm7q4krn1LZiNPrw59nGhM8gL\nJpgIlTG2X7ToPDGOLlhkSF4aByLnSQZd7wXjr72lO2vqiiRP2z4mCjJpXVN5KmordCIlQrmfemOl\nVEJz24dqIcBZwdbGya5aCo0QUfEUsEblkNCZ2HU2AS0kH4wZz9lss9y/vqdRPH1snn7RHF4vu3XT\nrMx84XS6lRembvqkwLZyKuGc+c74/pyphHgqQwC/AQ9MqfrZs4uujXXTMoMnnU9T5vuwOOujxZPH\nX6r09u7eAOM8zVkxYXpNTQUiSaUQDCC5Oju+ePGS/v+WSIwcAELwRKCUQkKuFdcF+BhjzIXWQgAL\nXIKD6Mhzhk5QkjPFKABGFj3FdUPzEE5WvXeMKRQsMgRMMJEQY2QKySMRUKTw1X4fETHkEqwloVn0\nFAKFSFKh9Y6BEBzynLUb+OBX4Y9+xNM0Hp8EGxhnqBMcpEndDB5fmOcLywHHhZMU79121/J47cjd\nvuEC0KtTZnu8fgDBg+vhtZv05ts1QvzJP6qzhf/BD8L9T+Wjl/DN983OhP3hD+OqcuMCPvqVyku+\nveVOL3zCk7fv4OrQmxBvHJBp9c8/4eczeTqX64rpHOZzEJLtHhKSyDCrl+bPV/YB+Dfbo59+1H/0\nYDjUidn49QZQm9p0QgiKXKUlSxPvah8BBSePETEK3NkRO4P07KyCILh27bwSTHPFtg+yGGLTmhBQ\nCmWt9z3zBEyk1/ZKY02pYblsW3TBM5mTGXTBqDFLqAEIqoHY+i4CE0joyfoISJIzgtBYChFc9D5E\npFhMk3LEZUou2K4CATyiME0MRoLweZ4mec7QG2NHgzELzFTeOdcZx0gMtly/7pbqjYM7JZrIOmwq\ns256zXFQ5lVsL9cVBB4ioBQoPEMCJ786QUXOXIhfDd/vf/T0/X9uJFG9MlkZLhetr8LlsfHBI6M0\nS1772u5wJPuN2wzt6Xn57Eu8/Rq7KYpXj+bf/uZhAP/8xZxnGBCzHGMAF/jyGHcHODxYhEe7mTYk\nkVy0EZTkzkdusfZWapmnLBDjTDR9G311+mR7tN3u3oZmNTTuIU/edA0SbZn2URRZJIspK3fsZqXH\no+yNrzfPPtdtLzgjAMwGynXu2l7hY++JItrLc9+uwVqzu3+UKbk2l0ox0wGXkZCBgOmBWJ34k+du\nsADGoo/OB9JJf3Ck6qpcnoy8eqKyfdk9MP1059a17uzBWtzO8sFgML/52qS5VMtl890/GNdXh88+\n/8frN+7cvHG3WriDt46OvzhrpMHaeQqc76WDo+PHn6r8zekOMVZ++/ev1vPq+PE2Kl91ycMHbVjG\n4daTv/6z5Hx1fbgFIYTFCsD7NIk6Z21l8xEOArMGgbPf/YNw8WDxyRejG0e3wTyYL6qkozffG/Xz\nx4NdvZv/4tXyay+ezAZ7cfsatwvWpKxMvTesXdTOsHaJXRt5YtNUMMTgAYFxjKnKW98Nr7FmbhH9\nYAzNIlxeBJUxAZ5a37AkTSEiC2TNpnMm+iKODv161q8bJdYsGYIzMDcsLyNCP9rmUrDz08iyVV91\nTV+CGOWj2rqQlRki2EYiRimgqZrWUu8anpZbh1l90VniSSpVqveuJesV99YkCpLR+Mb14dnJc2Op\nHCkhyXuylqTEZuN1yVlOrOBJwQVTtnK1720tkpS27xYH12S0NYrIMIGwJcI8UiJM3/cYiLgQHLkA\njhQYEUqFyBBQRv8VC8s4+4+CXfAYAEwIMXjlmGJMM4UUHbi2s8FIrjlwcAIYAnIeBRWKuICAIDkL\nAF/hVExQkggK0XqgSJzzGMH54FviAADAFWMg1Fb0IUAU89PABpHJGCSwIfv7zwwPAFKmaUwSBIqR\nOZ0HUghMxcieL3mziY8uEk5hVJirlSTPrSXOuRQ+EdY6WFXx6Jo8m2PbJ+sN/of/EE6uxLVDjNHO\nq9DVdHRknx2zX34J775uLi/wci0GWfzsIbzzRrxaJB9+zM4X8uRCMIZZIpQIZLgAQS6wLh3wUaR2\nYbo6JznfenkVl+e796b5WXOB0xZt2rloewrRp2kxKJUzvusJQwxOCBGDN9t77FCX6zoQpHoYkMUk\nSM8cEicGgaIusVkSypgliZZZmpF3PtiOye609kWWZ1IJpxM+cEsjkWOLQLAB4711gTjyiNh1BpGQ\nsxgEYxgQe9dHpHTEdBrzEUG060tPEWTObUuMFZNS99JY4kqDaV2WsVwVtnGxJ5lKi8a6frw9vP7u\nNFQJXe0mXVjXflMbayIXrEySPvqqs5wzFEICuuidRwLGOYSAwJngAQI4QivyO2+yGK/yw+Xi+Ha1\nUJkchtJ5wxSh0Oro5vDoRhGcuzrtBwKvX6dwMLaz7NmrmZia/+y/Pbk4has/mzS9ENxxzlaXYXka\nyJo734yhMkXZWs91kngTfIC0jIORrjfWk/NNYBLTTNre56lgUsdO9c06nf7i3rf2rp7LJ1+8ElT2\n63Pn1HBLoVVV1Z8+XTh/mOh+/+gXi/Nv1i9GnsdskJdaCCe0i7XkCNTUhnOWjeWkSLfSrGvafKTJ\nxyb25UgzZbcGuXMeieXaN3WoVw3J9J3vXf+jP/n5L/5u9+lL983fvvzwJ7RZl4UT5w/7ne3Fjeu7\nm5MX3M3OzkS9aF6dtAc35O72YQxy91ry/OnM2DaswuEb6+273asHwzTF1vsk9MxXaXJ06+txMPrs\nxeffXi5+7twN4Lu25ZmykbBpbLlXZJNpferNWRQiTibs6gSCFOUIulbqNAjRL5by298ZlsN/mOW5\nWY2a/kjzjweDbdOu0Yciv8UHY2OPJ7t6txVFGamJEC3HsL6M1qIQ2G5MF7DY0Rw0xpAMPQLznXA2\npNq0l/byZSwVbwwkGaKmrSNdL+JiTQyiWSNZKgu5Wfi0EDzB0TSZnVb5mGeZmB2bcaqlwMEWxBBX\nM8UlJiIWI352brpO5sP9Mk03yw0hp6htD+NdDHHz+LO+z9RkIKUcZiN1/HAjuEIlhjnU1WUMqenq\nrmJEUWqqLmO7ZLwMMkPbsBjZV+Set50AXuQw2FXlluTRrRd+TKJeIFEiBVarsxB5Hib5eJxj+nwz\nTxMtvI8hAJH3LCrFpWLAQAoGRM57mQACpxg4wxiIK2CMRU+mt0LKEMlRZ5iESBRJa+5CQB6t79CT\n9KhRSiN68k4QceLAYgiSCeuD0jzTeoE+QsSAFL+yw4kjSzAtmCARI4aGWu9ZRFKKDSfaY2+6KCSX\nAmLkUSBBbBpgnPWGIgGFyBCYjMjYaMzHWyISeCsqq379GELgDBEgIoPgXHTINX/WkERAxijlDYu7\nt7Cl+OUr+uBzrxOof2xj5NmU/8NnkanIibcdaoV/+fMYInAhfKStPWScmkUk4LlKLAWGCXRZz/rI\nfb6FeZa0LW4WfFcXDS5WYb48xa0JeDQyZpNhNpzkwYd1b4UQWcpZ2NR9Mxon03SwnAUDlE9DG+vg\nvwIUGEpGjkdvI2GaCa11MCDQzOZtcKAUmw7zW3k20KqtQuehIwsSQJG1HiEgIQpO1tsYhfDOe0DG\nOYnEWepVrrIogAxFb4y2F4YRRuKqxM26NQa2DxRxZFIPuOi7XklOlvXR9DGoIDzUbfR6rLMBk2FZ\nJuJl/6Ta7JgegWGeiEwoF6KB4EIMRJwFBOJf1UIBiEE5kJul6R2gUt/6YXJwrTm8laeh+vRvLi59\nc3Tr6PnDUyK1fZDXq/7Gm7u37spPf3nifKyW9k52QG0LuBgcVJXKb90rP/zl55juTvbz5pFpZtSS\n723oPZse8M2yf+ddcfJKrxoTiTElxmOMwTZ9p5IkK3Q5Fejj/LxRKTCUAGFdrbu4t3cnMv5T8jvD\nog8sbK46KYdSzttVxuQe87wOUwEPfvJvc8vLchdznl/bH5yeL3uleuJd1a9XfVPZfKiHWwo8HB9f\nHdwsvvm9W6+eXObLdGtXrVbN/kHx+YdXrk2ZQF9ZxpFx2lzNfv7XHaj0a9/7vFpeFuLAhQLyrXLY\nLM8v99+5Xk7WZ4/GoYHK2sVM3Xlt9Pzh/fnZ4PFvLrePdkaDrFmbj/6qHgyl6TwED3CQb11fnz+S\no7sPP/w1ssHu1ulP/m08en1Xp3HvOr97+4PTZ2Z5zLd2utahlM5UAAP0nd/aF4xHImb6KCUh8HrV\nf/rL076SARbX3gyX5/WNazuXXxxnQ84l49v67mvjq4spIkyHdHCLnZ/acofWV3D8DF2gQC5SLHId\nvY0xliMkD0zFNCdkGDnLS332sm+SKJVYXIVsjO3aMykPrqf1utrUvS4TJmM+4sNtuZk58BAtdI1I\nFUpRLM/bo9d5kkE18zJFJnSURsncb9K2NTvbilytxHR7fx7hwoaiXmVNHWXBioE0jeGg1qcVpHI6\nReYokvBRtD0FFyzBsNSzk1mwLhmkg4GYvVqT4Kng3bInmUynI+e7ynTbsoit4Mpv74osmZz4RdPa\nXPL1WZNORqosurZbrBdVFUW/ElpDjBCIIcYYvDdMCo4uMMY9hK5zWunIvI09khRCSym8Aa2JACRj\nLEpO0vpIkoRgChSAR8AWnCVnBWkVo2cBgmAMOialTLhWiK11fWQxSuPDQCYyUUQ940BJYNqDQOtN\nFCH4+NVOyXLVU8TxRNkurFZepywrkQmgSELxGEOa8+goePIRuWTk0ZvgIxGh1ixAUAJQeuRouqg0\nHxwICAwBmI6McQYYI3gfhBC2JxspH3Ln+fCwLFIuUj+/qnzPy7FMC2ScaFe2K8IQQCABqZQziRC4\ns8QTKjSyHl0IW9fIgfIW+o3oa15Nmg1tNpu4NdhRqq5XMU0S0Lxvbe0wMu2dI71u23o6ynfyQdeB\nKboAtnMOkAMhsCi1MB2LfQSfDIdJ27eLq6ZMsjbacZltJwUj2XfRWbqsjQNgGB31zoUMi0Ch5VWp\nhsJr2zEP1vaWaTbcTWQSNqveddytHYMQkEwVk8KDCLoAroXtWV6UZSFyJwegpcJNZ0xkAaMxvYmR\nC4o8SC+2eDHO0jiPszgvB/W7P0w//DthDZGyBGLTtgASEQgDAjDOogcAThggkMqUTFgy4P/F/xLb\ndvLimB0e/ayQdnVlzi/2B3eVkLqY3HAG8kK+eLZKmHv1aZOZcr6o2oqetfV7stRmEIG/8d4B13Z4\n5IHa6ufCbfzlZchLEiR2jvD73+tffIbXds/f+Pbh/V+7rg9KIREHZOUQl+fxe/9C/ad/cv6Lv2L/\n/t8PExVtD73tQ+S+bx21H/51wnhMh62Za7571J1fFREwOUg645hKytb25w6/nsut6cgsz7rnj5az\nphGpCV7YTcuEzKepSogxDggHrye+s4/un5OHw2s71qybpf98eaX5oGu7xaovy0RFv1r3WbpTzzKe\nVhfno+nuKbJ2Pot6vKPSKztgqlzUL6V1/Ld/P/1//59PbtweHh3G568KLWRfpc6x6WTLxU0zo9Nl\npYbdUNGqbaqrjXW7eyNqVkro/cWFdS0sV3E/F//s9x9Be3ujzpPXujTnpTt882vxrbdX939NH/wK\n0wGUGWwuqZhE04C1fP86b6p+0b67P16QXb/a6OPn5dvvv2Hr+5wnw6Qi/AWguDr7OguHOv3gjfel\nYIv1+Gh2thNaSlI23ou2d+1KgycKhkslJXPGey+C0eirwUgEpOhjW8XVwjdt2NrG6I2SgsU6xMzY\n2DYuycdStYt5Y1onOso4RR+Yxr6Nz+cBgaV5srxCoLJrXECV5d2muiyUWi7PbIjD7dF0b5eMAeBG\nDkfD3eP1k8jIgOUBteajKe9bCD61tb14VRX71wWLvVlQmm9tDfraFpm2SC5SOkp7G5j0aS4mo/3e\nrF0LkAqymIMvS84T7Te97WnzYiZ42NkZCUar2YLpRPho8SuDL3LGBef4FWoN5AWiYPqrUSgRAFLV\nrDOlyLOoSQrWBa+UAAdKSoForQMWOQNBLE/SwMBQQB05IBKXgskcSDjPmZSyBNV3lnGWBC4HgXSD\nTWAZGN83PUnkImXRAwpGEZmErJQxekdRl1wU6C0YF5t1JALGo0iEVBEBi5Ho6thuQohRICfGKEbT\nReIAkRhCOWY65cip7yOHQBBCBwyFM+h94AIBDHzFynBOLqTTzgdyHWUjGS1xjdZFstG0zkfGOKSM\nIwvBg9LoY0hLRhGrqqVgD68LiDI00rWs70M6ZMTcalOlNB6McLYx2A/01hBjval6LCbROJH4wPvp\nTpYmcNmtXPQgyBkCYJyhC5EpJIKuMaNJohXfzJsIfjoYHN3Me9sny4x1bNH2XgYhJDAA3VswwZGS\n3PdeTCxzUJsKg2KcW9OopBiOFIbYLkM0WgvqO+/R60zl1ziXJHhS8kxbVQUHiEOVGuNq0VZ174Dp\nAnnvZc6mutA9A4+udSE3V7XxtfzetwemWSrZW897qJu2znDgIyNuMXAEoXRgUoAE50hyTHOhEjHd\nLQbB7ez9+OL4nf2jH12dPlinHx9/Gq+/cWvvredV+45rRqvLGXjayZN2ZrERIYQsFaLIUNGrs6v3\nv9F7O5ofn6ycPBj19TxtVt46KoaC8wjRg8lWy6cM09nV6ns/fPrlJzdCdC54GZEIVvPOec1z8/Ev\nvziebXszaCunNGdMZilXKa0uzgLf58DTLHJ5YNZXWvVuM1o3jRK9iUeZPI1u67/838Tq9PJXf7tj\ne+uBNE9N1ZvOcilUikJjkgkW2cGNyXRbffSzc+D87ptbRHF2ZZAEtwIjdL111hWDSVc3UsVIfTbh\nvVGPP76ZvO8n0zNYw9XJ0VY+H157fZrZq53Hk3747BPfGVot3IeLmJdXJAZaJQLw4upUsgQF855G\neWpMN71WbE/SEAfRHW+WND6UfbOIsUQqR4Px6dNXDx/SVCZo5pdRGcWS1D+7/yuuv65TdfEqxIkQ\nLMxOfNPI66+793/71Yv7r6Xlev+ILp9Uo6MphtLEx75qTeuqRX/2kvT2LdNNlD791d9fXn+ruHr5\nEsVBZIjaqwwhSpWS5JEwhk53DasWXucYIc4Xy2gEBTQmZENsa6+KtJAxxDgo+fLSICqIGpl31tfV\nskxTbywxDgoaKwG94LhZoM5ovCWri+ANHH/p1p1PNBifljuZdetih9sa+9pXMPe9qZ3bvs5K2e4e\nDTMVlhXvW2eNON2Ew6PEmGb/Ztl00+G0XF8cH721f3iUri4dE5QVKgcRiafDsWuvuEJA6hbNemNl\nEfNh0azXD48X3vO6cSoFUJBNVFqYsxeLTKpMyGVrhfEhVYyjJAExxEjsqwooAEjkjKELxBRIzq11\nGoVzgQHZjlAzCDIGIgyoEIVUUtvaGhMQgXuKkTgSciYEV1JQiAwxBgqJM9gpVDJVQXihIbAADLMp\nZxxVyAdD7nzkCMEQlxCRXPTeAifOJcUQkYFOIQYOUQDGrOSRqNtE18LqvGecByAKFCFyBcUIBEdU\njHxUCmNAH4giBE8EGCPnLHofpQahwJmoEk5EXECMXqXMusgY2O4rKAYJiEvYLEBwUU7QOUIWbYdJ\njkAYY+xWsa39eh73b3MbQjcbEN+sVlgOk0Spyq58r0Z5UnVz26rp1kQJ014ZnWkv1oNxzEfW9doG\nfzl3QjAmWNd7jAwJOIvTnYRF1jWwt51j0jXrfjjOJJcDntbLanMVC+UsWWIguZKp9aptWlvNKB9y\n62KW6Nr01dIhCPIBbcJFUY40UQzkAovEgmNMj7hUgnoIDRuoUg3Y7GoVu15oyciLKFBDQO8DHQ5y\n8rE1ngO6Td8Tj4rdeC/hKv7Fn83u3E5ufe3Fw5/iww/XWOyYlReQIefBOespyxmG6Hqc7CrG4sWL\nTnJ24/VRtfEHN4JK0gefs83KpdNXabZtqxBIJoMr17jzF1WzrvvWQcNAYNVZD54pjBC5YlkWy9H4\n9PFoe3dzdjLdu1ksVlvRL9tuj8gqBpGYTvliRh9/cvC73/349Hz75GlsO0pzmWhpGstQay7KXXXx\nOJf3tnauC/5PmJY6+sA5ixEgFtXJt8Tw1Ns5n5GrRaLGe7veK8aTwdlxWe76OO9u3/vai6f/T87u\nbh/eylu5ni8zoWOi+9wQhxiD4ABeTHZHd94abC6b4ZRnWxjIvnq+RhvKPI9CYMTd/WHVhFTzaKCv\ncDguD99aZ2X9+a/eMZhxfVJmadTbF6/Ob29ni/Mc4aZrnmya3SyXekpnDyMgTW9ttm9MmqqZX1a3\nXs+IWJ5nkqWrjb02OiC6H1XazLe3D++lmj8+WQ9231YsuTheJpCjgzXb2tWLGn3wapw9f/l0pG9M\n/tV/leeDdn2e/M9/Os9GSZYUrl//9H9+Ot3dvXZrtJz9bHj4zerZZh33GOvXTXftevrk0fn+0a4T\nCCIyDNmoMBdtfX5b7O4Eb0iE2SWxqHyIxgSUNBhh33tkmCv0FSYjFWtYz4yxkXeMIgLFXMJi1SEk\ngWWJ8Eq0kiMg88FxqSASsFhOhpNh0lRNklNauGwoyIrgu9r45cpEJwXGaoWvvb67uroAIYLp1rUd\njMeh7YH5+dnFyl0QDtVkEh0rBsJHuvlaxqKTxWtFtjm4sbF+MdrNJ7tJmUs/ltNyfH7yZWuSve1h\nwlgnha0saEbSj3cLkVfOd4kuV/WmmIq0YNb76b6MkblgLKvalVo19briQgbp+uAZcQGcM4YUY+Sc\nMY3gKHiMEDtrBRNEUSnubAQNMUSPQYHGHqRAMqHnJAYs35HYK2+jCYHFr0qUYIHsV51oAaiZbwwn\nASwg9Q4DAOOEyKIYYMCAgZJUeos+EGoAijFEnWCaoGmha4LUAETBsRiAceCS2Sa6ZeQGNeMYubVg\nMvICsxSTkktOXH51KY3NOgqJjJHp0PsQPJVbPAagSF9V/YRCZ0KSc2LEAIlC9My5aB1IgUJD9NTX\nkQtEHqo5JCUGDyoRgYIz0PeRSUTCNJWDid/MVAmsqUXULTDtHSzXnZLC0MathlpC0LO+YpTSPFxk\nGpNMGkddQ8QxyaQSgnNIRYJAPKTW9XYmxnmhMcQIVRWzWKQCwbNV2zQVDUTpg7XE5KhzFKxq2jaG\njob5ILgYCYOwzRJ0kjCU5IGVLEskcmej7zceiEuRCoDEM+F5likNQup4tamEE0mepLkMonYzpwP3\nK0hRrvs+xhgBEMARpRJqEw9vfzFI+c/SPdu5n/7PuJ1OD998fqjUv/2/HpIwnrrAKSmTW28U67UN\n1i8X/u67o/Fubo2fXcav/1b+/tf+PoTDFx/vvTg3j54v77x5PsKDaj0zoS2Xb65nSdc3IUQU0bh+\nkBVtK9omTLbTrOzrRUhoRyf06a9Nt0qffL567d4tJR/plLo68hQFD97J7/0B/8Z36OlHvGnoB//5\n8j8L+3/xp7lIGSpOwFSqwYu+bjOebq764V67uShHg6RpeiWRI0Uap8mpgyTLJMs77GOajuXe4+48\nq5JvqPgQs4FK8Wf/ge3duq45LDcdMR4wGmPSREshiZEHU4z19r58+NGrReWFZt3cJtvJtUn44tOV\nsUYqw5VyNiDHzkTj+eEb9KN/fbV4MXvwUfcn/91rL58MlxvBrKPq5PmL0d171cX5Ynew3fPw2/9y\nePzMv/Wd8O43Z107ee1N2lTOdjS9no2HEHE/rp+0nXvr3bt9fTk87O7dw1+ddSAmm+XlrbeiceLL\n38yvvz4mvHfjzeeD8SZeZLCuWNmltGhttjrvblx7OV99ueq/Ndre22zaNGu29vXlw2vnj5/t7L73\nxQf63vc2kwN3+fHT8sYhaX956vP80Eozm8VUZ9t7L0+fXX35AvKd1+1MBGbHU2lyCoFsTdlAxBgA\nI5NMixiDq7s0SXDl/XgnpVmbF2KzRgqcSxMDF1pIhkywtnXkOBc8eL9eNgy5zLDMqJ0vbNSTXZaU\n4LxrVqzxsFnawXgqudG5bbv+/NWx504wHOwWPFNlkbjaJny8fTg4uT/DMr392v5m01+dziqzvHpF\nm3myf6d9efqibSNjsJnZrolmTxTTnc2inl3Z3hkGfd6uAKNxOCk049KYrl5QPjCKJaXObNtCSCY7\nMSt8tyFSsHVDh3Z4eHNw/GIpRmVCFDvn+87HBCSHSNB1RnOmheIIkULCBAsygGWSUpKBeSLmA2kR\nHMMKUXDSAdvadzEUKs1zXTJGHFBYS643AVqUGYKCvgl5JoRgaBl40KSIYuQxcuKaiIDnHHhICoZE\nvY0RQaXMubi+dAg8G3CEQICRWPSgE+4uHHY41qnIyMQYUQQesiFlI8YlMALbIgGDGAFIZwwIGcOs\nxM4EHgAAOSPSCBCNiYxzqdGHSA4ZixFAckTG8wIYAkgwbRCKqRS9C95z/EpWDOQNhkDIOBOQT5Xo\nkmo+y7UOwWjFWMhyKXvT52qsRFzOTTaQlK44F8Vt6lrjV3mmYtyITA4GmjPmV771PRkyKUsZMQSR\nJDz0sqGqDUYzzZKonRRe9mwTVRhMRcaC6ZnQHkexb/tuE+MmUVykOxAiJCUEjHqSiyhsJTZdzwS5\nQCpy8D5LSjCh1LpIWXDCezDGpXnSN/1QTCYj6o2zNfVeQojeRAboIgCQkNwHR0QMuA0Obfazv8pu\nv9aJFH032Lp2b1D8YvZq+tlnBTBCBkJKJj0hXV32y1W3ezAYTUWWq61pfePuseCv9y3/yU9fJlxv\nzoZ33nO/fefF7HSVJ3Meri2v5oc3bNM1besiRQiYqOzyJDprKfB5ZS5VHwNr25Ot0cj1U8ZoOJx6\n/9nNO83Bvjt9SYr5JGHVklFYZezH9fqAk3z2m4vNZpEkYyTnI3DNZGlnr7r5I3n7tefr01s6mXBl\nu87GyEKkGILrec93jm5YKTa7d9JXHy76duFnkxenIkmq2DCVX3/05DdqfC/6N4+PZ021yYqBt5ZE\n6INbrf1oqIFEMcg3m/Vs7b/5reuXx2va6NMv28Wi81Hs7QwA3LJ2KOHarTK4Tufi7vtx0/74fCba\n8+T49APTZc8f5LfvdHvXrz97/NSwu69908bjlydm8vzL86r3L37Tg9Dj3cGrlw9vfS07edDOTjNj\nNoPtuVRalRh4lSbl8weGmMgGh1QPrL80IX/ruwtjtwx2v/WHOfM315vttb9YVUyqzct+HQdf65bj\nX3/0SbdyN1+f7B8ynYFWmGp2450f3v/l33z++RMeD37z8+PD24NlV+pZkwlT16j44vLVgOtbSfl0\n9vK0bwaKD1FKzU1w/PKVZzxhzK4u3Wgbh1vQrbBuiJV5s+hFArZjtmfUOWdotTTBMsZZ2zOBsluH\nvrFADAWlKRQFMq6mu+XqrAYNfd12Fr1pN1VSV+AiaOUkF9OthCci1G6zitsHyvtmUMhN3c9WIUu6\n05fHxTjbP0xDbYpdNt7K6o0PJq4Wy7qLGwKZ27PjL0yDDHhAJwbQt4YAm8UKIKoSB1neLHrH69F2\nUgrZ12F21ooUBpOEQr/YLPqGW2ulFGoj29rmk1CkozzLq75FYfevD0VnwZsa0KeqJO4QvRZF9OBa\n65lj0upUYGQcKBDEQFoxiBw4RUDDPGNoe7Q8+iRyJ8nwxlnjLBMilUwkzAsQJSvHAATBQzYQxoQY\nyAbHkHPPVC9Exz1SWAfFOKaIDAxStitl76KFyJFF3BkwE1yeKGvJdjFughBAQOlAJykLlnpgvQY2\nCfmA0BMhi576JgQP3oJSnBgJzjxBJPDmP17KxEAhInIgxtMM0dNXPBcRcS4YkjPIIAInIkAEnQln\nKDqCwHSOXQ0hIpP0VcRbF1xqHhwgOKAUUrOa9dkoDvJ0vZh7hiKF2sH+O5onm82KyIRmJRgkWyMZ\nWNe10FKzBqNSbAMJHYNDpw3FoDO7nhP6vByw4ZQo2GrtADtkrveWpO0dq0MttTQG7HEwmyiEGBxg\nOYDoKHM5A7ANs62dd10p4do4pwDRo4hgg3bAOQRlRRDeyp6HPMtLRzZKiWW5rOq+M1IJxhEF66wV\nnHHEGMm56CG2vU2VIAfvfV/cvDXamahb9/DP/z/+5eNuOG7u3cnz3eTHf9WvKnb4Rg4Qt/YmHNw1\nP97eTxez1ZefXv3xf+VGW7/2dfj484Grj/xgcPf1LhVXZ188aptrr875QG2aVUvJ1dbO5uRlonPG\no2oX7nLWARIHXBOIAtNUH95+Htez3T35s424/hbdujt/8tC/+93Hp+f35ktkErIxffpBMire3rvt\nlVwSTj7/5GZPHXhgQkGEZs7SQl9/fbBab5eH+uqxU0koi5IY2d4nSh689qLvd60xzerRaimybES2\nevlq1rojVd53tK2UjM06S7bf+8HDp5+KX/+9VLJjAp2H7TvD6Sg9f7VJBuqNd7aqld7dZcuTzfys\nX6+b+ZVhTMqEuOi6TY9ab28lVxdVMtCutqtF9uu/DX3PExJP74d2o+uW1lXbtZVx90LdzhfbZv5Z\n1/njjwaTrSJsfJCD87Z7tcpYIlcL/+0/eF0AfPAPPzm6+fr8xfnyoh7v9ZsrublYf/dH1cWv1q9O\nrr7+7bsKP3j7u9tffMIWi+E37uVtefbF8qMYv6VJbC6haQ/uvi7HW7/14tN/QJp37TWF0FV+cdah\nJK12QusTybq54HprtP3e/OLXZdYG1MATrq5z+aLbvPDxDedeEaOiTE8eWeNwfIBgqVnGcqJ0ziJg\nXdmmBuNAcaay/vScE2DXm65hEAUTvm8arhTn2i6tzFLJVNNVLAbOR673V2fN+sIkuQYJ04l6Oauy\n1mFgaijT0s5WoWlUapztQ7FbpiIsrtarZb5/+9rmcoap3MmS+Yz7Lp69mhsTV8vNu2/ozfrCK3X9\nYMSoc+CvLqz1gbzJtyArRCdDvenb5Tqd6v1bGbNsUCQH91Swtq2clSKPJsl3qKtdDFuHqWvDbE47\n+9nVo9phsns9URyvXlVRGJQ9oBKS9VFp75NIwrVtDGaYy1QpkCL0EF00NqQZl0JKngQfCLzkgnGM\nMUaKiChTThytAYGB6wgcQ5DB+kjo2phwyRijzCuOHiLjMdGMgJKMO4fRR2Nd7BlaxoVAj9IKJoXR\ntn7ltEwkADmQkcseFCVu5bEACaABtYW+s7WInQqsQDXEMoPgo11DCFFmAJFCYIAQyAfi4MHFr8AA\nJjWGiDEQfLX8rSJD9CZyRjEywQAsuhCjB4DIBYsOIBL0SEgQCQtOCCAoHSMABhusYQSIgOSJHHEW\nkcfTYzPdTbVN6tqHjAJF62kyTqsrxwWPHrnVXGIvq3XVaC1Y7nsRFZeLhYuecplxaet1Y/uYjRiB\nHBwGwYLpInAiYBvXWo3E0HbQr6jckpYCOZ0XUoqQZTwlaWeevB7laWycWftSZYVSzgUCIYRyLIAA\nEYkTSqVigEUdvKq5U7nqN4sVI6m7aKLjmQs+BgOMU+t6zSRG1vUhSdD1frCjbRsiZ9/53S+HtDh9\nHPXYbx99zaMf8vTxR/PXf/T0t//gdwPKGJwzhOgvXmz6PlyczyNBmvrzc3H6BFpjyuHtefsZF8t+\nfhKHo3zwx137M6dx1aaDm4VMNqPxphhM08RfnbanrwIiWRdASKWYb+1s6Y5f9UMB126L0Vg/e2pP\nnnu7Nh331vFywEIAxr2ntGFvHIx+Yuf51WZ4+73L02f5+alMtM/HSZKQ7RgLPsFDyX6dxV2Uqhgl\nplsLQVlht299UK9ufPyr12K8plezYXIT1r6u0izvu0VxudL7h7PYqzvfPszSv82H+9nga1yHm28N\n29r70KyrLvI4m7WffspvjbKXLxfHFxtbATIx3iqCZxT85WXd954LW1URCJIsP7rL8vEA3BumeWSy\nLDQuzZK2mjb2arxj735NjPYedpfVjVvfmBxcVCE8/nDz6pE6fGOnOXsKSbqcw2AKIjtT7DUG07aa\np0l6+427z58/3izs8HDv84/qi+N1s+xiMmiPR3H04tbdt5Lk+ZOTL44fAYfDe7+19As6OSsGQ0Px\n4sWjSxdVVQ+97+fnzHmjtQ7Gq3TYty9rLrlivumK7Jdd2ktFmRc9v8ZMJdh2Xuws+9/EVqnpqL6k\nYsgGWpjeBNMpRcUWMmSrS0dMZGVYXTW1zeEVmhiSQgNTxVAHH5wlIblU3ASGXIeusxRUngvuesvz\nIuurqxhFU8nJdmqalkfVzzVLOjmwutTpJOjS6oQxkKaN64UZTfK+gXZuyEnrATUvi2x1siKbBKRi\naOebZ6jHRzenvDenL6hzddeYpqLpdb17lAnfoyMmoRznEFNTW2sMB93MhijPI2AxhOEkJcudL3XK\n7IY19WI4OjgcTMWNebkLk7EPvifWppkgN40+is53UnOpEqJgySOwdbUWWoyKgqICki7y0IcGDE8x\nTTQBB0YUuRAyhBBidNEzJMkTQiIRIuPIPDGKFCNjUaKGpO3AixB99I5rKSILXCHzqBRZHeUAEMFH\nZwwRhn7dmVUoRc5B9Ly1qcNGSNBZKshJ1zhiUUnRYayYiwxFFvWQo/emi8ETAncO3CZKyZyBGEFq\njjxyzgkAIwJSCDEQ+6onwCWFCBFAKkYxIkRTMWQkBPgAQkKEGD0KyYlFoSB2GDwqjSEEBAiOCFEp\nDETBQNvHtESKsKnC9n5WFAqd10pg1H3lybO4jtSroJ0HpLTv0Jk+MOR9T4wTi6z3QSU8ADXLyHgU\niSrKaBpiEG0f6za2VfAegACBuRpkSTJRk0MRPbRrlpfQrN1QFTCPlSRdpFx76kkLOSoUYuw6meV5\nZH7VmegIObadZcQBjBdRl94suUzdutqYRqfbTWMMT4kPu+oCVJl0va0bampfDuT+nUKmsFqZ6ZFm\ngQ0mYXnx6Bcfwc2b6vjRjdNZ8cb7Jzeud3UzCd34+z/84u/+/OCTTxqMQQjkHJkETiwSZGnOxRXE\nA+evsWzlejT94KTi7755iSrOXiXTvVG9qU03ffFx1TICER7cnzdrCFFywRhjbdstl/L1b2TbY5hf\nHtz95oeD4fS/+993//iXxbPHRwfTD09evNO2vBgbIhUCDLbWw+z8y1/t7+w8uri6s7fzsFrc3b83\nhdCb1jYtpoC7h7Hk/fEXb5QT6C/d+dlSMaDIuXAf/g1W/aum2rftTsLscNT07NooO0snshzDbgd1\nvTGwv5kdv/yoNlp67/ou+Ohlwl+/s//LnzxDDkmqYh1eLNYnZy0FlWY8TVSaiK63SiidqizVTb1u\nbcdBNpVNFuzk8ebW127K7KWQUo9gfnyynocb70xe+85qc3Xz5Qf5a98/+No3r11ern72wZlM061r\nYev28vJx1UBRN+1gevT4497TLyY7pQw9ZqNkoGwN0XOmysH4xmc/+5t863rXzux27Gfr4ZFzq2T1\nCuX0wM7a+x8/0EwfXP/m2bGb7k9CM19emZi/3Fwdzhc9Eo9lEBJQjHRaJ4WrXVFdvaDy0Ldsabo0\njvfuNDG1XfC+P1ktL6B8d5DtrerWBGSd7TtWjEWWay5ju2ptxYxlwbvtI5mW0G5EtYneWa7h2g0d\nrHn1wqdCCEFtHSKhkrpzTIKRDAPUpu2SJEHWdcYGL5rGhAD1mkSjmgWgxaazh9dVd5l3vukb6rsY\nWeDIZ+crAH50k716dOohEySComtvsHGeM1LrjbT9IrpitEd0Rcs5phNIC23WLGYpT7hSSrIrC50U\nRZanzmLbxNF0m0E9SG707cXlSd10bDBK9/YF58noQJvFSb4Td3YpBJ8Inuf5Zt5sKiOzKLrGth1p\n2btIkRARrfEpxNXlylmuZErMDoscRNo3tul6njJUKBPOAjHGGTIekTEGKvpIFMFb7yVyFCEAYmyM\nXYdeCeENKM2QAXn0lln0OqQOo7eBpBIFoODCep8GnkORqNgHJqOwmkcVhsaG1iJEFgSo2Efi0KOJ\nDFQOKmGxDaYGliAQUgSpmDXRRwAkqQkF8wFQBiJgAl1PIXLGABhGH4kjY1+92iQ4eWIyAe/BOELA\n4IExVAkLnro6Kg1cMoQQiQcfQ8TovUoECiLLAVDnDNBbR2nCywErEyl8VlW2r4xvIWrfgoWEGMMA\n3teExJjgjCnvDVhufAiIIgUmKCkNcoacRcdMY1kS/Ry6ivKhHG5LxMhlNBUaHwcDtTqN0fDRUPdd\nl1EupZCF6KgRfZhiFpmoOr9qm95EnWq0rqktKquk7FbkQ+QSWNGLLDoOYiRkurYtFyMTEmOgR4D6\ngtnOt7PZwa3Rv/gB1St9eqWvvVU++3L+5rd2i4JWlzGVV6en7fnj9PLLuPPta9//vYOyrB8++tVg\na1uFwQc//+Tk5XhnV2nBOJKH4D1DICQ+3km7TeL4q3L42tlTRW6kZLW93R1/Md95+9n0aDi/KpaX\n02t3ODdHF6f517/7TwLvnj/fYtCu6gDADu+Or79efeu7eLD3/Pi4uLy/OB384u3vlG+9+fYXDwbT\nafHB53k5seuViYFUmnWVePVwduc1/fw3Rzt3Tpoqndc7h5I3Bhigt3i2bBc/tm9/TWx9/fj+B/ur\nuUtFFJnqeu973XbDdt0lZdKs4Go5Gqj1Xipaxomz4f6X04n9xd/uJsV7IlazyzHLDnWphmPczPx6\nuZYSGOM3ro+yAVRX9vS0JeGFZpxH4zAG0IlsrdeSOe93poO6TRBD18GLB+y172++8dv+4vn55fnN\n6Ojgnv7Ov7oxO73/D//uanwwG03S+79+vrt7XK9ONovRi8f2d/7TvRt36PRhLjYH5XT97R+FxUv2\nV/9jnRztYueKSWE7e3VZT3aT6FTX8+HW7tbrt2ePfzZ7sfPN77rOPOf51zGYwVH/9Gn42b/jX/uj\n6eGtWumkvaA0yV5ioysMUf/uH1xPkvrBF/OqQs4A092rl/eD9c6xdDIQYlAoJD8XW0GP7Mg1n//i\nInS7erzdbTxTJAjbBooxg5idPO6N9QAxTQnQpGXKWcZ5x2XQCZKPKPnl8cJ6iBFZhozH0PeDUb61\nK6uVW19F14OnEGLXI3IFRZ5H4xRDUXDGKM2obeT8aeCpnCOvLzYmCq3EclbpHjgPfUvFVGzmYAzV\nfXd4Nzu4wa6OneiSriXitXeBsA+mJe6vvT5NdN+YsFpb7dPJYJAWSZGOLJyZmkXPJkO1uujWPhtM\nRWdPPKPJtXxItlrPF7XfLJCXYXsvnrwIi/NYjHUgM7vEZkPJwJLRIkaMkarO6izlXCAEKX0MMaIQ\nmnNBimtOgaJLuJScgUfnKDhHCUcOHFiwFCQKySRCCMhkCBCalclyFSkKLakLkqlEceetbQIoFFGy\nnnkIkCEv0FobFpokJSwTBvqkYxkFFRqqVKFcR3XTcSascSSiEB6I8RzBYap5OortihAYzxj54Ezk\nArgAlTOIAJwjRlQsGoyWScVdGwWCVBgCaA4i40Te+uADIlAkBCRAQmBCMATygbhAiBRcTDLknAED\n28S+jRRBMArE+57ygXCOBICprXMkNfM2Li99w2og5iIQ822MYR0ZwxgheFADRM4xsG4TkjzIVCJE\nJSU4atZeFYIzapeBsYjIJztJRM8kFlOOFPu2DwFiAFtBOsS66nWWsVRjFiflhHmxMpvUq6wV0fNG\nxrVtmj7KRI5uGh+arvNlIdq16uSS1IAzVJM2EKwvv5pZ2fU6oGBasf4yKK1c79rKjMZp65M8Cz/6\nk1+dH7/+9PH3RWJv3B5lKUsFy65LrQfPP/66sB/ZwcE737nE8GSxEVcv9xAuDve2u83hcDIxTcUB\nvQ8RUQiKMXIm+q6bDEd6OWntRcLGIVG2TdfoTVPWnz7JeO57EdvW1pXzWbDuk5+5b/+z+z85+8bF\npeKJRUrefMf/i39zEmt89ezB7s2bL54UolTnz59FXYwOGGT1H/6r7N/9D/X8gv3of0Hf+f7qz/77\n4cOHt0fFA50OdvfPYPR9fH9s/GYeZL22Xb1hUWlfTnZd583r7x2//Hx7M+cWyWIgSIaTd9v151/7\n4Us73/rrv1CZyLf35nKU+ZA8/Kk6PV9t7RVpWe/c+kXnD8b7e6vl6cmjiTGdzPSqcqN8mPfyatG0\nZDvrmGQhWNujlBg9xNYBE03dB5CmB8FBS+lD9e53RuOd4KrV8wfTejnjqRpPN6ZfLy78yRfaxZNb\nPzjah7tnjz5AxNff3G5nF9vXNr/6qxcnJ1vXDgfGxfnZ865pZbprTc0AlCDv+kQKrvKtrdtXx49J\nbnYm7RdfDPXe2xafHuyfW/h8eEDVyXQ+f5QNhq6FV09Wh4f3X72418PIWjkd5H0tsvGjOzcfPf7y\nLR+S2Pt2LYrtA9+9lGwr0a828rev70B1/vjLj1rbdts3GIqs3L1OPDTr2LdstK/H+1TPQ994mQUf\nadMEXSghs/EuDkaua1mzpnwUTCvWyzbRQ2eNTNxq3nKGy5lhifInvaldtXHgBWNoHCnFSfiuaVOV\nesuBcSEJIEQ02SQTjLW1E4WrZ2o4GmaZHIyGQrgq6YpSXJwYmfA774x9b148iJuFM8NTkYrlzAaM\nPEBj+I3bg3peF9eHN3YZ58nT+5dPzi9v39tlwa3migS5TX/8bKUHcmevJyjPTy6zKdc0zdPx8MC1\npt/bU0oHgFiOMMSRraTzYTW7RI3gcbXyAhA4AmMIxsuyMP062ABSxAjUO69cUMIHNkgEKuk8k9Jl\nXJhOR+OjIDnAwSDto3MuAIKUvOu8g5CkImKIAWOMumSEHmXMoqQg+96idkooshh7iJ6RcCyJCjQF\nAAEMOFhEwhBiH21gjIiFSMg5enQ9YwydBZliUlBfx0DIInnvCFEmyBmjrz5Koo/AkIXoGWjOeAiB\nK/DWQySmWG89etSZiKRU6roqYhA6hRBAavAmMol5ykMkH0jnyCUy5M7E3tBomwPJ4IPi0HexrUMx\nlN06zM98NpTRkTEk+hACSYUUiEuwHZBFxrDrXFpo34VywmxPUvG+gUCeKdTI+tqZHvMx0ynqRMQI\ntrOLuWOcKY3ORM6ZStNEMclTV/iqmomQCpkLpC0xKpU8t+fu3NVgdcJb8ovaRR9lomVZX8xX7Zqp\nBAQHCkU0nLyRo1gtg+8jIUQfu4aQOLLQ+Og9qMwqJY7uTIqRvveNbDS2//a/x+FWKCbt0y9qAlKF\nohAuzxcQLcFecmM43c2ePhbDBK4ulzfu8fl5Te385jvD8Xr2078WBp1MGBJaFwKLfoHlDqJ63pwP\n4u4uEq7P5WAK2cH17fTq8tHR+WyZFhZ4izSQekdPzt75+mi61w6P3PGS7x9hv/InL+Cv/93Tr783\nyNKtq+P5fLPeuz4FVW7tzPPh3v2fhvd++wtit4bb2bXrsl782ejwh5De3rlZXT7/cGe6df3w6vKV\nevhF/fv/zfrhh/7Dfyh3ttVb77s0vTz+ef6D//ri+99R/6f/w15V92kCV7PN5BoC+fXiWaZMkd2s\n/fTi8iyRfZrtXlZbppqFnT1v/Xx5Xu4e7e5d7Rx8dvHkB33w3crduDbZnNoniz4p8sW8bjvY2c/6\nxm66Xgk5GqvWrOuNB58XQx4ipQm7uuh2Xh/+6F//5Fd/8a1X9xc627148uLG+/L8DDfdZb3aGQ5U\npnYvXtKde66c6rbny2cr08OXv3z16MvB3btbzz5/yER6ecohbu3fGmEzi64w3ebqImiOqtjS+SBV\nLuT508+f3Xr/zg//cLdZz559Xqs3pIHryaAyzVim637lVshkHLLs9TycslK//Z5f70I0qw9+Mncy\nidR1Xmrd7t74ZHf3zebJzsuTj9Kp7JliLOvmbXWVN82i3Lo1uTY1nS8FiFSuLqipWpVFgWQ7TLQo\nJ8M8syBiBO+xiQwjyETI3ZsiGYxsjanXrXUi4X0TGePLq+7guv5qmV/nzMeABMVWEqmLQYgkNV3H\nOHqDzkgANMaIUWoqF53OSuzMIhsxlYZ+7W2TppOR2rWdr3zwlycNJirNqDO977gLKaKrGhtMhIiT\nnWmz8Xm2tbuz46+z8OK8NosYch/Svmu2DtOjaQTGhGyata0bVk4FY6uT5xuZxe09nqZMStV3YjKx\nq0v61T+eBuTFZDxOwvzEk2SCKy4VY4G7jhhGBii05lIqLaIPvXG9NyzVIYREuqj5YrPSmiV6KmIm\nmOs2wepO5DIViQsxYrSOjI0sj0rydCCjpeAxhmh7nxbAk5AL2bbeJS4AcCF0SBQrWttujEmUNr01\njS3LJNFSiRCRWSI+gmApeAYEyIhzrrXyodvM4ld/AEikU84Fd32gVDAI5Cg4REHGuBiBcRM5uhox\nMJlFJtEZUomQEomobyyto1RIAnxgwUfXMplGAkDGuAAWgSJRJOcDRT7alcFH8h6QRY/lUHUb6moS\nKU6uJxyZazxIEBIZoHchEFMZFlsYejIdqIHmHFwrbRObdSzGgmnKS5kPWddEQJaOkHNqN6FeeCmx\nHCXlONmdZEnOe++bDroKJUfB2SrMfZ9IyJWS6NxFtzrnzWLdYFPyLHZ1JGScg1baUrveGNRpohV5\nH7iL0DUbpzCaRewbn+SJaa13cXpYlINsftrs3xzcfX0XpNcJlGXJWAhkh+Oti6ev2fr02by6OKuq\ndb+ZtVJE24GWSTo8uXYrvflG8+Evt+7+8yoyPXs2z7aGerJoO79e2iR5x0cnBLaGv/5+uPfa1ew4\nZWF8/0nJb3xuw97mYlgOp4x+lqijB/+0gSQXyVgkbjq2nuTVFeXpOC1eblZXt9/JktH0X/7XX/6/\n/o9fi+nO7Xujtl2aRoS2Bdj1y8pMRFvJ01dbqThcnHR71zPMYTWXPtvZ3Q20aZ49GN69mVUbeb8+\nu7ooymG+u/WXz9n7uU6298rf+1cvfvyndnzIqIa//3H/5u88c115/5dJjOJqxobb05e/6cf7Zuca\nri7j7W8Pxnc3H/0KXN+n2RYhR7Af/Hl67zvTV/c/Ge3d3b05upp1ox10azu7XDW9EItuuaq5UBJt\n15hiOGBEs3m32Zi2oYMDZAhZmaDrrIN3v/t8Ma+j3HRRD3dvs5ezJ59elDvj9VW+fZD/8f92/Okv\nYTrlV6vPxmtXz6qZG29N4Vf/Vnz9T9Rv/Y758+7mowcvwjpalV67Nz779JnM1NXLdlmb0c7Wm1+/\nCP3/+OBqPDzaef+7b966l3Xrs6bemowCeGDZWdfsX162fVvGyI7u8W6+n5dJmT/55OX508c7ZlPt\nbt3iWPvOBZ8lKUGsL565+dmjJM67PjtM23ptiwy70zjehSTd6kwRLP8XvzMCvvr84+UHp6ltFWGo\njXEtIbKcIBAh2HKQtouSqTjexXrTReMzXTSLPjhvLckkFBNGQB5YBFBJ0TTziCEbgpUUQqDIpgeT\nLEEAZ1trvYWosjzx0fe15YwhJ29j5KARF5cVRUQWmmYF3FOvqlesGAyzhFbLrtxlk0O+PPVXT0lL\n6IFkEbTuRCjnZxe+cqurqq1p6yDPEj7eEZLzetUtz1uZqDLX00l+sKs2i3q5tI7Z0UhfnNm2i0mK\nkoaujc9fXPQhooDtw7hdqM+eLHj5FWEZQDIQiXLGGuNkypHIewchkPeAaHrXgnMRHbaRRG9klCsl\nRo4pDcJZFwOpAgBEsLQ31daTMbbbxGbjigEkIuWS2+B8R5FRkE6kPMSouICIoHwfQypTH53hfSIl\nt4Nm3VDOZRq5BB547CJBREZcgJA8RrJ9dA5BIhEQUIjAPdZrBwis91wQl4wwRhMIGUPmfUSitEgZ\nWOu9a0VSqryEvo7WeaDoewTGBGeRgEkuksgYJ6CuD5yh60lIzhg4B8FTAsAZB8UAiAH2bfAxcIHA\nfKJZuwksYcIzALKGdKYSDVqDqWIUEMGHPuQ7WghkmsmMAQWdM9P5y2MCBKUFBLQWOYpMJ9NtuVUO\nmHCOXLOkhW1sJAgKnNhg0659meXB0fJy7dBbZ1wXlOSTUkGIlBiILDpmre/JeEKC4H3jDDDHBqNk\na2fiQ0hTJRKVJaxrXZFJ69lwkr71dUEQrt8okkT0xhAxiMgE04plE3L1tYNb14eDC4by6mxl6h4Q\nDu/t2OqkW7jViqep//lfJUp+vjgz43T8qqxGUz+/3JqtrVAEXTCtuHh5XJ2fHt7F9upILm7HkZLN\n/r2jZrz34Pypf3b/i3KrfPU03LnLL88bNItgkn6ZptPJz/68b9dNvj3Op1e//Lsv0vLmsmajSbI6\nNbatpwdbWzt9vXQsonf9tZvTRmMU74DV4PsPfmrf+/bW976z6E/L8yVcnvKNkjeO9OpKHb7fP/h0\n5/NPSlX4xaL/4D/EYqwPrlcvHlyl4++++91frs6/mD/+T9ZVWM1RjMvBIJEes7I+ezZehPr440fI\nb2s1jnwqk6JIT40pZJ7WDxo5eVCOb2yXw6mkp8fNsBwzbF+dVMNJBgHm8z5433UbJgkQEUSa8r4N\nEG3Xx663nujxRzQ9Ai2ertupvVy//u07n/5tRGPjJumLzcNPm6df8M3d148mB/c/fHb3tbLM4WS1\n2Xprf3Nx9v/9v12evbqepvli06skrFev0uGE+PjG67v1J4/HO7sPP/gQeS/SA8YDoy+eP9lKi8oF\nd3F+IXF/O5FX58xjkxf82ht37949+2TJ7/9mti06+zR9waPxVExbIecERzGirSmb5svjMdd7N99J\nx5xif5mIzPXCd6GFbOtWbE/V0y+qw8lPNT917JsHNylJ1+cv9o/n2PeeeJBOo+FSs9WCoY196NKM\n5RMdRbh4vPAm5UlgjnwPwbLBJGfCrc6dkjwf5jrhwZpgrTcEHEzbbS4sMaxN43uQkgkPbW0YY0VZ\nADrvDaF0DhmyZJBzRNv1MvOdoTTN6ivmE0wGMs9qV4VBweQbeHUSi5z1fbs5t1cnJ0GUk4lHb0ZH\nQkhnYAUmaUw/OzaBJ7ffZixsNcvQgD953njO3n4/r+Z26yBTqFvXxtB1GxBa7d/FgwPZLC+uNvn1\nt0cgWiGV4BFDdMAjUOCcUaQQve2Ds15IDgQAZIPrXGCSMik0i41zLrhEJ4FcJhMWfG+8LKRQaCEw\nCZnQg1LUTWj6Oug+zVRaCG/A9QQePBJpChiVkF0XQEJDy7xIlMrqrlVlhKCqzvAIzESRoso5IgKh\ndxRCiAGZMlIxAI4RI2LwISLqUnDJESBG7yNwUsVQkeikQKmRCLwJGIVokx5alK6psd/44EBlio+I\ncSAgqZFzAGCuDdZgNmAMQedMKGJRCQY9swDQ914lDCA0XYyeMU6mDVkqgXGEEAwBQ62lUC5A4MSd\nDxbBVgEBgcmmC2nBXOttD1oLbxGdkIhSCsmR6cAlpCyhAbcudMbFzjcbYnkDMoZVIG4BRJpxYcqg\nOiajLtLlIkgZNOlEaRttEGa17BOl04yFQMGF3uBkmi829dZ+ee1WzjgisSefz0bjQTlkBPz6rcHs\nsi1z5l1jHRSD5PzkUmltra1WdHA9PX5+tbU77tYjN39Ym8cMBtMDiTy/OpO3rk/SgWsm5b3B/rLb\n2t7+mUx/d/nyN9qruu/hpRkPtYRyHKbcg+Y4a+rVp3vpvWT5xHWbSTFZnz7Or33NlTuvvvjNBdT1\n5ZXaurHyRhkwyIylKZgNcrq8mgxHN6KXN++t8+HpyctxTOD2NeNN7Z2+fFb4WC/Pz6OVktHk9o2d\nAzm5zpZn9XgwfP4s/uG/uZA4OX32jzEpGpt0ZjgMBwfXvyz2Y9e8cputywvIB4Yx+PHfJP/6f32y\nnN1f9Af5sFsdq8sLIVRMRH5w8+TWW7PTL1VlLA9NsTtwTfrRX2dH3+hHY714mW7n9eriMikPBWdg\n9eUzOtwZeK4ffHmy7rjpm0hxsl3u7QzI+9m87W0YlKlxjosgOEaiEMBaxxR5672PTz/db2dn+7dg\nsudXm3J50Q3G24SLJHtM7DujPfGH/4V88vFzxd7cv7eavj7YJfnTv7w/Pai03HOax1hhHPvKT3bl\ni48v0+Hg6Kh78Pkp10WWJM8/USId6mKT6+Ljn13x9OX+jf1f/dWXW3fefON1wmqPQb54WeVvHt08\nSl990api8Mf/Jtz/82G/16rRwFxNTP9p6G9kqtiwqAbAMNHJoF+tAI7K5OHZXNy7vV+1l9bo3WvQ\nXBWrVXF4lH7+4fb2IE3v2r2DT7t6mzPJsE/HWuhg6g4Yti2YdSMkiZyh9f0pArJkJOorb1rI86Rp\nXLM2zYYG49xaz7nBwL20oQ/GohR9sNH0PEQndZIoXfW+2Fam6oEhl4IihT7oTDkfwSOXEFzYPty3\n3Ww5b8EFzGzvEEWObdqv88vjK86zyXa/tS+kis0K2paPr18rhnmhquAzPQCRbkLPhfYEST6B8Vbe\nzAkLtpzVQsPOHaVVtpo5Z3mSEkIubDa/XG/qlR4iOXbyiJLBdqZD6PK27YRAjDGoJEMiRIeCCwEA\n3EvMhsJUTooMGfXOMca8CQJoOlB7o63GyEUVPZdeAI8i9tgSIQsq5ZEjAgRp85SxWgBS35EPNstk\nrqWtYnA2WiDNsAhZwfs+aGC96b0lrqR3TpfYGd87n2eKa4oYokcARI5JKk3vOINAgYIQWiMFSDBY\nDz1xlQdomMKUZ1xaIZzKOAaINkbA8TiP0dWJhR6dAQJICh0iCUVCKO+8d4AMIqFA5ApShUJQiNTX\nTmsBwXYVJCUKScGC94QoyEedkA8oNflASqHQ3PsgNEpBde2JAxKyyHxnu5WLDrOhFhyqqxgdZEOd\naN43Pstk5BiMX88dCeyNTXQ/HQ1Gw4JEEwKV27q1YfbCyBzMJqSD6DsCxQMF73zjuq4ViZYELgTl\nGVkPg/EgRmej+s7v7j76zfz6a9ujMT59uElzdvZ0XW18PtHf/v3bLNjnT5bDrfzFq+O27ZWlbkOb\nBWVDshUmSdG163yoBtsDT+3Dh91wNHNV4JlbzF6enCVcRPTJ/NlGa4cyPDNXo9eOZKJ37LpO3jTp\nfc0nuweDzaZncTodJF1rAgvbu/z932/PTy7ywvbL3IlhQOz9IxE/C+3O4499VG7T58NRevLAXp0A\nh45Ab93Qe7fqYtR8/Pf5g988y/JE6p1bb3+0WQxfPPKjscFCzV6sq83ozjcPbxwuHz+8g2xZbR7M\nrwZnV1PbiHr5ZTK4wey3tndWn344qgWT7OGv/vEBS++1a9i5GcuxkIKZKKdH/OT0qe62D+8enXy5\netFUr57FwaTZNOn2wZr5z4Budn5rWoqt4tmmgbRIXGdWZj7Zf+/GYffw2G1fzy5enFIxefsbeHj7\npz//zdctSpbEVKYUXdP4p88uBBdd0wslF8sAQGmuvI8QSWi+NR1QtKeVKSZq/7AQyXWHn3VtXQzv\n5bD69b9/XB7sjMpdac9fPWM5emH5bz54/M4P5suTq0dPi8amN0sXoJpf7iTltW79fPvGgCBjoujX\npF7bC/3zcn+0uLwMQUgughf18uLq2AZeKFlIsf/2N95ikM7O/+r17+59eLC9f1B+9lF+/eY73n6x\nOH6SDRZnV9e29wZ33n4K1izm1F2B6wFAsMDGu3efX/78+ePlN77+7o38cn5Znd9/tn1tV1Dx5ZNx\nOuxE4nMssp2rxcvnbcvq6vuLc58PNXA2GMk1kfeOAQ7GSaTgY5emQirng8hyPh7Fy5NEa12M9DqZ\nV7XlOn3t3YkPS9d1600AybICVledD6gTIyVsLnuVSpVQu26bZcgKBRiBopYCZbQ+cJBKap1rxmtj\nnEwSIaJv/O44RUXE2vH40LVhcdH0vcizvmlD8F4o2t3N0TuMqt1sOha3EqULywPMZ377+pDF9cqz\n7hzOXlxt3RuOxbDvroglBze97QlZtbmYVUGN9sTl8ap36WSag/UvT1Yu1lIFIVTKc8a5bDaLJJVJ\nrrzztoekSJkmLU1XWeMDMgQA20cg36SRNy0nGOb5xqmmD5kWGDkzXjMOJiJDEBgYWO3TPAMHUgSm\nyDrno8+KnNtYd6ZubSQhFXEN0YLKVLQALAJw40065EMtUAIEaiuKLsYQuOKNtZxzi4FzBkDRBCF5\n27ZJxgxFG9YYKU8lxF6klA84A9msemPJOvJUVRvnI6WJ5AK9o2Zj01JShGA9l6A1AwTrYoCAkgkR\npWIJx7TQziN5KBNqq+ArQCDXIoQAglTKoAFj+WSLrxYhxJCXAoCAhTTRbeNb68CCdyBFogpUGc2P\nbTZIh9spFzH0jgneWhNjbFdRaAYMR3uaArIsruKsX0YhUKreGignuulsOpTLKycUpUWESOuryIg4\nj8Hqo9enIfpspG/cGSSFujqp7390lQ+SozuD5WLNKV2e19mdrYM75dXVPHjz6S8fSx29geVqtZr3\no0mWeWY7UglnhKM9QS4mk+Hv/R6+99qDv/+7w3//l3a6fcfx4Lo2bsbbwyRgx1FcGw4dJcCcHEuR\n/Pz08hv21WxrO/cRwmWYr2b3viklPPz5FyMOzK6bbLe//+TDVx9srn0NMbzfnQ2n22pxrB5/PH75\nsJOQuiakA+lsdfG08T6T3MqBapuLa0N59ngx3eebOWu6O1uTsD5+ZOnU9uXJ5z6bNvUigB+sL+df\nVvPx1peXJ61fTKsmf/qUpZrW57/n+y9vvcfu3mQXV/78cd+zfnU8Orx9EZqMl/Nv/86z2dm7P/rP\nn/z5/7D74JPXXr/1iVvcWltz6158/mV6+vKXjP/g6tXkyxcFqH6wZZfrKoIpBju219ZlKPT2lnp6\n//PFlVTDl+S3drcPTx/fv3hlYnxPRm5i7KoeKMZIXHAXIB+keaastwzQuQgctECOLPoYiU12Jl/7\n0aM7b//mg7957ennR4PRZT58qooqH+/43k3uFLGzmzMY7GZ+aMKxefDh7luv85MXF9OxmB4s/v3/\nnW36+Pr7R1t39dNPql5JAVwm4uTklKsUuQt9sL1Xw2RQCGCX2bbe3rv79MNfejkYbS1GA6mi/se/\neH65Cu/eoqvTZt28ELR58pvBxeMLSLI7192HP/mHncP37WoW5F2ZoZAWWdd6lg/Hii+afsybaH0/\n3k3Wgb06uzXei1nBLi/o2t1LVjTLj7fmzVZwZnZp0kyqTHHiiYqdR/LU142NONmXeZoLEes2MvSo\nYfuImg2AZ6Ox5ApjDHU1z/KegY8mBuRCQIjAFbaVAyaGEyFkcN6rJLGpb2qrpOzRpKmmxqHgWSJB\nGOt519rVykgpFROROIoo0Qevz0+eRW9IBxQDX+PLx8vBQTkeW28Xi8su3Rlee/M64YVWZOttxFmy\n7WNoXz2lpqXhwCfldDClZh1UMdwaiM181bmE4dIjb9eWeomSbe8BheXlOTcRdg+9FCCGW7v5qGjW\nZyFK74JDK8sENFA00YHKUtv3EGMMTApIFE+VspY2wTIhs9RuF9xArJoeWSJQSkYIjAExYOTJx+id\nzYoskTKyKCSa6AIapmicp03lbfCmCoOJRBWcDSpTkdCbyARjAqMh30QfIDhoqqBzLjkAgdAAyGIk\nQpJZcM4QoOkdAJecFWMBSKaLWSGipa6zUjOQAQ1nnJRSsQ8IKDQKzdJCBPcfez+IrN0EicJDBIFZ\njgwleWQiEkUpECUhQjIQXeW5kBRwcWa0hmYR6iUNd9lq6byJMlNcYDV3rpP7t3Jj1gmwTUvjrWQ4\nUSFQW8Ptt8dK02Zuu43xIQKgkEjAhGY6hwixq1AqXC5bZDzJMHiwJoY+NBuTj2XEON5FIFGvrW1j\nkmggQKb2rg12byary+7WnaF3rl+DADseJ20TgvGXLxtn/eiAolh0lUOkrnGmpYObyXzluaLd3SGx\nKLVrKxhsMSXBGs915HJgzPLnP/7Nut1BSNLB7I27pr7cf/NfpJcP0pfPomPu04+Oy92tW9/Z1I82\ncyNfe+/LE8NmZyciKUKxrlbD48/32v7k3R88mb/cOnuQByTvtqvz9cVA/sv/ZuHC1ZMvnqXtf9lX\n29//4w9PvvB1t/W178pf/Iducu/23TezL//hZTaNF6/gVX5JXlbdlcxz8jeYvs/0WSHLtu+7qh9s\nb6t02K+atmr63vXd87T8VqmIbVjsMNlTn36xeu2u3Tr7x79/HMbb3xf9/rU9vbn4MVFT9ay7sLOr\n0Xs/WG42L9/87em0lI9/vb03nn/7/eYff3yrbhfnz16+/wefF5k6fXLEYJlnCvmutb9ZrepSl9uH\n6Tvf7QQ9+Ke/sHXfDMqdvDxozHx2alfrg+nUDTJsZp4ACNA64gyDdyZiCLY3VgotOMPIjOfZgInS\nS0Vchm6Nf/M/fUbhztbeW9feGffz+exswtVZPmJqYIaHsLWXXrsVm4tCxNd6+rTp57ffHj762eyf\n/qwo98obE9y+0X392344dC+fsZPPO5clYbnorN4ptxdXx8gVYawrM3tQv/s7t7Vory47UBn6aZn8\nvQBXV+Kd335b4UqEAPL9G9f7Z7/+i5fN1uvf2Xz009+I7F6aidnxvWoV6lUY7REwLMo8Obx58fzp\n5Wg1KUaFPj6ufHn3eg/BNF6OpnvX+Nmnfvzdu2zINmcjgTEbKaX4em4ZV2jbzrOk1DxJR5k1tX11\n2QpiLtLOIRDnrgMg2qwW0TsfA/BEDZJ206839vpbeyK6j35ynuWaseAdFWPFAWLg5aC4Ol9RlEwB\nKko0c73jAMGHHlrqoRynsTdggUupuVRlFyPrLCoNKc8N9kVpI1V9UNPtQZ5k1Zn1e7h/d9uaZn5x\nwiQDa23jPHPFRG0g7N+bxA0BtJO9uL8lq9oTb5aX+tGDlmkefeMscI1175KBFjoiQL4dR2IAVb9c\nWRGsmZ3N26pinDkX7IrywlSbLkbQieLC6jLVBXZVj4GnuUw4731wgJp5U8GuZLEzfROG+5yFPHoA\n5yNEBGICWWTSY9t0MlUMuEgSnSlPgZjzJshUKS5CoNWFL7e4TphzjEsmEhYpBufamvqaklx4H4ZT\nTUjRkUw5OSIC56Lzrl16mao0132N2YT1le1aFJIjh2YVfCBkFIEDghTAGStHmHkwPRKBawNXgIyZ\nNqqEt01kDDrjkENRIiPmjfTO9p13PSRF5AwZR0JI04QoEov7t9MQyXRBl4QIkoAVKBS4JnLFkpKq\nquprEFzcfa8oiuzixergdtFWvqmM4nq6jVxMj59e9Z3Xg3x2WiWZDJHqmQHggjOK5KzVGXpLSgtj\naDDizTJ6B0JTX7vhVqYLBI5vfWs3ScJq6cbTXDH+679/BlxNjxJr4s17Zb2uq77dvYmEBoGatYnA\nQsQkl7vXBFAcXevrZZDliCl79aoSIl2cRELBEPdu8Bjd6eks7++k47g9UTnRZvZyMBqIvS/j5t3V\nw6+1zVqgXhzXIv28uZzvvP0vn37y10LeDVlUbAmQSsibujOdffrqH+3l74qkpKuR2BpjWh/euTvf\n/FO3jKfHbZI9OnhX7W5vnn4ibt3WBzdu2K4Z5iwR89Ui1H2isrxrzPpifvyI9l7fObw2zfOjxdWv\nV2eLbFzq8f7sVT/YLuhKmVmixkzgcPf6Owh/s1788NZb7kf/6rN/9/84qPxr56+O5xczluSZ7axb\nMGCh37k645k6271RHh423frdreHfXZ2wNE4ZvVyuhi8f3WNKpeXlq8+XTBY33nl3fXp/MILB2B2/\nzJgcOzer16vF8UlfLdfrsUdh+y5P15tqYxst+UH0Sd0TQ29sGA8Lr3zd9d5HAB4JBVPIlcxQKiqn\nQmlXr5ehpd0daNdy+WJn6+bqvd/6yMdexunqArbGQ7XdXb66WmA2OWzPTha+2ZK54zHt15AkIh/w\np0+TP/nfWebNwwf24f1Xg4PBP/+2e/BL8fmHsV3Ere1dyfly3cnBkGNolp3vxcmjzWDQ3X7v8Hvf\nK3J9Bm1YtO6Hf/LNr7/V/sNf7B7DUy7c489525U3v14pOH32xL35z+HavePF6s7mZcxy50Oyu2c1\nXxu59cagOV83brIl1zHXUx8Hwy1/2ao+PC+HuZ+WZ4++yCffKkZ5s2kHY+Gd40h9ZZlgzkQhQWe0\nOXP5pMzH4vLxnJQCUtWluDpblVMS3PSGCFLq7To6LaleQ1s1mtitt0b3P5hnmWYI9bwXkguhLIe2\nCWmqkMB0vTcOkSTIPMtDiM7gNE15dDrLk4THCFxyrQBICew3tUUhmo3teprsYlLE9bIDpiSw5cVK\np5pkk6WoCoGZGSXg1yGGBNgFk9J3GGL/4kvRdEYNZZnq0ZZcdwup+GCbD8vRctF4JALOPHe+zkbN\neoHz1vz/WPqvXk+z7E4T236//v3748Onz0pTvoosNsnu5rDZPT22IQGDGQgQIOkD6GKudKdPIAkC\ndCMJggwETZvppthkk80iWcmqSl+RGRmRYU7E8ef8/Wu331sXpa+wbtb6LTxrPaRazlvR8ZgRQAjl\nBAdrAoSYx5BHWHYeAJdkpBhxb5BxzmNMg/XBWxOsd5saFkVsl5UPNp86ZKDtkO2BhV4bBynGMTKd\ns0YTDIklARgfHGIozqkH3luSUoy8E1J5EiAGslPZgEEMVY8pCyENyQA6R9ICegtF76MEiNZbHRD2\nUUww4ZQhjAPJSd8ozDAAmNCglQeAxBn0JoQAMCLW+bYxCCP3WyTBQ++h/+1fi4CVgMHawT7BBPoQ\nKEFOBx9EnEAec6NdcAFjqK0nGCqhMUGUYmeD0R4CkEbUBuCcEcJSh62DUUadAsG7cooCwG3VAWdo\nCl89WQaErA2bpd6f8dNXl7UKGIIc0OFOpqWkGDMS04SyCFnpo5RYq1ULIY3yiQ1IJVmWD6JiCLcL\nuXc7XSwa69H88kpJGyX84qTbrFR5RIqSdds2BPvyacMS4j2QQhvrvCPBe6cdZpDGsN4YZz3hTDUA\n4y4IEwCr19YJOr6NB0OghIkLIPo3uH9MJMxxZubD0/Nxsvf08dNWy0XVL0yrswzlu4WNJjjnJhgh\n3gb629HO7OWjAhuU7exW66t8TEz9AMB9HNvpLdSqw9ndN4cj8+KJ6xa4uRnxe692b1Vf/rV4+QhC\nOh29sO/+3lvrV4+OH7nJrbRr4mSI20qffu0xpxTdqavWX4qYMCFwUggU7Sldivmq2VoLk90RWHe/\nS8/1nclgUclynzx9dMxTSdBrJFbJYBxYu70RbXsaD9Cd13QA5PTF7OJx3q4effA7hwxnu68P1t6u\nLkklbg2m0Pok6J2+efnjP4lff+/Z538GX3xzORpH99/9/fPjF60PfoMffhQJVVhBTIfKB3d0v15e\nLyj+YHqb7h0+XF6nNxc7HkptvDMuyaI0wwgCQoi3ttjlg6mtFr2X7vK8c8GxGH/7qH3wneInf1we\nf/v80W96WSVJtpy9/oMD8Lg8OH7419HiZfzqN3g8GXYCheo/fueD8bVL7r/pPvkLvvOALh7drNVO\nv1lfPx2leyb95tLUGdJcibicoJuXL7utmUwHe0f8+Wcv06LEoD17LKZ3Ju+871gyv3l5pvI3yrT6\n5M+Pb9R32sUV5VB1Q9eCbtUdf8vLyf35y/7m+fa93232b7sicn/312/I+qTV8+//5J1RDr74lFoM\nWTKeTOkNh9U1dsZwcHLyHM9yWFfD1XNsVcvjoFpgHMxyprXJJxFeW8pCs5U8pYw41RqEUDEhZYGW\nF9X4sIw5EK3GxGvrgHOypdnOhEdXWpjN2kQxLobM2NBLCwJKMFN16yFMsthZ4xUMEGAUMCeUYxy8\nMYRwEJzou854ih3Hoes3tpxyFNpOIeGcabUJdOdOtLsTtysNWdg94NCTsxc6z0E+GBVjg5zRJsFQ\nnV1pZUXXMKMUQggRAJFyGIhebq7PnQe0QN4H0fjQd5uldMQFz4cTnA9S6yTAfnCYkihmEcfaBsK4\n0r0yjjCallwL7wyIMyha29aBRcgIgwgy1FCCMsKdh8L4Tph1rSDCZqk3qgqQAo8pjmgMEUGUgIA8\nLUBA1DqntQQByC5Qi51xAdgkA4GAdApwj4HHxgSaBkBt8IFGCGLAMkg5sCZgBpXUhIMowZRhiAOP\nsbcAAwgBDiR0rQk90jKQ3HtIEEEBQN07qyEh0ECLCaKUheA9CsEFjIKDqNkGyl1Sch571SEtYJIj\njqF33nvAYggCMkoDAGUPg3cBhMAAJggh0lY6AIQxCSEA4K101ntCAUCAYuit8QBZZ/ve8igKFKxW\nffDQaBBx4qXshbuwHsTRdIYhCNZpURsMkcNhuE/vvjWrV1LUtmkanqPhThzF7OzFxnnIR1Lpbr3C\nLEKvnrcW2qQMx1/22SghQq7WZGc/8tLXTmEM+gYEByCDEYFK0hAI5QEA1FsTxUj1Dkew2To/B+3G\niBoiZiGgw/GAxgATZIxHyGPEDw9G3lXnJw8ksfGBGtFmdRXHOYCQoVSFJsxP7K13xGxn8M3x8+Gd\nJt/7EIlL3WueDoDJI4wUbrIsSg5Xpy9rGsPx638rTw9no8zFT7yim0u1vkS9lzfXndVxXHTXS3Ak\n8p3dNQnAtCSdmf6rIXLGeAwxQkmeDIZaekLWV8fxe78Pdnauv/g5FSIvmWB86gVcL5PLavr5l9v3\nbu1OH1zu3F01i39UfOfmar1erlvGDu4ctZ++KHUrR3mszcXOwf7RO9tmObw6G56d/3xnZxbZJS0K\nIqCR6Ed/8vE3v/xe35X/6E94nKyf/LKy6MP19efWe/P4JbYVgiQvs0hUXa066Wa3D7xYVFfN7gPw\nvd999fCj/vk3LcbfjfM4eOMdwgR47713CEEAXTZMdm+H5WVzdeYgqEPwOGERGf7eH/30w+91T8/+\nvNx/49aD9uzRdnK4m+bm5gV5/pdi9/btndv9i+f8j/7T3c2Z2SwH26vj4dQdP4ot3hsVNcx4Frmb\n54anubgmS52ktARqC1h0dtJdPFOMwXo+7+dCSB/lCcUpofAHfzCZ7ZqTp2I4e/DlLwijH2nw4flp\n/PRLOq7s/h2Sj28tf7MGUZZmzera7926Nz8/Rnjgc4nQHWCny5f9yeE3VXb3wWtdU13W8rJvx0rr\nGIB0ZqbD+011urios/t3HUgccH3jxweS0+jqBJo6mAYFGwCEJPIMwVdP6sFual1YX0q5iU2A5cCG\nQJTwCCAEvbQSMM4jHBG4ONGIOq18mqddq3iZH94qGfZnz67rrUchWB+SklttdR+8tzt34PZCes85\nIduljGMomhWmloQAIdSCEGxmt1J+DfucpEM8GsSyVp7YNNOiNyyBd96NMWnj1HDAqo1dLgUilCaO\nMQwcdLFlSQiKa9/FZQAOwRHrhbbO953T1hsHIQcMYmdstYzjZMjT5fS+H4v5YwAAz4tJREFUMQoR\nbdwgg6QDwNqEMRjFvdJGGgCgNYExRphGkBhlrA2MeGed1ggBqk0QWhMCAAzWASGNVzZKLSaJgjZ4\n42vcOwBjx1KMiYkIEoGwBCYFtNYBh5xDzdZhCCiHNMJCeudckjNCgHeBJqDvPSMQQpgk2NggWks5\n6Rqb5CjmVEvfC81jRKMAAYpjEobIaBjFgGBgAIAQGA2dD94hQrBsvewcwcEHgCkkBFEcRnuEcfRb\nU0oxIcEDY5zU///m5B2qlgoCEKeUcewhgBBa462BXhoec0g8ABYFLHugNNIiIIoAdEaGKMUIO9l4\nRiMEgmoxZZhQaI3VSpGYlBkw1kMHbG+cBc74gLiHVm+sqH3fXMvGQIgJAWAb/KjXSmBCOU76TvSb\nDjJKWHCG7twhujdxlCYxS8bEdcTVNI4xiexqa0QDRKu7xpje7t7LCAaitgDCOKPbG62ESWceUewQ\nKvc5iz1CLEpJPsIUoNGUKGW7FovGOfiVFmy+9EKvFu2GR7VqeJbgdWNlkMqbZDRobY94Ged3Lo6v\nbr3++O335fFX+xBKwtR8HdIBO3+x6Oxw98HToyP36//ojb1o5ut3foxPHkrpU4GsvBIIJHSYZGMZ\nj6mHTcqyk7q6fAKjstw9HG2bl1YTGKO03JENhHm7mTeqC0LwV0/C+MiSm0g7h4jcu/vaL35FHLxA\nnHYgPYz0yfHNH/44Dnr4xcn58ZdJOhGij9/8fhOwV4gPot3TJyeR9Af3/r3W+8++fD+YZ+2NjosD\nsbos7l1+9meN9G05jJ/9poeAKQk95RRj49HebPD86ydW6WZVaQvaGlo8QK6VDVyt1O7rRzcnj7rt\n7azE7WYQvNHKdG0XJVEUI6ut6Nz0IJ3dSdeXF/XSsshmkyEh+r0fr++8RnOm6y149vl7iPf1AmSj\nfDQ5m5+ok/O96mLibTvdNdSHv/+zm7d+FM/ivJvnr47re2/u/a//N/jRL+mf/6XEGVV95mCAqqNZ\n4epGK8ggZYjGzAEMohTv3tq5enG2Wcig++1Cbdfpxas1TscopO+/RWyfH72+O51ur7+hd9/ty/Lq\n5gVDLomjvr0QxeE9itvT4+lsHIT4fZLH777z7JN6WS/K6WhwdX1pbHL5uAZ8nO2j6nIdJS8Rfnu0\n+0du9It8evXgwebpx/d+Myd3Xru6fW/56NfvPX92AEzV9RbFBAV8faHSUZ7mseut1c5aw3KESAg2\nQAS9xYS56QT3G/fi62c0i5M8ykoctKrmGkKAYdB9vbgRXRMQJdoEpYOtFaWYZhgF+PJrN5jEcYKj\ndDA7zBenC5JPkqEWtc7GjDNACU/G9WDPSe18cBdPpKgNSgMVthddHBEIWkwAjvR0PPMCauOzDMja\neeOT1FHGeOQdlshFxHkPrWqJMRYgwxJEMDIdQ9YGCNPC4zQCWzhfeJZZCC1hBCLoyzwy1oHgCQqE\nYYVIr6Ty0lpCKVa9xRQlRXACIYikNo3VnFGCQ8IITWjdWeddkSfWKYMtDVBWjmBqbYAOG21ZCm3g\nzkDAnamClhYTkA6paALkXsoQCIIExzEKIDgbCEVS+CShCEHngBRBSjecxjxGqg2+Zx5j43saEyms\nUhB5DxAkBHvgVQc0DB4CjAAAECAQx8CYEAIiJGjrKUOEYgA8xIixAEBwBiAc6qXV0qcjRDkO0Mke\nABgAQk6DemkAttmIAG8BBsF7niNRKcIoCJBRNJzGADnZBi2t9U4CH7wzKmDMeYrsvDW9wbsD3Vkp\ngneBxg6GYLU1JhiF4gQlBQLeOEBYRpJhOhiwwShaLep6LYyBAIbrkyYrMw+s6BTCLB9T3QJIAbSw\nr+Ctt1PV23YVeGTZAM3XNWqRkUBLnOQJS73EyAZtW1g1Mk4BbHFACEZYNI7wkIwpiwGPKCUIQttU\n/r/+L8h7b7/46/9459/9qfnZf9K9/dZnv/nrCbTL4ThOyenzz3eT2BkZMOC2dXWF8C3R1/LRp2SU\n+9bd1br++Z9eTkYz2Txazc27v3O72tTzazS892Zz9fjxaiA3kGWU5fniKgDKnJJOMYIiF/DezuHT\nT7dHbyNoyLPnp1cvQbO0nQBlatJ4dLw6FZq99+5qswrFaBHr7eKJOv82wm7vwTvPo1vDmHfPfxHd\nfucSTbpf/vU9oWC7FXnertroy086o8f8gGVZU23Hsg93vnMRLA5gfnXuo5hfPfef/zyqOpRnKQox\n8Pjug8XHr+CrnwtKQVud3/1gKpc7AK+MZd36lbaQaLy6WUMT1/V6wnYiypNy8dr3Xjv/+vH1WT2Y\nfrA5O378C55OXBZqxiV0A8oSiCmhyGkLA5nu8927GJGG4HFUVhGG9+7mAHbOXD17+LlxNAT8xvfd\n5XHz/GGRjmR1BlY3C1yU0WBve3pRLejsTugDN7pv1qiprrWefv33N7qVX36WvvqavfO77J2fsJuz\ndn45/P7PijR+cfLV5OnHdiUWrMgfvLc0ClarDpMySbqmjX/2T9O9/T0XZapeXJ++FGEc4Pjg1mPn\nTyG7d/lUulvr9UXSdz3fGbmQ7t/eqKXI4yNrt4c7n/Svfuf8xSQfnqYjTcllp3LnMwi40ag5bbs1\nyYqfCNntHX5cvexPH0XDmTu54OVsffro5ptfwrT0+VByDIOny7kdzPh4H4GAulWLCQYY8gj2Ijhn\nGbVdYxhFzoFWI8JYuZtDhvMEq84whptN03b28E1aDNl0J40j4iyBxAmpugoGBJM0DMtYGVuOAouo\nbhiLtvu3PE1AAMRIZ5RDeJ1kQHXdtgaiRkJalBBgZK8YqkPdmg1wENO9OzTibn7d6Erp4HULtHOz\n+9g7jEFQPe17z2NjvfXYgVjHcTASphly1lcL2dXi4K0YGqI3vdat9N3ufoqUIEeHu0230dKnRSqF\nNNYRHFiKOMEQ5o4Aax3iTEgJYBgXCTKunGZ1q1BwkyL2EBkd8iyy1oUAIKY4EN0HBImHAEOEMPAe\nq9qCzKAYKhFQQDzBISCtAiQAUwQJ9M4R5nwI3iEaoAMgSkiW464xRnvOcBQTTACLAGcEOGyAhCjI\nDvYNJBxEEQQBam0JwxBDiIIxHoDAGXHOWxW8A9Z6FiNGSLCOZ4GwIFvf1QBizyKEWQh9gAgAiyCB\nSUZBCFoB57yn3miACWQpErXr1wCG0BgFISlndDBMMLJW267VPiDCIPQoACjqoIRHDORevL+3+svn\nxeJCIgQcRElGZG8ggBiRKKGDCUNIKwMAhDzmAQFGA8JW6X69EISQKA/BgHKYsZy3m1o0Ic2RtzAf\nEq1dW7sopVIJqQCmEJIwPxeLM51No5gRmgCa6UEZb4LdLiyCyEiHMUII0BgjB4FnhCFCgLdBNnbb\nBRIHztmXnz2/evb5VVP4kBt18+f/1ifRwBrXLnDQBc+3AQgN9owW5dHg9e81WVR//SW9uArTxNXX\nw7LAuqM9W7twl8aPvV2ur0YoGrMkLJ+BYu+9JH0Uotu373w5nerP/gITEqKs71ZpeUQC6JOcP3v4\n6Hf+0U+Ioy8ehtD68Ru8mOG6Ec6GwTCIyqbpjrzZXhwvykmSZHnQaH25P9lpVhfVbLTfq21T3Vg5\nPTra2xnuP/n6I76f775RAMNUADd5PNk79F29ua7irPv2i2T/SA2P+A/ed8+/mr564nvt+ShpV/bk\nS5mPj9a1orniEFSLmpFE6c3qUim7TIvMW3V+eYH7kPA0jqCRF9Ojpr75+PqSRPl3MCy2NxVCCQz0\n+Ct0792B1rptJWMo+EA4338T7hxlCJim6Yuxzyx2ASrR5CW/fklvXsb5wXx6QM+efVmtbhHu6nMH\nDwcs6iYH46vjK494OR7YultdtDfT4f6sevSLaPB6fetN0LXDepOM9/1bP8lef6P79iF+/Js7x59/\nS/K6XaYsGXiTpENw/Gm/+27OI704uyI8HuT0u7/Pbt06e/YxGeQzT2saYKfPnz1Vi/l4W5Fa+HYF\nvdlCl0RlPNo9efRRGIwmdoPodNp/bR0+4VwHsyMqtmbb4ydHfCAKEjcbSHbJaNd9+4T+J//FMO4/\nfnJC2h40XV4UshfzZuGlybUCvegHey5L0KW2fROQ19b2CEIeExYRoy2AzvQURWE0pUYGxCkkijAU\nR6nyYVT6q0tYTvI3cjfbJ0e3Ikpt17qqAlYYB1yWWo5IL2BR0nxoS6Dml87b2ttlPEJWAgxBVPi+\nBcZCgvxmjiGOtPGQhME4tA00gg1nNiCXtYxxhDDyxknpEdngDDFLAlQBgcUFHO1a6ENfW1FzGRvM\n7WDC8oFv5j4QjLHHxO/dDR4OkwQ15xpgmWQYOIrCqBgJst6qLC5gJrQyURIZq701BGOcRL1SRgcE\nURGjOCtWq62IfczDgIEoTish69ZwSkIAMEAHQlO3jFOWYhJlAVnEHUKIsGAVpIR66I12zgTMPOUU\nIx88YAmCIBAWgkWi9lnBSAqs9oTCbq2uXshyEhFOmq1xxvKURBHSxgCkgiPeAcrBYIeCAGEAznhr\nESAAY9C3jqcIIghAcD5YGyACSYriHCYloowwS5enlvgwPGABwCi3y2tRlAQR4DRp6oYnlDNsjecc\neECss3HGvPFRiigDVoVmg6OUDgfMWSu1BQBlJQcQGRuC8LpTGAeIUAz07+5fVU1kxoNZCoKFxjhv\nfDLIIEEEIkhsoIaCCLswu5Pv78YvHlfbtSQ82S5bTAKE0LaBxHDv/mB5ufHB/Xb53i6NL32SY09g\ntzbGBZbAJEXrS+OMZzFCAODIi5VHALy83GrlszLS1mLECSYu+L4zjGNEcLuxSY68Dc44lmKEoGzV\nYnMrRSvjA8/w1athvzwZvr2aHcwePzJl8Z128avVdfXgHTGY+MFRK6v16vxbLd8jFEXJIAp7+op1\nG75/2wIqNl/iaj0DdDU9ul1ffY2i+15TTKeEXX/7MDlWc0wT4NL2uvaxV4JevtRt5fNJMl+FYRqz\nDAmQ0IR7O3/69TpJYF/79aak5GY661bQ99v+24fy1pv3QHN+8Lbm8M3qmignWEzzJL142fzjf4i/\nfVjk+ZXoO9UPEXtiNKP6awvDk4/aYi8RKqIJu3xRba67bBDGExKJF03jqnlVPhiOJwLn5sWnCI9m\n1fqTvaM3sJ3M3VI1cjo71L3GLMGk7ba4qdayBuuvYsiaQG57P2HRi2WlSRx3tUjLYdeYehvygmPq\nsgkqUmb05vJ4a1raVTIukLaI8oAY3XXZZkG1AO027B6SF1/x5Vzv3Cn7bZ3tjaAwm4uvVTiwFnbr\nFuyUMGK7u/D6WTo5RMZdAhvFY/b7/2n9i7/yn/35YvGyXm3G9fK6ufGb7eRgv+i3fbI7ibLn8WjQ\ndTRBwYXICVbmajhN+/UlQMXF+avqmPnZeLZ7kt269zd/EV2dL2+/M8yi0K+Vpj44tDhG5XCfGu7y\n3WDx3lsM6M26+VsLj5rN/mhH5ZnaLqeRvjEuSsoFMna0uyNWrK5j17d7t8PF/LtarL1ptmt364O3\n6xXtrjxLgKlklDLKPUapXtYkJWlBZWetczTBgKvgAqIcCNfXPYp3Ugjjics4n83MvbdQmikMI2uA\nELJeAm2Ux8hTADwEGNoQKAP9NmgTKDa0AP02oYWyGnU10BZlzkQJ9CL0NhAGKbbAeedgrwNBNsoB\n5QVhfZw40QQQnJKeZiRPk75SjnqSuCKD0ANCIYEAMQ2pNRaMjwAnWFT51ekapzoPKB+QfAzlhlXn\nIIBoPE27xapb2rpY54iStqogKEZlTEmPYEAYBg6t9tYiraD3cDJOYo7aVmcHY2HMciPQkBIDy5y5\niKrOKh2EMFGCGMGU4TyJQuQ9DUpa45BTUAqAkIUAaOVJhOKUxTm0GkEPfxvqZeUIdRhj2Tm1NHFB\nlQhKgnLEjEBWQwTwYIcAAJXw1kDCMSYAU4wwgAGo3kEEVhdGS3frjeT6pWIZGu5i7wMOAIIQ5ySO\ngpEIc7C90HqJeAexxwh6sIIkxjKCSCFQ2rSAfTCDnRgFCCEAkALn+96qxjnVxynFDMjWWu+KGXMC\nrZsKhxAsohFqmkAJEZ1zHtAYsRjnZfjDB6dsrf/l6Z1kgkyvggVOIg8IjRAlAYIQjwIIuF1CFuOd\nPd5tewg1wUFsO4RdwlRTQ5pFSQn6tjHShOBVC3gCeIK19EmJKUA0DhGF3TqsK40RGu/lLkjVwW7r\nKYfeQOfx4CDy0nsDMQmiNhB7AJDUmnCMKTHaOQ0AQt551QTI8eFdMYjQ8depatotoWadUaS/92Hz\n9OvB6clmlA8Oc2B9h/q5beDqZufFb/The9zcEMjJP/mfrJZX809+Obu40DHTw3KqeifD5Ki8fuN2\n3tmdv/v32+EQD7TYPQhn37IEIJ4yxHEcI1nh7CCPuq0UREr5+dcVxCxgFhHW933AtN40w4Px/r0H\n1cqdP/vG9ISOcVwQQmdC8H7zCuJV3WfHf6mjQk9voc2no09+cfPme+izT9kr7//kf9p+/CvrfXlx\nidLY44xpTRLO8mg0uFN+88n5hbNagcXCxGWaRqPp3fVkxrdXxrrZlA+3BHfVueyS7dIp5fsu0CRD\nVsEQkUC98curq8FoP57mbbNb5vLi9IUFfjgs5xcrgBKascm+McoMZ8Ukhat5bTHujOcxKqZF0zQ0\ndbqHci22Ny9He4OAF5ig4fBAyalYr/Gbd/mgbW4qnqD+so73+sYSoYm5XP3od/fkukV0c/ZNceet\n4WZVV8+caS80uj2dhatnxaJJuHPreZeP97rKts4Pi2R+7gIGsUMWsZQHyPD8vP7672/ee2eQFHRx\nkemYt9vr24f5L/+1370f/dF/lS2aen3eX/cJJnlX1eNdMtk9uHx2+c7vnafR6ObsJi3M4z+N26Z+\n/6epmNvFNX7ze/ebr5+yGMjqxWr9FkSdCVttCsQWFu798A8hRzf//v/c9oJqF3Nix/vGOWocCMGq\nKgx2CGPIhrCe99aB6eFQ1sJo1fe+rQUhDHP04L3s1lFLuAJKGeuNDGsBCIaYANl7IRBNsOsBRoHE\nUEuYj4DR0DnpNDYAzHZdNhTOh80FmRxgKbVsoAoO42A8hgjIxkMCMKHOOa04CLCvGbDWIxknBHPv\nAx3PYLvQ1luSkPFwAHBnnVEKQhp87wf7QDfcCBKIrjbr8tBZFVhECDFa7TQL9+TX84MfHowhIDy8\n/aMYaL266QmitO0lBu7ocNB3fW8UZ9whxylCkBrjvPXrTtVCHe2Xe+NJEkWX83Uepe5KD0dcYaKd\nLAesa7VRWhtT5rFrbR0oZQhCaC3mcbDOYYzGM8ZiGEDoGwUNZpxoA6yzcUowxB56LQPhPHige8sT\nFDxOBijmMaVOOS0601U2H0YQQBigNc54wBNEGTbODXZoXsbe+TjnxSSoxkvpkox2NRzuQiVA33o9\nd7ChQ8UxhZ4FZYEAGhLoHch2YYi9VCBJgdJheWHyEYkzpHoIEUxLHnwIHvCIRgn1zngLUeyNBEpZ\nQIKDpBc2K6lDwIfgfXAN+sN3j98aX/2fPn5fc4BbJRpPCMxL7DwNxAeACA/DUbmzn0WMhmCfP102\nK9d31vlAACDMdh1FlADguo1ttnq0w197a98426zk+dkWM0g48tZFCfHWZwMkJPM+GOsRxjwLAQCr\ngJPGGW8EEFtnLXDGpCW3BvS1SAacce40sFZ745X0wQIWISnciyfKpH6UtqeAAzLePZzMb148ePPh\nj3/3dz75HAIytO7s3hv6kz9Lp9B6KCjPIy7IzgA6/eT4V7pdvPbh90Sg4vpSiEbDeP8WZLSMhjd6\n8XU5/sFgTKC8e/ft9OLFXwKCut7EI3d13I6PRl7fkAil3Paby/lVH1TPeIQIANDrXmLMBgOMeZyW\nvr3MIFUkGwY4tuH4h//lxfKLt438CKa7SOG2kqOsiqNJLWjdVV1L4hF4dXwu5WC9UiwhfQuUBM71\nd98dzs97g05vfzANeLV4ia7PRbsupu+UN6fm+LO+qfYY2DHt3EpiiPE+KUfZ4uq0reu7u2/MJvrl\nN+eAIgNgsHy92dy5nR2N4/n5ZcTLfltvqt55UAz3p3vIq1+W+fuvH7wt2nUzquu1s8rTTAMu+jMp\nbsD9DzJ4jZRKA2xVS/Nhe/vByfrD3fPjRbOYxwO2mbc8HwbSOV+bThPoowmaHVylPKn7cVZWyzP2\n7u/fk+3yqn+Tc1ddLYUBqtUQRNYggG2U4REdrM6u2w2HDHtSjW/tNmkmlW9v7Itvdu4donJHvhON\nTs+bKO4J21mvxO/++DJLs4/+vFEKiMahSO4cRbv3aoS+3XutPP1mg8iyXd5r7Pbe+6PTJ5hGdCna\nyeHu7OhX8ARIil49JXSWZmkV2CMDfZBhsXTpxdk0v8bx/YN39oJqpcb5mAYfPHC+pVER9dsaMYQd\nVALgCHdr1Us82hns3VeUwuEeKArm+vOrYxDlpNsgPnRpBDEBjILgkVGEEKxr22trDRiMYZq4tsYB\nQAg8TexwQgjEEIW+Ckpb2AEMHWXgt0NakgUYXN8hbAjinMYGR+7mpa3rKs1ROebdFgbk44y0c6CM\nyweU8bBetBChfAdlCdDCbCuHLXS9apaSJiGb+hSQwYTFmfYhickgO+JJ7LOdTqurEPDyDPI4ahtE\n+k6WGY8iVjcmBJLGKcGIoWC0Y5xKYVppr26aYRF1rcQQ5TSajkYWOEK8BZCwkOVcG6OUTfKoquR6\nWZd5XORIOuiMp7FNCo45CT7o1tWNiwoCA5K9DR4hCuPSY4RQgKIO3gclVLAOBOoqmA9ZMkO6102r\nIUYAYExxCDC40GwNT3FakBC8dZZSDGjoe+0cKiaYUqSEF8KxCEHoFi+tkgFArIWJIgcpoHnwCYhi\nHGUBYthVvhIuoazr9PmJpIwjRPsOWOd4guMUO2MJhYhDbw1EUHUwKwkZgGAxgEz1TrZuMIhF5zAO\nPgTdhN/74Pynrz//D3/33qnLUm5FHSACwUFrAU8Iz6HzwGh9cbLqOzGa8EHB+soEhIohe/DmUEn5\n6IsrD9lslznr2gZO99LhiNRtzznOR3wPFNtNZZXvG9NvnVUuIFJMY0q9Vk5Wkg8o9L5dGWc9ZXjx\nSiQ5RhjefXvv/Nlc9E40gTCwrDsecZZCoxyhXAmjpMEc5aMDFNbOCUaSH/xsvX2xevn48NlvjPBb\nLWaHD+p3P5w8/PkxBsNk5NuljjO8udl6GK1Jj52+flqmybfD+1x4Ee3oND4AVopOPPuSXh6H8eFo\nPFsT/OjrXw2N3+nXJ6YFBNOopITJPGIX8ybfT+/dH7db2p7rTS+Wq7ULrKlMNkgdCNVND9yN0JUn\nvlo1R29F+UB88u9wlN6qri4P90C+F9bXzXD24qacEo5EPYrSSwAZlKy+qXFUOuP7SprOGjbiXA95\n+ukX8flF8Ir06yof5HwCvUYvj6GzfLJDttdeuJrEwEjU9x0h4wBBvdnW6yvZn7CiCDd48eocR5nF\nqbOrPPfXNu82HcAQeITTgzc/zK5f/dWLr83b36evrk4bWVun66WCFIItWm6M6gJJeLexUnpvUVYu\n+O2uq/Cv/241mnww2lmszxY7r80iErRDxiTuquWDmKQFBuDiKkxH59cXoyRzDrLhpD5GqhM2IpXt\nkZbMKNxUywixXrbWIy+Hou8xjqO86FfzG0xk2/W9++/++9vPP+2fPoev0fnLJ2IweWOShX//b+Tw\n1nfWTz///Gb1/Et2772SZcb26OZSOTTgsFosNfYRKgevvW2bh+O2ezm4ha9f8bNz/g//xfP9orlx\nXImLzfbuG+/ujcjzJ7/yZbqtxYQMhvWpeH7Fa8VJBQyD2kAhbBx7lmLCPQtEGOYxn0x5s5ZSm+Fe\n/MY+n+zW6wtAI6A3YC06YxAiVAnIByJPqeycVAAOEQTQA0Cp0j3MC6ZUQD4oiYy367ns1z6ZAg+A\nV3C4C5OUcB6CD1YhDZXxXhvsWxBAhJDfLgRGwXpQDBHL/OGMlRO0vNTXZ256F9OkETUfTCgiHsE0\nSsTyRMoOjQ8RS9HR205rYzSOExyVaDBKu0WoVqEod0ZlGoRxvnd5f/NSCuk8iCbTUjaN8YHszzJM\nmPVedp6iwBiKspiQEHjojavmLcbo/t1JTHHX986HmOP9OK+UOm+bcUQpCsF7r2EIPuIkmhWyV4tl\nm3Qy2p2FwjNqldBmC6zw2ZBFKWqXCkAc59xIhzEAGnnkEPBRAgnFDQPBEMow2uIkBdY6rQ2PiQ8Q\n4xDF0EiAKBjuMeeCty44hCDxHljtEMGIhGbjrAnOBEzA/FhrHbIBicrgrLMuOAQFNXxMCXDB4XYJ\nGYdOeRZT2TmCyWiWIAAxdgGgeuXW8z6KMPTUEDgYkWotbO/jnGptlfYQk661VllCkRfWuEAYMA38\n2QfrP/jw84e/uffzFztJoW0H4oI4CzhlmBJMjVbGKCBrCwm8rBojvJuBchTXK5EkVLbdy2ebfJCp\n3nZN225kkuZGqstTmQ6SIkbGA6ddvbDzMwkdhARiyOMcA2/mJx1CFBFgV8ppkOZJOeYA2nvvldiZ\nR18u+l7tHO3EEfj686vRLD+6X66W7eWzjWzs9E5uNXAIsBgtL1fv/rT/9O8nRnez/W9Pf7MGQI5v\nC7xhMDyIoydf/d2Ll4/jvVuCQLLdXCI4VB2nQ6Lx1i3B9rJTJQCxnd+MxmU/m/Jvv7y0Hhzsp8Mi\nKgrOcD5/0c1fnmlyKx3cl81J6CzisFp2y0uX5HFAfb3q4sHBk09fDg+/Mysrz+XhncHyZlGM3pqf\nN95spQIIU5om1tkXn2zufveNJP8Y+Le1f+RU7wzqajMY0mL2XMPNdonjQxPnvXac8UEWg/npJYii\n3X3kKnvVL7QcYmRYlFxu2nHEfO/ObtZxGdtuvdks6YC18xpyzZPS23Gzvc6yTLTae7S65oMCjQ4G\nsLrqNnpQpJwY0S/qreJxJJsKuDDbG3372a97Ue2/8R7i6Ho573uHAUYYEWqrG0timk0xQqHZekKJ\n2MhqPs3wq8WrbvdwcNMv0+lOt940a5vnharn7/3eXnu5prl/9dx2rUPE+gbxkT97Iqc72YvfLJ48\nLFG86SvTQQw58VsMPVJBEcXWS88SCwwWrYFcjyZ3t/N5v2mK6eT192u1uHz42d17h6legNN20VWd\ncPdf3/n664/M+aLgA3Vz42IGYHBSkdPHAeExJP13fmdD6Xx58/0o3X3+SSKs3r+Lx6Po5tX5/Nv9\nBx+S2+M5+cUdWVV9pNfXAUynhmG97Wd3tptuhllazqQTcTmJEDT1OsQZSjOHJExez02PsxKgd1Ca\ncGD12TdwoTDiRksMGCsGYXmtuy4FwXNtlyd8uM8YVz4AEBwiIQREOTTGgAC1hkqRZGj3U7giiA0D\njSGOIGYg6EAYAMGrHrRVcB5BDJ0NPnTbZSApMdIYAxeXyiM0mXG1ccUAs/fwaMK9hnSgIHI+QNEy\n3XkhO1YSLUgIFhHE0HjngGyhG99BoUIayWKGGejmJ4urY71cwGTEkEeII8zU+mbRNqiYWiKkM66P\nIiakpoQMB0knHUEoTSkwDkVoEFMEoXPAO7xeqzTDITiI0Ww4aNueYAo9bFotdFCrBgY8zpimoO1t\nIjvvsYuJ7ZU1EUBWCRU0hyjhKSpK3mEbFUH31mq3XXkQPKWa5iwradcIiFlIQFNZjDGS3jgQIEQw\n+IBICMAGqwJwvu9sOSUgOELh6kQmBVHKE469cZSj4R5FxNMI9T0G1E5nEXRYts4pEye0qVRAOADg\nvBMdABAQCkzrIUOEhOBD8B5YDCFtazPeZ/VaEshJYrwDDIGbM+ddsFZCRBBxkGACvY/SD15f/cF3\nPzbd5O9P3833EBCuB0E2NsqpccJTrHpvFPQu0AhDBJwKN6eSERTHODh5fdZdXWLg8K1ZdFl11oA8\nzXtpMCIHdwvG3fOnKwCJ95ZlyBsGuU9zjAkVray2jkXRvbcnWZGePV+MdvPxbrS8WiuB9w6yzc0y\nHSAP1PRW4k136y3OuF3O5wihdICn+0MXjPOOQoy8Nwp/8Xf56npbd5PHn+rLZ8Z5+c3XhjD0z//b\nXzz+bPLi0UDU8tkX5g6Wd95Mv/irCsY+ZZ3hwQNCYmwUmb+yyUjGeGe9Us1mO72399r7xcuHj+M0\nszifX2IQCujram6ijDWdH414o9u+J4Ta1WJNSNlsHw32pvdeT5mtT+c2wqn1SPm9wUBdnUkUWICe\nIN3NBaBUdk8XJz7OHwxiQ4c1y1DfIMDWSmAgYL+R49cOnj2aJ1FJInv2rOs2CR+Ss+Oru3sf6hYr\nMz/axQPm2kXebtsogRglYt3bHnjGTSVtUKCNtnM13F2PuNHmLo+apq54kmw3wslFtoObhZXGLK6I\nLhPVrACOoiTPRvdiVF2sRT59PcuLtlbtxigdWAy8D0Y5QHCaEy0djalubd9YHLG+Svv+AUZnViMd\nrjlFyXBiO9Gzcv9u+nt/vP78z/uHnyvb9jQvu808jm/383O1ncb7oN6kSUz7RioFnWLWA06V8oEm\nmWg7COMgHCBq734uVCnqNliTjeJmHT75G3zvfvn8lDRdOTsS83X84L2iW9ebS/7GTyh/yRJ2cPz1\ny22nUYjLhNUL2Tn9zvuz+mp7eRLiGU3jK0BJswDtuEF+vf0VTgsxmOz055dZRm7O3rk5ma+vBSJw\nsDcs4/zrvzmme28f3uu/93ur23uzX/9NfOvdqwRlX31SdALiseRcVR5tmjCOYb8N1ZLXG2srNTl0\n3rAi9V/8NWIlyzOKGW82Vgm6TxNOHELKGoQRsAFSBrIUdrWT2idl8A4kDOzdBhbhvACIAN0BJV0x\nIM0qOOsxRV4DHqMkh7IJcQazEewb11RREJlopRS6bRQAfDCk9ZXve8hil+QEx75truZnLikJzyFE\n1tgyiyaDNNfibHwIsRTzTS0NgRW4fO60gCyG4yPIM94uA4z17IA2azW65QnmRDlnHZBVRwjOUiql\nWW/76bgkGgGAcaCUEIoJS1LM0Kbq214DCI1Rt/eHu/v5o2dzGjMPAgTAGZClFBJELOIZRs5iYUKH\nEggRMW0vMxdHt/j+GyUO4PKs5zEmAQUcECazu0BJLyudphhgazXMymBCwIggjI31EAEeAe+89945\njxA0KmAS4gE22nsZtLKIEaECj3iSgpAj710AzhjknItjfjDc9yLITJpUWW2dhkYByF0xIN7h9Vxh\nCtMMidoziAihLoR8DMspIxSVU9SuDUAQR8oaDyHvdJfPCAK834I4ZSxDstJ9R94+bH7/ux8hbf70\no3dvTBhyaVNKM9w1FnqLKM4HeLsJ0MEohV5DEkFEQpHiTSsuLiRCmHKEAaIMnL9qxntlOQbzyxZI\nBZFv6t5ayRPKY2S1TUNhS7+6brYrzZkVtac5238tptzWdb//IP/whzuL6/b5Q5Hkyde/OvHUllPc\nLPWLfk45Dg4QarUEVhvCcDaTzvjYYeCAtSEdsnuHTeB33LoYD2e/vln3wnRicPim+OI/frveiKQM\nzZZhDq/Pep7PxvsHdWdNX+5/uD597pVTtg47r9/24BXAE9NLlg++84MoHX6xuJRV9Ytk9s5i062X\nZnQYg9DWdWCjQpkKEYKwd9rsvvbm9dUCeZAnpOsaXkBRrUKRTu7eS3hdVxdWIiPrKEKmQ4wUe/fT\nm5N1r/Qs+k1t4+EBJ7gXbZQXx/32NSk3aTaezAbPvnmx0k1hUVsPo1Gmm060Q+9W49H+6amdnzZ4\nUqSFFDpaXQmEmTFAOwi0IxQ7X+MsuX7qvFtHcVHOdGNZNlz3dQWSuyT44y9e+RjssgTr/uZVi3nm\nQRmlB0VBFuenVgVCk24blDLpgMG1Dd7DEAhnaQJVp7uNsTIYY512EUWEmXKW6k1ot2J6Z3Dx/MLR\nggCf5Upr9vN/81I0s2oV5wMoO3jyTQTeSQmOGPLAOpCjncPmyVe5bE06yd/6ri4S8//6PyBvnVcq\nHk6CIaP9/nv/4OqbT8GzT934QI53u2K8e+te6tfn9TxcnisqKZ265dUWxObq/OAf/sF2cdWcv0CE\ncMwQYbi+WRKWTUp6dbx48Sie3pmo6pXuRgQCBGDfJ7bexgVvNuur5+V8MfEJiUrab7DUJI2LhAmt\nr/jOTx+8OT4//ub8cXX1Vdf7/PGvHgIw+OJvvgMyOB7h4MvBxGCr6+uIMAOodtTmI58OwGBI3npN\nvvsefXHqLl9KWVPK42Qk11e1dTgfgTxHOLcUByOgMSApA1VAa4cIh5ghpDjA3oI4B9540QHvvQfQ\n2aAVccDnDFPoNcyKAkbUk7EUbSCJWV/3ojKDfdhvpNwGI3XgcDTjIOREiDi1d98LlGUR4QbWg3Ka\nMKG7RjfdplJKIuCRVIokoyQFBKt4SKyyojFpWUIIT3+zkR4evsk5pcRZjxEpS54mTBmnjU/iqGr6\npmutcWnMR6NMG6etDQgDBLpGJGm6Nyu3tUgVP9ofdF4JgY12lBLOSGAguOCc98Eb67wFxgBrpQ2+\nruzQqdD1nkfTO5kP6PxF65TyAJS7YbiDTYFkF/qVnR1xTHxTB8ohxIAPAApQNB5TRABwDhEarGYY\nI8olCNBSGBXZ7uHAen99tpTCxRlrt5pQPj1kEaO45/3GEstEZRRWnJFBkk1TblTY1us44UXmljci\npkUxdYx57500rl0q78DO7bSvDcQAYd9ug1VQK4EYICwkqctHHAUEfeg78O4d+ZN3PqJq+dnX/+jb\nbpImvQHYaRswhCCkJUsztLjSSYJr5QjFwwPivZe9CUC3C00IpjECyOnO1dvwzgczyt31hRTSGwMJ\no1KY4DBOWDaCl0+7plVGOad9kuKuUtoRTuHmQq6RhsAfPiiefXNeLWw+ZJBq17lmbcHCW2njgvUb\n120NwrDcIUlBcBSMsUp4npIohdul2SwNutd/+NP68E7z6hkd34XhRMg+dzZu5R+tLp9k4zvlfmO7\ncyHYzZWOyDov9qN02HeLzQr3tXFGG5QlcL/dbpZVTdhbF0/FV2dKmdyLIK6s0gBh40zBkpGzVZro\nZgU0QoRqQCkA+PaD+NO/2gBi7w288bkD3FkV2n51Wm02MGBLYkqSMfGAJKzreoA9ZaRebMtJOH9K\n5s/72Zu7tu6W64dpFkGkHTjlya08ki8enlo4SnzTdTKJMm92a22yuOq74dX16u0Pxq+T7G//bEUz\nTCIDnVK1gmjVrsDk3t7uIW7ba2MloOcYZgFVSW5t2y62DjHuQ4A2WAeFcmk+joqDNC1vzn6jZY9g\nbJWTMgBgvXUeIG8tRtiZsDk3AEGWMO8DAN7aQBgg3BUTclOB6ys5ProcDcOrl21xlHfzY5Ee3vvO\nntS1UUMAUZSaQEer68vd2e1br5+hbLO+wmdnUdsInk0CxDxvL19uMZvWTUshX1xcl4NJPTe/+nMM\nEUxiI5Q//yZ+/x+rwThpxDvfff/iq4fw/g5PY3XywqY7r+3a07/91+XJcwNRh7yzwgTcBR8lE+6t\n0r0LEDEMzp4tyjFO4qkXzymfBMqndyb9dnvzbJPeKnpZl3y7UOadn+xFvll+u937QXFnSl/+5gUk\n+upZ6kg63v1cbqfXF+9pBw/2NfDh/Im4fhUw9qNDSpAtx+TgbiAEXz7Xgx9crm5uhvnKyu9dnkjE\n9HjCPfZR4pglVvtXz+xoH4+nvm004phbIPvgA7KquFmJfOoP7yIEYHuhr84dShihhCem71A+CUYF\noQzCNCB4fQqdDCh1PijpKUrsMCNpAaII2B5ogQlGCOTOQN3AeEYZ48VgMBxYFEi9fiWAvXoZGkGm\n9zHFgdlZngnAXO9MNmDNJa23ClDrQmc11CTfuQWTzHW1JXlMIYJGq5VULsA4Zs4ZqQwIgXOelakQ\ngEc0z6jwrunrLMNJTDqp05RuK5GmnGAQc5QnqQOubWy3tUXGcBwoRdoAoTVGMIBAKbLBrzfGGct3\n4WauBiU6vJUt5vFm1WwvxTY462CU0PEeH+8SY1w5Jk47HzwkyIiglU85lX0wWkcJItgjEgL0AUCr\nnIdyfVNp4UwDA2KicyTixYgyR7EH23UvheEDwmNipW8buYYQg34wglIH6SrrTFTC9arhHRzuMIJR\nvzGqB96B1YXOCp5P0OrKYwgGM2JhH2waPINRA712zmHEPvgQ/PTNzzk6vbr56dfqLQxqWQNMPeXQ\nBguBMwq2HpQjhmCgUSA4cGoDBKpFRoLBiCIGPfDbK6dqUM6yxXW3WVTpIB2M0vhoUIx4u2w3a4eB\nu3jSbJaGMBcTxnN68bKNMlaMMIIAeJAkCCLw/Kul7W2URnEK16uOYIQADgxlY241UL1OBjgpCaFQ\nieA6wwkQbYhLX1vLE3b7bjYYqmr77ZNPqidPXlvPyXZuA+kvTnA8jFmcY8pQRteXviiHRV5iv/RO\npenl6fMbKYhRgsbF+uJcpeb2/R3GRldrc3qsdg5H8kXXb+ne2/H1K1KtNcC2mETpMK2uriEZO9VP\n9xLRFpC51Y3THo4zJGqt2k2aExAq0ccQ0BByUT9HpOi3m2Ex8chWm+skS9sThdggeLq4sE2Likb3\nldpWhFGcpqZrsOksSmNpstFOobtNtZSv/+S14WApNj2NZ811Gzw6v+xWl1Wv8ISVjaqg1xEdIUwk\nEgTv791uXz1jpqtWJi7Hfv11aFv9zvd3i8FWtU2S5b1o+01PYp4UhJE4JlfBd9vF9s6DH0FKBdYY\nYWsdZoB4zBPU1ZZmmHHq9G+PgyMeO8aBMXp9g60bVMv15QX77vcnykFjw9VZdvSWkY1Fkj34UD7/\nTeAxiFIoNo7fw7ffpl/8Nbg5LfuOeFdvF/XOUXnymV0sSuUoIVgpBL33WkoIpBsf7rG1XCiBymQg\nt9nP/82L0ezwO6/t/MX/eHL7Lrt92P/lf2D38+V0Fp49TUf7rK9PdUN5hCyOTO+sgbpWCCOIkssX\nwkqyuVlld25jhrrWZ2m0uVqMBzvJ7o6N6sXzy6Ss1ldC9WGc2xAXb7xL5idfbOrxZMcLtZeVyfWz\nl7h4i2ZZYSuIME/C3Q972yfNZlDPG9Nz1SaDHd/e6OWClhMtaP+wuR3vTnYO1/HUQS91Cy5Pie8V\nTX25p7fLpF6a0RErS1uvnXLYWWhqKzvjAcIoEOiPv7G8RNMSGhmcBSwJECgIUD4g1dpK1UCMW2kp\nTNMcegTGhzRKqVESAkoxinNqVN9XVV+FQMikGE6mdJjKvpbr1iiJuhvYSscRDMpb77zQRJCLumYJ\nhVtFQyA8QtgGiNOZmqRlSgrdn4UACATBWyettcbmSSx6aW0AADFGjXEnp/NBkQdnU8Z27xYgIOcN\nwUCrgALIczZfNZAgD+Bq3kMEHUKcMqkdDbBtFGOEMuwdcM4aax0A1rmu7weIB0Tqzpm+Hu8Vw1ly\n9SIghsZDNDugzvmrl62UOstj0fpshE3npXaYAgA8IbZaWODZYBraRlGACEfdKqgGeiMCQSynNPbG\nIAYol1FrhYNgMByOMhBnJE3JzRKnw5Iyoo3RsEe0bWusK4QRCsKBCBCapDmKIib6EEVYthAgjVjY\nu8UJDlthUhwjj7pNbx1EEexbOGHmg3tfG/vtTf3W3zy+//LmMqbeKGaAkzbEnCUpCx4o4eq58hBM\nDyJtJBZYiuAtDCF4j4PwUlkek4jzuMTOKRIRhCDFtlurNIEHRzmjBkDjtexrH0KwASYR3bmbRzkp\nx4luheyd0Z5itreHr87E+z87uDle3hz3ClirTTIkqgfDcRR7GheIpzB41NcmBKiUgQQ7GbZL9M5P\nxY+///Gv/0ep0Pje+2Ew3lycUGMAplh0qO3sZGfi+qtqI4BPPail2hkN9qur068eXuGkPLh91K06\niCDE6n/53799UBb/7//78mSZDBNqzU294YGQs+c3OpAf/uHRG9/xX34EV9sU0QEn3ge8ONflQGKw\nXw7aYRkNRkkvCaMqoSmNCYLCGA17kcRpL3xWOpbjYvB6s3Gb+Toe7Dq1lTJMDmd7B+RmoQ/u3EkW\nVolX0Q4TWxdcu16oye4kLiLTJjwWQq8/+miTTBMjGKZ2vQCX54ITNp18eHP1WTlmNgJKEARVMnsH\nAHt58TgZ3JvXLQ6ekBRDgVF5c1EXpAEBDIbDOGqWOvIY9G3MBuL4xatmuU3KW5SkVlvoCKZ2u9RR\nHhnZb5eYMIqCM72LMpZNMI1g3yDnISW0WwnnEoLqnKbnpzgqodlU5ThZnvpnjOxPBKHauVy0cbul\n//i/5vdeX598BruqlFoY4Y0bDncoQUp0eHVDrPFBGcYLFBjmQCs3HtG9O+nVBYs7H4LqRF8Q/dlH\nL6fl0YMHKdBCOUcS8OqL06vB28tzAdFSdYrzkKcMBcg4x0Q746UMGKv7b+xdnLRpNro5eWUCmkTS\n9T1Lkm7TyabZ+/5ktnd+dvwyGtDZ/bG+Oh8fjOvlTRzj4dBO7xBO8/nJab0k77xTbM4r6RGlyXZp\nEFfDCRK9gFpEDIttu7wMwKooS21zl77+uMwHXS2cidRGIcSXV2bvPibMShWCpZM9py1jFFoBIIRK\n4OWZlO2SM4YTvd7SiOK9N6I0RRgj4MX1pSnLuKlQVJDtRtVbDSBLhmx8yDcL12wMTU0SEys8JLbf\n+u012LkbMwBwHnbuZOUIF1nXbPV146utxxQFFzbXAXEMuPEWMo7abl2vPYwLZrClJM7cdlmJDhS7\nCU+KfiPnizZQmxWEAIT7XmMMsoIxgreNMiZMJ5wxtG29s6HtFYDBORcuXURZu3VKAwjCctsOx+l0\nkhoPAuLbraEYZhFmEavrXrUujhhE0EinpIUQKe0IQwgGSJDYtMMBZUUsmtBsqmajcESiGCQpev7F\ntm+cDX66l1oNsxH32hnnIAQ8RgE4a0E+Zs3GOaspp9q4buswwbt3uIPK+0CoCQAShPqlGUyT3d1J\nTCJorIbBidC3KgUpVR5bm0DIfTrAeUvVPOoowm/vDQNQcqPbMzEc5bSFmOFRgSGi9bZTXgqDb5Zd\nkiYJAxmLa9uaFtzaYe/dfUzJb6w4+PWTD842IUuJd7AoE0rAetkr4ExQ3gZGmEdosjuMGby+kgI6\nrUKAQHUBYvdbs3w6QrLRba2UFECRYG0So+3G5hPHI2iMuJn3HPKspEZ7gIGSzhqQDeLv/nD26d+c\nNlW491Y+24HVUh69UaaFuQrg9R/MMA4vH2+V8ECbmxdtOmA+IFFb1TkaURbTYgdaF0yP4jLe29vW\na5HtpnC5rde3Xnvr8sWjLSJxMBSS2Glp0c5gwpX8hmGndF9tVxQ4AL2Uwwio9eKaRonzzWh269W3\nj/WODPF7MR0gxKrtLDtodU9JDAoHEOlUt4mTHXWhg+MMGxrxzVJRJk++eagdk9JsazBNW+GpD66r\nl4QwBCkKPaIRsU4ofOfgHouyKJuMlJ7t0K//HifAC7x5993y9F8ubOPfONg9vjy7PY6vNlBThjty\n617z7RedsXz/7gHG4MVLN1WU4G9JcjtNJHKq7eB0Tzt01HcrCqPWrBEaFbPd1eUj7x1lPs2Kvm3S\nEXztg4jT8OwropaQUAJ8J6rtzs6w1QBhV82fl8VM9N3hgz2uuYSQcy468+DNoVHt4iaLIoAjDBzE\nxGnlRBO6CuzcEf/kv3mF1M7/43+f/fSfDZ//unr5zTK9865p5sCtlcFKuflV6XtEPdu5HRYX4vf/\nKV1drbfzHuFxOmj3U3x6QikEt97sxFptrqK6gYRo5zOrBWHAexR8sNquKkkxd8H7iEgJ8yKNqPzm\naf39f5x8+/Hpv/3X2Qc/Gq6X4fLSeruNsx2OI0uDtcpD5knQixpT6hypbqr+7pBREBf7w+Fk9elD\nDEg82qXUW4W3tUhaFixvV3058cR+u275EMbf/BKIFicz/9Z3QX159u1HG8cHL7/8otj5IXbx5bdN\noCAewtUp0qqb3IHdsq8UiAa+3/jekcBvtqc7Dj+vt3uLs/u6VZDT8dEABwNcG6fO9fHNSz++Bbdz\nTSLYbk21Dd06mGBJDExAyCGchnKq+xaRkHiVlhME4RZRuF0BytO0IOUYGB2qBdgueg/T2WTHqCWJ\nDSIJnKAksxzby1duuJtwbJoruYSWMJTQkCVwvYLegOEOFC3NBp5HDiEUypAWkW7a+XkALLWNlVay\nIQ3WN4veWsJj7JEXUpKq7QF1NoQIYIL5rb0yhOChqxsVJ6yIIyE9IB4jvFyLQcHThK6ruszTfBi9\nfLm5e2sErOuNSGKccC6Vkb2MKDPQ8RgFE/KUhQCCgySBACEAQcyhlODmeV/eBckIIOAxhSQiUphq\nqWnCs3FgGcXIGeFRbzZzm5UsQKvlb+E5iCmc3sLOANV5hHGUQmdhNg0Ikq7XXkGKkwB8MaWLutZG\nYUChowh6GAJlGAPgHEIYIYCFNFfVljGyaSRCgWFHBF5VbcZYLwwesOViq54anlGA4bztBnlcuGxV\nN20GnXO6d8MSPXj/KU8+Vn368JsffPkIz0bRTpwkkHkddO9KHhvrPPCKalaGnQMEbLi8EABi0RoA\nQkC0GCEEAUCQUIAxlNCLTnuJh+PYA6+MGU3p1XGFg2PMYU+aNvC4s9KpLkIMAhcoDo8+O716JQgj\nVncPP5Y+4L0DUM3xm9+Znp21UYSO7qdaAussppDHwJggKuscHu4mXhFvgzNdmoBb99w7b3wxP7FN\nYzfn8eze6Ze/RN5PWVZ5QJMiZsoDo/p+NNkf19cvgU+a5QWQg3wS3X0naVbI6UY02zgvD+8W6UQ+\n+hto2KDvO5qu4rw/e9IAk6cTl2Wo3sLPnnFHEMSSUUhQbFxvLbSOUAatwoSFPN/aLuaDsFjdTA73\nVFerXgXAurbXlr7x4Wu7s/OL520RvTW9vaJJM5qNbXfTXKK/ebXsJbQALLZrV5HHn5uj93cff/Vk\ntH93ML6882Z3foocQpwPh+k2SzlO7iOA2muxvLL5lC9XH6XlvhIpsvVkMGutJ0wgIAkx2gTCeABV\nVlrZblYVBmFIsCmznNBC4xvpKs4H3Xa9WlZDAIMT89M5QSTOMHCextEf/3dfwBD/f/53t41jjDkX\nPCTBCGCFjgdod2/n+slXys9Hh//5tw/rCHJCyM3xi9tv/aBfkODOEWayknY0O3q9rrZbhMbG3CAP\nl6+K8VErq7jn+OABOvkaLC8RpfziVa865ixMcv/hz8bzV/rlk2WUZX3fX7/SZmMEJAm1ogXpa4NC\nb03X0RBVmwKABIF2fRO1q77e2mLo6qYiNG26Fg/SnMhKA6NDXAwQ6y+PuyjmJ8+/nh5+ZzgYbhqz\nx2uejTIYsyMw3vWmIdjBZgtCG1tsrs9OE2/hKLHSfvznJvTEo0S0sm3ie4M4Tr31KhnzfuM387VW\nhucxjcj+A0d5bB3stnR2Zz7/pOrIbOf+vWbZiF5Dr7HBLz6zKBnu3DFqa3vJzbGnOKJRnu+s4phf\nm5aXST5wUUwgwWlmjQksBmorPMRqY0kcMGMcM9trY72SyGiDUYmQDQZsnnXlrUK3Td0Xe4fxwe32\n+MuGRjQK+vIZwDliaYDWnV1DzLDsLOEk4ZQzyAkIASgB+55zGMtORGMQRUFvXREhTKCcm0b6gKxq\nISA6GiASxcC4ABE2GlkSPAhSec45IRY5oxXEEBhrAWFxDNtODLMUMnRzXSUtBjCcXGwhhMZrY/Fm\n0yAIspQlMXTOW+vLLBVCEwJaoRmjzgYptBaOcoaR2oUpxUxKPTmg7donY87vYODddmkQtGprpAwC\nE5bCppUsQnGGKIcAB84AIsEi8lt0miDQbMxmJZAHZTHa3514Y49PFpzH00HaNj2GgSIHoMeQOOd9\nQBha6yCAlkZg4uKqVUejcdO3RljOiEHAcdIFu9pW2MKEsaAh4eDWoDAQYIqIIdtV47RDiH3vZ4vR\n7KFp6PzZH64u77w3BczhUEEUU0sgjWjXdFb6oBwOFG0xHkKD3K0dvO5Q40G/9dNbLIAAnPcBAACc\nQ1mBEYB4CDA2RgavwWLRa03OAjDKYYxFp5UCAOBiGjvThuDnL1scg1vvRMsrnWSjnYPo/GRb1/r2\ng6Jp+iRGzUZ0rWYcZynx3gUXGAa4QIiG4ML//H/VWA3/n/+3fHZr/fZ3P/rNr8Grhy4rIM3c44do\n5/7IvJLGlcNdH/QGgT1CPOUdpaPVq4tiJ/AIVrUfDMSr5/NgstHtowxgq5ovf93efv1yenewOIM+\nQE7XzQbxdEdXm80NHO6QH/6Ez59qVMCLl4UQngK3uOjzNAm2r5uSczMd5+NhvHWqGB9GedHLWuqM\nEQ0st77JUpZGup4/R+oDLcU8xwNbrOY3d/fTaRh9/fg4nkZKs5zfh3ZBZ8F3K4wCsYvPfyE5c97g\nJK5FJ4QDd3ZhFJfVyiO4KmeDcpSePOmWZzfT27+XDArYnTXucHPjosh0zSSNUuG3NMoWV6i/CjRO\nstnMEuMaiTBZnKjpziAhRqj27rvviraFjaDRJGZRytF6KzDFr56x5Y3uW+eBRog455AFHvpiL22X\n5vS4IiQj/PV/8CcrUY2/+viNbPyxXHjT13E6lKpV6w2G7Cd/VM/2u1/+KZvssaefwMkM9K6nC7hp\nsKrdxQpaCybQ1JfYmiQd4dHQYsK++w9Xjz7S12cMR6TZmje+A9/8E/+v/i9Wbm0c9dU1TCL78FeY\nG7j/Ghrby6dfTsd37gS42K6qpBhQSkW7hQgg1HeVBlEWFGFRQdnKWu89OLi9D/35RS1+93cf2OpF\nBRixGjj21aePR+MkSbeNz6u6irOIAb5ZdMMhs3JuPTcCxrPXuqdnbDzgPIKIpCAxtTeqBsglgxwj\nDIxjzGEK+wpBA578Kp2M1d2j5NEnq9Y12ShencvjR4KkxNfQmlw0llJjhen6QJgbjYEUa49BQUma\n+RB8VkgQACGYk4Bz17fSeUgocdK2SxrhtPVLHBFvPM3wwZ0HZ09vardU13RyUP74h2kU6eVFX0ws\npWR5HdIB6BcKbbCXzLugLcmKwHiwNiCHN3OdTbEUutuo2tUu+GzIgHTOWJxCihGcaN8A2dimDqwI\nKcMkImQ6RiagzRy1CiMICEYImTRm2moINUIRDHFAliAkLWilNU5FHFW1S1OcZmy97D0ASU5BCIyg\nJMFaCucAtLQXFniACQwICGV/W4gAECfAe/Ttx5e33puN78XOaIhcU+nxTtpsNYJe9wZRnMWMxigo\nyDm02vRLkAwhhki0iOG0XXjAOh4r7VC/QcOiuLU33R0mEJimN4fDyVJUq3VdpkPsgXdeWQcAwCAA\nAFJOYAg+eG0gZWRYolVVEQyBI9r6w1khZXDGZ4Z75HEMgcVboxDUAFnkmUOBxUkUkx9+uLj/+hd9\nZ9Xlz46f3IlYQIwZTlyAGoDNtnOQWGt5FE+mY9W0qlNgDmMegT7sDbLxWG4HLaJGa+whcBZg7Kzy\nScqc80FhSEFfacIYptFoiK0FWRkp43AgaU6h9zB4ayFhDEBkRD9/2Qz2BtMd4AytK7e8WofgygEb\nzGLg6PLaOOjbPiDkAQneeQAAJPit94fC/vzqFEP0vc11+Zf/wzDNSYBeKZ0VIujdvGAP3qFWB2Rd\nU6/KvVsILteX3zRsPztMRas9AMNB6QEoB22Aw66qgWlUFz78Ufb0i3fffHAJnEYuDrgYzSqrm1Xb\nMZa2lfj45+041tyJPB+IHjtvg0mjJOpUb1UNAN/Z4aoSSgtAM9Ocbq8WWX5vXbXYmSQutLVXF1Ve\nMIvPkrBbn3u/L/ZvzSLSffNqfvQAe+8BuPIkSE1uz/qYZ9ORr/u6r6N1H2iGeCQ9xTv3+vkFmEzI\n9au61eb7388//8W50vztD+6sri9cNpbbXqFnZfa2ce8UQ7E4PRnv34GD3rQrCIGUkPSCs6Szcmfo\noOddvyxuf+eNe6/r9lp3Z3/wn712/mSIfQSx1VrlU96tht1iSxKileh7AgENzuaD2FtLI2kNWlfw\njXcsZx+lex+OdpevvnVWhr6ea4WK0V4wqlp36xuyeinr+c7ua+t+y6NIMSHaKtcKCQ/rSiY5brfS\nQwJJeutN+E/+Bfzsr/q//R90XJJ/8j8jX/19kPMUAbTZrPI0uZ47D8WDt9J2AWiRtbKnKzE5PJCD\n9PzZqt4Kmia8TJC3oo+sSaD1MJp4vcomuzA4DF1aFE4Z0enhONq/NbA2Bq6QzQVsRg7DrmKrs8uI\nmcGsDMywmLZ1lewcWqn0ysOMUD5cHj+Nprvjg5FnTlVxe9HXphnuknJMeBFRRz1t6+uYxtZoJTq0\nd0Q4J+cvrkT/5miXYKpx5No13bmN6gUgAXeqs4zzYXCQZJNRdTVvOzbaQ5xAbwtEWiVhkiHVBIcC\nxh4zGOUBgtTZrYVSAsQIaOZKipBnltrF3oP06I3Eyx4zysHi7LFrKuw0Rd4CDDA33nMaceNgU4U0\nAkAWy+1WexvnkEUkLQNGPB0o53maAWWNqgiKehpDzj0bw0xw0VhnFYlpPgSEYGKNpRRAhKOI1Nte\nKhGlAXpOIoSCZQRTxpwNDoE0470wKIThgPPUUwK7Whvtp7spizGlqK6kVAABxiJgLRDS5Bn3Gkwn\nVLRGG0cZ0dYaoIthzDU10sCACPOjXQQglkIZEyhB6TSX0kRJaDYmWAaCl40jjEGTi1YTxHvpnPJO\nsNXcHO4NfvDeYRFjANTpxemzl3OeEcayxbqWyjMUZzHHCOKAjNEQYIyx8hZihHzwDiIIEAFZzKH1\nnGDlHNEh48RRGiBUwFFAjXddKyGDRimK3O5ewVvy9v3V4fuf190yrH/w1Tfvw0GktAqIQRBMAEB7\niCiCkCcJpXRTi+ARLdO2rppeF4jX2w4YEoFRi7rWNNZaSEEAGCNaWYeYJwRYhSEhiEAeI0RAHAHv\nFMMoKkAIGiBgpMYxRtBj5xyAiGTt1j35eu2svT5R3rLNwp0+XuclOXwru/2APvuqhRRCGHwfoA8O\nwSgm5y+3e7vxy9MdwuHNmTm8/yHQX2o96NtttUb5ZP3l325pdCeL68srm03ubZZbCq9W13bn8ALC\nVDaK5cm9N+/VywtOx6LzWV7UywoCrPXVeA8snzZ03E6OYN+80B3Mynq4O1qeyGI2YJHQQN88Fhrl\nGNsQmijJcIpZdJTiVrU3VgdRQ5JHN6++7vs+TachIqTGSmsbYD4cHty+q/rtIPvi+nxvNEMW2zJh\n9aLKJwOg2WrdDacRn4j9KK4a26w3jEwCDj5IynmSZ52CiPVXx+pgJ5EyOO/uv7Pz7ZfLk+f1h/+g\n/KP/vP0//m9TTD1AMwJu+uaZg7sQ1nGZCTHPMk4QXrd6dHt09703X/7qc2D686dnUmoAEMJttf7q\n5PH2D/5FguyxNT9RxjnHV9U6m6LmWiYZirhpascYAtCBgLraOmCMQEdvLO/em6zm4fQJGexfL8/D\n/TfuX15aI66mRzuq3XojEYw+/g/J4S0JiQZdePkMUk64S4UB2QCIK5sMh4dHeH0NNhsHQo+g/+jf\ngs2GtCu2XgHRrlUzCbBezGecTRFv4nQQXHf5akV8MtpF776uXj4cfXsJatD8zh+XsgO/+DPab2vb\nbI0GGAIXmHdAbur1zeM8GwwG4831DYooCb2IbsdR+ParT167ne3u7V9crPigT6P86lmVZVHA85gB\no3tM+N7d4vrJM4+p12J+Ukmff+8Hb+V5JxVvFmIjmvEhIQgXYyCllGBbjEgIKEoD8Uz2KPAGh53N\nclrsKt3xaOCSEYgy4o0AUF2dmDTzUW4wIYQqLa/TEVTBl/sRwaJeWml8nmb1lcTcycb74HmMeY4Q\n3BpNhmXmYWskYjyKaCyFicfwzutdREKj5eKsOVUWBuoUMpZgjGYzDNOUcqs72Hc2uDQYa51W0jsa\n5Iaj2J4uKE4ILwBKzPqUth0gVDqFU4DT1C3PLCQ0iUk89bJz9QIS7wOCaLOmvQKNaLXojQ8BwTQG\nFEWcAuMcAMBpzzIeRRAA76y3WCUUi54uN10ck20lSsid95hCa30UMa0MQBD4sFwJSjGmiHMIETYu\naO1DQNVKpkmKABDCQYisclYBJfxvBVLOG8JBvfLWAIRFsw2cJnEKrXJW8l4LGnsyQkCjd4ujB3uF\nc43RRFsnDBkOdwHwIYTEsts7g5gnAVsAgDSm7nUWRUlEvA3Ouygi0CMEIIRIqm1vvScQItO1qEMy\niSOHjcEOI8QyyA3GCOwOB0zjaA2ms8XwjV/PtxeofePXv/jgctOkaW9tIAgyglwIiHIEAyY8eNc1\nAkNIGccYB0JtgL0lbQ1oImKuuYnPFrLrbVbi8S6t15oliHInegAAiVKCaUgTqJxTwmUp1c6yCBsN\nCMStUAlBMCBvFQQwzbHsrbZgcaowSdICWOvTQWy9OX645RGhnBhlrA9GOwgwpN4gc3MBj78YDCer\nC5Fb021WRRy9ydkTwvP1TVs/TYr9uxZslzcIE5Kk1foSkvHOdD9sbm6A84OyUH29PTsdTPZE1IiV\niCe2jzyi8NnnTfzjdpQOgR16E9qtq1ab2a0708M3jfiiXqz3bsOrh152EciU1iiGZVTWQpLt9Xx4\nn2EEVsuKgSGHuWiW1sWOYNf3GFoUWWj93bdvF8PPT1c4Te/sHujp0WYyJs9+JUIY7u7gKPFHFm3a\nMuLbIrJnT+NarGiQteZaB0iJbFYkGjgtnYOViPPQYyKTKFpddoNZMZjSX/8y+YP/Klu8tFfPyjSe\nnTz+CDIAsd6786Ogl2evXmYJIoBluT/ad+udfb3dtlUtJMwGY11fLBbRO7/3+7Ny++kvv4Gwrhv3\nX/4v2l/8GVtcy9n+aL1+Av1hluaIAoAti5zpsdFuejSRfb9cfCb7OwwdBKNkP1JujunYu1YbKHvW\n9/DBj6I4UUnqymTVr8vhKFtt0TRZUyza7YDkmZ3b0dHi9uvx3/wrtnuHVfO1ykZGdtIkpqlfzlNC\nEY2T6+Mt0iwfYYDX6ysvZbmzGw2G7vIkr6R6du3+2X97983vPpMb8vDvs/nLy9vfeZMvry5OGlJm\nFHpMRjHqitnINK2sNUUI5SwrMtXaKPKIYoQCgcCD3JGEMJ6Px1kCAUJtN08Hu+tVbTygWUJpdnNZ\nvfajf2D0att6s+2doXtHhUcqTicBtHHKyih3bhug6wW0Xg5nkyBRL0927r4JqVu8WqneswwgaxdX\nulchmU3U5mZ9rEZHCGPosI4LMsqN08ZTBqGUm6Q6q5UkcRmU1lGM8hH2gPQb5YHpJDSeTY7SyBvp\nzHiHJQms5+J05WxwXiMWE7n1zQYR7LcLk01C4snyQjrkbU+t6/IEiY0nBHpPglJbidLYW9FKaaVV\nnHASZRS569PVds27Bo8m6epcdgwPke83XktMMIpGO2p8X2hjXzxiRRkbGyiCjCKjnAnQurBcVnFE\n0iyuG4+gQcQ5S3pLF8seQ88YbjoVacIwzHIebNgsWmFCmnDvrVaWkFj2GmEIIfAuaOWCgzTlXa95\nA80Sd8ZqaSlHSU671sYZ7SprlEGIyN7xBFMMKY7ENgjZRElE48CHiBic11Y1i3OnAEQwhBBAwshw\nyq3S16+WU5omEgYlLAgIgojgCc2tMkpJAAGCwOigHXbeY+g5Y1kMZQDxIKITqBQAAAwTXjjolWXW\nZREPykhhhYX5rrj7vc+FPcHy9qPf/GzRJ4MxggERbLz3xkMScYARTxOKgZdaKeMRpSRY2aGAaIIc\nxNNopPpOSpFAO0xKHJooYd4hEFxd6TjGRoJsgCiBWoGrum/XHY9iM4FxBNoq9MIQjKKEtb0kABqj\nA/SbG5OUBAdUjimG3jnAGLHOGQONwJghgKyXMIlxNInrrUEUWOMneyQdetWRuhLaGK3XP/idb46/\nUItzzFGR30uy/ber63+lDWAsnh9fJ8M3A+RRWqpeMRpHiQEV3lS8g9dpPIkHiVUgGMRSZuxEtFMZ\nbtL9zWYbseTw7bsZBIOzlwLA2Gh1/pht1yEdMqVxlPPgVMzA5WlVpvHmQuRDpnsKkCojabOU8CSO\n02pzztLUuWEEk/mZnr/yk71Nr5J80J18rZupFl2B6Y4VSwP7zz7q4+ni/R+t7ApfsUkZDapWqsr1\nTb//2hCBUE7czbHW2gKvg8DbOp82GSbr6V767Wc3493RP/nny7PHb4n2PI6uQeBWIxi5+cVXZTnN\nyryv1jjORds8/NUnw+yuGKbNagMgjgYDFLWj+3/YLOHX7av5+abdbA/ekTT+ejj83tmxnZ/JvrF1\nKwii2rUAUgi0ccnRG5sf/uPTj/+i+PQvhvuv0T/5bxbXp8P77z04/uKLNIsvl/n220uW5clo+tp7\nJ16Y0+fRok4JIt/94c3Tx5OTl+He60k5DetrT71UGu7smp17yKKVt4Pgray9UUr1CEUkivhkwM5O\nLo9forc+QIMhvnxl7733wdFs9af/8vFeWW7Xm8neLRhWv/7/qvWmA9FwskNvTl8hazBjWrXS4rbu\nAPJaAIIj42mR5UIjoZzoXLVE8etIuBjRgQOwW68pAp3sOE0gEBSgLM1tW3WNJcAid/7Bz/656/12\nFU0OIIgiGnfzM4kSEFA9jNNgo+2FZTl1VOkaK8W+/8fdnb3T//jvMYuer+cTyqB2wCsUF243RzjC\nGOJ2hVAyLrJoeb1ulh4TzrxZnOgsHdIkuCCNgZQh07JiVPCyBVBfv+wR5pOD2DI4nTGG5fPPa1bC\nwNnykjaVSUuWZkYqXNW2W0Ij9WCgjQ7XpwATvV10kEFGtTNAtgFCkuYEcQt5ljhGeeAJPj+XAMaI\nhOHEem8JJVbi9hqIZQNCDLG53gSaRZxrspESXG2Sg9XyEmbx68DaiKKIkU466x1ERFvH48hau60l\nYxRAkGb52XnbNts0ZR5zAOFknIvOWBRYZAmNtA8Q+OB9CCiOaERBHzwAGEPEY2Ss8x4TRhAKwAEv\nNOHIEhJnSPQmLXBfKxCw9xj+1gEoveqdTdqjN4YXrwRmhiY0EQmsOh6zyWxQtZ1sK2x8VyuLICDY\nGpslsQV+aw2Noojh4B2lFAQ4X3RFnlhItZFA21pZ54KVfUyjnEMYPPFmsF9OStq3pu8sBkFJo5T3\nARJCI0hGu+H1n37dwdOgyvmLH3f9zmAgtbDGGkIQxjggRAhBGGpllJJpRKej0gKolXQeJpHvXS0N\nsjqOGIaat07HKUqyodCSpzRAkFFMKaaY9J0NADHmpArFuEgi0tW23kgIEMFYOMWYccEJa40KCMOy\nZKZz0ltMKGAIeGuMci4giJMckTgEi9MZYzEKEN2aZfWm0RZN90f1ZgmUCgC99+PblMKr59dV1ZN0\n02+A1Nmt8XL+6ijOthGLHAgGemuQFFk6QLo/vz47y4s7hIPNhrZaE47jktFmZPsqm8XeR+tX62Xz\nVa/ujvLR6vzcATO6FV99y2hMO0lgQkQt40GeRCMczk2DMkZuHw2u13NVmSQZD/YL1/VdK4mzhLeb\n7RaiklEdFZ7TxKPp8nJbzq7Xi5k3o9VWJ4wTjow+urjINPzyd75fv/wCPvwrd+d7BUCLuiai15jg\ncdkbF9+9dVVdRlbl8wvpDb151XE20NqRijJaaHPyyS/uXp7yJGZtVwCykkJygIwFcJbo1SVm2WCa\ntNWrmO3VpqiXddcFQnhZloz741+/ynfUi+3SGv/W92jfXP+7/2vygz82izWyyloPhrvJ/KXlMaOc\nxyh3tE1G+uTRy2z8T8ezNUTXJ8ctiw1lHY8HUdGr/de251Uw3lnz+V8kedILxZoNhhA8/gyW0zk5\nzIy32Pn92zSZxnoRPnvWrtecI7bdaugh5KjbGkxo8AgDYnHdN2Z8FBOeMg4O7gwff/bq1j99/Q/+\n2bm+Fsdnk9//B7i6mEO0CzCqV3ZydLt99aVHjlBPOICUdcABwqXYTifTvOCbm2rvaAeo63a5toDM\nr+Ew61bni/KoLAti/38s/Wevplti3vmtvNadn7xT7Yon1Al9OrFbDKbEJFCmRxgYBgYDAQPYgAED\nhgF/B38DfwC/M+AxBoZkWKYkkyNS5NBsNjucPvlUnaratfOTnzuuvJZf0N/iAi7g/+sS56LyKkUI\n0ZG2XX15zcf5+mqJkyN2W1sPuQC7Nz6ADiCVjFiWAxTj+qL3YKBYtJ3WUSPN9xt4/7Y5Gm3VDRjA\nzcUFTWc5xHhxjiDBKGivSFL2kAaKlFo6O7jDna03fV4RMVZMtEkRzQCUdBgihIJqYZIZlrOnH4yS\nHBLGJ6e229m7t/LoKYORD4eghih73W7seM6HxigbRInGU9QeokfWGJ9yQjnxAAKEMPGYIp5EEBz0\nGFAdPNLG2kifvM/qxvZb0O+A89YDgCmwChqHkPBOG8ISwsNm5QnlPUG6uSYVfaYNhQhDDJT0IURK\nIgCBMkQjQEnS1INvNMFht3MAxSQhiJBRlWzuDo8e5je3ViqXYAGgY4w6E5U0AMOAoLSIYIwRhjBA\nGIqCNo2rD12S8CLlXkdBkY7wsFIRQKO8s9FZRzlRnVPSWRlFxQG3169XIsvNYKghXgywgBTQvm2t\nMbA3BGCIKYGAAhQ5IRBSgT0kAIZhUJTzg7IiTQMhh15HEuTQJQmjNHNW+oCV9ZDQgpJmo/rOjuaM\ncmFc1FIFj1yMkACAGUL2/JPfKPJGK9Jf/vTblyc876HzzkeWpxgEBKDDxBoPtEWE9NIYpRKuuMDQ\nRSlNHQ4pFJQbT+zmADHCFJOubkEWIIEJASyDyGNMPIQ4KWA00JiQFQwAGKLPp2DokWojTgGwgHA0\nHwvfEwyZj6HpZJZPV9crgH01Z2aIAYZmrXgCkioOyxA9TMpwfjQSAtxeHADkZQVjhKPZkTHpqE2l\nNKMZv7h+YPSdbnZ2ILxSy4svaf6US6uHppo9w+mp7LQzCQCEp2N0yn3fRr0rj34E+42sm6Nnx16C\ntkbWFjy9IdZt2qGounc+OPvsv6S7XePhl84mQ2eZiNH6CKBxA9xBSqBpYpqMLTVHx/TV513xYMNg\ndb+vAYNptoheYkyd7x9+8Em9+brvGp6dNPvi6XPcSYXE4vwRoHa571XA/fmIJkWuVuZyxc6eJSJz\nYkIcgm97Eb158104exyvvnBKwbwgZoDPPjh9+eWnQ+fTgj97Tu5vkfP9m1f2/NkX6rCJzfNhYBgj\ngKGxst/fgRgyQQ/bIfhASAvYPq+K/dDSgjC22u3Vgx+8N53cf/OPcFMjAC739206Dzz97r0fZZef\n0UDsb//ZP/5P/8P712/T+XFoOrM4Hyf029cveZI2McT6Pr7+vFi+3owffHs0Y/22xuTh/OnJ9v7G\nyGEnmaki47GcmPV9+c3XZLElz38wHA6H8w/5fMFuvjMvfmZiAq00DpIyjZsmtbVhKHpCaQgsJ9Pp\n0QVuPNSIpmm6WJyqr399+eVn8Z/94eLF1Tcf/HicE7vrvPQ4eJiWa6v6+cPTrutUfQCA8zzHvIFI\nP3h0NBwGFKM1+OHzDw5vP8U8yZJEWeFVywTpHe7qw9Gzswjh5uaeJlFTHnSTzR81203XVePqaQRt\nwip5MJu75vjZwtm2GiUAEak6LYPxjgIBcaApUkYjQWUokKNCTAPLs1n+4W8RivzdTRpJ0B1gZej7\nniUcuu7llxqlLD+CogoMoWrOssz30rq7goDQbH0xw6YfxJBnOaAMQ6zaRsrGax0ZIwgNskNuQEBB\nHGl2lApsDxLwLIFeHzam68l4CmTvi0mMjvQyeu8phxAHgDCABITQb5UHfv6ABAmi9bpxTa32GwRD\nRJwQFpOSD3tDeAqgj8D3+wgcIr/9/f7iDXD+XQQ5jCog5IyLESoZhMAQAowIISi4mOSsrU1TK0JJ\njHE6zwhBQ9OnedI3PsloRNE5H3Rom+HBgymI8VBLLoiUJk3T6A0jxHsHCU5S5C3gCW0Hm2YYO4SB\nHWJgCcIUiQp3OwdiFCVNRjFGGly02qdlHr3PeTHOsVeIRo5C2O0GRCFD1AQEE5hSTHxAGGOMCUMh\nAhWCR7DutYeobRRECHgTrPPeK+Uw7KILACGAvAtUpEmGfKfMbh3LEgCCAIQxegQg9NBH8/SnL0N1\noXoLth9/9fm7ClgcWJJkLAUeUxAgsEo3dcAUkygYYSTtOxkB6gcXMUSQUFJ6qmStszEGEHadKQvR\ntlZ1/fQkI45aGSCAp8U859myOVxsd0IQhLQ3yEFvjWRM0Ak5G40eFJPayvX+cJzkFNPtXh30vrb1\nk7OnXbMZGpcCSgsMp5iPXLsBunOEAa3i/dtaKasHPzthx6f57aUsHyxF1KP5fOjamwuHkM+OTzHR\nA/CMLwhbHk/rlW1eL1+L/FGSKojafMycQoQ94GTZrZHWK+TvneROovuv77r6O149T1NsbfL0h8Vk\nOPn1/7f5/O8/DyQ6B1Zv2eLRkeqvOY+QxK4mOMLGDqOscsEZKO2tRjZYBdp7zBZ7nB0oAUNLR+UU\nIS4ov3156zyVQ32USK3ll7/M3/s+yUfm5oVGxvXkwIqb41lxV48+vQHf+x09Ss3XX5G42RBYGTVU\n89Jar/u9DqTeQWCDVe7uTp4/Kg7bq7Nn9OK7pe74/S2dHnVvX963WzA6qTmFEELEC1HFbt8hYqQi\nKGCSPvnuqxfPfwpb24XgEYyvv7we9Pp/9r/48HTe//qvG4IFCGPC+mpydv1iaft+t94Mvf/ZvxNN\nnVcTNnR2fBx+589+JcSD1YuirNbknfjV3/CIhKld+V55+v7msIbXf3sxP/PtBst+GM2pjaVA3fPf\n1tUbdvFlvz2g/aGaVPiwrO++bdqhlqA6meW6jVAgCLzb2Dh4i2GWd6en86uX2+6AIfPNyn/TNb/3\nZ90HD+2bF9O60QGMvvev3nGH+PWv9l2NY+YIaKsxj6hRLXW9pIIlBW3XOz10JMmb/RrhE5GJCof7\nixvoMEnwuMqqaaVrBSkW8yNju2a3PjqbZzzd7NtyZhzgsrftzhbTR9PjbD77RwM+6g5ZtmBYOEE4\nABDAEkaEcM0oygVROjCW8ims0vDg7Ojbb34xetLwfvbok/5Hn3zz2T/88EqL7X7guYeUclqtLxpl\nePkYYYB45hORYBJF5RGNxNJiHoKCxkJnFWNscSIYi4hKZ22W4a6OWMTdpa47kCTYa9gPGkKnh84C\nMCiXiQhV7BtrgCN7QAjsNgARQyiiAoAQrMKRce019JBxNijoNNpuDtt96p2HCNMEYRKtDtGQoIxS\n2hGZUtLXipY0H0Nycblmsx+lDdcHbT0gBDJKtfaYIEZpjA5AAIDzHlhpQHSIEpawXJChtYSiptVH\n8+x+1R6f5ZjRbh/qg8KYqO4wygmjxBlbFOVP/8WH//BX/9BKmwiGUeQMBYKC82XB1QBef7c5Oq/G\n48J4N+xN71UERPayqBiA0HrjNEjTjFoaYJsIJA8IOmRBqKMnlIBBdjFmZZIGoKzDBCMUI3C691iw\nECAIESMkB8kYJBQbF4GzHGEIAcc+n494xm4uD8H7y9V+yoHqNWC0xzgpmBDcAKW8AxG9973LZPKq\n7iwdHr366uNIoYDE2SiDAr5jaemx6LpOty2cIGJSQQEropgg00RkRCQAULzdXiU85sfssOvzYwH7\nYIGezYTRQKTEezjmExyR0aAz3Szj/bFoatfuSFIQGBW1YwKis8bK0BFpmri8kLeuP5oXO6msCsCZ\nXViWBAXnR5MRAqFMIc1Cl+lh4F0/qDp03kZAI0TWxKu30pnw9Bn49G+bRx9fP3m4/nf/16n2aVEN\nPPNe5ot3xu31V0o/oYLPTlIrG7t2mCXevfU+ycmHSQoNvVMuEvRKjIlp6ebu1LoKcxj522a9NWe4\n36KuBYTR3WrjDSK5B8Hm1cPH7xwY1j/7HwePEOcoaAmxtc4pCSijSpJqPskm6P4F6ZXhfBMA4Gkp\neNG1GzV4ikl7uMaIS9ndX5K8DsbI6HmwLUF8j07qTh6fi6Lkro/NrvvoJ+zq22GygGkGZ7NZs1PS\nHn/ye0NX429/XhO6IhksULQBjqaFL/RmmVJB93el6geIm3ScHu53WZJn+RRHt9tJB1ExEnmZHpai\nXUUCTb44gmC4v2iPzk6uv/xPv7qaOCfKKvGRlWOk2u7XfwOfPifjo5y0BsHj7/3+zctfnhxWaPSQ\nf/sbINvfOJxtlzAtK5aGEKOP/M03Wzn0Is+hw9/+ant0Ph86/d6PlSDq9oLGoNJkOTk66nbg1ect\n+Ng120g4d6YinPngldOhASGyCFEghFHebq5fNoSQJMv85OSk3e0efHC0unPmsKkqI1J2f7v/8LiJ\no6KozOre8dRvXsPN1poB5GPLOTWIDQPOUmInR86oy1f9eLHDAGMMusOb0XQCvK/v7oe+e3TyuGte\niv0wn4urm/7Nq8uUsbJKk3y029TXry/y8Vk5no8n65NHfVIeuk2ScEIFkAfQ3tTZ2BHAvEWARUoI\nBuDusnUhKSfs9Ze/yQmzg/I5mDQv//Y/PozZkem2u00YEZxE3deeCxhjTKc5s8yGOnjnLGE9dVEF\nT0KQOE0rpNNZiYLp6kakzCw9zhOvXN9GNShjKEGkOWgtDRYiLVgw1vsQjOl3qChDCKBIsZQaZ5AA\naHtgracpEymKARotQQAkYwAH5IOViKQCRRKscdaQjAIIEYXZOGJgxgsmO4cDjhCI1CkLyO0m4fW+\n3YnJhEYINusGYQAi5gmXSjHO1aCLIt3X9SA95xjCEHzsBiu1iRpMx5mybjJjRtsAYTEmfU8pjYAi\nH2W03kNCiL7+4jOMiUh98DHoGALoe1ON0mFQMEKAglVxwmBFM8OLum+k99N8FEKwxgrBIofTPEPR\ny4YLIGzwMjprrfcOEzgquN7Lw6YpEs6SxAAAItBagxgxxIRRD6F3FkMPglc9cCFabwUTCGNl/Mko\naQ/9dtOkuXAR9FCgBAfZOkeN9J6EhDMX2Mn522LxYn1w0I+Wb553Bok4HGRMUkwxGQz07T6ilImE\nAR+jhhStdzvb6rSEAjIUFzEkgoIym93f3LACUJZEHHDinPWIEIGgYBREXKtumpeUQIv6wYd5XqbA\nwpkQHAYXTB171S33aujru6R992j24bPZ9tBv9V5bnrOcYKubQSU44ez6epMKMkqFGaCkksMkSRI/\nk81OahM/+V744le9VuwP/6xvDrcf/RQB8ovbS2hD2Q1RDQhGPRzS/G5jljp59BVyNBOfaPQGkQMi\n692qlj0NMxqRSEYLtX8pr24xVPniQ6STqB+kRXvYqTTn33xWJPxmu6KTec65Nh0HgNabO8pO2jXS\nnS9msJdSiOywkwgiiGEEIclLPFeUoLfftXKPstmZUuvd5p4iVqNR8CYvkDfAA1+NQb2nN9f6CXHb\njQsEffheCinsw2Rx/jZJ2O3bIctpkoW7N/L4JBtUq2Uu0vbLX0MXtu9+0mH0IE35ZiXqnTw+L1bX\nh+tu9/FPxgTH5dX1yeN33Nsr7xNoPGPQ2L7eAkEQRSRCxLhdXn45O3q0vr9CVpy8Yw8rxjjPRuXp\ne+8Ff7u8j6ziXsvtsreeyAPi5KpTrTXuwx/Z+9dN305Flmdk1Ky/366/dJFztnMaDJ3LCfMAj0/u\nDutU9DjhiCDijElT/Po3O4oZSmZf/12qBvHkg61sJjgtkbAB9ayAZhMDiBDAaBNjjNEYQ26UCmFQ\nPUM2Itx5lwbfEoQ214fxcYYB/8lvNy/fRDOUP/u3K1Txfon7PfHEHb9H3TdgI4ssr6JTfhiEoAFg\n71WRJek4Pz6dRWtvLq7k4AC4pWR69vijgt29/fY32WhExaBNtbt9Q7KjxbsTvblthtwN27R8OD1a\nOHWtW6dt5Hqt+mPb+2qMGWWISkipqTttDHHgrm0gjD56miXOotWysnSZFuNh5b69xk9/y3z04d9t\nLt/ZHKjsgDMoxq3uMWJAde3mPsjep0cYxbi7dpgDyrUdQPCaFzFJc7PtL980EPnoYTp2ELrNjUEI\nQmKc9hEjxih0vlvGvIDdXumejU+YCwZxiHhghLIERq17hRDGZkAUEAggIYEw5A2IiGWF7Tp79LAA\nmlxtBpZnaYK0NM5GjJAQPLSGYGeiwyiqmiQlJdNJQTIeHN3sBoQwhNhozxhkBDiPvTVS+UNz8N4z\nTpTUEOMQQwgRAlBkTEkZAUUYRAcBDNWYDh23Khin23pIaOEB0W1zsXUorxBA1gZrA0SQpxCAsN8N\nlJHj01Irf9ADjdL0sO10WqV5gbTyCFKjPMv9aObDno0XBYgO6YgtsVBBRFvtW2PnR5XrZK10FR3y\nvraeUooJNi44qBHEo5zuD1q7iAnCEQBIKEZKWUSwsfpu2SdVQVMmQsBZRq3uulb2OgCMaDAaPn6n\nm56/WNeOgLR9+9Hr67RILXFoktLadt4gAEDvLWUWKQeCgyZwpiuR7AbbbKzKfSLuKn6eMdbKOlsw\nhF10vl45iBEGREcXfLBGUxqmRZFiDgFwfR5QICx/Z5pCpK5WzbY11MO+taNCdIN2LejSASAXBJyK\n4rbtNAmEozKhzgDn/IRBgGD4p+BeB7MxBg7Ua1bl5cnz+p//4c1kdNpKn7LN9rYy/ZcXX5fpccbz\n2VFxOx6Btn0SJvdeHQz9OAOb5XXryfLRo+r26qtDnVPKVH0gBJDspFndUzgdnDZaB+Te+WGxvXgL\n6R7G6fnT+kc/vvqbPy/mx7HZHQhLMMap4JgxI/cmZoeDBxxHb9crOTkaUd+1jcU0Wd/vC1SAyNqm\nzauJc5FE0tUWZNiaw2Q+G58IY2c4mL67Nq7Mx7yRxhgHTby/1gGOD/Ul4dLbAKEMAEMYi/Pxqy/a\n9mBmD9ynl3V7SKcPxRc/YxADEOFsyvf3++YgGEvXjbq/dKPFaHMHht4CwBCG4+niYr0OuicoNoMF\nkDIqQ2C8KETmRrOfHpbXVy/fAlom+TiGyfWL9eZOsrJ68tG7N198u75XlPC0KiHxyFHv8NVXwyDP\ng+NC+Ldf3mdjRVlCGLKRDeuQV6jZHniZdjushlAWrnx0pkyrdFBdZ93M7GVxCouMK6lffJGXJTg9\nV4NGeohyCKMpLUip2oMc/H6ns0mGnAVUeCyzvEKY7w97RBil3fl7AIEc0n27t8s8Nw3XGlB87EEX\nKc1PTpzetLss2MCqJJJgdntA0+idgxGDYbUc8uns+vXbskgxAlpGWkzSXBwOb0XioKSBJoiV83n2\n3WekqHKEiDFhe3PnLR8/OF88yndvbzbLYX/LihM0O8Fvv1MbuS2LjMRCJAgAERoPSRqFLXPWbloq\n5NG4unzLUJWj/sqJJ9Xps/2by7/8hrbuaDTdphX2zgEDde/dAZACRBJpQnRDGUTOWUeyo5Mnr/7+\nM4vtUcbr5U5tuRC5HBqtYawtSwBJlG5wwlKc66HxnkCGsQ3e6OgQzyY0RuVVzMoEIggtdNJoHTEH\nlDPgbFPbYkYmBd7d6e3SsCIdTagQbnPVpRmnWWSZlcoRxijAiHrMNTEoQUgNEXucCOCURzThWXFD\nBIQeIQTHVZLlvMwSwZn3YVsrbR1EgFMcQ8SEEkIoQYyQVDDnfSuddw4CnI0pZbhrYJKHNCcQ0kgE\nJy7hEUeVFpmUXutgXQg+IAQ5wyGE8TwbV4m3SEsDNAaBEcohRMGCofV946TyPCFVNjG7qmns/d36\nft0qIwGI0SMQosCIIFK3UhTpZFxaa70kjQIBE8IZ5tRGaA3YbVTgwAHogwEQRGABBDyh1ZirzgpB\n8ozBAIKzMATKCWFUah+iMxrms0N5/tmhljFgv3q33j04KYuKZZhhhALDrA+xddq5WLey7xsdlEbu\nIHWwYJaXGeXtTt1f77eHm4vlG90pHGBA0BmQlZkQBGPvvcFI+GgThGEPsTUTgRMcjfaPHk23m5vP\nvr4+7BrYNgU2DIT1tkOYjKtSW3C70T7EUiRnDzIk3GEwO9kbKK0LHdASqF4P0TmM0W27a3v70XP5\nb/7XL/74T66jvQb6+stP0Zuv6vHi+tO/Tet61m5mEUYA8rdfvBwlbyhzEZyQyTHGc5acEdoqY1j2\nzuToAwAMTfLRREYrKR2FoIUg0blud2O6L4y6nY7tJ7+dvf+hvnplnn2v+T/8n8Tv/EHV7kE1YxC7\n+tBZY1bLVns31CGEiABEwfW9ci7yhB89SqzVnUEfvn+eF0LZoWudc5CQnInURmVkNJ1Xcp+Xo+mU\nAdOOJ1ikCCMkwznE2Kj+/sooGY3isvVpxgPF1QmCGGkF7FBR4THwIEYEpECYMT+dVe16O5qjNCPb\nzWCDSTKBgUU0drtO6xgRoCQBEQRIMCkhoN2hr3dGSyjgZthudhuoJaHUIwZjX3uQMdzvby6UssFC\nysBkXgwDHmQ8XkwASLLJGctCxEp5e9hkVj9DVBqbEcJEXvoArSK7N1z2tG3g3e0WETA7OVGSJYkg\niDlNtKaUIsxZ9G2/J7GzFJCzU/b8+6O+9XdLDABIsxFwpjt0ahh8cL0GxmEYIqMEQVJvuqtvb7d3\nXCSj60v17IOcJa7uB6kTDwCFm6j58k4e9rIcCxIDEDlLBU+Su8urobeUVXmaAl/3GsyP5uMZkR5k\nopdN++nLQSoSOUF0ZPp9Nc6kCbLZh0C9DT/6gxMvV5vrlwBj20epSX04unrdK+kiAptt0zdme7GT\nWop8HCJPBNetHRrMCYiY/s4fZtXosBnO89PTIrsJcAEmH3Le+egJSxjzIYZAvQYapSezk/MkL5N8\n5LxCgmB0aA/rR598kJUZnyaLU4HwAIOHgScJidZ1u2BbhhHz2keHueA5TzBKIKGQ+LSIjAfvkeqi\nbnXwBsIYgksSxAidzKdpjtIpefg4ozTNRtloTmN0CCtGbNvK3X5vQjBOaEl88JCZ/bWVMg8eqy5i\nCiEXQw+MQciH3dvXxBg9nnNG6GbfSqWV1et1vVn1IELvHEVoNqsoxREEiiFBwHo3aN/1FkOMKAEB\nYQuRI8YrygnCJBOM8jQAlwoqBCUYORe8D5wzwoh1QWvgA0hFSijp6s7HOOxtNBHhwDm11mtjy1Hy\n3sPxk1GVSuJVG51TGkDI2sEHbwVnGCKKIUEeEbw6mMV5+O3/dvn9f331r/44Rx63UkYEgePlNJ7+\nQP74X7F333P1AVvrIYRSaUZAytlud6DQMegodBjHYAY9KJ6kCMG+C+XUP3jvTd11DkBSn799cbo5\ntMrbwVoIKRMJQrDulQc4S2nOkoDCoJXU1tC+923fumAjBun54vx4VD47Wnzw6CwrCAqAUM+4pNxE\nAIJLQ4wZKOur8PZtfXEnv35x0+wPVJs3375GmBsXmQssAZ7HKqMV52093G9W97uWIqiV67xdbtr1\nrRotuKDcBqCgrrXZyG5n+wEbCXQA9CDtwQ5X199cftetXtDPfzEReWnhJ7/+60O7h1AYG8+Wl/vl\nnQ7k9POfy+uvA0ZLaHuAHk7m2zL3m/V9UYhABUAfTo/nXhftZlfvmghBBDGtEpbkt68+32+V0jPd\nrrZ3uh3I7Stz/+ry8Yf9j34vB+6N8zhJGQQh5ymABEKPIHTqAGHwIeWMF7P0/edVJtrihCxOhVw2\nIBjMyGTxIM0SAFSM5rC900PjgcGsmc59cLLZmZSLnNIyN0lmYfTOQQCV9zZJnezj9VslpR2djSNk\nVEAQ0dBq7bTTiWo9gKaceCl1s1Pz4xxBYq0y1rSHXirNKAYAZuUJiMq6zqlWK4VY0u7t0ACAjwBE\niGAQAqNpOUq73c0QptWiaHfy9u3Be5xXWVLNVQ84ABhxFFmWjSkH+QgWkyA4ZLmTg9rfEwq3gpj2\nAI1FCHhPyHBoAS+ffvhctnR9ewjWdvuWl2mWM2c1DAG4drnEtfEBwKGHQvi3L8D6dlADoHyMYvSR\nk0QkGbAmjKZ0cT6U1bTvB2fc/WskdamlK45AUcXLt9voqKkJBsW4wHev4f0SOC0gwEqFEGkAwGna\nDTHnqQuxHCHTt0VeGrknBX33h6jI+s9/Uz9+en5+RowxVUpBu3zzm2sl7WwGCYg4xQ8/YFffXkVf\n19udjZiytFh8P8lPEIGjE5xOyGiBRkcaUXL6pPmz/+Wr996x9c3Q7wAltm/h6mb49mt7ejKaTdvx\npNvccsVHUkLMuuMnwEoZDKECLR6iozOCQ7O/W/bdDkGbTzhjIS95VvaUbOazUOVw9WZwjkRgnA+U\nUsIoZSirEgRRCCQrstGIOG13a+sMGLokGHy47+pD4HkKMVDSDH0NsVdd4AkIZh+jWZwV/5v/7f63\nP7lf3zomKPRhdW36zqHgdQ+CRwi7fIpjBEMXDEBaAWdQe4g+MES9JxAQQ2Jw730YLl7c5ZMCHorN\nS2+s7TqdCA5ALDKhJJrPigiicw4CEEHse4swoQRyyqwP0Ts5GD0QmoYihcGLwZsIbVklcfDeG2sC\nLyAXmCOqtIUIYsIxRtGH/a6FCFFC+k6mCTcytEojRCCOnEDbKwOIhShABwJECJWTihIcHW7aLhWO\nEAAhcgoMQ2ha8/QTVRz1G/l6OpXP6u+/+go6RSaPmvLsV6GcEkbT9Nc//vEnr14deRcAiekor/cd\nhhwAwjEmyEYQY7AgMowgjpgQ/eTje+V2PkTUTi++erRXPmXEDCDiYJEjxqWEnlZ5o9zgLOGYKQQw\nAohKbQlVCccBku8/ejjhXnmzaeoX21YZl+ZUW6fugnNO5Eme59MMdnfKaOUhlArBhHmlDvsNCuD5\ns8U7ZyOl7Je3N67pK5bMZ2l7aYbeBOSKWd4cdC3taDrCrCMQ0RHuVjpGKEoWsTdDqAcNDTAmRiy+\n+SpPxVEqkJPg9DG2WP7+nzZ//n8pZQ/wViF7YYZksbhHfC5459VEDdq6zmSnwYmkfNgOb6NdElem\n1QK6WpqkqEbBNt6AyETChTad0kdFSfcrayujBvoH/3X+839rfvbvN4HLT34vqQ8f3V70FGEaBCbj\n0bxZ30JOGExC37qEA+gQh/7lLw+DThLnbm86GzxDEDNWjYlz3dnT91Y3LwIMkQDfj9rDhRCgqM4h\npq65h05AClCILoL5cRW9igglvFTSo9S1NYMg5vnA0inL8665aHfx4x+nhY1xQDyDs+O5tz3O8tms\nuL5YL84fO73kma+XfmiNV22ECFoUAQlAOg0pTZ3vAnit3YlWVuRkfMRS4Y0vk6wc9tu2dlzoNC/K\nhcMRUDbZb+8Wj35cJe1qY4vpvLlrIOA89RErP0BvT3d3WiQqFaYn2GjAkjwMZn97Kc95UuSYjmXf\n+cCCt7uNHi3Gst0kAhKqZeMYwRmnn/9jqwEXAGCMhm5QDiBvTW9I4hHIkwxmVd23E1t3VrmImOra\nasTuvlXOxpOnSRw0GiHVm5urrumTrArNVlvjEgRks8PJyfR4Xi9vQzmK7Z7TXIem7cJkOmm2w/6u\nJ3x+9ABfXdyfTYvbdlWwcjoxr1/54wfHQ98SSLUGccc3txoLW025qXtARgkTBPKiAChRk2N1uCf1\nJrAUDnVy8dnP8nIj0p9kY2oNfviuLYu32/YdqVPjVbtt6sMjTAkEEGGmW5wVsO8doWBzp5s9iP6A\nECunYzsoUuDRiNOcwiEsbzc6svagXTTKBBwgwhACxhiByBVT4oONEQ47TTM7aE+Z3+315GjkhsQB\nkOWoKoXTcdvAakKd1V3jPAKyVu0+nD4Lt29f3m/HVvPltUkyihHquxgAzsoEAag76TPIhJikIkAQ\nrfaejE6qCAYAy7KgTu5Rv0uvvymdG0F4ANEjykjCnQvGep4QJTWCQCu92XUQQsZZCIBSmiRiMhYi\npWXOHpwVAYJtrSDEQojt0vW1DjHagJbb4erVW6+kURECiCgLIBjjnTYIBOccFZxSYr2vJsLZ0Hd6\nNM2LgmMYvAa7tf7uprnZ9ZebpveWMSpwwNBDEPOicJBaF4CH5dh98OP6/AzESCgb3Xydf/rp2+T9\nf/jnfwoePd/TB7/e9RLnT4sCHJ3cv/P8ywiDMYGMpjY42UkMg4+q77thaIdBSmmitTGiclp+9Lt7\nH9faBGaL5YsPNgNjCbRMqmh9DBZZE51UnlBMGW1bt952jkRjg3WGcUapUN4/mIymSeic1g4ONkrt\njHF6CBAgRkVRTXKRcuUuv9o3nRYcee+7dojeDYP1kXbWv7na371eR2PORwsG6c2u3Xf2waI4HReL\nUU4CGnOBdNitWs4xB4gSUIxYgPaw61Tto8dMQFFChJM/+dfLH//ubnTORHrh8eHh+1/PZp9+/rOX\ns2efiEpzFrPJ0Z/+m4/ylAC9VSrU+42LJ48+ws8+iuPydMYO0/kPmu4xAxggmY2ejmbvicTzVGNs\nAYAA4Bioke1uta13bbvHh1Xy+vOD9aoYnTx65nb3NzzxZZZRcYIIk+bSezc7GYuioDQbpTClMQae\njx8ap2JMjKSra6mA8yZQQdLy6PjplLGNG0iz9whjymhQKYKCCVCNTTkRjGfTUXl13Qme55mD3kdH\n6lq2ba+aYJXXXQzev/uhH8+VlcKZUG/3+1qJJAUuIiJDxBQFOUiRMKPqgLhVOgQJYBbRBEGLxEgk\nie1VfTCQgBDx5taulo2SkiYLnkxtW2MshnrTtQfonHdpkuN08jTJv7e7V5Q/GE/QdvfGA7W56RGG\nCDuecWgJBJCnSTaZVzM/PlowkScpBbFDKIJQvvl6v14epgtQlEhL1fcWI3PYe5rR4G2S5jSFRgJA\nYjXjVeZYViAUpDKECSWNyHJVO8SS/TJcvXAg9k5J5z2EhFFxf2nHJ/NpcXR4GzeHgRGvejuaidMz\nNTmyp8+4t0E3PcZ5Oj2/e3N39fKybnqp7Gp5gAgV5ZilExLl/p43TbR6aIehbjRkQPU3MbrJBPIM\nReMQSWYPTlZ3PWPcO+AV0A2MLIVONZvtYa+CM+r+c0b2+ZhDYotq9OXPn1g0+a//u8+R21hJglNR\nXjw4/qzbvlYSILSnGehbTygMBmBE+xXSjTM9Y1k1fUCmpxzjmJTi6DFJp9Y6snrZv/hy3w8Ik9DW\nw+HWuR5EYCKCDoRgCUC02znCMIgK4OA8JALmY/DgvQSDvpfx+EHOkF0v1XZjvCF2yMKAucAxeESR\nqJBqlenS+pB0nRIVTseoGiOaRV5EpzXEgSckQdT2oTuYftut740HOUsjxQaqpt+tO63JZjeUpSdM\nv/mSpIV59gN//zppLSAUDVKXuQAABQi7wRCMGYEQwoQJllLVdU2js4yttxITxDjYbyRjjCUBAEgS\n4r23IAmISoP8EGIEjWqsd5QwjLGzwdkYAoAxxgi9A85ohljBqXRudbAYqhACcLDZmzRj3vqd7hOB\naASEZIQhzEC9i0k2PP3Ri2J+mDw5ydOPOSvT9P7muvnS3n/yvV99/19+8tnXMx1HTxZtvP3Pxk0/\n//L9m109PTqZnZ3ffv5Lb2PEyCOLA1fBQYwKEYy0eSLOnt/A7K6VIUV8+eaphcVkZAFAg/EswSSJ\nUhlISWO16r1ICoQRpSwigEkEmCUF8zoCrzIGZOidDRARktpH7xVawqFzlIckYTyw7VXXa8U4QSga\nEzAKg3SrlZ1UyXTMdjt36LSxodOGE3jKeZIgaVRepCnGPnqEAAgBSXDYdNELkAIoQMUZz+mVPqjO\nxOiZIJFgVgaU2B/+6Ge//vtZdy92O6m+mx0/1d1u0bQsGaft4N+ZHzWr+2wsrm9vzh69z2DT9stv\nfr1Is2+AhaX2K9C5mI5OPYAdkP1iYZY37c3rHRXVZFHoXoKodEcwpcbY57/VIg9/8TdoMYanj3Tb\nh9Wy2K0OP/xDm1e7v/0PUB4CjIKnXT5J02Ju6u36ZnAGLXpMs9xfHdYbO1lkJJIudsX0gfcCxkkM\nw+zhTMsLngQ1JPk4y0U8bLeddSlmRZ4pYwQViBqlcSDUd5ZnQMbQ1UMI8eS8UL16dPb67k3Uep6l\nxCCIaQzgSvdoddtBlFACrY2Q0Js3u/N3TjYXVIUekZfVZDzUBMKMkCHJcxjhbluLLO22TTDAR1rw\nnASzrbWYEegTb5eAFpNjzbgbNseqf5UWKWZseXVd7zuWwWo0jroYBprikOdFdMAhmI6MGlq732TU\nb5uGspwVvK73gCbOgMuXL8tRvrrptDHWOcQqaOF0EYmAXkbnGWS9oLyvYWRQd16IPClzb5X3Mp0c\neWhM0ydpOkALCbWtPnqW/cG/Ei8/71e34aP3kxdvonK6XbUoZ5yItsFA4wfPdsPTUg8FZAUMwCtr\npLGqgTjNRml28m4mUiOvl0sFIaQUWQNpmtoBTY6ngC3rzlGGcZ7mWSM1gGaIruXlbDzizuA4PTKS\n1iB6jRCP2+vldeNO308i8EbR9gDyKbp/1YL+tu8X6Yg9f+/wy7/AYGm666PxYg/w43RB50XTHuzh\nEHCSDLXfrtXkUfHgNDfaiyksFmR+0vRrWe9S6ImPIMlJCMB0VmtrPReEmQ5o7V3uyjFAQVsPg4+Q\nwugwIZZyQGggwErvAMb9XkUIQgQAkyQDRg19g2JEPMceuCQdnxzFhPeJKABMg4vBR5FCrWK7hzxD\nEEYlIxKIUhQAlCaKHCMidzdtvffjGUfIoklB3JA3lngPec4p2qxfj3wPRcaMdQBC5wJnlHAaQ0QY\nCk61tTRhEEGpvcgw4gBGUOYcQnx323z79ebhs+NiDHzwygQhGKOTDDtHWQCxbzWBNAYQYYwEIgKM\n0oLTEEJ0KLpAEPEuIB8X40pZBSlXgwYshOBdoNF4RQcXMPdIWeQslr04+nClyXa4AdN3Zhzeq7os\nz9+5Xb3yYfLZzwvwRfPw4YM4sv723zWNri//xO/P/+UffUry3795szs9mXgNorGUZigGB7Mf/Yuw\nvw3Lu/Hs7E6iV3UdBEPd26fL+wlCHQ6+d4AwQCMQiHOYEgKKJLnodtHKqmKQIOhxgJnDxjljjROe\n7g+NtYGmCDDoLIIgOOUx9TyFSNH1/WC1poxlGa4bHWBME8o4lcpFiCiGVSUGZawNuyESGOngj8bZ\nMUcOADCi665RTjsdUYJOFiUGkUZktDMuiIqd8/J+3QRPCalirHe3h5dfivvXDMaRb1c33xVnHw8k\nbLlYrL9SWT4Opn3zm1+IfHL73XVxMhfViBPcvb523ly/LsejPcT07h7++J+vBb4eNh/Xm5v7N7f5\n4kmSLg672ruXKEACpyI1JjI5tP/l/xkfP0oCOpfyYrPZbu6qroNdJ9a3w92F6/ZE6yQVyXa1XpxQ\nAHcoh7/3Xz2vxrrI7/7qz1PMNMOnbrjulBs/PNL2vlV3XX2CodLOYeQxRJRFYwgmKGK0uz8cfPvJ\nx4vdZisVKhM3yfNhS3as9/EQDUEYIoz1EKoJvrokXXuG6dbBajoq71/VXuKu9d4ijPzQi9kJu3i5\nFgKCqFmWyV0tpU+SvVWE59a5aPqa8EyICafBQKQGDx0guAUx8tEcRN1sD86wk3dPz57o9WtJEzVZ\nnAzb5aHZY+q6fcgQmz9brK4sT0gITlsLEALA76/scpU+fjdPqJWkgkB1W4V52awPAOG+FW0zJAV3\nOx08qSYTAjM9rL1vBBuLAhnJhoEMnSwWAWPkdNzd3kdoAEiCa4GHCEIlKXAxWkM4GC1iwOuTB/bl\nL+EvWvzBj8lo3pYpv3iDrcbTE3P5TX0hO8xOBJUkJcg2tuspJRAVAHilrNVWcVvvlBoMAEh4EbQ8\ndI2MIs2IuzdJrrI0L1koJ/m3b/btW3Py8Kju62AzzGbei1SQYJ0oiEjV9u0B0MluRQhxzvgdTp69\nO7n59uJWjkejfnWbf/OFmz873qwcKcTm5aaN+MG78p1Hb8n747/pJoe1BAAWM8GQbVaDUh5GTxLU\n31kXhLPSmc5qHAwyKiBIIkAUYaUd45wJnyWCROhBr3UAgFkX0zFigA6dljEGG6XGNiicUGSBdx6n\nFoHgYRBFhaDhZYwamyY+ef87pDZOHlEaCSQRAIholgZgsXHBO5wK6L0dDoFlLM3LpLD7ZQMgyibY\nIQkBFsYhRCaE5hEIEsD2rthuWKeVtQ4gkKQCYpykxLtACJlOshCcs55gx80GxYgxRtFnKbMOrtdd\ngFFZNXQ2L/h0zClDCEHOiJWDkdroEHykHGISKIuYQBCjUipEQAjSUgMUBIGyDxZogK3stOkHZ2x0\nIcQoreUpYT5jOAsgBisno9snT68fnGw+OP34qHpMrPj0717+7Odfa3yk4fjxZPS/+8Oz//CXv/xP\nf/3FVP+/7+7a774drW4BK/7i3Q8Q1sP+zS3TIcGYoER1oDmA8YOWprdf/1wlxaqHXzRNBN67q9O7\n2wmCkuDoQwiDruthMLoZzF3Tbnd22KijlJMY+v3Q7QfoEYHQDId+1+jemWjua3N/0MZzZ5B3XjWm\nrk1bm9CT7XWPYKwKmuf5vnZyMBiiodcAhDQh1pnlrg0u5gWjJGAYIeX5bG4os9okJvq9Lm2GeyQb\n7YCPGaAFhSwiAPft8O2bu92hCyqmCbC6RTjOTsT2djJ58F42/qZp06TE0LcXX8ab78LJk0kgiewb\nWs5DIICU3W7P8hIiyng+PXt9/KR78O4RnpV/8L+aZUXy5ac1giCvYtdnRiOepZQkRmuaEuN6B8mf\n/Rv3/d/KMZw/ei9/54M7jyAEPOXi+rWDyDfLbHszcwo7E+u91L3WljM8wiF/+G73p//NbjRGhTCY\nCcy8VMcwjLb3FfAz1cGjRxiCHUfAyOlmtTp66MsJN0YLSgkkHPOr6xqEcjRDeQo5G1g6vP8DwjMe\nEY4xUBoimLY1/fbLhTQ048iHgMhA5mLVwOhxAANmidFmc9/ECJOEGIUghhAi0w9WBp4U1mqnMeMT\nj5vRcVUUlbUaRJcIjiEMyAUDfF9bG7Pp6YPz0frNAKHt23u5D/3geFrKvuHFLJqjb34t69Yh4LR0\nAXKIPIgRoInAuF7f7ZteCNDvtoBCjBAlQGuwXdlgjVUWEUwpGfrD0FuRVQlDEVbNzuOBLJdsds6i\n3zpUjmbT6aTAmCNE5b4HEQLofAg4GG8tJAkK6Jd/Eb75TTEaI9UOXR2RA9ZJiA7V4hoEEgBsD5ls\nh3Z7MLpvl9ez0zxNKUuTCOBkNonIIthDDDGmhPMIw9A72WlPaadcvXSbTU0IuH99eXXdIAIhTVjB\nZ+O07xxgOUCRUPTo8RxEW9+/sdom+YkohNfReldloL1PIY0Ah7a2MOmXaz40oyzwYtThfIR5Robd\n/dtuc3lZZJqnAEafVRXLMCkG2erltVrfa/tPOAWw3gPnoDEguugtSTlPRcTCZ0d6eqzTXJrW6YEE\ni4MPhEEU+2Y3KB2shxAjGBylhPBoPcxzn4iQCpyMKeWGpTAR0QOYTi0GfdMbSNl4UnCEggz1Vm82\n0UbvdFS13m980wFeEqWGXu2ancZZlo0FZ8RZUmQF7gJJhCMw8pJu9yYfz0rmDzszm2VNq0ejcrs5\n9INlFE5mBUQohCAoVlIHZ6ajtDEeU2YsbZreWocxEilsDrs1GpXTWE2h1NhKpB32GHrrKCWEghgR\nANC7f6JNEEAgmBgxzqoUAG92rUipSAjIk9bKjCU2RO+dwxGkEkmGAqYYHz3+RXX0dbkABMPtDVfD\n9LBL183EvH4577MqJTcvXv6f/+rLJ79//P2n21/8pewZ9jYfz185sNmoZzjZ5hS0Q0zTzSe/+zLL\nxy9+/d7k5Nd+tfA07/FvzM4SAsJ+fnM9N94CDHAAImERAOEogsh643UwVHkFGcMZJemYKe37fnBR\n90PQyidp1AggpiPC212dMxa9DwECCB4/nDU3inFIAaQYYyznFW4wlyYQgnCEIfi7Tcs4T3j00nJB\nKIUY47auCYqBhl67VnuK0IzhQpQWgru7YektRKEqk0mR1neDdmGS5EPoEQaIsKSMVvvbi8Wwm2Im\n7ErfvSqzcZAKy9WQVJYVj9LRdFhef++H/Nsvd8324p1nZ4CJm4ta65sw748fnk3m9OUv/f0lOH10\nYAyUM7y/W5aLDz/8CX356wEA4oNbnOWq6QQLHkDp5bN34uaSrN5gj9T3/8XI6MF2MUEOQpzkiZFD\nMSt4ypOSVov0m69XNy+vx4+K8aPh6691Ievi7OMQ05ik5987Xb64kutLjKDHw9Hikenw9q6mfIgQ\ncWZAUJgTgjFBJIBctWi5bpPJYK6oaTLGjTY6KydJWfQr1217VJimhcUJ094hDG5vm4RleTX96J+F\n17+B2/tQzKvDUpZj7w0qy0LrniQjjAp1eA3RxEOk2xBtzYlHCDGeA+i7VuYVVEOrdEjGJxjbbtty\nwdaX28EWxx8N1ufr5Rc0mafZpByJZMz3l3p11zBBCacEIIeiKERXI48wwgjBLcsS5H0kcJA0ZcSF\nyLnwzneNR1AJ6gdHDzWlME1FbzWzrnbDod/RzcpPTnHft5N50D5oScpybqGNGkMCvJYQUYzR0MbQ\nRwRM0BKxYnXX6tqKcb5fU90pHRQgODqIAWVVaut26GEhTiNEWTmFALb7zSThMWBKCwB3RVXs7g/O\nuRAFjJBxAQMYjfLNugXaCkZddOPFZHt/z3nm/bw/0LN3HrTLbzxhiYj3b1uEYBZctE4OkSC8vFOL\nad9vhup75exBvVydobBY3azyyqt7jct5ONwcGGu+HTkMivNTGEH1KGSphgFffqFdjABgYEm31s4w\nDJDSkbMIQoyesYz6AJ2xEHkAwNB52feYgNkEdzXSBkBr222UfRApsp1vpYcBYhQdcMkUEY9AJCro\naJGT0YNIAcEMPHm+K5P29lWVjSn2wNgIKIbYUga1dABTjPh7H9PFGP3qV3vMREozxgxJCLPC5XZ+\nRFzb7B0iysLgAOMozZjrm5yQhFOtbCaE1QZjErxPqwoiBxBMoujqnmfcBa77AbCCE+x8IBBACrIi\nApB4w7SxGAjB8Xzh9huMg44YShsFxcZGoyzCACGIKdAqwAggBAQjCIGPwBrnAxSMTkYi1aI3jjPs\nvBv6YXk7VKUg1kVrH35ypyk41Lia+WSmXv79zd3tw2k+XN7r17dfOB8gDYThpOv/4s+716/4/EmY\nz219WE9mY8k/idQYDfKiXTz9T5g32+77D37/8u439b28++mfXP7Dr0mao9DlL14VEPcJEtAhDGAE\nHiICaAwxABAEjsF7yJCJ0baOcMQophxrx+aLTBrbaaO1NjYCr6yHlvFoQzIlp7PEr2V0gCOYCKhN\nkH1ME1IWketoAYnKaesSRkEMRkrGOUYwwGiMpZQSCA6dBijGGDnhAKEkOKLiaZlaH3dSAeGa2B2d\nj7w12lhjAfbYKMfTaB2E2D9+P77+xRAgHR1LmlbIjdZ3muCuGI3Wr38+np33Ww8chd5dvvx06M+M\nhNv7ttlszp6qYeAktKNJury+ttocdta4pAQdBCuRw65puh6pFw4ESsOhb8rbi8TXne7Z6g4YYJ9M\nm8fvD82W/Pyvo1LGdEMMiPCkHNvgDusV/60/nl/+BSvy4buvD3yapQWFSC6ekHLhWUE2QrByqu/l\nbtvmWfvgOL1fu+0mUVJ++IOR1KrfQnHMJnN8ddGs1k71RGpfVEm3G2hBZ2d5whDHnYO9Q8n++jag\nBcf11TdWDhzA3HhUVth0mzSd1RgjAH77j/jd27hda2sijhABhjDQumNiFmM0EmVVGNoOkQohbZXL\nx1NIWQxbY7OCBASa9gAwmvmwKqpkdXeTkNG4GrdtyI7cu5/85uVvvu/9KKt49FFLhzhOS6bVlqSe\nctXu7XRRHJ+f9Lta903wzGGHBZZDCKFDgMQQnEEQONv5kLjYe2IiFLwcE6MH44k1dc6LvmaD1ggK\nB4FWmkQAY9RWAUCrCYnA+uANKNNZbFegWavyVABHj87tzUvuWh9NiAC2h7oYU4+TYlEe7lcsLwDC\nFGLZdUPbjU5Zc9cGZ3arA8IYesIoppAGr53zwEoztNjnoBDU7Lt6m2altCfV6dSZPk9uksXd9v5m\nf08JTNP5WTI/77c7QjgmzEWAJ0/eecBp9h0hxvZ9vY4nT2ejSbnpNl39unj2ifRGd8PxD/l05F/X\ncTYtzCDXV76XME2zwAZvoHMEcRqkw9CBACmAMQnVeKj3mDDgPe72wPTAujg9tYeNdZZiLLwFCOG8\niJR6a0KSYBwxQJRllBFzWCtraT5HwUXvA08ogFAeYtcQK0NC3e3bdduOMCWUAtV4DwMIiFIQSPid\nPzIfZvvPfp0TAgF2hIFhO3SAHZ+mBPjlQQ4WkF66hBMpNcKQYE4pjL2XQ1wsUN0NCKHTByeHQ725\n3yecGWO5IMFGH2jGnMYweJwK1NQuTTBDACHW2QCIvbpWs6EspgwnA+iBlQNmmffAmxBChCgGDxBG\nzmgAYJqxvrMQRoKQYzjndLPtRlOOIm3q3sVQVSlHBALS7HvI/em7786enAV4uPkG3X6dRBRvb5iW\nV8Oq3WyTJBcQwWarg1P19s45Vp0EITJlHMXYsI8ASrabw+xDoMyf3+7b282zpnFifnf+YNxf7gf5\n+nj2dH1dRvUQkRigH4hlgSAPOUQx2hgARBABDKAHICpjEQARYOCxBp4hlFAMfYAuqF5DQoIOGDpn\nASRm/m4+EgLsQqsszRE00TgUgutU3Ctc0TgqyL5xgMYsTRjBPoAYQ5pQbx3yEZAYnWw6CyAgDGOO\nAwZdhKng1AU7SM45KbJ90xuADVIh2rYN47nICta1EiiIALLadk1vbMrzBMLb9V3M5npy5FF8Cu1N\nNGDoR8PuDa9yNRy0c4edOn3+k9H4l5cvljdv9vvtNXYr76nXaQzAKmeVqVfXyNsISwAl46nT+nCg\n7380eoeG61eo+hhZmY6O2Xi+7frw8hew2QsrHQwOuIAwBdENu75bK1Tm92/x0fP1ajPxpoCmu3nD\n5uc7DXU/ZINCOBG6pzgkCYd3F8316zhakBGHFyu7WaHxKO8uVXR2e7eh2WyRmN2l8yEQpKcPyl71\n/V7lpxUN/V51AmNGM4AG227Syclsnl98uwVMe0fefs2drTGiKPLNLRjaiAmtqoUa9lfXF6dn73B0\nRGC/rQ8Ixe4gAWCYhrI6l2Y5mZnd/W1Eo/EMmX6NqU1Evlvd5KP59DQMvesP90r3+eQDwfFnf4FQ\nWZeVGBQDTkaQAIKsCwDMgd9ub7aQlO1mAO7SGBasLfO0OUgE8WAdcIqKefRS9u1kUXGamGEdq5Sl\nRO/3MnrGYpbQaBPPw/LmYAKGUAcXKGOUetkAwisKYp6jaJS3BOVht22ffnAkD7qrIa7acDDKHBPs\nlFXOOqCBEUD6hHCKoDE+ToqC2ASnvBiVwwGmKd24CFgCEQRRQRP4JOMFWarWIMh46qXLqyxxMJl1\nZ0/A538XtOl+8pOT3/zdn29WoJqdDO1BjCZVkaTCpOfT3c1QzdK+bjfbBgY4fAc9NOOz5fb+vZvv\n3JM/Ks34uKnvOcvs/gDSjoS9bNT+5vl48umPfrz6m9W7ziSRAEhwCL6YExScchB72h0ixkhkoT74\n4CNliAkRrHeApTw0S0cIJ4SAGCiF2sWUC+d6jItUOJbqbj84lTCYQNBzToD1qtckQTTxzuA0HREP\n3rxMtEpZKTDVtEIEWaIjI9QDH4Ojolhe73nulYYkAxDp5uC1UQjj4LDxMs2F8IBkKQ8xQIRHBU8S\nEeMwnfLv3jSEYkwhwjjnrgFamgiwIxRRzgDCAUAQowB+edCUQCGwd74eACZuNKEx+KELd7cNwtnJ\ncb7SymFTGxARRATjCELwhBDnPcLQGcMZjcBqRTBFlNjJrAhr2Ow1z8CsTLWxWumqpBDg0yenH/10\ncXL0zOyXv/6rt5tlut3Qvg9Km4QOWQoB8X2r0pTyJFoJpA5VSRNSUeAJNBgv+NEHyzertq+B+uuu\nqUV23ilhpEsswQw//+Dkyy9enB0FiZ89/p2ldIti9PD/9d9fWGIA4jBSggGE0PsIUIAY0ogQgC5E\nDz2EiADkY/AWAoQhJDlPBmsiMJCj8ijNJvyoSuAhrmVrPdyuDgiBQuSqtdqGNKcRxvVBOe0VsEmG\nizTTJmDEml7FGBNBZKspRXmVKeW91Sp4JqJV6oBALtg44+3gAUPzNIcURoh765iww2GIwVvrDjv3\n8L2Rc2y9/D0VPxulZuiOMMuthGpwEaISNDTkjz+evvgZbbeSCys7WGb66VP9RklECYEAo7X13ez0\nbKj3w+ARoyzotvFcVHrQlAkrQZbj3bKe/kvGiF3v+e1NwrFlHNf7HGHpLDY9MK0RVUIL9+idSnX4\n6k3DYHhwnoeQ3N4nSamGJkY/Ckxvl9K0kmbF/FFpu7qR/OmD4019r6ICES5X/cOHo/eeL9a7Tjol\ncrq67XsNfvLH7Pn35N/8e3v1mnsfAgjeRtVCPUK1ct4ab3Y8LQCjgj1dX99JrqzE5SggGCIk2FJB\nLWT09tZy1C/Oz4YaDe1uNH4M4IxQjPwOIeyciVIDHCNgABvMTXNYe43LR4/B4GjZdFslu4P3iGdF\nvbx2oKCiHJRRxlg7SqvcgcLbqDudFN14cdFuP+z3EWFCydyZ+6AsQJkILB+NL5fy6JEqJnR9HbIs\na2owHiEtg9bYh6CdYjQJWsGEUAZtFxiLEGrdaqNYQiHwwpoIgP7xH1JB8V//uz1DZZaiAGi0IMQI\ndFASUWyzqb/aAblJZM9sDPKwh6HAPtIMVfNFaujy5k2eJxDGerOcnh5BhSmGCHKHJSSMIW0cdQGD\noFHQWcq8R96n1CmW0d3mTnAxLP1kfH/2iH/9afPLv72TPae8sIo7zCePn7h23+w7kVjCQog7jLnr\n2ejZbPPy0liVTQ5pKu+unIz/WM4wvZGuexs0SMuqr+Wbq/e6db++qy/HBmDiBztAG1FASATt2r0Z\nNMGYpBkJAIoRA94ddioYDwARiYMQxcDKImu7tlNeUA6USROGsMYwEqiji10dhgZUCwaQQ4iHECHg\nKccmhKiwdQ74/Zef86MH7x9Pv7h8a4tJVNZCKIzqI/DZjMfgR3P27Bz5e4cTiCIhyLExKyCHmEtd\nhxAiDEoDRBCAMSYMVwWtu2G96SFEIQYA4rTMYNSffXmrVThaZMfTskyT/WEYBiO1O8hgZD2fEGed\n9R5AFAAKwNcHpQxoO619UIOnARKCYHQYIqsdpYBQwAWLMQQXKIMIRmsDREBrJb1te9/IvqhQnguv\nvHOW0BAD2O36wdoH1fjyHzb/8Of/4R//099cvc2VFlQAmgQIUN2B7R4H4HVQh1bq1sshIJinvGq7\nQRnNCwDYFVj+29BcWPXd7cV6u54gWiIUipIgkYzGZVYlTqHNrn78/Msm7OEEfPv317t7pVuHI7LS\n9I0CEBEMpTS91MYHZb3WlgIUQgghWOOl9vVgrPOY4CITZZ7P5tWzk8kn07MnYnao+/tWsZQwyglh\nUktDfFWyFHrvvI8AYJRSBnTU2loXjbUhAEKxd5ZA5FQEwKc5J4Q5EzqtHPCDcuth2FjjoO8HJbXt\ne+uUow5QSCbTcb0xxnho4/WL+v5yOH0Wn/7guD3AYkLnj48hJIPCi4fTsweAJVm7X2PMhrrVQzWe\nL1x/+/P/+CnOPqomFc+SPMsomxSj8fGTZ7KLwcUAIWdUdt4q4jwgEcAYMOD/8Jdkes5//08DIm5y\nYkTqZg+C0x6RZHrqBE1YoiE2FBKaPihnZxHx69e2yMH5Q9HuICtgCDoCwHOEGU4pQyAOHeCCUiyD\n74fWaKlkjQ/tICozrgJhxBPfOs1TvH1b/+avtXbj0/MiYqLk4IwiNOx3G+vTp09xDHazGhhmIMZg\nwPWtTXESNG/2GkKYpInsoTWq3e2shabtIfYBIl33sl1HdmO99TpAACOKCImsYt7eKWlUl1fH5zTe\nyX45DFrk3MiYVUcQjHlS1tudUpqgCY4gRto0Q9ebrotUUAjru9cxywDEXd8N+eg4L2fWGNUNh+3Q\nHhqCaHFszt7d02w8HiciSbzrKNdZymAA4wn2HjiASfQpYSnF0ThnCeeYMeMQnc04BlIkZH1Rry6H\nNB/nghgTjOkCMphQKvIk8atb02xwINDC1GOCAIoDGOWhGrt8fhxAVLobT0oXrTNKqTpijbE7bHfe\nDfWq1soTkRktGYsCY4ix6loQQPBMaprNFziYvsPDIfn5X8LvvgkQbZtDTYiEqAmQjOZPoUy8Jhij\nw/1wWPf3125726rWNnt6NM+0BncXJiBTHYk3n/urNxLwh7s9a7uD6/XymhFhq2kNY3j+4/jsnXYY\nomyBGSjLoWrD4QB/90+r/+P/nsfoEfEBGGudkRF4FIPvW6o0ti4a50WaVCVJGE6TBCIGIUBJNE4b\nHyhL8jLz1mmJIUaEQhggpoTTCDn1AD37Ef69P2jf+eDq6fNmfBr+9X/3zdkCOsfyKknKCBBgCTK2\nMc3SOFCOgDHGBwR8xIFz5pU3MYEAIh8NOrRaK9u0w+vLXd9p48l7n3zw5NnJZnu4vNrWB5ukCSKY\nE9j1Q9OqEGHXDhD4pBxJbVy75ZwljBJMAAJKGiljCLisUs6xdeDiTdd22HrPBcY4cgEYh95ZghEh\nlFKCBUMAqiFQwjBCMULZq/tl3Q5NVXAEkdQuKQOKJBrz4uIXu3g3hPWuWQfku8brDkOLBcMiQcY5\n4FjKBAA2QuFlgd1Yuv0w+EGeSzU/ef7PHEXt9mf19W29GyM0BwAlGaQZ5Sl/fRFfXcjdJtbNthx/\nk1Gw+5JdfoPPxpRaMNQDDD56dNj1CAIX4q6RSjmIkTGhPph2MIN1PkAAgHc2INgNerNqu41cvmw2\nLw7Xr+5fvrgjkWELL96u941yIEbuvbEMMYhxCNE7H5xPGZtUGUIAQY8wEAIF5/rGYUbzgvettlYK\nAQWEdvDB+JHgOWZ68BoAz6KOzmLlgPbRQR+QD/PRKGcFo9zoYEw6nl5OJpv5WbG5wn1HT8/ju09W\n0+obJHKN57evBq00CEO9uav3W2l6Z/P9XZNXNBNaDfvx5KhtdDA6zxEFGBFspBuamGVQcJxkgeCU\nUGI0R4R2hy3haDgMfT0g2BLGEFTb9UA8Mz1P6PEXv1obu54fjzDPKFaXL5aBdgCiamxC75CHUspq\n8QhqE/0LQcl4xLq2WS0PGBErY4ymWctvv2j6lhYsa3c6eAJQwMwt32KrrTJdUXpE+NHJIkmptZCE\nru5zTEmSWOB0dGg0XkwWBI7sqMzUEGXPjGT1weTTZ4vTB8bC/X4I9vDo8Un0iiSk329MUEmeYxAA\nQLP5AjnL0zkEOBJQlfn6bQ3ojezqrjZITHnKELVJwsejUYzOhyKrytlpL0TC2FRkTOT2sNx5MJH6\n1sZfZtOa8jofU0ozaKLqzH7d8ZLsbvCXP/M21PvdGkY1nTwwbfCADp3uZYvw4JQfBtw2kVMnhzTn\nk2rRW4OqmXHeEswBUMtL9OZlkEOreuW8IgDGABCnMd77QDwiPYCAAefUMEDZ9ryqnv0e/KN/E8vS\nBBdVdxgGffqsr0ZadqbbGwQRprgcick84SmKziHgCGUUUIIHE8loNhFIgiDr67v9Fp88f6cU2dGT\nj4Zeqz54Yw4753ymB2eU2u+WYlLqjpkBeIeztPIR8gxfvb5eDXmaVEk6r8rVD//ZcTCT5Q0FBKRM\ntPsIGSlm71urcKpB7//z/12/eaunJ5hSnORYH5ztkdM4JWqEV5BG3Vo70Hwi0hKzBBNsogWCwBjc\n0ClvI4EkBM8YYMw7iSGkBMfgNck7nA5tq71zmBAAXUSBJN67iALAASvtHr971bbd7U2/X290s58t\nOhh0DDYA6pTZrtz5I/bofKjreNhFLFDAABGmg7EOFVUFfax3OjhGTp+a5RsmFUhTRBjx1n37my+B\nCzFigFHCAcHBKLeXxgYIISQYikwICox0AWdM0F6HrrOYYASCtd47X42yRKD9flhJgzBM8ySJ6Gie\n3lMkOxMDTBMeAHJBG+2MasucgQic8RlDOCUewKaz1tu61qNRylLkjHvwUfHoR8b6bPVy221cUZ3L\nfgWg9cBah2IA2GJokChIUXHriLWRIui9tB1OxJFWnV6dPBn/y8Ufq9/88n+qu7cRqLKMVYU2W/90\nMXdevb4ekJK3V+CDj6df/TJevfn6aKYfPf1xX1eltU4ZBDERROmw3nXjccoo8S4CH7Iiretu1/RJ\nykciizEWqWha6W0QAg+94ULsejM4DyLMUnw8SrTh60O3uW9EHkdFjhIXUcw4tdJTRr33+50GKCBI\nXfQhekoIK1OMACQwK5K66zknLBGsDxDGiEKCeQBhfRgshYJC7GIPAQCeEJdyDinKKIlx+rv/1Zvt\n3dXf//vinY/uup2S7t0xgu3m/rsvrh6+N/NtV5xUzX3GigyJTMu4OLZolL69CAhnwGyLyWmVJPu7\nTVkdX774OREsRcjafPB9UeYgDoJVgOJh3xQZf/tq/Vf/thqXST7Dh00InXy5xSy1skO7TVkVeFRW\nu4OuFsejxfNuWzt7EONFVvabCwCtkG0giQ3ABJlWj0rVxWbFTo7zlHDTLUZjp3WTZZk0hnGeCb/f\n7TucREFUb773k4x0IXtm+cS/+AfStcYb3IM6QoAQdtE2y+AHVR1Vym45PbcBeOOV62NwVttimqUE\nY5YCPcyPSmdaxrCH+0EjSvnxQjZLr3REQEecjCczSG1A1A0RIFxV8ObNN9mYgpixNNVOcpC2q3Wc\njVQwCINEFIRMGL9fXrylbD46Bqrb15t7mp3MFsfD4bvdrctyY7uV7JfPPlpcftNCAn0YvAVOIW9z\njDSiVCCubPSg7OWes+ywjLPT7MMf7bpVsv06FUmW46jqfr9zkfHnvxVPn4VXn4qv/t46HzhJTD+4\nCDmho8X4sFQ4ARhQ6CkX0Q1ad8YFDHHmA6RJvPxG7pfQebO+bBAPEGk94OlitLxE7XYHYV7kWb3X\nGKA0QVoNaZYMWkMmlFGqw6Nxubk6sCpfrbbl8en2+tr1ffQwEUQb3CoN0CmDJ/kim5wmuusEB9kj\ntkImNq4/7E8fj+pNixDHeSnNSoShWeKsfMMp74Du9jsI7sr5TPXVzXe7/LitMq2k0vgHMc6Hw748\nLQkCEQgE1aHVuoM2IN3avveIK4i8d2boOCaRYxchgsFjhiEMXaMg5Vg762maMg5VC0xEtF4GLSPl\n3mILLBWMAaydRxDiYAHEbDztMr6/fkWWh6k16euvamM62RQiByASSODiAf7Jjy6adfvOh+Toq+n9\nwFLKGI/OwDggHXvtqLc6IkB++BP7S538sz/p/sf/x3EEHsV4d9skGahGImWQctYN6rBXmOAYAiII\nAMgJGloLCEkZ1SbUjUbIQ+NhjBAihKPTWhofIzRGI0QJ1XroEdw9/8n7r17fNTsVgDcmluM8IgC1\n8dJjjiiDhKBDb5MEIwhJRH3vQxgyhWjCQAfh8rGp5f6qbZthDyoUmbVbo433MATvLGF01tcHpfq8\nJN4rnIQo+2Dz0Tw0W5Rn4//43//N85vJ6Fk+fzrpN9/dXNRidISDODGzi9u3dxfLw745PS+Qin/7\nn32j6Uc/+fadB+Ru9QMPw7hKjIUQgZKhdohNLdNUIAw76ZSzTS+pwNGgzmvrPOklY4Az5HyczTIX\ngFIWmDhI0w04z5KExsfTfN+hbata4Dq9SzKU4ixLhHMhRIAFYRRhBJyNEVKrNacIIdoPhnGScTEY\njxCklCmtD70shGeQQwCBBsrqNCF9pyEGDGIrQIiwqFAi+O6OtLsLkX3c7aYxKIhsvb6OAAWcYjyW\nZseHpTWZ1VakVd/sd9eMARqcRCyfzGe2vsPp40FtKW/aWmPGsdd6gIwxnjDbwcnRYv32FmHoI0Qw\nqXfw9LgwBxms8NpCjtUAbSRp6ZMCMhJsTY9PTz/44cMsE3/9P+x6syIUbN+q42fy5tomWagHTIQZ\nGgUjpIAxyoRIBtLEqDGiQhAXgDKxKpMyCRHHYQdHozLoXjqDB7Rb4XqrSSqil14jnLAgZatUOSoj\nXcjDvjxfWIU2t7fOE8IgTFmScKNaRXKaorrZh8izKtOd7AccgREJu3x5I4pRvVUR9JhVPhozhCID\n3WYFI6U42bsmyjY9+sk4c/dvLyDh0BjZQ5EUCB2kBMWsLqvucjtneFD333A+FjyHAvftt3XdYcB5\nWmIUEaulbniVOacoIkppgAoIg9M6mxZpkt2/uQkQA4dNNJiSobcXXxkYRJ6DbU+6YUmxCDY5fmyu\nXg3tzhiZRRycxaaRk5OqGNv11dA2BlKqjUVI8BwbFaIBEDNCCUS8WGSb2zc9oLpLYLQQI5ZXKA71\nKqve97/7r90Xf+c5twBm0VntdYxJXgAIfVkm9X5QjpMs77ZdebIYHW+zchRB3N+tIIzQB9c6xFhS\nPTh6dmI7Dwhsl02zNrsELs4Cyavjqa93ajJbjk4sog+bO5pkYnnXvPv82e72FtIJ8KkxZxC8FFb3\nTf7RH7VlXt++2Ox2TzGpdDMYG7uNxIQI4a21NOfz40OVdUQIFJGRJkbsPQEIQAhCRAhRllvdIoxB\nmjIPoLIBIa+MMZ41deCccQo48C6GZATlJgDoIPwn6QgDMHgZXn1Bjud4PuVffnVMTtR4Ub76JiPE\ntg3A2CMCwciPyn71JeSlnp3G1bcoONVq6zoYRcAjipzjAwIwkg9+2Hz029kv/+r2d/7nsbl/8I9/\nq7IRmU6Fklgp39RaGksIhTh2va7KTFDc95YQggiSRhMIyoIxQnb7IUIIACCY/FOegjFYFlxq03XK\n+ySP4e7tlXESQCB1dCaGA5guqqTEsvfA6ARGKa2xLssD5zgwGLDCGO5rFepBKa1ru6kH6XjKk+1+\nY52LljjrKYUxsCI/Gs1OV3fssLof+lDkheo7Vownjx8mTOdFf9i/aGv///m/oZNn5YPvcTGumrr5\n5hfNvIircLnurgt6wBN2PCdf/nowARQ5MgrcrWU16qWyaxml8dHHTOBRlTVbPxhDMOmsnj6jczDe\nXxs3OO8Co1QpaXRAGKUJpQBxDhJKMcApR600vZRa45yTaZ6eTAtl/UGqw061oC/HFnvYDy7hjMdk\nVR9GSUYJ7B0A0VFGuOCMAA8DwtB4RxAmONNOG2RjwHmKpfUwEq8BT9A/LWtjESbWKhJCc//dKUv5\nbPEt5A/N/VbwzQ9/B92+Oe72Rd3s91vMrTJGgeiMHYrxUdDGU1SMEzfo1q+uv1qNn2xPHx3fX3xG\nWMGS46Oz0+3rl10vu2ZL4FiqFMaIoEAJoqJdnHtHYLsxlONIeCACRwkhDS6slv3Zw3ce//DJ84+P\ngPO7m5uTx/brXyo9jI7fDfW9VS4wSLMKxeAEhTL4ALQ2vWxeerYPwUOkYhQ2wCzG/UHxLONQc4ww\n7VVL2nv57k/pfm/2PSiJoQQZT4Z7oxWqqhGMfj/01aj6we8mX/4XRGjOkziusLV7F2GeToa66Vod\nYQxBYwAgEF3dYBQnj9L1XSSR5hXzBkAsEM0TSpy9EjnSPVjd33adiaPjuHu7emOr8YTwyDMRoVOG\nJ+OkKsl+9U17yBYP3w39qm07wHZWw3Y3aN0V+SNSOR93BBV90+9uesQ1E1x1A8K5Ui76nrK0WTem\nQkpHGCUhFASAIUYYLL/jnENHvCLIOR6Dkc6OTaqHeHXHacVxDFRA64EPaLdqICNCMDlApz1hkTEI\nIxh0hIQAq7ebvSDjJOVWa2WtbFQ2Oc7LYDXw1nW1ZYTnVd61TfKgHI+5b836rh/2LgAwLZgdZOR5\nlU6h6CPMdrcXPD+SNcAxspyOTtnubkGThEQhl5cR1IhNtakCRhRjGOCowgRaK9zd2+tq3nmX9E2Z\nZoK3tO4b6o8x0gxynCxhHPX9EWSHsno7KTd34R1P5mrvh0Z6jzyMGNvmEJ02ICGPn5HlynIOu8EB\nSPJpdAOxProBeui1Aphga+1OhyRjnAWWcBhNKzvjUHR0AIBSIKVL84JEGTEAEBiJrPMROAAREykv\nHECTAOXsHIrjppP27NmdbJ9uDwQzMNSBIdDslTfiu7f0o49uX37zTvCEcsgIUCYAycwgrQcpp+Rn\n/xGNZ9u76+TZD652N+NEkDyDBKKhN9I4rT3FWLkAQpzPiuBD11uAIrAGA1SkQFAmI2hqhSDKi0Qq\nEyMCGHnvIoDeW0JxkgIX8OR4vtnum04zRrQCGIEYlOrF0DjKcLTIEeQjohRbZwKI3vo8I9MRH3p+\nu6rV4DdMLqZZW0OcTB+dvXd9e0cpFqzbbPbGYpEIANT7v/XB1df49avraBFn09Nn71rX3V7WKdP5\nVOXER+ZvrlwA5+PFbLGQ3tugdp/erqSGg57wVF+9bg57bAxkRUgzRokGfhf9fFf3EGOE4rqxgwuC\ngLpVBJP8CHHimytZ7xTGSHAqZR8DpJxwhryFEoSS0SzF1kYA0ZRwab2SfvDEyJA7Jwh6WBUjQQcT\nlAqGBIsCBkrGmIskxrhuDlVZBYmHwWYZb5pe+zAbp0B5i2C0wUUZlPfEC8J5RAEHFJCPQEm/d00x\n4l5TF5GHijgzGeP17VbDlCSjIvnu8gu23RvnXNtYH91hb/MJzxLoQTE/Xbj9xXZrGMXR7XadrKUn\ndcvZzIY8+u7D33qfZykXV6tXWHeGCTp/pxL0wcU3y0zgJIOn5/KwHgNROqd5RrQbpIn90Pc7/eBx\nNj06PPtp/ubzbweDyjGqd3dax9vv/PHvwUHkk0l//yryuXbG392tJumCB2DiYX13gYCdH58dds0w\nyPFsBIwVLFrbHVrH87wqWQiDGtj92z6CbDanwGGolKdK9pTwaNymu00Qxpzi9voKonfzcuHh8tCp\nEKPSVmlDUo5RjVGp+lZLz9Okmh4dlpu7t4fgDC4FIUQPtBxN0pxDB53JsxJ2+y4ZT4pyAEhzNPj0\nqBqPIjzYfVSGlA+Sk3PY9/n25jabPIv9Xbtdu8hr00ZAde+GzmOoGYXd9nZx9Ax47D2EDqXp2Ayd\narfjBwsYsNEAIey14rk4rHSWg9Gs6NpBKw85SYvUHjoLRCRAddoDeNgriiCEyGmndMRJYGlwOgTN\ncQFddF7FapLUK62jTagDXiOMpAoxUMqJyMAgI8UJyCiAvlnvEGLOheDc7iZP84zCXb2qEZyUPC2z\n/uC8s+3dGlfZaHE8vX/zYnRucUxcPzLaGKujdU5R3q6L8Wh33fhY627pwDZNu/z4x5NyTISrjpZV\n8ebym6fQ9s3SdttZMc/MHtBZzgXbrOTzp4lcecy9VS3KH529c/b2s08vPt3eUq4Nl220Shnt0lGS\nFMT0MgBgjAsh3F7F96eGQoAppTgetgBaH0IkDENsXQjBkHTMvLPRIwAZxtFbKigmSCOOaBb72vQq\naj8ExJPE29ahwAiK3nlEmOAw6Hh3GclpRbMt8Ks4LOpGKIVRcK4nsiMf/mgYF/qFYbOHelJpQYAK\nIGisnVPSTeaEjXJRBt9HNHpYaWve/zhalRkDMoGltC5GB4CSDiAQIUwzlKeMEcIE8yBY4xACIsHR\nKu8AANR4z1MSg0cIEYKM+f+fZUp62Qc5aMYFoVhLDSEKHmIEMaSccwK87AYttcCgrASkkTPodEQY\nE44E4V3jGAFPHowTTlMCu35gAuXcMcLGk7ElNRvjRx88eP/js7KEXb188+mv+sNGcChdjRNEsVe7\nxrY9SzTwgFA4nqBHj5Ue3l692G9u0XAQ3jAlM69TFh31drtHsqeIgOmECFx1TbHcjpTHlGGrtZEa\nxqh603ptogMMYZh0d2MhJuMqowTF4AnGs0khOAUIMUGSHA692tV6rQZIPRc4S+lsnBQp4gx3GliA\nt4NSytIQsoBPsJhAoRu/a/rtMNRxUB50so84QIIa2YlROq5KqbwLaL2vpR8wJRGAiJyOGmLkDPQ+\nIEDShFOClcmm59V4oayFRpvlCvaxdLINYVvv+eq6IqgOXnuvArIAkGjxfmebXb28vL65jss73Tf1\n0Hy3Xx+s4WbYrG8vEGTlJPnus5/dXX7Gi1Aukm4baUL61d34jLHC4oQLUVx+k+/vlAuCCAK8Kaos\nAlONwWRSvvsRHLoWx19s7242F5+q1gz6AUChmMbb7yIAXbtJMA7B8GKW4KRp+jvGMcYMaLFZ1rJT\n1XiCKbRaB0SkAZng47SUjdqs5P13Xiu9vQVDR6AfvOqpSIhPEoZG1Qz4qcjLJGfeh7urOD/peb73\nRu83fbuHDAsYJYzo/1fSnTRLeqUHAX7PfL4xM2/em3eoKtWgUlndasljRINtCIwJFnjF72PBhiUR\nEAEsCAMBBA4bG7tb7kFSq1RSDXe+OXzjmd/Dwn/keSqtpv1d9Hl5VD56Wi5WBWeeEMq4ZixXTYtp\njGGIkWQaqIJxbymwFBCh9sZao5++kGDt4SpxPWvuaAzX383tMm4elfPuyjoFUSByRo8gH0UDTLan\nT54LUrkRhCgvnj4v2lpX67IqmsUyk0ZQR5lAnxCImybC8uIYM/EPN7eE8OMTUraMUkeQJE8IU5SJ\noqgpo7MJZvTWUtlSSuQ8O8Jdu26D9yFCptnZeHSun34qpM5IdL1uCNVVoaq1TCGGGKhQsj5C75yR\nMRecEjMrvToqCh98IiE7iznbjJQTkh3kQJ++fIR2kFXle7bb3lXrRvEcjalW7KOXIeOmrNdcshB7\n7110R8XxJ6IIqmHLpVPk+u79e0E8utnNAVmlaLFYMJp0BkmT3w82qjqlHHHN83D91WtZHrY/yMPh\n2eW7MmVUilJGqyVkF+YhuikyUf/BP4HnT8fDTn/2e0lIEFUWNAktqpYRhUSCKICWMcZoBkzJZQgx\nROMDF7rSGjFhBqVjUVEuIGYaM7UBIyHVMitJBQVG8nDw3/zmJeWSzkcPd59t+6bbemMzUaQoGiXh\n21+dMLaIYP0B/ueffzxG7904zoaCiGPsD8NoxnHXdzvDx4cHren27Sj0k/6aG+9mE5pSHC04ZcV+\nP9W15hAJpcZjISUlTBZZcEop0bqggh0GrzVnkHLmdUl3W5NpjpFoxaQS02RzTlrXuix9wkwIFYRn\nkmLIyDOCqpjgzKcUvKcMueCIxk6xXgoKOQGhDGKIF6dFSHmc/DxHLamu9U//xac/+3/s9u3ldPOD\n3XWQjYfZezRzAqIWzYv1RxeH7XZ/c+Bl5UJM6Aj4TEgmQKmVMlIEDBYkRSCZ5mHsFhIVW5iEp+e0\nbWkwVTCvQiSQXa25pAQJS0jqhVidaOqyFO32piOCEEjtsiljOU8zIXQ0LkSvlFQy2zkE5MGmxMNA\nMi8YZzSmTEmmLGZFJucYy85nqTQwkiIeK7GUi4j5YOO4d5nQ+24s24AxMk5bkWspuWA+oFLc2VAv\nBSIdB6+kAO4Z5TZ6mq3UTAs6jwFwWxSRlSdzPyerKKltty2BNU06Pr0a+1enH53b4W5vASDEwKOX\nlC+mMbnZY4aIIlkew8xoKut67G69s9ErJFPVnm7fXz169eL00+N333196DerE2ncDBwAYsr6+Y8o\nqOL2rdk/DJu6KKSYB74+L3g5CVq/+yUMwzx13ftvv33+k7Pf/cOncdp997O5G4/+6M/yu1/oN28p\noR3kWjfUm9ti+UlMsVIloVlwzTm4eVTV0hzm+yv38Y8fVXmafBAAotTNGTdDtp5QKlTLBcHcIeFC\nt2qe+nl0/SHDI162MUUXrSt0k3IQgng/n6xUkGXmUpfEmTCNu/PHm91VOUx22VaANKaUEloTCLWn\nz444KW4ePiidIEGKsw+Jy8bO5Or7KyGWUWrJJsJXyZJ3v/6KFdXqyVCpOKSmkUvjqOv2m+ft4S4c\ndm85rZdL3+1vMSMhgtI0dn2KoW4LOzrvLSEyhsiYYgSPNrLbx/u3mQsXA5n6mIliIQUYn3x8tH/r\nvQ9uJCkkUSxTjHa+FfJECDLNky6atl57YyBTP4TTJ+VHH8e7In33K3K4OzCqy0IETBmBMDEOtqpJ\ndqk+WjPihvuojpb76yt+9mSxnB8Ods2Nj/bk4mL66iul6kWjqH+4/mDOnq4O951UYnv7kJEyXi42\nJvke2PNxb52996PR7aY+2Tz6ZClIzawI0ze73Xh1/XhznIfDXrdPzl+cpdlFBDeqjz794ub7v7Am\nv3x19MPPjdB1t12IYqDWVZuTkNYp5mqRXRcQ2eHap5RTIhRpwjgdVH/n+cKe93tnz07PYOsC8EQF\nlEI0LZt63x+gkLxdQowQU46IZSEyz4BZVESVZD/EkJgWXCokOTvPtOKQgzcodZ0zo8R/+sWNrGR1\n6lf1+JtvF7fxQreuu4ME5vRF/pf/+tvuzrcaLh82WCRM2dkcfYjEiIqhd0i4McBU5Hc/+OSpqAt7\nvX73bqICRCmLRfNweXW6PCrbCz/uAXiIkUBinGpJMYNgFJFQnlNEwigFSmiWLEGwUkugaRqcD5JL\nUFWexvTsRx9j/87aqLSIPlNCKKEpESQJMAcfcs43N3NVqapA0ar7245TCgUFSDnxhLjfzdOMy3XJ\nRRrGwN5/UAv1J//8T/f397u7y5/99/92f0MLvsZohXTBx6ph4/314Wb/6JPPQCyDn5F56geG9yGb\nhISTyBhxmSQkhWKdNxnoNOh5JvUSlytpZqyrR229Zmq2JmZKz56sSUzDYYREsVMhpiiCkAwhAHCm\nysxDLWgKyDifxxxi7ieMKQtBCIJAzpAFh5xSazxlwBgBgIRoHUjGC5k5pcYikTJjLnmSAEeVHnPq\nB04JmZMdRj9N+7IqVyc6BZ9Ikg31mJhmtdAYc4yYqaMsU05DToyRoowPl2rZDovVdu7FdJ/VIlpr\nqLRa1e9+7WK+j+I9yUJr7bopCi7kzFQXbetdYFTGKJJLnLeRGprati3uL3/FBE1B7m7uAPnt20Pd\nKimOddmulsdvfvm3fkloEp//Sf2nf3bYX4f/8M2iOVoz0TmXOW9Sdrcfcnc5X73fz6lpjz/D8Pp4\ns/cd3n4oD5188dvdsI8Pd80nn+u3b+onL+sC4eu/Piw/Eovl+d3NJAumMFEiKCOILvoAkKfdJJc0\nP1QmuOOV2N6gqvXZOb+/CcaOVHpkVXAPmHJyLlhSLTBO5O7KPNzMORdSUYJjzLTSGolVR6Jk5fWb\nd0S2Qi6u33b1+tHRRT5cvfNWqHpRFcdFc+JDP26X1syRSQomRFsfn0vjTl48nw+XrHBAjRkbTMa7\nLV8sdeqnOTLlDtHypKqmLotnlIdPP3P/9d9fl80jXUszXe/uH1RZQ3CzdYxzruSizpHWdvZM5gws\nWg8ZdjbOg6MQvFNDJyiXouR+MstW4uBoQZhkwbpsgPA4T72fuSFGyAyMWTsVjMbopC7TZG7f++72\nMI1Jl3K/FzrTHq00EFHrqgmH7bTzql1mkqwxhFXB2OZkqZf1eHXbrgtjg6K+KOz65OiwG9G6d+9S\ne1JnzJuLk667iVgRTwh1b790+kgtV/tuuw+RbT75yepskYMf7vFsKcx49+4HkzlbrNt/oOTbszOe\nt8vHd3fvnxKhclp8/OLkzfv+7ibkLGPecGUk246DZPVpt3XlsiorySmtj8TcDyHLZU3d4J2FYSJQ\nlPsPztLz81Ny+8FpDcHHYcRyxbPHaGIwIHmSGuPMSGaqIEJhf+hAMaXM/TUWekmSRQZ+RAR6clpq\nTeeBQsZHr7pWws/+7wWNQ1M9hDhOc315e/H8ty5/+PvzomDDpH/7s5uV+PrNzYU3qlnv/G1LQLXr\nFGeYdqRkOoaEJFFKckROZmCUeb/6/vU2oYiG/Ph3Xm1a9/rXJMfts5f1nrfbuyFmcD6UpawbeXcf\nSAYiIs0hU1mXIvqASJz3kibGCBJSN5ozSmgmOTer06P18vWbn1NOIRMuQMqMgScPGTPnNCO4iIQT\nShNGqgt9dpFurrrC66bm0xwmG6RgCaJ3cbVSMUTj8du//uYX/+PLkNnq2aJcfrqctyEcoAyl1N76\ncX9lTd6cftxWm+iCRS6hjuw4xtKZX3MJ1qWEBAjOMaYgZxOTr0wQqoLNiQjWq3JlzMnO7BQTbVPL\n5cqZ6eHyXlclJOyTbWudUizaOoYkhSCc+dkTKj0kACjrMmHuupEBMOIYZwSYokIpYgyamAtNCGZC\nKBPcp5hJJphCTJkAozlC7kyERCjFeZwBc10WR9XKBW9DCgA+RCQRAYIhXKoQTDBeV0q0FSV5PvQs\nEi44+JhyPn25mO7f2T4AnDCB0WUEJsQ5MOLCYNyh3zNZxc2T86IMGWDoDqt1LQUyoWlO1kyMM0pQ\nitKPl0hRqAbonIOuFwShHD4MD+FG1y+FFPvtQ1EuyqKwzn14ffuf/+1E6JJqZDFsPwz9BCfPxPam\nv36H62PGCIQtWZ1wN0x/8V/qs83jaG7qunh4bxRqVYjnn/jxUCnhzNa2q+r5Z98fVSdMNhQo6li1\nhXJm/zByRgljVZOdlUxQQXE0XeaQAk3K8TpPc/D7ULbF8uTicLOD0CtVNVVBUx4HAynpmldt2N6H\nZrEBmPYPgzUQPXOWM+9uL4e20by4qZcvp249DXfOohaoNFmcHEcfPvr86fj2++6+PLrQYRjUUmkt\n7rtWLwKnaF1/uJrbdWa0yEEpHnZXxCGsl+yw3bebdbU8yeH2o1eP+z7cXr7RsmmahCy6wAhaXbXH\nF0/ifBXGwAudjOGVgoTN0Xoe7gjnhFOMLtgoSxVGJwRHUvZ7YAUVGov2uHu3DQEzEEBVNDkkQjG5\naSuKdU7g5kFKkX3a3QFvWoKa0zkkJQsdxz5SOe4OlKJsF85NzICfSaZRcq6kL2r+EKieAxTs9Mlv\nh+Hmh8Mu9DELsXmyYPltlivvajsl2ajJHnA2iDnEZr+tnRXt2UowpqjuRrNseT9/42IreB0Jepcx\nbKNevvpC3L97P+zdatM9xLPvvv3hfENTNNMhGXKOyR2vLne3UR8/JfRE2Unp2puRZDIfJmMQeKIN\nap1DJI8+1h897b8Z0p/89Lahxb/5d6UQ0TkARvr70N8YIVgMoOtyOszAqBRhTnHaAUSmJZsPJGOZ\nCbWzLxZUFgApDjsb6koKsjgR5yd39+/1F39sPny/VMW40h/GSF/96M20BWvAB/f8J+Sf/ZPXN78R\nXc8SQl3Wm+fj52n88pvjQntRZgBRt8Uwd7G3MTPaD14vePAq5wpoXp0uVnX4/pursm26Gb76+6/N\nfmusczZwwXP2KUWpGIiUo50sGQzrDiGlzIAy9g+bF+WUMQZUJISQA643j//xP/oRJoghI6I1aGck\nkJD4BJEyBgSlxLpidYOUukLg+UXLFGOUMcUjei1pW4vTTcE5ok2V5lWlTSBbA2OIr7/8zeHD2zh9\nt725TKisjcZDxFW7OF+fPX44DCZGrlUG6nzXu7cWyTwR77JzzvkYErn+wKnfFEJsTsmnr1TBQZe1\nNc/sJMqiZFoMxnd3d+OuL+qSUMw5Mk5i8ppnFmNwdntzc//+w9QdKKayKYVSstBSiuNVo4QASgEI\nULYfwzB6JsBn3zuTRA7BY0qckZzj6IKjeQruN5f3s/OMAitwdEZJqZSMMaUYKOS6LplEbz1k2lRK\nEIo2YMghkoScQOCclgvNy5whgBBcFrbv9p0xU2g2idJE8GlVXXSHbjYwGJLJomqK7m68e3dLhZ/G\nLSHVzYcOCW/bsj8M1jmIOXk6jfN+26cwVqt1tbwAGg8Ph4ebQVTcj2Te36/O9yndKsUA6DxMd++p\nmxbeopvN1fuHqWOrs8Xv/EF/cUHOzlAr37Ti7PHyzS+uXKz/6b/iv/UH6ePPpbP5xe8oqQjm+dd/\n7a6ubLffFXXSjb97azJ9vzyWGgophNAugVkcF7oVkLTbAyReFI3Q1BOdEoc4U8IyAzsRjFQLHube\nuYkJzUvg4ICxhISLIrkwDVbyUtd0fUGnLgK0GRhlparWbhgTmsU6z3N/cg5VQZQusmrdNBydNdW6\nXK5riJByrJqiv7tTpdxdD6ouV+fnwbOqWrebBg2GOU0T5lyHrMtGlDUJTq4uFi9eFv1h8/j56Ucv\nFcmCiTXJMfmIMTImfHDzcHPY3lMKKWYqUSjarheINiFlwHSjKFBnUs4ggHiEeRj76eFwmOyQ/TgW\nTaMqlVwmXHkbKMSiqKJjPpNi0YY5eBdsSABc0eKw88kZsag5oos5pUDjlJBFRNf3MYjlseIyehvN\ndN7fuZCIpYyCuPz1h37P2kb4GIuKzbuH0YJgae7ukW5S6JQemNSkeCHEE900y0e6Wm90XQVMlNLt\n7eH+8mZ2rmxX6GO/v90dpuXJybh/s7s9vPuFuLmSzsaqXY7+iGDV96luuvVmSqkW1Tq6or8ek4Op\nO3gPCIA5x4jBxWRhGlJE9pPfuh1v8ebb/HBltqOQMkLOTU38jOABUcTIaWa7W8+0AIom5AwQAmaO\ndgg5CykZZ4RwnlPyIcWcCYPJhN6W1coer0d1Zo+Xl0RsgR0tlxsyyDhO05TnPvvEfv+nv3r79ezI\ns6o8lJIeLx9O1x8uL9fBBM54XRdaoB1GDkzool4Kvg8x7VK3Y5hSW5ecpMO2D5nHGAKKui0yZ0pn\nRMSM3kHKUTcBUspZN0uCIO+2JhMBFBTnhcgk8hljjNH7SIC4AFUj/+rP/9OH2z0jEgCjo1JwTAkA\ncoaUMGcqGDAC0VPM5C5EhHx6UnU7g4ktF0XGCBkpMC1TSBGnpHUuKq1caNL3tB5WwkeOMRAbwjAh\nElUIOD7dzA44o0xQyhFdTPAO6QhEC0aPTo73+3EchvZIkAqVHATP7VLb2UVoMz7DWBaNYIwggnfG\nASm1YoIRykOOGKKJzPmkmadMlIs2IVBCHKZ0GDIAEEY58zFVreKU+xiN8RizGUIKydlIAznEueGS\npJiBZpI9kjyFBAky6YyTEvpxrIqCIg0pIiHBR0QW0kiEC9aOB1bUsa6KHBBBcSUIRzdGm+bFcUUr\nNfZzjLlZVAiDrpfRxxS7atVEB0A+IgyiMULWlExisfE+Qepj1iEMJKnk4XA3NCstVZOzp1Rtnhxt\nL3sAkuDAVXH6CPrDbt4G7/DsyYuq3t58t7u7HPzk7QgpU/BZlhJ4AE5jhvZIZXQpTL/8P6E9WrNE\nGZ2v7r2q7373j7Rzq+HhWteeUeUM2M6Lamkegg0kpP32lhePtBnD7vu2aG9tpEQ08yin7iFR0IXk\nGHgxJbvUi0pqPnWRJKS8SWkyLkTDtKoY2mGXVieCMyAQF2vFE3u46WzKRwshdRwOjGkZTbIEuZKn\nj2qe0rdfb6sFUtoA4LRXkKYOkmrKebdtzh6DPezuZ1HXH745XP0w6bYuz9b18UmIKuUsxRvGzWLT\n3Hx/44ItQ4N9zEIP3cg59y53nXn08rNH5/ru7Zeri2ch3FZH3Rd/+Plf/sfLD9e8LEjZgJ2ZmQ73\n4yTKBfGYfGIl4Wye+sRy0m3dmztZVugJpSbHAEAhgonzYlXMJsRA/GSYgJg8+kRYilloTSGzZrEE\nnvp+R5UuC+liRA8m+OQRuJoPO3RO6oJSHoAJoWxv65NXitPji92ZINdvGmDxcHPotluyF3bx5OI0\nSpj8iAj8ybOnY7Dj4TaFpfO9YNnPPuZWVkc0cK5DXX8LpOS8JEm6gWTUwdOxu1vwbo4xZZinfn3x\n46ZwX//9HYFWLZ4xspF1dOPgLDk5W0g0RVnxsuqv37LiSX+bVdXXGvrDkR9mzwAj5UqLgvGK+oO3\n0X/zFZc9Gf3Sxm1VmKpuh61B4IQRyklGoZTwk6OEkQAQia45JcAoTSi4oBgQY+AiCZ4550AQEzIu\nCAmybheL/rsfKFfDuLfV4hL4KZWQXH15pe73Rco+zfznf/n8i89bGlwYW5SsPzy8fv14dEJBGgef\nkolTRqhPH5W8BAqEa9WGsNCqFrWrG+EioUJnmPrRBI/Os+WK+YgZCAE5zlNdiZx4BAEsDQ/7ol4t\nF2VKmBMigA0+ZCoUiQiScgwgdF1I/1f/61eYOaUJgHJBMEaUkgA44znnba29i4zBOAMCC8EB4uZM\nqIYftk5SEJQRhhAjZJpZ9glxzBFjCfdnJw8zSghgDKmPA+8P3bSIiR2tG12tRpMzzfMwnm4IVH53\ntSNElLLgNrz49AVQ9/P//XdaRUIwIQCRzrCYNoQ/DUFSETBhDDBOVkpRFYoAEkJCCLooEHPMrioq\nlmOKKcUgBM+EexcpyZzmFELMjHDmfdI61C3pgRPKOQWIcaUhs8wY5QDIUDEyz0hIwoRAyaKSk8dh\nCiGAZPkfyDzBCCAmQuwwcYluAkopkjy6cTrE1WIBPhgT67ZktfJTJCOMPabkIXTtqeKycdNNjJ0q\nu3bzHB0Q9XHy29u3f5uwWT3iTfvJ1etfzkMkpMwZZbG0ZlhfnD7+uH39i2+bxly9ea2rp1pGURRm\nuiQxVWXcXeeqbvcfdhcvy2I/oaOqwmkvwthVTTP2h0ELXZZ9Z9at7DtaqgKYC3mgFe+voS4q4IzC\nfn181NTtt1/i2FnO2btfMaFnVgNKliOaQU6D2O47SvYolCX7UoxMSl5KHHN0nsosGOhCs5IQYoQq\nkUkBnTVIZS0xAY8EJCLkNGrNJSsklDaaoq3JGIREVLlsiItu6si4RSH1PNHzzbpedcmlutaZwTyE\nEOaxI4JT8CZ7lxK++L1X9Zpc/fJwSxlA6u+DUjJYXGyyHxOAu/gYujumiF5VcPV6T/kx4QWLvbPG\ngfb28urDZC1Nt2NE8er3f5z288VztR/G3cMuI88gknFclyfLBnPRPCWs3E/3GENULWeQKSEUQIoy\nUYJBFks+dFN7vAK0lIqIKSAgEhIJlwowUpbPL04OB5tznvvok6sajZl444kQMQqmJfDozUBZFkxO\nsy/qltG4WD+t2/L6h9+8/brXiiKvFsvjrpsxBAIQo3G5Sjdjv3WqKEya09zrkkt9fLSRb19/X0ie\nMwvU2ulmGsO8d0KlBbjkg91jfdL+4R8//vnfPMw5FTK5CblYCC1Nf5+8X55/wsQCiacZY0TMzEWq\nedISb6/3RDyeB1KuqvXpw3Llb9/Ly+/ADVkVTHJSLyR6p2qpBJxs7P34+PjRzbJEyrqcmuVG9iPh\nMieL2cYQGHJWFCzYFGJyLmhVyCIolcwcMlAm83AgwARj3FibgOZAqjZsr749WbMYZ0Jk9E3VODeH\nv/ubmOhYHJViD94SWaer96wqmh9/eh8GJmg/9tXV5fqLn3717suXtzvupsM0ledPFhhnjKSoq/8P\nRPexi743gjoAAAAASUVORK5CYII=\n", "text/plain": [ "" ] }, "execution_count": 127, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import PIL.Image\n", "im = PIL.Image.open(wallpaper)\n", "im.resize((im.size[0] / 4, im.size[1] / 4), resample=PIL.Image.LANCZOS)" ] }, { "cell_type": "code", "execution_count": 128, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "IPython.Notebook.File\n", "cmd.exe /K ipython notebook \"%1\"\n" ] } ], "source": [ "ipynb_class = reg_read('HKCR\\\\.ipynb\\\\')[0]\n", "print ipynb_class\n", "ipynb_cmd = reg_read('HKCR\\\\' + ipynb_class + '\\\\shell\\\\open\\\\command\\\\')[0]\n", "print ipynb_cmd" ] }, { "cell_type": "code", "execution_count": 129, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['AppEvents',\n", " 'Console',\n", " 'Control Panel',\n", " 'Environment',\n", " 'EUDC',\n", " 'Identities',\n", " 'Keyboard Layout',\n", " 'Network',\n", " 'Printers',\n", " 'Software',\n", " 'System',\n", " 'Uninstall',\n", " 'Volatile Environment']" ] }, "execution_count": 129, "metadata": {}, "output_type": "execute_result" } ], "source": [ "reg_enum_keys('HKCU')" ] }, { "cell_type": "code", "execution_count": 130, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('', u'', 1),\n", " ('f.lux',\n", " u'\"C:\\\\Users\\\\User\\\\AppData\\\\Local\\\\FluxSoftware\\\\Flux\\\\flux.exe\" /noshow',\n", " 1),\n", " ('TrueCrypt',\n", " u'\"C:\\\\Program Files\\\\TrueCrypt\\\\TrueCrypt.exe\" /q preferences /a logon',\n", " 1),\n", " ('VeraCrypt',\n", " u'\"C:\\\\Program Files\\\\VeraCrypt\\\\VeraCrypt.exe\" /q preferences /a logon',\n", " 1)]" ] }, "execution_count": 130, "metadata": {}, "output_type": "execute_result" } ], "source": [ "reg_enum_values('HKCU\\\\Software\\\\Microsoft\\\\Windows\\\\CurrentVersion\\\\Run')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 2 }