Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:14.7 (WebPack) - P.20131013 Target Family: Kintex7
OS Platform: NT64 Target Device: xc7k160t
Project ID (random number) 39c472d9e0f54944b8360ce7ddbcc10a.4CFDE3202FBD47F0AE8BBD81A077B924.48 Target Package: lffg676
Registration ID __0_0_0 Target Speed: -2
Date Generated 2021-01-14T02:42:45 Tool Flow ISE
 
User Environment
OS Name Microsoft , 64-bit OS Release major release (build 9200)
CPU Name Intel(R) Core(TM) i5-8300H CPU @ 2.30GHz CPU Speed 2304 MHz
OS Name Microsoft , 64-bit OS Release major release (build 9200)
CPU Name Intel(R) Core(TM) i5-8300H CPU @ 2.30GHz CPU Speed 2304 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Adders/Subtractors=108
  • 10-bit adder=21
  • 10-bit subtractor=5
  • 14-bit adder=2
  • 3-bit adder=2
  • 3-bit adder carry in=2
  • 4-bit adder=4
  • 4-bit subtractor=3
  • 5-bit adder=2
  • 5-bit subtractor=2
  • 6-bit adder carry in=26
  • 9-bit adder carry in=36
  • 9-bit subtractor=3
Comparators=97
  • 10-bit comparator greater=7
  • 10-bit comparator lessequal=5
  • 11-bit comparator lessequal=4
  • 12-bit comparator lessequal=4
  • 13-bit comparator lessequal=4
  • 14-bit comparator lessequal=4
  • 15-bit comparator lessequal=4
  • 3-bit comparator not equal=1
  • 32-bit comparator equal=2
  • 4-bit comparator equal=14
  • 5-bit comparator equal=2
  • 6-bit comparator lessequal=16
  • 7-bit comparator lessequal=4
  • 8-bit comparator lessequal=4
  • 9-bit comparator greater=1
  • 9-bit comparator lessequal=21
Counters=23
  • 10-bit up counter=2
  • 12-bit up counter=2
  • 32-bit up counter=1
  • 4-bit up counter=1
  • 4-bit updown counter=17
MACs=6
  • 4x3-to-10-bit MAC=1
  • 4x4-to-10-bit MAC=3
  • 8x6-to-14-bit MAC=1
  • 9x6-to-14-bit MAC=1
Multiplexers=1250
  • 1-bit 2-to-1 multiplexer=462
  • 12-bit 2-to-1 multiplexer=5
  • 2-bit 2-to-1 multiplexer=2
  • 3-bit 2-to-1 multiplexer=4
  • 32-bit 2-to-1 multiplexer=1
  • 4-bit 2-to-1 multiplexer=761
  • 6-bit 2-to-1 multiplexer=8
  • 8-bit 4-to-1 multiplexer=1
  • 9-bit 2-to-1 multiplexer=6
Multipliers=4
  • 6x2-bit multiplier=1
  • 6x3-bit multiplier=1
  • 6x6-bit multiplier=1
  • 8x3-bit multiplier=1
RAMs=9
  • 16x7-bit single-port distributed Read Only RAM=8
  • 4x4-bit single-port distributed Read Only RAM=1
Registers=231
  • Flip-Flops=231
MiscellaneousStatistics
  • AGG_BONDED_IO=52
  • AGG_IO=52
  • AGG_LOCED_IO=52
  • AGG_SLICE=458
  • NUM_BONDED_IOB=27
  • NUM_BONDED_IOB33=25
  • NUM_BSFULL=303
  • NUM_BSLUTONLY=755
  • NUM_BSREGONLY=48
  • NUM_BSUSED=1106
  • NUM_BUFG=4
  • NUM_DSP48E1=13
  • NUM_LOCED_IOB=27
  • NUM_LOCED_IOB33=25
  • NUM_LOGIC_O5ANDO6=202
  • NUM_LOGIC_O5ONLY=42
  • NUM_LOGIC_O6ONLY=810
  • NUM_LUT_RT_DRIVES_CARRY4=4
  • NUM_LUT_RT_EXO6=4
  • NUM_LUT_RT_O6=42
  • NUM_RAMB18E1=24
  • NUM_RAMB36E1=15
  • NUM_SLICEL=458
  • NUM_SLICE_CARRY4=22
  • NUM_SLICE_CONTROLSET=62
  • NUM_SLICE_CYINIT=1308
  • NUM_SLICE_F7MUX=14
  • NUM_SLICE_FF=383
  • NUM_SLICE_UNUSEDCTRL=305
  • NUM_UNUSABLE_FF_BELS=321
  • Xilinx Core blk_mem_gen_v7_3, Xilinx CORE Generator 14.7=25
NetStatistics
  • NumNets_Active=2037
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BENTQUAD=971
  • NumNodesOfType_Active_BOUNCEACROSS=173
  • NumNodesOfType_Active_BOUNCEIN=301
  • NumNodesOfType_Active_BUFGROUT=4
  • NumNodesOfType_Active_BUFINP2OUT=10
  • NumNodesOfType_Active_CLKPIN=269
  • NumNodesOfType_Active_DOUBLE=3088
  • NumNodesOfType_Active_GENERIC=31
  • NumNodesOfType_Active_GLOBAL=280
  • NumNodesOfType_Active_HLONG=79
  • NumNodesOfType_Active_HQUAD=641
  • NumNodesOfType_Active_INPUT=1332
  • NumNodesOfType_Active_IOBIN2OUT=58
  • NumNodesOfType_Active_IOBOUTPUT=58
  • NumNodesOfType_Active_LUTINPUT=5078
  • NumNodesOfType_Active_OUTBOUND=1987
  • NumNodesOfType_Active_OUTPUT=2028
  • NumNodesOfType_Active_PADINPUT=29
  • NumNodesOfType_Active_PADOUTPUT=29
  • NumNodesOfType_Active_PINBOUNCE=617
  • NumNodesOfType_Active_PINFEED=7472
  • NumNodesOfType_Active_SINGLE=3625
  • NumNodesOfType_Active_VLONG=100
  • NumNodesOfType_Active_VLONG12=51
  • NumNodesOfType_Active_VQUAD=711
  • NumNodesOfType_Gnd_BOUNCEACROSS=9
  • NumNodesOfType_Gnd_BOUNCEIN=408
  • NumNodesOfType_Gnd_CLKPIN=39
  • NumNodesOfType_Gnd_HVCCGNDOUT=196
  • NumNodesOfType_Gnd_INPUT=5331
  • NumNodesOfType_Gnd_IOBIN2OUT=9
  • NumNodesOfType_Gnd_IOBOUTPUT=9
  • NumNodesOfType_Gnd_OUTPUT=8
  • NumNodesOfType_Gnd_PADINPUT=9
  • NumNodesOfType_Gnd_PINBOUNCE=149
  • NumNodesOfType_Gnd_PINFEED=6805
  • NumNodesOfType_Vcc_BOUNCEIN=45
  • NumNodesOfType_Vcc_CLKPIN=78
  • NumNodesOfType_Vcc_HVCCGNDOUT=286
  • NumNodesOfType_Vcc_INPUT=608
  • NumNodesOfType_Vcc_IOBIN2OUT=3
  • NumNodesOfType_Vcc_IOBOUTPUT=3
  • NumNodesOfType_Vcc_LUTINPUT=244
  • NumNodesOfType_Vcc_OUTPUT=8
  • NumNodesOfType_Vcc_PADINPUT=3
  • NumNodesOfType_Vcc_PINBOUNCE=56
  • NumNodesOfType_Vcc_PINFEED=1058
SiteStatistics
  • BUFG-BUFGCTRL=4
  • IOB-IOB18=1
  • IOB-IOB18M=14
  • IOB-IOB18S=12
  • IOB33-IOB33M=12
  • IOB33-IOB33S=13
  • RAMB18E1-FIFO18E1=12
  • RAMB36E1-RAMBFIFO36E1=15
  • SLICEL-SLICEM=130
SiteSummary
  • BUFG=4
  • BUFG_BUFG=4
  • CARRY4=22
  • DSP48E1=13
  • DSP48E1_DSP48E1=13
  • FF_INIT=37
  • HARD0=33
  • IOB=27
  • IOB33=25
  • IOB33_INBUF_EN=2
  • IOB33_OUTBUF=23
  • IOB_INBUF_EN=27
  • IOB_OUTBUF=9
  • LUT5=244
  • LUT6=1058
  • PAD=52
  • PULL_OR_KEEP1=9
  • RAMB18E1=24
  • RAMB18E1_RAMB18E1=24
  • RAMB36E1=15
  • RAMB36E1_RAMB36E1=15
  • REG_INIT=346
  • SELMUX2_1=14
  • SLICEL=458
 
Configuration Data
DSP48E1
  • ALUMODE0=[ALUMODE0:13] [ALUMODE0_INV:0]
  • ALUMODE1=[ALUMODE1:13] [ALUMODE1_INV:0]
  • ALUMODE2=[ALUMODE2:13] [ALUMODE2_INV:0]
  • ALUMODE3=[ALUMODE3:13] [ALUMODE3_INV:0]
  • CARRYIN=[CARRYIN:13] [CARRYIN_INV:0]
  • CLK=[CLK:13] [CLK_INV:0]
  • INMODE0=[INMODE0:13] [INMODE0_INV:0]
  • INMODE1=[INMODE1_INV:0] [INMODE1:13]
  • INMODE2=[INMODE2_INV:0] [INMODE2:13]
  • INMODE3=[INMODE3:13] [INMODE3_INV:0]
  • INMODE4=[INMODE4:13] [INMODE4_INV:0]
  • OPMODE0=[OPMODE0:13] [OPMODE0_INV:0]
  • OPMODE1=[OPMODE1_INV:0] [OPMODE1:13]
  • OPMODE2=[OPMODE2:13] [OPMODE2_INV:0]
  • OPMODE3=[OPMODE3:13] [OPMODE3_INV:0]
  • OPMODE4=[OPMODE4:13] [OPMODE4_INV:0]
  • OPMODE5=[OPMODE5_INV:0] [OPMODE5:13]
  • OPMODE6=[OPMODE6_INV:0] [OPMODE6:13]
DSP48E1_DSP48E1
  • ACASCREG=[0:13]
  • ADREG=[0:13]
  • ALUMODE0=[ALUMODE0:13] [ALUMODE0_INV:0]
  • ALUMODE1=[ALUMODE1:13] [ALUMODE1_INV:0]
  • ALUMODE2=[ALUMODE2:13] [ALUMODE2_INV:0]
  • ALUMODE3=[ALUMODE3:13] [ALUMODE3_INV:0]
  • ALUMODEREG=[0:13]
  • AREG=[0:13]
  • AUTORESET_PATDET=[NO_RESET:13]
  • A_INPUT=[DIRECT:13]
  • BCASCREG=[0:9] [1:4]
  • BREG=[0:9] [1:4]
  • B_INPUT=[DIRECT:13]
  • CARRYIN=[CARRYIN:13] [CARRYIN_INV:0]
  • CARRYINREG=[0:13]
  • CARRYINSELREG=[0:5] [1:8]
  • CLK=[CLK:13] [CLK_INV:0]
  • CREG=[0:13]
  • DREG=[0:13]
  • INMODE0=[INMODE0:13] [INMODE0_INV:0]
  • INMODE1=[INMODE1_INV:0] [INMODE1:13]
  • INMODE2=[INMODE2_INV:0] [INMODE2:13]
  • INMODE3=[INMODE3:13] [INMODE3_INV:0]
  • INMODE4=[INMODE4:13] [INMODE4_INV:0]
  • INMODEREG=[0:5] [1:8]
  • MREG=[0:13]
  • OPMODE0=[OPMODE0:13] [OPMODE0_INV:0]
  • OPMODE1=[OPMODE1_INV:0] [OPMODE1:13]
  • OPMODE2=[OPMODE2:13] [OPMODE2_INV:0]
  • OPMODE3=[OPMODE3:13] [OPMODE3_INV:0]
  • OPMODE4=[OPMODE4:13] [OPMODE4_INV:0]
  • OPMODE5=[OPMODE5_INV:0] [OPMODE5:13]
  • OPMODE6=[OPMODE6_INV:0] [OPMODE6:13]
  • OPMODEREG=[0:13]
  • PREG=[0:13]
  • SEL_MASK=[MASK:13]
  • SEL_PATTERN=[PATTERN:13]
  • USE_DPORT=[FALSE:13]
  • USE_MULT=[NONE:8] [MULTIPLY:5]
  • USE_PATTERN_DETECT=[NO_PATDET:13]
  • USE_SIMD=[TWO24:2] [FOUR12:2] [ONE48:9]
FF_INIT
  • CK=[CK:37] [CK_INV:0]
  • FFINIT=[INIT0:36] [INIT1:1]
  • FFSR=[SRLOW:36] [SRHIGH:1]
  • SYNC_ATTR=[ASYNC:36] [SYNC:1]
IOB33_INBUF_EN
  • IBUF_LOW_PWR=[TRUE:2]
IOB_INBUF_EN
  • IBUF_LOW_PWR=[TRUE:27]
PULL_OR_KEEP1
  • PULLTYPE=[PULLUP:9]
RAMB18E1
  • CLKARDCLK=[CLKARDCLK:24] [CLKARDCLK_INV:0]
  • CLKBWRCLK=[CLKBWRCLK:24] [CLKBWRCLK_INV:0]
  • ENARDEN=[ENARDEN_INV:0] [ENARDEN:24]
  • ENBWREN=[ENBWREN_INV:0] [ENBWREN:24]
  • REGCLKARDRCLK=[REGCLKARDRCLK_INV:24] [REGCLKARDRCLK:0]
  • REGCLKB=[REGCLKB_INV:24] [REGCLKB:0]
  • RSTRAMARSTRAM=[RSTRAMARSTRAM:24] [RSTRAMARSTRAM_INV:0]
  • RSTRAMB=[RSTRAMB:24] [RSTRAMB_INV:0]
  • RSTREGARSTREG=[RSTREGARSTREG_INV:0] [RSTREGARSTREG:24]
  • RSTREGB=[RSTREGB_INV:0] [RSTREGB:24]
RAMB18E1_RAMB18E1
  • CLKARDCLK=[CLKARDCLK:24] [CLKARDCLK_INV:0]
  • CLKBWRCLK=[CLKBWRCLK:24] [CLKBWRCLK_INV:0]
  • DOA_REG=[0:24]
  • DOB_REG=[0:24]
  • ENARDEN=[ENARDEN_INV:0] [ENARDEN:24]
  • ENBWREN=[ENBWREN_INV:0] [ENBWREN:24]
  • EN_PWRGATE=[NONE:24]
  • RAM_MODE=[TDP:24]
  • RDADDR_COLLISION_HWCONFIG=[DELAYED_WRITE:24]
  • READ_WIDTH_A=[1:3] [18:21]
  • READ_WIDTH_B=[1:3] [18:21]
  • REGCLKARDRCLK=[REGCLKARDRCLK_INV:24] [REGCLKARDRCLK:0]
  • REGCLKB=[REGCLKB_INV:24] [REGCLKB:0]
  • RSTRAMARSTRAM=[RSTRAMARSTRAM:24] [RSTRAMARSTRAM_INV:0]
  • RSTRAMB=[RSTRAMB:24] [RSTRAMB_INV:0]
  • RSTREGARSTREG=[RSTREGARSTREG_INV:0] [RSTREGARSTREG:24]
  • RSTREGB=[RSTREGB_INV:0] [RSTREGB:24]
  • RSTREG_PRIORITY_A=[REGCE:24]
  • RSTREG_PRIORITY_B=[REGCE:24]
  • SAVEDATA=[FALSE:24]
  • WRITE_MODE_A=[WRITE_FIRST:24]
  • WRITE_MODE_B=[WRITE_FIRST:24]
  • WRITE_WIDTH_A=[1:3] [18:21]
  • WRITE_WIDTH_B=[1:3] [18:21]
RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:15] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU_INV:0] [CLKARDCLKU:15]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:15]
  • CLKBWRCLKU=[CLKBWRCLKU:15] [CLKBWRCLKU_INV:0]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:15]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:15]
  • ENBWRENL=[ENBWRENL:15] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU_INV:0] [ENBWRENU:15]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:15] [REGCLKARDRCLKL:0]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU:0] [REGCLKARDRCLKU_INV:15]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:15]
  • REGCLKBU=[REGCLKBU:15] [REGCLKBU_INV:0]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:15]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU:15] [RSTRAMARSTRAMU_INV:0]
  • RSTRAMBL=[RSTRAMBL_INV:0] [RSTRAMBL:15]
  • RSTRAMBU=[RSTRAMBU:15] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:15] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU_INV:0] [RSTREGARSTREGU:15]
  • RSTREGBL=[RSTREGBL:15] [RSTREGBL_INV:0]
  • RSTREGBU=[RSTREGBU:15] [RSTREGBU_INV:0]
RAMB36E1_RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:15] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU_INV:0] [CLKARDCLKU:15]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:15]
  • CLKBWRCLKU=[CLKBWRCLKU:15] [CLKBWRCLKU_INV:0]
  • DOA_REG=[0:15]
  • DOB_REG=[0:15]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:15]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:15]
  • ENBWRENL=[ENBWRENL:15] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU_INV:0] [ENBWRENU:15]
  • EN_ECC_READ=[FALSE:15]
  • EN_ECC_WRITE=[FALSE:15]
  • EN_PWRGATE=[NONE:15]
  • RAM_EXTENSION_A=[NONE:15]
  • RAM_EXTENSION_B=[NONE:15]
  • RAM_MODE=[TDP:15]
  • RDADDR_COLLISION_HWCONFIG=[DELAYED_WRITE:15]
  • READ_WIDTH_A=[2:3] [9:12]
  • READ_WIDTH_B=[2:3] [9:12]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:15] [REGCLKARDRCLKL:0]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU:0] [REGCLKARDRCLKU_INV:15]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:15]
  • REGCLKBU=[REGCLKBU:15] [REGCLKBU_INV:0]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:15]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU:15] [RSTRAMARSTRAMU_INV:0]
  • RSTRAMBL=[RSTRAMBL_INV:0] [RSTRAMBL:15]
  • RSTRAMBU=[RSTRAMBU:15] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:15] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU_INV:0] [RSTREGARSTREGU:15]
  • RSTREGBL=[RSTREGBL:15] [RSTREGBL_INV:0]
  • RSTREGBU=[RSTREGBU:15] [RSTREGBU_INV:0]
  • RSTREG_PRIORITY_A=[REGCE:15]
  • RSTREG_PRIORITY_B=[REGCE:15]
  • SAVEDATA=[FALSE:15]
  • WRITE_MODE_A=[WRITE_FIRST:15]
  • WRITE_MODE_B=[WRITE_FIRST:15]
  • WRITE_WIDTH_A=[2:3] [9:12]
  • WRITE_WIDTH_B=[2:3] [9:12]
REG_INIT
  • CK=[CK:346] [CK_INV:0]
  • FFINIT=[INIT0:315] [INIT1:31]
  • FFSR=[SRLOW:343] [SRHIGH:3]
  • LATCH_OR_FF=[FF:346]
  • SYNC_ATTR=[ASYNC:288] [SYNC:58]
SELMUX2_1
  • 0=[0:14] [0_INV:0]
SLICEL
  • CLK=[CLK:153] [CLK_INV:0]
 
Pin Data
BUFG
  • I0=4
  • O=4
BUFG_BUFG
  • I0=4
  • O=4
CARRY4
  • CIN=15
  • CO2=1
  • CO3=15
  • CYINIT=7
  • DI0=22
  • DI1=19
  • DI2=19
  • DI3=15
  • O0=19
  • O1=19
  • O2=16
  • O3=16
  • S0=22
  • S1=22
  • S2=19
  • S3=18
DSP48E1
  • A0=13
  • A1=13
  • A10=13
  • A11=13
  • A12=13
  • A13=13
  • A14=13
  • A15=13
  • A16=13
  • A17=13
  • A18=13
  • A19=13
  • A2=13
  • A20=13
  • A21=13
  • A22=13
  • A23=13
  • A24=13
  • A25=13
  • A26=13
  • A27=13
  • A28=13
  • A29=13
  • A3=13
  • A4=13
  • A5=13
  • A6=13
  • A7=13
  • A8=13
  • A9=13
  • ALUMODE0=13
  • ALUMODE1=13
  • ALUMODE2=13
  • ALUMODE3=13
  • B0=13
  • B1=13
  • B10=13
  • B11=13
  • B12=13
  • B13=13
  • B14=13
  • B15=13
  • B16=13
  • B17=13
  • B2=13
  • B3=13
  • B4=13
  • B5=13
  • B6=13
  • B7=13
  • B8=13
  • B9=13
  • C0=13
  • C1=13
  • C10=13
  • C11=13
  • C12=13
  • C13=13
  • C14=13
  • C15=13
  • C16=13
  • C17=13
  • C18=13
  • C19=13
  • C2=13
  • C20=13
  • C21=13
  • C22=13
  • C23=13
  • C24=13
  • C25=13
  • C26=13
  • C27=13
  • C28=13
  • C29=13
  • C3=13
  • C30=13
  • C31=13
  • C32=13
  • C33=13
  • C34=13
  • C35=13
  • C36=13
  • C37=13
  • C38=13
  • C39=13
  • C4=13
  • C40=13
  • C41=13
  • C42=13
  • C43=13
  • C44=13
  • C45=13
  • C46=13
  • C47=13
  • C5=13
  • C6=13
  • C7=13
  • C8=13
  • C9=13
  • CARRYIN=13
  • CARRYINSEL0=13
  • CARRYINSEL1=13
  • CARRYINSEL2=13
  • CEA1=13
  • CEA2=13
  • CEAD=13
  • CEALUMODE=13
  • CEB1=13
  • CEB2=13
  • CEC=13
  • CECARRYIN=13
  • CECTRL=13
  • CED=13
  • CEINMODE=13
  • CEM=13
  • CEP=13
  • CLK=13
  • D0=13
  • D1=13
  • D10=13
  • D11=13
  • D12=13
  • D13=13
  • D14=13
  • D15=13
  • D16=13
  • D17=13
  • D18=13
  • D19=13
  • D2=13
  • D20=13
  • D21=13
  • D22=13
  • D23=13
  • D24=13
  • D3=13
  • D4=13
  • D5=13
  • D6=13
  • D7=13
  • D8=13
  • D9=13
  • INMODE0=13
  • INMODE1=13
  • INMODE2=13
  • INMODE3=13
  • INMODE4=13
  • OPMODE0=13
  • OPMODE1=13
  • OPMODE2=13
  • OPMODE3=13
  • OPMODE4=13
  • OPMODE5=13
  • OPMODE6=13
  • P0=8
  • P1=8
  • P10=2
  • P11=2
  • P12=2
  • P13=2
  • P2=8
  • P3=8
  • P4=8
  • P5=8
  • P6=8
  • P7=8
  • P8=8
  • P9=8
  • PCIN0=7
  • PCIN1=7
  • PCIN10=7
  • PCIN11=7
  • PCIN12=7
  • PCIN13=7
  • PCIN14=7
  • PCIN15=7
  • PCIN16=7
  • PCIN17=7
  • PCIN18=7
  • PCIN19=7
  • PCIN2=7
  • PCIN20=7
  • PCIN21=7
  • PCIN22=7
  • PCIN23=7
  • PCIN24=7
  • PCIN25=7
  • PCIN26=7
  • PCIN27=7
  • PCIN28=7
  • PCIN29=7
  • PCIN3=7
  • PCIN30=7
  • PCIN31=7
  • PCIN32=7
  • PCIN33=7
  • PCIN34=7
  • PCIN35=7
  • PCIN36=7
  • PCIN37=7
  • PCIN38=7
  • PCIN39=7
  • PCIN4=7
  • PCIN40=7
  • PCIN41=7
  • PCIN42=7
  • PCIN43=7
  • PCIN44=7
  • PCIN45=7
  • PCIN46=7
  • PCIN47=7
  • PCIN5=7
  • PCIN6=7
  • PCIN7=7
  • PCIN8=7
  • PCIN9=7
  • PCOUT0=7
  • PCOUT1=7
  • PCOUT10=7
  • PCOUT11=7
  • PCOUT12=7
  • PCOUT13=7
  • PCOUT14=7
  • PCOUT15=7
  • PCOUT16=7
  • PCOUT17=7
  • PCOUT18=7
  • PCOUT19=7
  • PCOUT2=7
  • PCOUT20=7
  • PCOUT21=7
  • PCOUT22=7
  • PCOUT23=7
  • PCOUT24=7
  • PCOUT25=7
  • PCOUT26=7
  • PCOUT27=7
  • PCOUT28=7
  • PCOUT29=7
  • PCOUT3=7
  • PCOUT30=7
  • PCOUT31=7
  • PCOUT32=7
  • PCOUT33=7
  • PCOUT34=7
  • PCOUT35=7
  • PCOUT36=7
  • PCOUT37=7
  • PCOUT38=7
  • PCOUT39=7
  • PCOUT4=7
  • PCOUT40=7
  • PCOUT41=7
  • PCOUT42=7
  • PCOUT43=7
  • PCOUT44=7
  • PCOUT45=7
  • PCOUT46=7
  • PCOUT47=7
  • PCOUT5=7
  • PCOUT6=7
  • PCOUT7=7
  • PCOUT8=7
  • PCOUT9=7
  • RSTA=13
  • RSTALLCARRYIN=13
  • RSTALUMODE=13
  • RSTB=13
  • RSTC=13
  • RSTCTRL=13
  • RSTD=13
  • RSTINMODE=13
  • RSTM=13
  • RSTP=13
DSP48E1_DSP48E1
  • A0=13
  • A1=13
  • A10=13
  • A11=13
  • A12=13
  • A13=13
  • A14=13
  • A15=13
  • A16=13
  • A17=13
  • A18=13
  • A19=13
  • A2=13
  • A20=13
  • A21=13
  • A22=13
  • A23=13
  • A24=13
  • A25=13
  • A26=13
  • A27=13
  • A28=13
  • A29=13
  • A3=13
  • A4=13
  • A5=13
  • A6=13
  • A7=13
  • A8=13
  • A9=13
  • ALUMODE0=13
  • ALUMODE1=13
  • ALUMODE2=13
  • ALUMODE3=13
  • B0=13
  • B1=13
  • B10=13
  • B11=13
  • B12=13
  • B13=13
  • B14=13
  • B15=13
  • B16=13
  • B17=13
  • B2=13
  • B3=13
  • B4=13
  • B5=13
  • B6=13
  • B7=13
  • B8=13
  • B9=13
  • C0=13
  • C1=13
  • C10=13
  • C11=13
  • C12=13
  • C13=13
  • C14=13
  • C15=13
  • C16=13
  • C17=13
  • C18=13
  • C19=13
  • C2=13
  • C20=13
  • C21=13
  • C22=13
  • C23=13
  • C24=13
  • C25=13
  • C26=13
  • C27=13
  • C28=13
  • C29=13
  • C3=13
  • C30=13
  • C31=13
  • C32=13
  • C33=13
  • C34=13
  • C35=13
  • C36=13
  • C37=13
  • C38=13
  • C39=13
  • C4=13
  • C40=13
  • C41=13
  • C42=13
  • C43=13
  • C44=13
  • C45=13
  • C46=13
  • C47=13
  • C5=13
  • C6=13
  • C7=13
  • C8=13
  • C9=13
  • CARRYIN=13
  • CARRYINSEL0=13
  • CARRYINSEL1=13
  • CARRYINSEL2=13
  • CEA1=13
  • CEA2=13
  • CEAD=13
  • CEALUMODE=13
  • CEB1=13
  • CEB2=13
  • CEC=13
  • CECARRYIN=13
  • CECTRL=13
  • CED=13
  • CEINMODE=13
  • CEM=13
  • CEP=13
  • CLK=13
  • D0=13
  • D1=13
  • D10=13
  • D11=13
  • D12=13
  • D13=13
  • D14=13
  • D15=13
  • D16=13
  • D17=13
  • D18=13
  • D19=13
  • D2=13
  • D20=13
  • D21=13
  • D22=13
  • D23=13
  • D24=13
  • D3=13
  • D4=13
  • D5=13
  • D6=13
  • D7=13
  • D8=13
  • D9=13
  • INMODE0=13
  • INMODE1=13
  • INMODE2=13
  • INMODE3=13
  • INMODE4=13
  • OPMODE0=13
  • OPMODE1=13
  • OPMODE2=13
  • OPMODE3=13
  • OPMODE4=13
  • OPMODE5=13
  • OPMODE6=13
  • P0=8
  • P1=8
  • P10=2
  • P11=2
  • P12=2
  • P13=2
  • P2=8
  • P3=8
  • P4=8
  • P5=8
  • P6=8
  • P7=8
  • P8=8
  • P9=8
  • PCIN0=7
  • PCIN1=7
  • PCIN10=7
  • PCIN11=7
  • PCIN12=7
  • PCIN13=7
  • PCIN14=7
  • PCIN15=7
  • PCIN16=7
  • PCIN17=7
  • PCIN18=7
  • PCIN19=7
  • PCIN2=7
  • PCIN20=7
  • PCIN21=7
  • PCIN22=7
  • PCIN23=7
  • PCIN24=7
  • PCIN25=7
  • PCIN26=7
  • PCIN27=7
  • PCIN28=7
  • PCIN29=7
  • PCIN3=7
  • PCIN30=7
  • PCIN31=7
  • PCIN32=7
  • PCIN33=7
  • PCIN34=7
  • PCIN35=7
  • PCIN36=7
  • PCIN37=7
  • PCIN38=7
  • PCIN39=7
  • PCIN4=7
  • PCIN40=7
  • PCIN41=7
  • PCIN42=7
  • PCIN43=7
  • PCIN44=7
  • PCIN45=7
  • PCIN46=7
  • PCIN47=7
  • PCIN5=7
  • PCIN6=7
  • PCIN7=7
  • PCIN8=7
  • PCIN9=7
  • PCOUT0=7
  • PCOUT1=7
  • PCOUT10=7
  • PCOUT11=7
  • PCOUT12=7
  • PCOUT13=7
  • PCOUT14=7
  • PCOUT15=7
  • PCOUT16=7
  • PCOUT17=7
  • PCOUT18=7
  • PCOUT19=7
  • PCOUT2=7
  • PCOUT20=7
  • PCOUT21=7
  • PCOUT22=7
  • PCOUT23=7
  • PCOUT24=7
  • PCOUT25=7
  • PCOUT26=7
  • PCOUT27=7
  • PCOUT28=7
  • PCOUT29=7
  • PCOUT3=7
  • PCOUT30=7
  • PCOUT31=7
  • PCOUT32=7
  • PCOUT33=7
  • PCOUT34=7
  • PCOUT35=7
  • PCOUT36=7
  • PCOUT37=7
  • PCOUT38=7
  • PCOUT39=7
  • PCOUT4=7
  • PCOUT40=7
  • PCOUT41=7
  • PCOUT42=7
  • PCOUT43=7
  • PCOUT44=7
  • PCOUT45=7
  • PCOUT46=7
  • PCOUT47=7
  • PCOUT5=7
  • PCOUT6=7
  • PCOUT7=7
  • PCOUT8=7
  • PCOUT9=7
  • RSTA=13
  • RSTALLCARRYIN=13
  • RSTALUMODE=13
  • RSTB=13
  • RSTC=13
  • RSTCTRL=13
  • RSTD=13
  • RSTINMODE=13
  • RSTM=13
  • RSTP=13
FF_INIT
  • CE=28
  • CK=37
  • D=37
  • Q=37
  • SR=1
HARD0
  • 0=33
HARD1
  • 1=2
IOB
  • I=27
  • O=9
  • PAD=27
  • T=9
IOB33
  • I=2
  • O=23
  • PAD=25
IOB33_INBUF_EN
  • OUT=2
  • PAD=2
IOB33_OUTBUF
  • IN=23
  • OUT=23
IOB_INBUF_EN
  • INTERMDISABLE=27
  • OUT=27
  • PAD=27
IOB_OUTBUF
  • IN=9
  • OUT=9
  • TRI=9
LUT5
  • A1=96
  • A2=102
  • A3=167
  • A4=173
  • A5=148
  • O5=244
LUT6
  • A1=600
  • A2=736
  • A3=848
  • A4=1018
  • A5=963
  • A6=1033
  • O6=1058
PAD
  • PAD=52
PULL_OR_KEEP1
  • PAD=9
RAMB18E1
  • ADDRARDADDR0=24
  • ADDRARDADDR1=24
  • ADDRARDADDR10=24
  • ADDRARDADDR11=24
  • ADDRARDADDR12=24
  • ADDRARDADDR13=24
  • ADDRARDADDR2=24
  • ADDRARDADDR3=24
  • ADDRARDADDR4=24
  • ADDRARDADDR5=24
  • ADDRARDADDR6=24
  • ADDRARDADDR7=24
  • ADDRARDADDR8=24
  • ADDRARDADDR9=24
  • ADDRATIEHIGH0=24
  • ADDRATIEHIGH1=24
  • ADDRBTIEHIGH0=24
  • ADDRBTIEHIGH1=24
  • ADDRBWRADDR0=24
  • ADDRBWRADDR1=24
  • ADDRBWRADDR10=24
  • ADDRBWRADDR11=24
  • ADDRBWRADDR12=24
  • ADDRBWRADDR13=24
  • ADDRBWRADDR2=24
  • ADDRBWRADDR3=24
  • ADDRBWRADDR4=24
  • ADDRBWRADDR5=24
  • ADDRBWRADDR6=24
  • ADDRBWRADDR7=24
  • ADDRBWRADDR8=24
  • ADDRBWRADDR9=24
  • CLKARDCLK=24
  • CLKBWRCLK=24
  • DIADI1=3
  • DIADI10=24
  • DIADI11=24
  • DIADI12=24
  • DIADI13=24
  • DIADI14=24
  • DIADI15=24
  • DIADI2=24
  • DIADI3=24
  • DIADI4=24
  • DIADI5=24
  • DIADI6=24
  • DIADI7=24
  • DIADI8=3
  • DIADI9=3
  • DIBDI0=24
  • DIBDI1=24
  • DIBDI10=24
  • DIBDI11=24
  • DIBDI12=24
  • DIBDI13=24
  • DIBDI14=24
  • DIBDI15=24
  • DIBDI2=24
  • DIBDI3=24
  • DIBDI4=24
  • DIBDI5=24
  • DIBDI6=24
  • DIBDI7=24
  • DIBDI8=24
  • DIBDI9=24
  • DIPADIP0=24
  • DIPADIP1=24
  • DIPBDIP0=24
  • DIPBDIP1=24
  • DOADO0=24
  • DOADO1=21
  • DOADO8=21
  • DOADO9=21
  • ENARDEN=24
  • ENBWREN=24
  • REGCEAREGCE=24
  • REGCEB=24
  • REGCLKARDRCLK=24
  • REGCLKB=24
  • RSTRAMARSTRAM=24
  • RSTRAMB=24
  • RSTREGARSTREG=24
  • RSTREGB=24
  • WEA0=24
  • WEA1=24
  • WEA2=24
  • WEA3=24
  • WEBWE0=24
  • WEBWE1=24
  • WEBWE2=24
  • WEBWE3=24
  • WEBWE4=24
  • WEBWE5=24
  • WEBWE6=24
  • WEBWE7=24
RAMB18E1_RAMB18E1
  • ADDRARDADDR0=24
  • ADDRARDADDR1=24
  • ADDRARDADDR10=24
  • ADDRARDADDR11=24
  • ADDRARDADDR12=24
  • ADDRARDADDR13=24
  • ADDRARDADDR2=24
  • ADDRARDADDR3=24
  • ADDRARDADDR4=24
  • ADDRARDADDR5=24
  • ADDRARDADDR6=24
  • ADDRARDADDR7=24
  • ADDRARDADDR8=24
  • ADDRARDADDR9=24
  • ADDRATIEHIGH0=24
  • ADDRATIEHIGH1=24
  • ADDRBTIEHIGH0=24
  • ADDRBTIEHIGH1=24
  • ADDRBWRADDR0=24
  • ADDRBWRADDR1=24
  • ADDRBWRADDR10=24
  • ADDRBWRADDR11=24
  • ADDRBWRADDR12=24
  • ADDRBWRADDR13=24
  • ADDRBWRADDR2=24
  • ADDRBWRADDR3=24
  • ADDRBWRADDR4=24
  • ADDRBWRADDR5=24
  • ADDRBWRADDR6=24
  • ADDRBWRADDR7=24
  • ADDRBWRADDR8=24
  • ADDRBWRADDR9=24
  • CLKARDCLK=24
  • CLKBWRCLK=24
  • DIADI1=3
  • DIADI10=24
  • DIADI11=24
  • DIADI12=24
  • DIADI13=24
  • DIADI14=24
  • DIADI15=24
  • DIADI2=24
  • DIADI3=24
  • DIADI4=24
  • DIADI5=24
  • DIADI6=24
  • DIADI7=24
  • DIADI8=3
  • DIADI9=3
  • DIBDI0=24
  • DIBDI1=24
  • DIBDI10=24
  • DIBDI11=24
  • DIBDI12=24
  • DIBDI13=24
  • DIBDI14=24
  • DIBDI15=24
  • DIBDI2=24
  • DIBDI3=24
  • DIBDI4=24
  • DIBDI5=24
  • DIBDI6=24
  • DIBDI7=24
  • DIBDI8=24
  • DIBDI9=24
  • DIPADIP0=24
  • DIPADIP1=24
  • DIPBDIP0=24
  • DIPBDIP1=24
  • DOADO0=24
  • DOADO1=21
  • DOADO8=21
  • DOADO9=21
  • ENARDEN=24
  • ENBWREN=24
  • REGCEAREGCE=24
  • REGCEB=24
  • REGCLKARDRCLK=24
  • REGCLKB=24
  • RSTRAMARSTRAM=24
  • RSTRAMB=24
  • RSTREGARSTREG=24
  • RSTREGB=24
  • WEA0=24
  • WEA1=24
  • WEA2=24
  • WEA3=24
  • WEBWE0=24
  • WEBWE1=24
  • WEBWE2=24
  • WEBWE3=24
  • WEBWE4=24
  • WEBWE5=24
  • WEBWE6=24
  • WEBWE7=24
RAMB36E1
  • ADDRARDADDRL0=15
  • ADDRARDADDRL1=15
  • ADDRARDADDRL10=15
  • ADDRARDADDRL11=15
  • ADDRARDADDRL12=15
  • ADDRARDADDRL13=15
  • ADDRARDADDRL14=15
  • ADDRARDADDRL15=15
  • ADDRARDADDRL2=15
  • ADDRARDADDRL3=15
  • ADDRARDADDRL4=15
  • ADDRARDADDRL5=15
  • ADDRARDADDRL6=15
  • ADDRARDADDRL7=15
  • ADDRARDADDRL8=15
  • ADDRARDADDRL9=15
  • ADDRARDADDRU0=15
  • ADDRARDADDRU1=15
  • ADDRARDADDRU10=15
  • ADDRARDADDRU11=15
  • ADDRARDADDRU12=15
  • ADDRARDADDRU13=15
  • ADDRARDADDRU14=15
  • ADDRARDADDRU2=15
  • ADDRARDADDRU3=15
  • ADDRARDADDRU4=15
  • ADDRARDADDRU5=15
  • ADDRARDADDRU6=15
  • ADDRARDADDRU7=15
  • ADDRARDADDRU8=15
  • ADDRARDADDRU9=15
  • ADDRBWRADDRL0=15
  • ADDRBWRADDRL1=15
  • ADDRBWRADDRL10=15
  • ADDRBWRADDRL11=15
  • ADDRBWRADDRL12=15
  • ADDRBWRADDRL13=15
  • ADDRBWRADDRL14=15
  • ADDRBWRADDRL15=15
  • ADDRBWRADDRL2=15
  • ADDRBWRADDRL3=15
  • ADDRBWRADDRL4=15
  • ADDRBWRADDRL5=15
  • ADDRBWRADDRL6=15
  • ADDRBWRADDRL7=15
  • ADDRBWRADDRL8=15
  • ADDRBWRADDRL9=15
  • ADDRBWRADDRU0=15
  • ADDRBWRADDRU1=15
  • ADDRBWRADDRU10=15
  • ADDRBWRADDRU11=15
  • ADDRBWRADDRU12=15
  • ADDRBWRADDRU13=15
  • ADDRBWRADDRU14=15
  • ADDRBWRADDRU2=15
  • ADDRBWRADDRU3=15
  • ADDRBWRADDRU4=15
  • ADDRBWRADDRU5=15
  • ADDRBWRADDRU6=15
  • ADDRBWRADDRU7=15
  • ADDRBWRADDRU8=15
  • ADDRBWRADDRU9=15
  • CLKARDCLKL=15
  • CLKARDCLKU=15
  • CLKBWRCLKL=15
  • CLKBWRCLKU=15
  • DIADI10=15
  • DIADI11=15
  • DIADI12=15
  • DIADI13=15
  • DIADI14=15
  • DIADI15=15
  • DIADI16=15
  • DIADI17=15
  • DIADI18=15
  • DIADI19=15
  • DIADI2=3
  • DIADI20=15
  • DIADI21=15
  • DIADI22=15
  • DIADI23=15
  • DIADI24=15
  • DIADI25=15
  • DIADI26=15
  • DIADI27=15
  • DIADI28=15
  • DIADI29=15
  • DIADI3=3
  • DIADI30=15
  • DIADI31=15
  • DIADI4=3
  • DIADI5=3
  • DIADI6=3
  • DIADI7=3
  • DIADI8=15
  • DIADI9=15
  • DIBDI0=15
  • DIBDI1=15
  • DIBDI10=15
  • DIBDI11=15
  • DIBDI12=15
  • DIBDI13=15
  • DIBDI14=15
  • DIBDI15=15
  • DIBDI16=15
  • DIBDI17=15
  • DIBDI18=15
  • DIBDI19=15
  • DIBDI2=15
  • DIBDI20=15
  • DIBDI21=15
  • DIBDI22=15
  • DIBDI23=15
  • DIBDI24=15
  • DIBDI25=15
  • DIBDI26=15
  • DIBDI27=15
  • DIBDI28=15
  • DIBDI29=15
  • DIBDI3=15
  • DIBDI30=15
  • DIBDI31=15
  • DIBDI4=15
  • DIBDI5=15
  • DIBDI6=15
  • DIBDI7=15
  • DIBDI8=15
  • DIBDI9=15
  • DIPADIP0=3
  • DIPADIP1=15
  • DIPADIP2=15
  • DIPADIP3=15
  • DIPBDIP0=15
  • DIPBDIP1=15
  • DIPBDIP2=15
  • DIPBDIP3=15
  • DOADO0=15
  • DOADO1=15
  • DOADO2=12
  • DOADO3=12
  • DOADO4=12
  • DOADO5=12
  • DOADO6=12
  • DOADO7=12
  • DOPADOP0=12
  • ENARDENL=15
  • ENARDENU=15
  • ENBWRENL=15
  • ENBWRENU=15
  • INJECTDBITERR=15
  • INJECTSBITERR=15
  • REGCEAREGCEL=15
  • REGCEAREGCEU=15
  • REGCEBL=15
  • REGCEBU=15
  • REGCLKARDRCLKL=15
  • REGCLKARDRCLKU=15
  • REGCLKBL=15
  • REGCLKBU=15
  • RSTRAMARSTRAML=15
  • RSTRAMARSTRAMU=15
  • RSTRAMBL=15
  • RSTRAMBU=15
  • RSTREGARSTREGL=15
  • RSTREGARSTREGU=15
  • RSTREGBL=15
  • RSTREGBU=15
  • WEAL0=15
  • WEAL1=15
  • WEAL2=15
  • WEAL3=15
  • WEAU0=15
  • WEAU1=15
  • WEAU2=15
  • WEAU3=15
  • WEBWEL0=15
  • WEBWEL1=15
  • WEBWEL2=15
  • WEBWEL3=15
  • WEBWEL4=15
  • WEBWEL5=15
  • WEBWEL6=15
  • WEBWEL7=15
  • WEBWEU0=15
  • WEBWEU1=15
  • WEBWEU2=15
  • WEBWEU3=15
  • WEBWEU4=15
  • WEBWEU5=15
  • WEBWEU6=15
  • WEBWEU7=15
RAMB36E1_RAMB36E1
  • ADDRARDADDRL0=15
  • ADDRARDADDRL1=15
  • ADDRARDADDRL10=15
  • ADDRARDADDRL11=15
  • ADDRARDADDRL12=15
  • ADDRARDADDRL13=15
  • ADDRARDADDRL14=15
  • ADDRARDADDRL15=15
  • ADDRARDADDRL2=15
  • ADDRARDADDRL3=15
  • ADDRARDADDRL4=15
  • ADDRARDADDRL5=15
  • ADDRARDADDRL6=15
  • ADDRARDADDRL7=15
  • ADDRARDADDRL8=15
  • ADDRARDADDRL9=15
  • ADDRARDADDRU0=15
  • ADDRARDADDRU1=15
  • ADDRARDADDRU10=15
  • ADDRARDADDRU11=15
  • ADDRARDADDRU12=15
  • ADDRARDADDRU13=15
  • ADDRARDADDRU14=15
  • ADDRARDADDRU2=15
  • ADDRARDADDRU3=15
  • ADDRARDADDRU4=15
  • ADDRARDADDRU5=15
  • ADDRARDADDRU6=15
  • ADDRARDADDRU7=15
  • ADDRARDADDRU8=15
  • ADDRARDADDRU9=15
  • ADDRBWRADDRL0=15
  • ADDRBWRADDRL1=15
  • ADDRBWRADDRL10=15
  • ADDRBWRADDRL11=15
  • ADDRBWRADDRL12=15
  • ADDRBWRADDRL13=15
  • ADDRBWRADDRL14=15
  • ADDRBWRADDRL15=15
  • ADDRBWRADDRL2=15
  • ADDRBWRADDRL3=15
  • ADDRBWRADDRL4=15
  • ADDRBWRADDRL5=15
  • ADDRBWRADDRL6=15
  • ADDRBWRADDRL7=15
  • ADDRBWRADDRL8=15
  • ADDRBWRADDRL9=15
  • ADDRBWRADDRU0=15
  • ADDRBWRADDRU1=15
  • ADDRBWRADDRU10=15
  • ADDRBWRADDRU11=15
  • ADDRBWRADDRU12=15
  • ADDRBWRADDRU13=15
  • ADDRBWRADDRU14=15
  • ADDRBWRADDRU2=15
  • ADDRBWRADDRU3=15
  • ADDRBWRADDRU4=15
  • ADDRBWRADDRU5=15
  • ADDRBWRADDRU6=15
  • ADDRBWRADDRU7=15
  • ADDRBWRADDRU8=15
  • ADDRBWRADDRU9=15
  • CLKARDCLKL=15
  • CLKARDCLKU=15
  • CLKBWRCLKL=15
  • CLKBWRCLKU=15
  • DIADI10=15
  • DIADI11=15
  • DIADI12=15
  • DIADI13=15
  • DIADI14=15
  • DIADI15=15
  • DIADI16=15
  • DIADI17=15
  • DIADI18=15
  • DIADI19=15
  • DIADI2=3
  • DIADI20=15
  • DIADI21=15
  • DIADI22=15
  • DIADI23=15
  • DIADI24=15
  • DIADI25=15
  • DIADI26=15
  • DIADI27=15
  • DIADI28=15
  • DIADI29=15
  • DIADI3=3
  • DIADI30=15
  • DIADI31=15
  • DIADI4=3
  • DIADI5=3
  • DIADI6=3
  • DIADI7=3
  • DIADI8=15
  • DIADI9=15
  • DIBDI0=15
  • DIBDI1=15
  • DIBDI10=15
  • DIBDI11=15
  • DIBDI12=15
  • DIBDI13=15
  • DIBDI14=15
  • DIBDI15=15
  • DIBDI16=15
  • DIBDI17=15
  • DIBDI18=15
  • DIBDI19=15
  • DIBDI2=15
  • DIBDI20=15
  • DIBDI21=15
  • DIBDI22=15
  • DIBDI23=15
  • DIBDI24=15
  • DIBDI25=15
  • DIBDI26=15
  • DIBDI27=15
  • DIBDI28=15
  • DIBDI29=15
  • DIBDI3=15
  • DIBDI30=15
  • DIBDI31=15
  • DIBDI4=15
  • DIBDI5=15
  • DIBDI6=15
  • DIBDI7=15
  • DIBDI8=15
  • DIBDI9=15
  • DIPADIP0=3
  • DIPADIP1=15
  • DIPADIP2=15
  • DIPADIP3=15
  • DIPBDIP0=15
  • DIPBDIP1=15
  • DIPBDIP2=15
  • DIPBDIP3=15
  • DOADO0=15
  • DOADO1=15
  • DOADO2=12
  • DOADO3=12
  • DOADO4=12
  • DOADO5=12
  • DOADO6=12
  • DOADO7=12
  • DOPADOP0=12
  • ENARDENL=15
  • ENARDENU=15
  • ENBWRENL=15
  • ENBWRENU=15
  • INJECTDBITERR=15
  • INJECTSBITERR=15
  • REGCEAREGCEL=15
  • REGCEAREGCEU=15
  • REGCEBL=15
  • REGCEBU=15
  • REGCLKARDRCLKL=15
  • REGCLKARDRCLKU=15
  • REGCLKBL=15
  • REGCLKBU=15
  • RSTRAMARSTRAML=15
  • RSTRAMARSTRAMU=15
  • RSTRAMBL=15
  • RSTRAMBU=15
  • RSTREGARSTREGL=15
  • RSTREGARSTREGU=15
  • RSTREGBL=15
  • RSTREGBU=15
  • WEAL0=15
  • WEAL1=15
  • WEAL2=15
  • WEAL3=15
  • WEAU0=15
  • WEAU1=15
  • WEAU2=15
  • WEAU3=15
  • WEBWEL0=15
  • WEBWEL1=15
  • WEBWEL2=15
  • WEBWEL3=15
  • WEBWEL4=15
  • WEBWEL5=15
  • WEBWEL6=15
  • WEBWEL7=15
  • WEBWEU0=15
  • WEBWEU1=15
  • WEBWEU2=15
  • WEBWEU3=15
  • WEBWEU4=15
  • WEBWEU5=15
  • WEBWEU6=15
  • WEBWEU7=15
REG_INIT
  • CE=232
  • CK=346
  • D=346
  • Q=346
  • SR=95
SELMUX2_1
  • 0=14
  • 1=14
  • OUT=14
  • S0=14
SLICEL
  • A=279
  • A1=223
  • A2=268
  • A3=300
  • A4=346
  • A5=318
  • A6=343
  • AMUX=40
  • AQ=105
  • AX=23
  • B=191
  • B1=132
  • B2=169
  • B3=200
  • B4=243
  • B5=235
  • B6=252
  • BMUX=44
  • BQ=83
  • BX=27
  • C=154
  • C1=145
  • C2=167
  • C3=193
  • C4=210
  • C5=201
  • C6=214
  • CE=91
  • CIN=15
  • CLK=153
  • CMUX=46
  • COUT=15
  • CQ=86
  • CX=34
  • D=173
  • D1=145
  • D2=170
  • D3=195
  • D4=220
  • D5=209
  • D6=224
  • DMUX=39
  • DQ=72
  • DX=15
  • SR=47
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc7k160t-ffg676-2L <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k160t-ffg676-2L -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2L -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • fuse
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact 32 24 0 0 0 0 0
bitgen 46 44 0 0 0 0 0
map 53 47 0 0 0 0 0
ngc2edif 1 1 0 0 0 0 0
ngcbuild 7 7 0 0 0 0 0
ngdbuild 53 52 0 0 0 0 0
par 46 46 0 0 0 0 0
trce 44 44 0 0 0 0 0
xst 99 92 0 0 0 0 0
 
Project Statistics
PROP_Enable_Message_Filtering=false PROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=Balanced PROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=false PROP_PropSpecInProjFile=Store all values
PROP_SelectedInstanceHierarchicalPath=/Debug1 PROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changed PROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=false PROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2016-11-30T16:05:53 PROP_intWbtProjectID=4CFDE3202FBD47F0AE8BBD81A077B924
PROP_intWbtProjectIteration=48 PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=No PROP_selectedSimRootSourceNode_behav=work.Debug1
PROP_AutoTop=true PROP_DevFamily=Kintex7
PROP_DevDevice=xc7k160t PROP_DevFamilyPMName=kintex7
PROP_DevPackage=ffg676 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-2L PROP_PreferredLanguage=Verilog
FILE_COREGEN=4 FILE_UCF=1
FILE_VERILOG=12
 
Core Statistics
Core Type=blk_mem_gen_v7_3
c_addra_width=14 c_addrb_width=14 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=9 c_common_clk=0
c_default_data=0 c_disable_warn_bhv_coll=0 c_disable_warn_bhv_range=0 c_elaboration_dir=masked_value
c_enable_32bit_address=0 c_family=kintex7 c_has_axi_id=0 c_has_ena=0
c_has_enb=0 c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0
c_has_mux_output_regs_a=0 c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0
c_has_rsta=0 c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0
c_init_file=BlankString c_init_file_name=fname.mif c_inita_val=0 c_initb_val=0
c_interface_type=0 c_load_init_file=1 c_mem_type=0 c_mux_pipeline_stages=0
c_prim_type=1 c_read_depth_a=16384 c_read_depth_b=16384 c_read_width_a=12
c_read_width_b=12 c_rst_priority_a=CE c_rst_priority_b=CE c_rst_type=SYNC
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=ALL c_use_bram_block=0
c_use_byte_wea=0 c_use_byte_web=0 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_wea_width=1 c_web_width=1 c_write_depth_a=16384
c_write_depth_b=16384 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST c_write_width_a=12
c_write_width_b=12 c_xdevicefamily=kintex7
Core Type=blk_mem_gen_v7_3
c_addra_width=10 c_addrb_width=10 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=9 c_common_clk=0
c_default_data=0 c_disable_warn_bhv_coll=0 c_disable_warn_bhv_range=0 c_elaboration_dir=masked_value
c_enable_32bit_address=0 c_family=kintex7 c_has_axi_id=0 c_has_ena=0
c_has_enb=0 c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0
c_has_mux_output_regs_a=0 c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0
c_has_rsta=0 c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0
c_init_file=BlankString c_init_file_name=fname.mif c_inita_val=0 c_initb_val=0
c_interface_type=0 c_load_init_file=1 c_mem_type=0 c_mux_pipeline_stages=0
c_prim_type=1 c_read_depth_a=1024 c_read_depth_b=1024 c_read_width_a=4
c_read_width_b=4 c_rst_priority_a=CE c_rst_priority_b=CE c_rst_type=SYNC
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=ALL c_use_bram_block=0
c_use_byte_wea=0 c_use_byte_web=0 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_wea_width=1 c_web_width=1 c_write_depth_a=1024
c_write_depth_b=1024 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST c_write_width_a=4
c_write_width_b=4 c_xdevicefamily=kintex7
Core Type=blk_mem_gen_v7_3
c_addra_width=14 c_addrb_width=14 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=9 c_common_clk=0
c_default_data=0 c_disable_warn_bhv_coll=0 c_disable_warn_bhv_range=0 c_elaboration_dir=masked_value
c_enable_32bit_address=0 c_family=kintex7 c_has_axi_id=0 c_has_ena=0
c_has_enb=0 c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0
c_has_mux_output_regs_a=0 c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0
c_has_rsta=0 c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0
c_init_file=BlankString c_init_file_name=fname.mif c_inita_val=0 c_initb_val=0
c_interface_type=0 c_load_init_file=1 c_mem_type=0 c_mux_pipeline_stages=0
c_prim_type=1 c_read_depth_a=16384 c_read_depth_b=16384 c_read_width_a=12
c_read_width_b=12 c_rst_priority_a=CE c_rst_priority_b=CE c_rst_type=SYNC
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=ALL c_use_bram_block=0
c_use_byte_wea=0 c_use_byte_web=0 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_wea_width=1 c_web_width=1 c_write_depth_a=16384
c_write_depth_b=16384 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST c_write_width_a=12
c_write_width_b=12 c_xdevicefamily=kintex7
Core Type=blk_mem_gen_v7_3
c_addra_width=14 c_addrb_width=14 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=9 c_common_clk=0
c_default_data=0 c_disable_warn_bhv_coll=0 c_disable_warn_bhv_range=0 c_elaboration_dir=masked_value
c_enable_32bit_address=0 c_family=kintex7 c_has_axi_id=0 c_has_ena=0
c_has_enb=0 c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0
c_has_mux_output_regs_a=0 c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0
c_has_rsta=0 c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0
c_init_file=BlankString c_init_file_name=fname.mif c_inita_val=0 c_initb_val=0
c_interface_type=0 c_load_init_file=1 c_mem_type=0 c_mux_pipeline_stages=0
c_prim_type=1 c_read_depth_a=16384 c_read_depth_b=16384 c_read_width_a=12
c_read_width_b=12 c_rst_priority_a=CE c_rst_priority_b=CE c_rst_type=SYNC
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=ALL c_use_bram_block=0
c_use_byte_wea=0 c_use_byte_web=0 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_wea_width=1 c_web_width=1 c_write_depth_a=16384
c_write_depth_b=16384 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST c_write_width_a=12
c_write_width_b=12 c_xdevicefamily=kintex7
 
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=3 NGDBUILD_NUM_BUFGP=1 NGDBUILD_NUM_DSP48E1=15 NGDBUILD_NUM_FD=56
NGDBUILD_NUM_FDC=4 NGDBUILD_NUM_FDCE=33 NGDBUILD_NUM_FDE=231 NGDBUILD_NUM_FDR=54
NGDBUILD_NUM_FDRE=1 NGDBUILD_NUM_FDS=3 NGDBUILD_NUM_FDSE=1 NGDBUILD_NUM_GND=26
NGDBUILD_NUM_IBUF=19 NGDBUILD_NUM_INV=33 NGDBUILD_NUM_IOBUF=9 NGDBUILD_NUM_LUT1=46
NGDBUILD_NUM_LUT2=106 NGDBUILD_NUM_LUT3=145 NGDBUILD_NUM_LUT4=141 NGDBUILD_NUM_LUT5=221
NGDBUILD_NUM_LUT6=544 NGDBUILD_NUM_MUXCY=75 NGDBUILD_NUM_MUXF7=14 NGDBUILD_NUM_OBUF=23
NGDBUILD_NUM_RAMB18E1=25 NGDBUILD_NUM_RAMB36E1=15 NGDBUILD_NUM_VCC=26 NGDBUILD_NUM_XORCY=70
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=4 NGDBUILD_NUM_DSP48E1=15 NGDBUILD_NUM_FD=56 NGDBUILD_NUM_FDC=4
NGDBUILD_NUM_FDCE=33 NGDBUILD_NUM_FDE=231 NGDBUILD_NUM_FDR=54 NGDBUILD_NUM_FDRE=1
NGDBUILD_NUM_FDS=3 NGDBUILD_NUM_FDSE=1 NGDBUILD_NUM_GND=26 NGDBUILD_NUM_IBUF=28
NGDBUILD_NUM_IBUFG=1 NGDBUILD_NUM_INV=33 NGDBUILD_NUM_LUT1=46 NGDBUILD_NUM_LUT2=106
NGDBUILD_NUM_LUT3=145 NGDBUILD_NUM_LUT4=141 NGDBUILD_NUM_LUT5=221 NGDBUILD_NUM_LUT6=544
NGDBUILD_NUM_MUXCY=75 NGDBUILD_NUM_MUXF7=14 NGDBUILD_NUM_OBUF=23 NGDBUILD_NUM_OBUFT=9
NGDBUILD_NUM_PULLUP=9 NGDBUILD_NUM_RAMB18E1=25 NGDBUILD_NUM_RAMB36E1=15 NGDBUILD_NUM_VCC=26
NGDBUILD_NUM_XORCY=70
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc7k160t-2L-ffg676
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=No -netlist_hierarchy=As_Optimized -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -sd=<No customer specific name> -write_timing_constraints=NO
-cross_clock_analysis=NO -bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100
-dsp_utilization_ratio=100 -reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto
-safe_implementation=No -fsm_style=LUT -ram_extract=Yes -ram_style=Auto
-rom_extract=Yes -shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO
-resource_sharing=YES -async_to_sync=NO -use_dsp48=Auto -iobuf=YES
-max_fanout=100000 -bufg=32 -register_duplication=YES -register_balancing=No
-optimize_primitives=NO -use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto
-iob=Auto -equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5
 
ISim Statistics
Xilinx HDL Libraries Used=xilinxcorelib_ver
Fuse Resource Usage=1062 ms, 35848 KB
Total Signals=3875
Total Nets=11350
Total Blocks=461
Total Processes=1941
Total Simulation Time=1 us
Simulation Resource Usage=0.4375 sec, 4695957 KB
Simulation Mode=gui
Hardware CoSim=0