.device LFE5U-25F .comment Part: LFE5U-25F-6CABGA256 .tile CIB_R1C54:CIB arc: JA0 S1_V02N0701 enum: CIB.JB0MUX 0 .tile CIB_R29C1:CIB_LR arc: JD7 V00B0000 arc: V00B0000 S1_V02N0201 .tile CIB_R31C1:CIB_LR arc: N1_V02N0201 S3_V06N0103 .tile CIB_R37C1:CIB_LR_S arc: N3_V06N0103 S3_V06N0003 .tile CIB_R43C1:CIB_LR arc: N3_V06N0003 S3_V06N0303 .tile CIB_R49C1:CIB_LR_S arc: N3_V06N0303 JF5 .tile CIB_R49C3:CIB_PLL3 enum: CIB.JA3MUX 0 enum: CIB.JB3MUX 0 .tile CIB_R49C42:VCIB_DCU0 enum: CIB.JA1MUX 0 enum: CIB.JA3MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC2MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C43:VCIB_DCUA enum: CIB.JA1MUX 0 enum: CIB.JA3MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC2MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C44:VCIB_DCUB enum: CIB.JA1MUX 0 enum: CIB.JA3MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC2MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C45:VCIB_DCUC enum: CIB.JA1MUX 0 enum: CIB.JA3MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC2MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C46:VCIB_DCUD enum: CIB.JA1MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC2MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C47:VCIB_DCUF enum: CIB.JA1MUX 0 enum: CIB.JA3MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC2MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C48:VCIB_DCU3 enum: CIB.JA5MUX 0 enum: CIB.JA7MUX 0 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JC0MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C49:VCIB_DCU2 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C50:VCIB_DCUG enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C51:VCIB_DCUH enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C52:VCIB_DCUI enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB7MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C53:VCIB_DCU1 enum: CIB.JB1MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JD0MUX 0 enum: CIB.JD2MUX 0 .tile CIB_R49C69:CIB_PLL3 enum: CIB.JA3MUX 0 enum: CIB.JB3MUX 0 .tile CIB_R49C6:CIB_EFB0 enum: CIB.JB3MUX 0 enum: CIB.JC6MUX 0 enum: CIB.JD6MUX 0 .tile CIB_R49C7:CIB_EFB1 enum: CIB.JA3MUX 0 enum: CIB.JA4MUX 0 enum: CIB.JA5MUX 0 enum: CIB.JA6MUX 0 enum: CIB.JB3MUX 0 enum: CIB.JB4MUX 0 enum: CIB.JB5MUX 0 enum: CIB.JB6MUX 0 enum: CIB.JC3MUX 0 enum: CIB.JC4MUX 0 enum: CIB.JC5MUX 0 enum: CIB.JD3MUX 0 enum: CIB.JD4MUX 0 enum: CIB.JD5MUX 0 .tile MIB_R0C54:PIOT1 enum: PIOB.BASE_TYPE OUTPUT_LVCMOS25 .tile MIB_R0C69:BANKREF1 enum: BANK.VCCIO 2V5 .tile MIB_R13C31:CMUX_UL_0 arc: G_DCS0CLK0 G_VPFN0000 arc: G_ULPCLK0 G_HPFE0000 .tile MIB_R13C32:CMUX_UR_0 arc: G_DCS0CLK1 G_VPFN0000 arc: G_URPCLK0 G_HPFE0000 .tile MIB_R13C3:DSP_SPINE_UL1 unknown: F2B0 unknown: F3B0 unknown: F5B0 unknown: F11B0 unknown: F13B0 .tile MIB_R13C59:DSP_SPINE_UR1 arc: G_VPTX0000 G_HPRX0000 .tile MIB_R1C54:PICT1 enum: PIOB.BASE_TYPE OUTPUT_LVCMOS25 .tile MIB_R25C3:LMID_0 arc: G_LDCC0CLKI G_JLLQPCLKCIB0 .tile MIB_R37C31:CMUX_LL_0 arc: G_DCS1CLK0 G_VPFN0000 arc: G_LLPCLK0 G_HPFE0000 .tile MIB_R37C32:CMUX_LR_0 arc: G_DCS1CLK1 G_VPFN0000 arc: G_LRPCLK0 G_HPFE0000 .tile MIB_R48C0:PICL1 enum: PIOC.BASE_TYPE INPUT_LVCMOS33 enum: PIOC.HYSTERESIS ON .tile MIB_R49C0:MIB_CIB_LR enum: PIOC.BASE_TYPE INPUT_LVCMOS33 .tile MIB_R50C4:EFB0_PICB0 unknown: F54B1 unknown: F56B1 unknown: F82B1 unknown: F94B1 .tile R2C52:PLC2 arc: H00L0000 H02W0001 arc: H00L0100 E1_H02W0301 arc: H00R0000 H02W0601 arc: H00R0100 E1_H02W0501 arc: S1_V02S0501 H06W0303 arc: V00B0000 E1_H02W0601 arc: A0 H00L0000 arc: A1 H00L0100 arc: B0 E1_H01W0100 arc: B1 V00B0000 arc: C0 E1_H01W0000 arc: C1 H00R0100 arc: D0 H00R0000 arc: D1 E1_H02W0001 arc: E1_H02E0701 F5 arc: F0 F0_SLICE arc: F1 F1_SLICE arc: F5 F5_SLICE arc: S1_V02S0001 F0 arc: S1_V02S0101 F1 arc: V01S0100 F0 word: SLICEC.K0.INIT 0000000000000000 word: SLICEC.K1.INIT 0000000000000000 word: SLICEA.K0.INIT 1000000000000000 word: SLICEA.K1.INIT 1000000000000000 enum: SLICEC.MODE LOGIC enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 0 enum: SLICEC.REG1.SD 0 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX 1 enum: SLICEC.CCU2.INJECT1_0 _NONE_ enum: SLICEC.CCU2.INJECT1_1 _NONE_ enum: SLICEC.A0MUX 1 enum: SLICEC.B0MUX 1 enum: SLICEC.C0MUX 1 enum: SLICEC.D0MUX 1 enum: SLICEC.A1MUX 1 enum: SLICEC.B1MUX 1 enum: SLICEC.C1MUX 1 enum: SLICEC.D1MUX 1 enum: SLICEA.MODE LOGIC enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 0 enum: SLICEA.REG1.SD 0 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: SLICEA.CCU2.INJECT1_0 _NONE_ enum: SLICEA.CCU2.INJECT1_1 _NONE_ .tile R2C53:PLC2 arc: E1_H02E0101 E1_H01W0100 arc: E1_H02E0501 V02N0501 arc: S1_V02S0501 E1_H02W0501 arc: V00T0100 V02N0501 arc: A0 H02E0701 arc: B2 H00L0000 arc: B3 Q3 arc: B4 H00R0000 arc: B5 V01S0000 arc: B6 V00B0000 arc: B7 V00B0100 arc: CLK0 G_HPBX0000 arc: F2 F2_SLICE arc: F3 F3_SLICE arc: F4 F4_SLICE arc: F5 F5_SLICE arc: F6 F6_SLICE arc: F7 F7_SLICE arc: H00L0000 Q2 arc: H00R0000 Q4 arc: H01W0000 Q4 arc: H01W0100 Q3 arc: LSR1 V00T0100 arc: MUXCLK1 CLK0 arc: MUXCLK2 CLK0 arc: MUXCLK3 CLK0 arc: MUXLSR1 LSR1 arc: MUXLSR2 LSR1 arc: MUXLSR3 LSR1 arc: V00B0000 Q6 arc: V00B0100 Q7 arc: V01S0000 Q5 arc: V01S0100 Q7 arc: W1_H02W0001 Q2 arc: W1_H02W0601 Q6 word: SLICED.K0.INIT 1100110000000000 word: SLICED.K1.INIT 1100110000000000 word: SLICEC.K0.INIT 1100110000000000 word: SLICEC.K1.INIT 1100110000000000 word: SLICEB.K0.INIT 0110011010101010 word: SLICEB.K1.INIT 1100110000000000 word: SLICEA.K0.INIT 0000000000001010 word: SLICEA.K1.INIT 1111111111111111 enum: SLICED.MODE CCU2 enum: SLICED.GSR DISABLED enum: SLICED.REG0.SD 1 enum: SLICED.REG1.SD 1 enum: SLICED.REG0.REGSET RESET enum: SLICED.REG1.REGSET RESET enum: SLICED.REG0.LSRMODE LSR enum: SLICED.REG1.LSRMODE LSR enum: SLICED.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICED.CCU2.INJECT1_0 NO enum: SLICED.CCU2.INJECT1_1 NO enum: SLICED.A0MUX 1 enum: SLICED.C0MUX 1 enum: SLICED.D0MUX 1 enum: SLICED.A1MUX 1 enum: SLICED.C1MUX 1 enum: SLICED.D1MUX 1 enum: SLICEC.MODE CCU2 enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 1 enum: SLICEC.REG1.SD 1 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEC.CCU2.INJECT1_0 NO enum: SLICEC.CCU2.INJECT1_1 NO enum: SLICEC.A0MUX 1 enum: SLICEC.C0MUX 1 enum: SLICEC.D0MUX 1 enum: SLICEC.A1MUX 1 enum: SLICEC.C1MUX 1 enum: SLICEC.D1MUX 1 enum: SLICEB.MODE CCU2 enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.SD 1 enum: SLICEB.REG1.SD 1 enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG0.LSRMODE LSR enum: SLICEB.REG1.LSRMODE LSR enum: SLICEB.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEB.CCU2.INJECT1_0 NO enum: SLICEB.CCU2.INJECT1_1 NO enum: SLICEB.A0MUX 1 enum: SLICEB.C0MUX 1 enum: SLICEB.D0MUX 1 enum: SLICEB.A1MUX 1 enum: SLICEB.C1MUX 1 enum: SLICEB.D1MUX 1 enum: SLICEA.MODE CCU2 enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 0 enum: SLICEA.REG1.SD 0 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: SLICEA.CCU2.INJECT1_0 NO enum: SLICEA.CCU2.INJECT1_1 YES enum: SLICEA.B0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEA.A1MUX 1 enum: SLICEA.B1MUX 1 enum: SLICEA.C1MUX 1 enum: SLICEA.D1MUX 1 .tile R2C54:PLC2 arc: S1_V02S0001 H02W0001 arc: S1_V02S0101 H02W0101 arc: S1_V02S0401 H02W0401 arc: W1_H02W0001 E1_H01W0000 arc: W1_H02W0501 E1_H01W0100 arc: B0 V00T0000 arc: B1 Q1 arc: B2 H00L0000 arc: B3 Q3 arc: B4 H00R0000 arc: B5 V00B0100 arc: B6 V00B0000 arc: B7 H02E0101 arc: CLK0 G_HPBX0000 arc: F0 F0_SLICE arc: F1 F1_SLICE arc: F2 F2_SLICE arc: F3 F3_SLICE arc: F4 F4_SLICE arc: F5 F5_SLICE arc: F6 F6_SLICE arc: F7 F7_SLICE arc: H00L0000 Q2 arc: H00R0000 Q4 arc: H01W0100 Q7 arc: LSR1 H02E0501 arc: MUXCLK0 CLK0 arc: MUXCLK1 CLK0 arc: MUXCLK2 CLK0 arc: MUXCLK3 CLK0 arc: MUXLSR0 LSR1 arc: MUXLSR1 LSR1 arc: MUXLSR2 LSR1 arc: MUXLSR3 LSR1 arc: S1_V02S0201 Q0 arc: S1_V02S0301 Q3 arc: S1_V02S0501 Q7 arc: S1_V02S0601 Q6 arc: V00B0000 Q6 arc: V00B0100 Q5 arc: V00T0000 Q0 arc: V01S0000 Q5 arc: V01S0100 Q2 arc: W1_H02W0301 Q1 arc: W1_H02W0601 Q4 word: SLICED.K0.INIT 1100110000000000 word: SLICED.K1.INIT 1100110000000000 word: SLICEC.K0.INIT 1100110000000000 word: SLICEC.K1.INIT 1100110000000000 word: SLICEB.K0.INIT 1100110000000000 word: SLICEB.K1.INIT 1100110000000000 word: SLICEA.K0.INIT 1100110000000000 word: SLICEA.K1.INIT 1100110000000000 enum: SLICED.MODE CCU2 enum: SLICED.GSR DISABLED enum: SLICED.REG0.SD 1 enum: SLICED.REG1.SD 1 enum: SLICED.REG0.REGSET RESET enum: SLICED.REG1.REGSET RESET enum: SLICED.REG0.LSRMODE LSR enum: SLICED.REG1.LSRMODE LSR enum: SLICED.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICED.CCU2.INJECT1_0 NO enum: SLICED.CCU2.INJECT1_1 NO enum: SLICED.A0MUX 1 enum: SLICED.C0MUX 1 enum: SLICED.D0MUX 1 enum: SLICED.A1MUX 1 enum: SLICED.C1MUX 1 enum: SLICED.D1MUX 1 enum: SLICEC.MODE CCU2 enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 1 enum: SLICEC.REG1.SD 1 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEC.CCU2.INJECT1_0 NO enum: SLICEC.CCU2.INJECT1_1 NO enum: SLICEC.A0MUX 1 enum: SLICEC.C0MUX 1 enum: SLICEC.D0MUX 1 enum: SLICEC.A1MUX 1 enum: SLICEC.C1MUX 1 enum: SLICEC.D1MUX 1 enum: SLICEB.MODE CCU2 enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.SD 1 enum: SLICEB.REG1.SD 1 enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG0.LSRMODE LSR enum: SLICEB.REG1.LSRMODE LSR enum: SLICEB.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEB.CCU2.INJECT1_0 NO enum: SLICEB.CCU2.INJECT1_1 NO enum: SLICEB.A0MUX 1 enum: SLICEB.C0MUX 1 enum: SLICEB.D0MUX 1 enum: SLICEB.A1MUX 1 enum: SLICEB.C1MUX 1 enum: SLICEB.D1MUX 1 enum: SLICEA.MODE CCU2 enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 1 enum: SLICEA.REG1.SD 1 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEA.CCU2.INJECT1_0 NO enum: SLICEA.CCU2.INJECT1_1 NO enum: SLICEA.A0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEA.A1MUX 1 enum: SLICEA.C1MUX 1 enum: SLICEA.D1MUX 1 .tile R2C55:PLC2 arc: W1_H02W0501 E1_H01W0100 arc: W3_H06W0303 E1_H01W0100 arc: B0 V00T0000 arc: B1 Q1 arc: B2 H00L0000 arc: B3 Q3 arc: B4 H00R0000 arc: B5 V02N0701 arc: B6 V00B0000 arc: B7 V00B0100 arc: CLK0 G_HPBX0000 arc: F0 F0_SLICE arc: F1 F1_SLICE arc: F2 F2_SLICE arc: F3 F3_SLICE arc: F4 F4_SLICE arc: F5 F5_SLICE arc: F6 F6_SLICE arc: F7 F7_SLICE arc: H00L0000 Q2 arc: H00R0000 Q4 arc: H01W0000 Q7 arc: H01W0100 Q3 arc: LSR0 W1_H02E0501 arc: LSR1 W1_H02E0501 arc: MUXCLK0 CLK0 arc: MUXCLK1 CLK0 arc: MUXCLK2 CLK0 arc: MUXCLK3 CLK0 arc: MUXLSR0 LSR0 arc: MUXLSR1 LSR1 arc: MUXLSR2 LSR1 arc: MUXLSR3 LSR0 arc: S1_V02S0201 Q0 arc: V00B0000 Q6 arc: V00B0100 Q7 arc: V00T0000 Q0 arc: V01S0000 Q4 arc: V01S0100 Q5 arc: W1_H02W0001 Q2 arc: W1_H02W0101 Q1 arc: W1_H02W0401 Q6 word: SLICED.K0.INIT 1100110000000000 word: SLICED.K1.INIT 1100110000000000 word: SLICEC.K0.INIT 1100110000000000 word: SLICEC.K1.INIT 1100110000000000 word: SLICEB.K0.INIT 1100110000000000 word: SLICEB.K1.INIT 1100110000000000 word: SLICEA.K0.INIT 1100110000000000 word: SLICEA.K1.INIT 1100110000000000 enum: SLICED.MODE CCU2 enum: SLICED.GSR DISABLED enum: SLICED.REG0.SD 1 enum: SLICED.REG1.SD 1 enum: SLICED.REG0.REGSET RESET enum: SLICED.REG1.REGSET RESET enum: SLICED.REG0.LSRMODE LSR enum: SLICED.REG1.LSRMODE LSR enum: SLICED.CEMUX 1 enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICED.CCU2.INJECT1_0 NO enum: SLICED.CCU2.INJECT1_1 NO enum: SLICED.A0MUX 1 enum: SLICED.C0MUX 1 enum: SLICED.D0MUX 1 enum: SLICED.A1MUX 1 enum: SLICED.C1MUX 1 enum: SLICED.D1MUX 1 enum: SLICEC.MODE CCU2 enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 1 enum: SLICEC.REG1.SD 1 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX 1 enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEC.CCU2.INJECT1_0 NO enum: SLICEC.CCU2.INJECT1_1 NO enum: SLICEC.A0MUX 1 enum: SLICEC.C0MUX 1 enum: SLICEC.D0MUX 1 enum: SLICEC.A1MUX 1 enum: SLICEC.C1MUX 1 enum: SLICEC.D1MUX 1 enum: SLICEB.MODE CCU2 enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.SD 1 enum: SLICEB.REG1.SD 1 enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG0.LSRMODE LSR enum: SLICEB.REG1.LSRMODE LSR enum: SLICEB.CEMUX 1 enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEB.CCU2.INJECT1_0 NO enum: SLICEB.CCU2.INJECT1_1 NO enum: SLICEB.A0MUX 1 enum: SLICEB.C0MUX 1 enum: SLICEB.D0MUX 1 enum: SLICEB.A1MUX 1 enum: SLICEB.C1MUX 1 enum: SLICEB.D1MUX 1 enum: SLICEA.MODE CCU2 enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 1 enum: SLICEA.REG1.SD 1 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEA.CCU2.INJECT1_0 NO enum: SLICEA.CCU2.INJECT1_1 NO enum: SLICEA.A0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEA.A1MUX 1 enum: SLICEA.C1MUX 1 enum: SLICEA.D1MUX 1 .tile R2C56:PLC2 arc: B2 H00R0000 arc: B7 V00B0000 arc: C2 H00L0100 arc: C3 N1_V01N0001 arc: C7 V00T0100 arc: CE1 H00R0100 arc: CE3 H00R0100 arc: CLK0 G_HPBX0000 arc: D2 Q2 arc: D3 V00T0100 arc: D6 V00B0000 arc: D7 H01W0000 arc: F2 F2_SLICE arc: F3 F3_SLICE arc: F6 F6_SLICE arc: F7 F7_SLICE arc: H00L0100 Q3 arc: H00R0000 Q6 arc: H00R0100 F7 arc: H01W0000 Q2 arc: H01W0100 Q2 arc: MUXCLK1 CLK0 arc: MUXCLK3 CLK0 arc: N1_V01N0001 Q6 arc: V00B0000 Q6 arc: V00T0100 Q3 word: SLICEB.K0.INIT 0011111111000000 word: SLICEB.K1.INIT 0000111111110000 word: SLICED.K0.INIT 0000000011111111 word: SLICED.K1.INIT 1111110011111111 word: SLICEA.K0.INIT 0000000000000000 word: SLICEA.K1.INIT 0000000000001010 enum: SLICEB.MODE LOGIC enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.SD 1 enum: SLICEB.REG1.SD 1 enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG0.LSRMODE LSR enum: SLICEB.REG1.LSRMODE LSR enum: SLICEB.CEMUX CE enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEB.CCU2.INJECT1_0 _NONE_ enum: SLICEB.CCU2.INJECT1_1 _NONE_ enum: SLICEB.A0MUX 1 enum: SLICEB.A1MUX 1 enum: SLICEB.B1MUX 1 enum: SLICED.MODE LOGIC enum: SLICED.GSR DISABLED enum: SLICED.REG0.SD 1 enum: SLICED.REG1.SD 0 enum: SLICED.REG0.REGSET RESET enum: SLICED.REG1.REGSET RESET enum: SLICED.REG0.LSRMODE LSR enum: SLICED.REG1.LSRMODE LSR enum: SLICED.CEMUX CE enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: LSR1.SRMODE LSR_OVER_CE enum: LSR1.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICED.CCU2.INJECT1_0 _NONE_ enum: SLICED.CCU2.INJECT1_1 _NONE_ enum: SLICED.A0MUX 1 enum: SLICED.B0MUX 1 enum: SLICED.C0MUX 1 enum: SLICED.A1MUX 1 enum: SLICEA.MODE CCU2 enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 0 enum: SLICEA.REG1.SD 0 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: SLICEA.CCU2.INJECT1_0 NO enum: SLICEA.CCU2.INJECT1_1 NO enum: SLICEA.A0MUX 1 enum: SLICEA.B0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEA.A1MUX 1 enum: SLICEA.B1MUX 1 enum: SLICEA.C1MUX 1 enum: SLICEA.D1MUX 1 .tile R3C52:PLC2 arc: H00L0100 V02S0101 arc: H00R0000 E1_H02W0601 arc: H00R0100 V02S0501 arc: V00B0000 V02S0001 arc: V00B0100 E1_H02W0501 arc: V00T0100 E1_H02W0301 arc: A1 H00R0000 arc: A5 V00T0100 arc: B1 V02S0101 arc: B5 V00B0100 arc: C1 N1_V01S0100 arc: C5 E1_H02W0601 arc: D1 V00T0100 arc: D5 H00L0100 arc: E1_H01E0101 F1 arc: E1_H02E0401 F4 arc: F0 F5A_SLICE arc: F1 FXA_SLICE arc: F2 F5B_SLICE arc: F4 F5C_SLICE arc: M0 V00B0100 arc: M1 H00R0100 arc: M2 V00B0100 arc: M4 V00B0000 word: SLICEA.K0.INIT 0000000000000000 word: SLICEA.K1.INIT 1000000000000000 word: SLICEB.K0.INIT 1111111111111111 word: SLICEB.K1.INIT 1111111111111111 word: SLICEC.K0.INIT 1111111111111111 word: SLICEC.K1.INIT 0111111111111111 enum: SLICEA.MODE LOGIC enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 0 enum: SLICEA.REG1.SD 0 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: SLICEA.CCU2.INJECT1_0 _NONE_ enum: SLICEA.CCU2.INJECT1_1 _NONE_ enum: SLICEA.A0MUX 1 enum: SLICEA.B0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEB.MODE LOGIC enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.SD 0 enum: SLICEB.REG1.SD 0 enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG0.LSRMODE LSR enum: SLICEB.REG1.LSRMODE LSR enum: SLICEB.CEMUX 1 enum: SLICEB.CCU2.INJECT1_0 _NONE_ enum: SLICEB.CCU2.INJECT1_1 _NONE_ enum: SLICEB.A0MUX 1 enum: SLICEB.B0MUX 1 enum: SLICEB.C0MUX 1 enum: SLICEB.D0MUX 1 enum: SLICEB.A1MUX 1 enum: SLICEB.B1MUX 1 enum: SLICEB.C1MUX 1 enum: SLICEB.D1MUX 1 enum: SLICEC.MODE LOGIC enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 0 enum: SLICEC.REG1.SD 0 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX 1 enum: SLICEC.CCU2.INJECT1_0 _NONE_ enum: SLICEC.CCU2.INJECT1_1 _NONE_ enum: SLICEC.A0MUX 1 enum: SLICEC.B0MUX 1 enum: SLICEC.C0MUX 1 enum: SLICEC.D0MUX 1 .tile R3C53:PLC2 arc: E1_H02E0001 N1_V01S0000 arc: E1_H02E0701 N1_V01S0100 arc: H00R0000 H02E0401 arc: H00R0100 V02S0501 arc: N1_V02N0501 H01E0101 arc: CE2 H00R0000 arc: CLK0 G_HPBX0000 arc: D4 V00B0000 arc: D5 H00R0100 arc: E1_H01E0101 Q4 arc: F4 F4_SLICE arc: F5 F5_SLICE arc: LSR0 V00B0100 arc: MUXCLK2 CLK0 arc: MUXLSR2 LSR0 arc: V00B0000 Q4 arc: V00B0100 F5 word: SLICEC.K0.INIT 0000000011111111 word: SLICEC.K1.INIT 0000000011111111 enum: SLICEC.MODE LOGIC enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 1 enum: SLICEC.REG1.SD 0 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX INV enum: LSR0.SRMODE LSR_OVER_CE enum: LSR0.LSRMUX LSR enum: CLK0.CLKMUX CLK enum: SLICEC.CCU2.INJECT1_0 _NONE_ enum: SLICEC.CCU2.INJECT1_1 _NONE_ enum: SLICEC.A0MUX 1 enum: SLICEC.B0MUX 1 enum: SLICEC.C0MUX 1 enum: SLICEC.A1MUX 1 enum: SLICEC.B1MUX 1 enum: SLICEC.C1MUX 1 .tile R3C54:PLC2 arc: H00L0000 V02S0001 arc: N1_V02N0701 H01E0101 arc: V00B0000 V02S0201 arc: V00B0100 H02W0501 arc: V00T0000 V02S0601 arc: V00T0100 V02S0501 arc: A1 H02E0701 arc: A4 V02S0301 arc: A5 V02S0101 arc: B1 V00B0000 arc: B4 N1_V01S0000 arc: B5 H00L0000 arc: C1 N1_V01S0100 arc: C4 V00T0000 arc: C5 V00B0100 arc: D1 V00T0100 arc: D4 H02W0201 arc: D5 V02S0401 arc: F0 F5A_SLICE arc: F1 FXA_SLICE arc: F2 F5B_SLICE arc: F4 F4_SLICE arc: F5 F5_SLICE arc: M0 H02W0601 arc: M1 H02E0001 arc: M2 H02W0601 arc: W1_H02W0301 F1 arc: W1_H02W0501 F5 arc: W1_H02W0601 F4 word: SLICEC.K0.INIT 0000000000000001 word: SLICEC.K1.INIT 0000000000000001 word: SLICEA.K0.INIT 0000000000000000 word: SLICEA.K1.INIT 0001000000000000 word: SLICEB.K0.INIT 0000000000000000 word: SLICEB.K1.INIT 0000000000000000 enum: SLICEC.MODE LOGIC enum: SLICEC.GSR DISABLED enum: SLICEC.REG0.SD 0 enum: SLICEC.REG1.SD 0 enum: SLICEC.REG0.REGSET RESET enum: SLICEC.REG1.REGSET RESET enum: SLICEC.REG0.LSRMODE LSR enum: SLICEC.REG1.LSRMODE LSR enum: SLICEC.CEMUX 1 enum: SLICEC.CCU2.INJECT1_0 _NONE_ enum: SLICEC.CCU2.INJECT1_1 _NONE_ enum: SLICEA.MODE LOGIC enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 0 enum: SLICEA.REG1.SD 0 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: SLICEA.CCU2.INJECT1_0 _NONE_ enum: SLICEA.CCU2.INJECT1_1 _NONE_ enum: SLICEA.A0MUX 1 enum: SLICEA.B0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEB.MODE LOGIC enum: SLICEB.GSR DISABLED enum: SLICEB.REG0.SD 0 enum: SLICEB.REG1.SD 0 enum: SLICEB.REG0.REGSET RESET enum: SLICEB.REG1.REGSET RESET enum: SLICEB.REG0.LSRMODE LSR enum: SLICEB.REG1.LSRMODE LSR enum: SLICEB.CEMUX 1 enum: SLICEB.CCU2.INJECT1_0 _NONE_ enum: SLICEB.CCU2.INJECT1_1 _NONE_ enum: SLICEB.A0MUX 1 enum: SLICEB.B0MUX 1 enum: SLICEB.C0MUX 1 enum: SLICEB.D0MUX 1 enum: SLICEB.A1MUX 1 enum: SLICEB.B1MUX 1 enum: SLICEB.C1MUX 1 enum: SLICEB.D1MUX 1 .tile R3C55:PLC2 arc: N1_V02N0701 N1_V01S0100 arc: W1_H02W0201 V02S0201 arc: W1_H02W0501 N1_V01S0100 arc: W1_H02W0601 N1_V01S0000 .tile R45C37:PLC2 word: SLICEA.K0.INIT 0000000000000000 word: SLICEA.K1.INIT 1111111111111111 enum: SLICEA.MODE LOGIC enum: SLICEA.GSR DISABLED enum: SLICEA.REG0.SD 0 enum: SLICEA.REG1.SD 0 enum: SLICEA.REG0.REGSET RESET enum: SLICEA.REG1.REGSET RESET enum: SLICEA.REG0.LSRMODE LSR enum: SLICEA.REG1.LSRMODE LSR enum: SLICEA.CEMUX 1 enum: SLICEA.CCU2.INJECT1_0 _NONE_ enum: SLICEA.CCU2.INJECT1_1 _NONE_ enum: SLICEA.A0MUX 1 enum: SLICEA.B0MUX 1 enum: SLICEA.C0MUX 1 enum: SLICEA.D0MUX 1 enum: SLICEA.A1MUX 1 enum: SLICEA.B1MUX 1 enum: SLICEA.C1MUX 1 enum: SLICEA.D1MUX 1 .tile TAP_R2C60:TAP_DRIVE arc: L_HPBX0000 G_VPTX0000 .tile TAP_R3C60:TAP_DRIVE arc: L_HPBX0000 G_VPTX0000