# Sublime VHDL VHDL Package for Sublime Text 2/3 based on [VHDL.tmBundle](http://svn.textmate.org/trunk/Review/Bundles/VHDL.tmbundle/) for TextMate