{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n", "=============================================================================\n", "Manifold learning on handwritten digits: PCA, MDS, Isomap, Locally Linear Embedding, ...\n", "=============================================================================\n", "\n", "An illustration of various embeddings on the digits dataset.\n", "\n", "The RandomTreesEmbedding, from the :mod:`sklearn.ensemble` module, is not\n", "technically a manifold embedding method, as it learn a high-dimensional\n", "representation on which we apply a dimensionality reduction method.\n", "However, it is often useful to cast a dataset into a representation in\n", "which the classes are linearly-separable.\n", "\n", "t-SNE will be initialized with the embedding that is generated by PCA in\n", "this example, which is not the default setting. It ensures global stability\n", "of the embedding, i.e., the embedding does not depend on random\n", "initialization.\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Automatically created module for IPython interactive environment\n", "Computing random projection\n", "Computing PCA projection\n", "Computing Isomap embedding\n", "Done.\n", "Computing LLE embedding\n", "Done. Reconstruction error: 1.63544e-06\n", "Computing modified LLE embedding\n", "Done. Reconstruction error: 0.360708\n", "Computing LTSA embedding\n", "Done. Reconstruction error: 0.212804\n", "Computing Spectral embedding\n", "Computing Hessian LLE embedding\n", "Done. Reconstruction error: 0.212798\n", "Computing t-SNE embedding\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAT8AAAD8CAYAAAABraMFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAD+CAYAAADvTWTIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nDMMkAB6MGYZhEgAPxgzDMAngL1p9WFvLTzdGAAAAAElFTkSuQmCC\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWsAAAD+CAYAAAD8miQ8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsnXtcVGX6wL8vdwSZATXzOmDazVYQu+5uCna/grW11W4C\n1nbdRNxqaysRrG0rS2y3rN1NQNvuilq/tiwT7J430GqtTMD7FWYQEYSZ9/fHOWc8DHNlBhSZ7+fD\nR2fOe97znjPnPOd5n/e5CCklQYIECRLk+CbkWA8gSJAgQYJ4JiisgwQJEqQHEBTWQYIECdIDCArr\nIEGCBOkBBIV1kCBBgvQAgsI6SJAgQXoAARfWQoj3hRC3+tnHr4UQ/wvQeFYKIaYEoq8gXUsg7p3u\n7NeL4z4uhNgnhNjpZft8IcTCLhpLlhDiU93ng0KIRC/39bqtF31dJoRY7GZ7wJ79noAQ4mshxBne\ntPUorIUQNUKIJiFEgxBilxCiWAjRx1V7KeWVUkq/bjgp5WdSSq9OwF+EEKcKId5SH6p6IUSlECJP\nCCG64/jHGvX3LDzW44DA3DuqwFsQ6H47MY5hwHTgdCnlYCfbJwghtjnZtSsDH+x9Syn7SilrvNpJ\n1zYA98vjwJPaByGETQgxQnes7nz244UQZUKIRiFEtRDiZg/t81QZaBZC/FsIEa7bdq8QYrUQolkI\nMd+HYTwDzPKmoTeatQSuklLGAanA2cCjzhr2NAEnhDgF+AqoBc6SUsYDN6CcZ99jObYTDSFE6LEe\nQzdjAvZLKQ+42C7oWsF83CGEOBuIk1Ku1n19LK/Bi0AzMAD4PTDPlZYrhLgMeBBIR/ltTwEKdE12\noAjdV3wcw7tAuhDiJI8tpZRu/4BqYKLu89PAMvX/K1HelJ8Bh4AR6ndT1O1ZwKcob4864Gfgcl1f\n8cB89UQPAIvV7ycA2xzG8BDwndruFSBC3WZUT3ivuu1dYIhuX/t4nJzbQuBdD+d/LfCtOv5PUDQl\n/bjuB6qAg8C/gJOA94EGYDlgUNuaABvwB/V8dwB/0vUVARSp328H5gDh+uuBoqntUdtkO+w7G+Wl\nswvlJoz0tK86liMoN2wDsFT9/s/qGBqA/wHpLq5NMTBPPc8G9VoP1223AfcAPwI/q9/9EvgGqAe+\nBi5w9VsBU4Dv1d/1vw59j1aPe0A954eAy4AW9e8gsN6xXxQh+ShQA+wGSlAEiP43mqxey73AX9zc\nG3HAArVdNfCI+v1FQBPQpl6X+Q779dFtP6i2ORnIB94EStXvNgKpuv0GAe+ox/sZuM/N2BKAZYAF\nRSEpBFY5/DYjdG3fVdt+jSJ0PnVsG4D75THgn7rPFWrfjeq+N+D82ffqGVPbnw98jnJ/rQcmuBhL\nH/U+OUX3XSnwVxft/wM8rvucDuxy0m6Wk9+7n3p961Hu1wqH7cuBWz3KYl+ENTAMRXDN1D0ENcDp\nKFp6GB2FdQvKQyeAu4Adur7/D3gd5aYPBS7UCZitDmPYAAxGEc6fAYW6G20SEAnEoNzsZV4K611A\nlptzP1W9kSaq43sA+AkI043rC6A/yoO0B1gDjEERoCuAxxwEwX+AKOAslIdOu7aFal/91L/PgQLd\n9WhFeZhDgStQXo7ai2AOsAQwqNdgKfCEl/sWa9dSd85bgYHq5+FAkhthbQF+BYSjvGwcH/IP1XFF\noryc64Bb1PvlJvVzvBOhmoEi5E9V2/4F+FzdFgvsBKap1zkGOEfdlg8scBinvt8par8mlAd2kdZe\n9xu9rPY7BkUwnebi/BcAZWo/JuAHIMfZPexk3w7b1bE3obx0BPBX4Et1m0C5tx5Rf8dEYDNwiYv+\n31D/olBebNtpL6ytHBXWbwCvqb/RGerv76qtP/fLW+gUFN09kuTquuDbMzYE2A9cpn6+SP3cz8lY\nUoBGh++mo76AnLSvBG7QfU5Qr0u8QztnwvqvKApUiPrb/cph+1xgtkdZ7LGBcrEaUB6qauDvHNXa\nVqIKbhcPRhbwo25btPrjnISiSbShajXubmT1uH/Qfb4C+MnFeFOAA87G46TtEeBSN+f+KPCG7rNQ\nb/rxunHdrNv+DvCC7vMfOTpb0ATBKN32p4B/qf/frN1k6udLgS2663EICNFt3wOcq/6/0eGGv8CH\nfR0fvlNQNM6LUF9Kbq5PMfCa7nOM+psO0T2IE3Tbfw985dDHF8BkJ/fO+6iCT/0cop7HMBQhv9bF\nmDwJ64+Bu3TbTlXvgxD1N7ICg3TbvwZudHKcEBRF5DTdd3cAnzi7hz3d47qxL9d9PgM4pP7/PKDG\nof1DwCsuxnbE4V57Aieata7tSN22Wc7aBuB+WQ7c4fCdvW9n1wXfnrEHgVKH/j/AidYK/BrY6fDd\n7drv56T9ZnSyAkUxtaGb7emunaOwLkB5qZ/iou/HgX+7u3ZSSq+9QTKklAlSyiQp5X1SyhbdNmeL\nJHp2a/+RUh5W/xuL8tDVSSkbvBzDdt3/a1G0bIQQ0UKIl9WFUDPK1Mropf38AMrb2hWD1WNp45co\n5ztE12aP7v+HnXyO1X2Wrs5D/Xeri22gvIBsus9NQKwQYgCKZrdWCFEnhKhDMRn087QvTpBS/oyi\nsc4E9gghXhNCuLtG9t9fSnkI5aWuH7f+fNtdT5Va2l9PDRMwV3dOB1Cu3xCUe+dnN2Nyh+MYalEe\nvIG67/S/oatr1V/dz/E3c3YuvrBb9/8mIEoIEYKisQ7RrocQoh54GEXxcWQAigbneK85w1lbT8+0\nHR/vl3o6txbk7TNmAm50uEa/wvkz3ogyo9djQDG1OMOxvQHlfnTVXs/TKPfrciHEZiHEnx229wXM\nnjrxVli7E3zSyz4c2QYkCCEcL5grhun+b0KZBoNizxqFMg02AuPV770R1h8D17vZvlM9luM4tjtp\n6w2C9ucxnKPn4Xgs/Tm6Yz/KQz1afaEmSCmNUkqDl2Pq8PtJKd+QUl6oG8/f3OxvPx8hRCzK9HCH\ni/53okzf9Qx3aK+xDbhTd07xUspYKeVX6rZTvD0fB5xd51baCwBv2K/u59iXs3Nxhq/PzTaU2ZL+\nehiklNc4absPZYbjeK85Q2s7VPfdMBdtwb/7ZQPKTKar2IYyq9Jfo75SyqedtP0RCFOdDDSSUdbF\nnPGdul0jBdgjpaz3NCgp5SEp5f1SylNQ1sCmCyHSdU3OQLHJu+WYBcVIKXejaIAvCiGMQogwIcSF\nbna5VwgxRAiRgGK/fEP9Phbl7dqgbpvpwzDygV8KIZ4SQgwEEEKMFEIsVF8ibwFXCSHS1fHdj2LD\n/NKXc3XgMXU2MBrI0Z3H68CjQoj+Qoj+KIsxHt3NVG3/X0CRqmWjXqdLvRzPHpTpMOq+p6rnG4Ey\nPT6MMt1zxZVCiF+q7Weh2FhdvWTeB0YJIW4SQoQKIX6LcqO+66TtS8BfhBBnAjx+0W3j7//VTdbF\nN8+yDoyN/z/gZCHEVCFEhBAiVghxru58Et3MrF4H8oQQierL5QkUU5d2jl55NKnt3wKeUI9vAvLw\n4jfTjbOfF8qKNp5vgINCiAeFEFHq9Rutelg4G9tiYKZ6r52JYpJ0dR76tqejLLC6G3dn75f3gTSH\n73br+/OTV4FrhBCXCiFC1Os0QQjRwXVSStmEct6FQog+QohfA9fg+vdbANwmhDhDCBGPYiIt1jaq\nv0cUyiwlTAgRqXlACSGu0r0UDqK8HG3qtkhgHPCRp5Pz1nXPl22eNAb99ltRBr4J5SbIdbPfayg2\nr80oi3xPqN8XoZgB9qPYP9/3djxSyi0o9t0k4Dt12vQ2sBo4KKX8EcXO+g8UDeQq4BopZZuLvr3R\nlirUc/gIeFpKuUL9/nGUhZMNKG/ZNbpzdDp83f8fUvv8SjUFLce9BqPf9xVgtDptXIyyaPM3lPPd\niTJNfthNX6+hvCAPAGNRrpez4yClrAOuRpkN7Vf/vcqZdiKlXKKO4w0hhPnZL95avm7XjxLgxavz\nDgGXoGgpu1G0pDR117dRBNwBIcQaJ+OYj/JArkKZmjYBU12N2clnPVPV/beo/b0qpSx2015/fj+g\nvDi2qNf+ZFdN1fY2lGuXgmLH3YvyknYl7O9DmV7vQjlnR99f6dDWqLYtRflNW1y07fT9IqVcD5iF\nEOfovp4JLFD7+42z3Tx81ve/HWVh+i/qeGpR7jFXcu5eFNmxF0XQ3yWl/B8ofvJCiS0Zqvb9IYo5\nYyXK9f+Z9orhoyj3wp+B36n/f0TdNgr4WAhxEMVx4AUpZYW67Vpgpaq8ukWoBu7jGiFENXCblPKT\nYz2WzqJqXltQ3PHcaapdRnR09O7m5uaBnlu6Jyoqas/hw4dPFkIUo7hZzQjA8BBCVKAsuL6q/37x\nzbMmobgmfoWyuBh+3euPHZNr2BsQQvwNxbsjpwv6vgS4W0p5XaD77okIIb5EkW3fe2ob1g3jCXKU\nYxo01NzcPDAQL2fNZBRIhBIVOwJFa7Gz+OZZYSia24MommWQACOEOA0lbmGjak66DcXFMeBIKT/C\niyl/b0FKeYG3bXuKsD7+1X/v6PbzWHzzrFQUs05XEJDzUW3tm+Oj+n7678wHVi2+eRYc1Z7vQDGZ\nLEGZMoJy3x4JxLGDAIq55HXVi2MP8IyU0tk6QpBjSI8Q1lLKQC1AHDOklLUoiw/dzXMo9sfIQHcs\npQyI9rXopsJhKAvFV6EsUEUCrarQfh4lKq1Vt8t+XNtqHV9QQZOJB6SUa1DsqkGOY3qEsA7SOVRb\n7zAUh/ybjvFw3PEcykJzBMpYb7nnv3PZYzkAig+vI315Y4ZXWn1UVNTOwzzmavEOCAr3ID2DTgtr\nIcSJYpo4IQkRIRRd8Ude2/Ax4wafOmJCYorb9lJKKisrSU1Npbq6msTERLftA/X7nzvkDLLGXkZc\nZB8iQyP4fm/NLWcNTGKP5QCBsq9rY02KH8RTl9wJwG/fKkCqVpyCiTmMShhCWEgYv32rwCrfCMh6\naZAgLpFS+rx+5Zdm3RM8SY4X6qt3sfIRJSHXpFcfQYR07Vrjz8vXsO3zjXzx7hzWvvQuWz/b6HGf\nvLw8JkyYgMnkGAfUkUD89jarjY8fmEf/003sXPsDRxqaGJt0Om3NgTVHa2NdVbiA+p93YG21YrVa\nESGCHas38e2rH5MwagjbvvjO/n2QIF2F6xAA9wQrxXQTGxd+RGh495msD+2uo+6nHZT9/gm2frYB\nd2uBUkqWLFnCqlWrKC4uZsmSJaSnp7tsHyiqV6wjom8fQiPDOdLQBEDb4a5ZN9yxehOHDzQw+JzT\n7d/ZrDa+e30FZ91yESKst2VwDdLTCNqsuwG9oNj2hato1sAy6urzGXbhLwDYtGgVu9b95LSdZv6Y\nMmUKM2bMIDExkby8POrrPUbR+o32Qqn70SF6P8ATNr1Q3rX+6HXQXhbR/ePYWqFE+9rarIRGBB+L\nIMcfvV6zTkxMRAjh1587++6x0t6iE+KITxpEfNIgLrj/t6Q/cZvLtiUlJZjNZiZMmEBRURFLly4l\nMzMzIOOor97F4lseZ/EtjyNt7aXwqKvPJ/2J20j/6+0MGqcEXJ5zbyZn3+v+2FJKcnNzvR6jJpQH\nn3MaqGOQNpv9ZVH+6NHgvv+781lfTi9IkG6j16sQtbW1fttfNRuUM7u0XlDsWvsjoAgKEdK90+6N\nCz3HIUycONH+/6wsp6kkOnXc0PBQrK3WDtuiE+KITlA88C64/7f271cVLujQVkNKSU1NDaWlpUyb\n5sxRpCN6k5DGe3fM5pLZdxOV0JfN738NAprrDnLhY+7SYgQJcuzo9Zp1IHFml3ZmO37vjtldPha9\nRrvj6/9x+IDzTLRCCIqKirDZbFitVsaMGcOECRM8eoN4gzM7sbf7uCMvLw+A3Nxc1q9fT0FBgdv2\ndg3+idsZlHoqIBj/2GQiDbHUfLKO5KzLOOkXI0AIjIluvfyCBDlm9HrNOlC4sks7sx2P7wbtza7R\nHmnjuzc/4axbLlLCS5wghEBKicViYcOGDeTm5nZ6xVrDlZ3YHQc27+Dr5952uV1KSUlJCcuWLWPF\nihUYjUYmTZpEUlIS+fn5LvdzpcF/+/oKsopnsnvOH482fv0xr8aqx2QyUVNT4/N+QYL4QlBYBwhX\ngsmVoOgMiYmJ1Na6yiHvgTlH+3AmWIQQxMfHB8wdszPmnzX/WOKxjGxpaal9jJMmTaK2tpY5c+Z0\naoxbP9vIbvP+gJnBggTpSoJmEBdIKSkuLiYtLc2r9s4WsAKNZl/356/Twt5HfDX/7Fi9iZaGQx49\nQZKTlfzvEydOZOnSpWRkZHRqMXTH6k0oBViCBOkZBDVrJ2iLWNOnT2f+fMc0wM6p+3E7Zb9rv4B1\n7XzH6j0nDp3WJosfAtqbDmxWGxsWLKftcIubHY/a11NSUliyZAnLli0jLS3N57FoJpoxt14CL3Tq\nLDrQ3UFPQXofQdXCBTk5OXb3sOrqakpKSty2NyadTIhucbE77NLHkkBo+Jo7X/WKdbQeaj56/dwI\nXyEE2dnZGI1GAFJS3IfRO6OdK1+A6O6gpyC9j6CwdkBKSXl5OVVVVeTn52M2m7nuuus8LiA1Ww5h\nU93TMhc+gjHJXY3ZIHr2VG2m7XCL/fp5soUIIey2a2/NVHqcufI5Q0pJfn4+RqMRs9l9PVNfvV6C\nBPGVoLB2Qk1NDWazmZqaGpYsWUJ9fT25ue4qjkF4dKRXU193QSL+IKVk/fr1GI1GioqK3Lb1Nwgo\nMjJw2VZtVhsN2/dx1i0XcXKqlqWza00Ielc+V0gpMZvNlJaW2t0Y3QnsYMh6kK4maLN2gqZFjxgx\nAiEEr7zyin3a7QrrkTai+xlo2mdG2mzUV+9xasN0FyTiLzk5ORiNRiZMmOC23fHk/VDzyTqijLGM\nuup8GrbtAyHIXPBwp1zovEXvoeOOvLw8zGYzixcvJikpibS0NMrKypy2PdZBT0FOfIKatROmTZvG\n4sWLmTFjBlJKMjMzPQqopn1mmvYpmtf/3fmsUxtmZ4JEvEHzP66pqWHlypVUVVW5tbF3hWavjSMr\nK8ungJrGXQcUk8TvnmDrpxtASt79wzMBHZeGt7MaKSVFRUWUlpaSn59PYmIiZrPZ7oniDEevl66a\nQQXpvQSFtQNCCIxGI5mZmWRmZpKRkeFRqwZIf3yKXVs7bdKF3ZbhTe+5UlZWhslkIi8vz62NvSsW\nwjSzwYIFC7y3I0tJTXkl6U/cxh8/+gfXvzGD69+YQWbJw0RGRvptrhFCtEv36ssioHb9SktLSU1N\nBdwvZjpGRwYXHIMEmk5XNxdCyBMhn7UWvedvH4tuLlQ+SLj3g+fZbd7vV5/OouKcjVVKSUFBAeXl\n5axcuZLy8nImTpxIXV0d8fHxTsf6zd8XK1GWUoIQPruauRpHTk4OpaWlLo/t2MeimwpJf+J2mg5Y\nGHruGQH5HVz14Zi3WjtnV+dSX19PXl4eS5cuxWKxYDKZqK6udjrDcuzD1bGCBAH7/eLzDRHUrAPE\nUc0Ke1RcdwWvVFZWUlNTQ3p6OhdddBFZWVluZwMiLBSkbOdq6A3a1N4RzYNGMxsYjUYqKytZsmSJ\n+w6FwNpmdRtiHgh8ndVo0ZwlJSVs2bIFwGt/7mCO7CBdRVBYBwgtFenIK87r9mPPmTOHrKwsMjIy\niIuLIysry61g0Wzrg8/2zc/Y3dS+tLQUg8FAfn6+/cXhUVgDa19c0tXOHy5TpLpDu37Tp0/HYDB4\nnYWwM8cKEsQbgsI6wIy6+vxuPZ6WT3vmzJlMmDABi8VCUlKS2332f69o7Tu+2eT1cTwtjlZXV9uP\nfcopp2AymbzI2SFpaWgKeLEBRzqb+VAIYc/17W3lnGOVZTHIiU9QWAcYb1zCAo22mJaamoqU0qM3\nRnhMFAhBwsghICVlv3PvseDN1L64uJhrr73WbsYpLi72vDArwdrWRnhslOsmamCKPylbXaVI7Qq6\n81hBehe9XlibTKaAehy4Q0pJdXV1p0KkA0lrUwsgOfDDNq/aO5va6xFCkJSURFlZGdnZ2QDEx8d7\nZeMdfUMass25mUCrDVlYWOjzNdO7zkUZ+7armnPda492WYSpY4WerjxWkN5FrxfWNTU1dm2wbstO\nFt08i0U3z8JmtXm9GOhLLuOSkpJuy3znEilB4nWtwY5T+44IIQgJCaGgoMAr7V7j29dWOK1mrrkC\n5uTkYDKZKC4u9pifRU9XuM4luigBB75FhfozSwjSe+n1wlpPV/rGalrirFmzmD9//jFNVq95gZx+\n/Xj7d9ImXQZyaFP7s+/JDKh9ObpfnNvFxZKSEiwWC3PmzKGmpoYpU6Z4rAoDvgUf+TKzCkSK2u5M\nUxvkxCIorFW6KrpQT05ODuPHj2fChAmMGDGC8vJyn/YfPny43yabQQkDiBt2EgC712+2+/9Km83l\ny0qb2td8sj6glb8PH2hwK/y1XByVlZVMmjQJKSWTJ7u3//rqOqefWbn6qygoZfEts3w6tyBBAk2v\nyA2S2JkKKw65Kfwp3aT5IVssFubPn09hYaH9O1+yxvmjkdmsNj5+YB6jfzuR798uVwJ33tAF7rwx\no/0OXZibQ0OEhiCtzu3VQghmzpyJyWSisLCQ2tpaMjIyPJoQAl2gWHuJn5x6KrzWqS6CBAkIvUJY\nB7KCuSfqq3c53TctLY3JkyczceJEu9DtTHrPzqIXYls//9avclaBSuQ05Nwz2P7ldy63CyHIyckB\n4LbbbvOqNqSrSuadKQTRmTqSQYJ0Fb1CWHcnGxd+5PR7zWdXSklqaiqZmZkes+M5o7MVSdoJMT/t\nzpqd1xmRkZG0tLiv+KJxXu717T7r+9TPZCoqKjAYDF693EZdfT7XPHI7W7c7eLqoFWq8xWQyseKf\n73TQ0l0hpWTs2LEYjUafzVtBgnhDUFgHEG3K7Aq9MNI8C3ylsylW9VXWvyl6x+fj6tGEqBCC9+6Y\nrQS2qFz/xgy/ZzFa39q/paWlXu8XnRDH1u3bAjKT8qVIQUlJCVVVVZ0u3hskiCd6RSIndwl+9C5i\n7sKjPSV80tuEh55/ZpckJfKUIKi+ehcr//JvrQOXmvfhugb69DMEZIzL//QijbsOIG2S+JFDmPj4\nbQET1r72o+0TqORcTQcsNFsOAbBp0Sp++cBNTvuVUtoTV1VXVwNQVVXlciYQiPF1B51a63GCP+s9\nJyLBRE5+UFpa6negSlfU9dPjjZfDxoUfeZVnw12UpVZxJlHN4ewJregCQP3mHZ4P3oNwDHBxhqZV\nWywWMjIyKCgoICkpyW5rd0VjSQm7zz2fXWPH0TD72a4Yvt8EXRWPL3q1GUTTqktKSpg2bZr97e9t\n0ILefnzKZed4NWXuLJ68HHas3sTBnfsJjQzH2tzq17FycnK8vgZaUiiA0Mhwv47b1WgBTJMmTQIU\nl8BAoPWzYMEC+3euKspoWB6dQdyjjxA68CTq78sl/KzRRF9+eUDGE+TEpNdr1lpEYVZWFkVFRZ2O\nkht1lee6fv7gLkGQzWrj29c+RoQI+g7qp+wgpc8VSrQKKVVVVeTm5no1ddUXXbjw0Vs99p+VldWt\nXjCOzJ07135+gWLatGnMmDGD8eOVIKPc3FzP5ygEMTf9lj6TJiGio2n+cHnAxhPkxKTXCmstonD6\n9OlkZGQA8Pzzz3utUToG0einzAEbo5MoQmcJgqpXrEPaJH36G2nSLXD6kppTSkllZSUFBQX265Ga\nmupRYK98bD6H6xtAwGd/fdVt/+Xl5Sxbtsyj1qnRFTlatHWJtLQ0+5j8QQsfz8/Pt5uN5syZ49Xi\nsYiJUf6NjcW6379iFUFOfHq1GcRoNBIXF0dpaSmVlZVIKe2Cyh3O/G/Lfve4fVHP0bXNF3c2PSGh\n7d+l2kKNo/300O46mvaaadrb3sb8f3c+y7XF3vsX19TUYLFYAMWOrwkzLTmTU7T3iVBfHm5c5PLy\n8uzXt6amxuOLsXXvfsIG9GPnqNOIvvoq4uc8Z9+2bMrTtB1WrumwC3/Btk83ghBOiyPA0ZezNosy\nGo2MHTuW2tpa6uvr3Y7DE9pvbbFYMBgMWCwWr0rB2Q42EpoQj2xsJLR/f7/G0J1o5iTNLl9SUhLM\nd9IN9FrNWgtUqa+vZ+3atdTU1HissKLRIQudQ9UVx+RQLS0tXbpQM+rq87nggd8SaYwhdsjRh/5C\nH1JzCiHIzMxk/vz51NfXs3TpUpKTkz0uvIZGhNlfUq6yy2mCsqamhhkzZjBixAiKioo8LmA2vfkm\nTYsWI5ubqfzOYs9ZslFN/hSiLrRqnhWe8rro6yomJCRQVVXF4sWL3e7jLUIIampqMJvNXt1D0P78\noi69JCDj6C4KCgqoqKigoqKCuXPnHuvh9Ap6rbCGo9Ps+Ph4LBaLxworGu3sx58qWehc2YddBckE\nkuiEOA78sI0W8yEad+xX/KmFwDD8JJ/6EUKQnZ1NWVkZBoOB7OxstxW9Aa9zqZSWlpKYmEhFRQVm\ns5m5c+d6TMp0qLQUy+NPUJcygYZBIwFoO9LG5ve/wpg4EFub4mu+/bNvAbC2ufY9F0LYbctxcYqN\nPT8//5jZzw2zCjhUXILl8SfoO/U+oq+44piMw1c0c5nm+z5jxgxKSkoCtlgbxDW9WljD0VzMUkqv\nq4Fo9uO0wimKB4SAgcmndGjnKUgmkGz9bKP9/9s+24g/FUosFovdHOLp5eVtncHq6mqqqqqYMmUK\nAFlZWUydOtXtPl+OvJovz8jkp9hRDFJLkK17eRmh4WGcN+16ohL6tmvv7DdoN1YhyM/PJz4+nqys\nLPLz8wMWOg+uU6g6S6naNyeHQau/ZnDlOgwPPtCj0qdqgnn+/Pnk5+djMpm8KuEWxD96tc26s0Qn\nxBGdEMfPy9dgMA1kQn4Wa196FzjqTqe3a/N8145nx+pNCBHCwORT2FP1MyePPZXd63/qVIUS/cvL\nG7ZWVAFga7O6zci3ZMkSlixZQklJCUlJSRQXF3vsO3ZwPxp3HgBx9KXQuOsAbc1H+DD3BceBExET\n7bY/KSUWi4Xa2lrMZnNABTV0bw6aY4lmTkpKSrKbf4J0Pb1es/YHd+503gbJSClJS0vrtFeC9lIY\nc+slRBrly1anAAAgAElEQVRjQQgu+NONLiuUuKpQ7i/v3elai9c0Rn0iJr2W6YojB5uI6BsNCHuF\nmpQpV3L2vZmk3n0t6KMzpbTPKNyNIz4+3m5D9xVPua97C9o6Rnl5Oenp6Vgslh4xI+jpBDVrP9Dn\n29i0aBW71h3VZr3JK6HPKdGZQJxJrz7ic0rQQBdYiEroS3PdQcY/6l6L1wSaL7bNhJFD2a1622z7\nbCMIOOu8sew2Hxs3N70G2VhSQuOLL2FrakKazcQ98hfi7rn7mIyrOxFCkJGRQVxcnH3NwWAwdCop\nWRDf6PW5Qbqqj8N1Dfa8EgkjBrvMKREaGsqiRYvIzMykoqICcJ06VQhBRUEp9T/vwNpqZdKrj7Dx\n1Y/Y/ME36DXKsKgIpylB9blFzr3vuoBck9XzlrJ11QZ7HpJA5b0QQnCysT/vPPS8YtpJGcnuys1c\n//pjHvvv7t9bE9yDVn/d7fdZV+JqLFpKgpycHOLj45kzZw4pKSkuZxfH0zkdDwRzg7ihO4viamhB\nMobhA51u13yY4+LiSElJsVdD8TQ9d6xmM+rq8zn7ngxAKPJa4tRW7Zhb5GRj/8BcE9U84UsAjrfs\nNu9nT9VmQLJ/Uy3XvfZowI8RCGKzszn5m69cbpdSKZRsNBoxGAx2N8meihCC1NRUqqqqKC8vZ+zY\nsb3KDHSs6BXC2l3pJmublQ/z/sH2r75n9bylLovldnYRpXrFOpfbEhMTkVIyYsQIUlNTMZvNHoNy\nHBM5RSfEKeW2wkMVf+f/OLdVO/qGv3BFLtbWNqSUNB2wULdlJ3VbdvLFM2+w6OZZ1G/Z6fKaVZV+\nyKKbZ/HcL2/rYKsPNCePbR+t2VPRkj2lp6ezbt06r6M4/cVbD5XebIPvKfR6m3Wgy0A5cmh3ndPv\ntUW39evXU1paSmFhoVd+v47j3Ln2J7u2ve0L11VX3FVQ0bxbAJfZ5fS4s9UHmgv+dKPXBRY03BVH\n8KUPb9CvIbhj6dKl5Obm2vNdd5cw9MdDJZBj9LavYDpV1/R6YR3IMlDOGHX1+S63aW5yoCzSeOP3\nqx/nu394huj4vl6VnQqkgHUn3AcPODkgD7nJZFIEjQ8vTm0GZDabyc/PZ+bMmS7bBsqO6qkYhOZ5\nUlVVRXFxMXl5edTW1pKfn+93Wt6ehLfXOqjRu6ZXmEHc4S5BUiBwlztao6qqiuzsbK9uVP04T7n0\nnPZh77i2HTvmZnbl2ucv/7lLeZk4M5/YbDbq6uqYOnUqBoOBjIwMl6YWTbvy1bySl5eHxWLpdGSi\nL3mmHZN5uWLp0qXExcWRnp7O3LlzWbJkicfozSBBOtDZPBXKrkG8IVDXyrGfqtIP5aKbZ8lFNxfa\n/5bm/C0gx+oM27/5n/xg6t9dnq/NZpMZGRkSkDNmzJAmk0kWFxe77A+Q9Vt2dvjOVd+5ubnqEivS\nZrO5HSsgbdb2bVqqquT2IcNkw7yX5KHFi+X2IcNk03//63R/a5tVfpj3D7n9q+/l6nlL5aKbZzkd\nm81mk/Pnz5eAnDx5snz22WclIBcvXuxyXL5ysLhY7jrnPLkzJVVanpntd38aJpPJfj39+TOZTF4f\nszfIFfUcfZe5ndlJnuDCOtA3aSCFtbu/k439Owi3rsCZcNALL1dCa8uWLRKQWVlZ0mq1yqlTp8rc\n3FyXx3HWj6u+161bJw0Gg/1aeMKZsLY8M1tuHzpcWuvrpZRS7hh5qqyblud0/80frpYrZ8yXNqtV\nrn5hiUthrR/fihUrpMFgkPn5+S5fJr7eK55eMO7GtGXLFjl8+HBpMBhkWVmZ2+N4Gpen4xgMBpmc\nnOzhbILC2t1frzeDOON4Lmfk7ni7zfu7xLSh58iGDVgenUHMlBwMMx7lYNFcDn/wgVcRm1o2Oi1h\nltFo9DpDnSc084dGUlKST4UkAFo+/xxsNnanTaRh9rNu80w7i151h9ls5vrrryc/Pz+gOUmal3/U\n6UIGBQUFbN26FYvFQk5Ojldl3DrDpEmTsFgsVFVVkZeX1yXH6A30+gXG3opjJKS3Hhd64RBiNGJ+\n8CGaP1zOobGXe4zY1KIX9SHngSIrK8tu6966dSsmk8nji8AxX7idnduhar066HXw6kKnzYYPHUbl\nqq/tC7auEEKQnp7ud95sjQN33U3z+/9VUvOqebB9KWQg5dHMeZMnK+szCxYsoLKyMqBZCKVucXXq\n1KkkJydz++23k5GRcUyrBfVUgpq1AztWbzrWQ/ALLfeHlvvZFZ0NO7epgsBROOgXal2hpVs1m82Y\nzWYqKyu9KvbgCS2168qVK+1pXVeuXOmxb39nTlu3b6Plt5NI/M/TXJzqPolUoDi0aBHN775HZHo6\nMbf+HtvevSAltoONyjl5WchAW8AtKSmhuLi4y13msrOzyczMZPjw4cF0qp0kKKx1aFF+gSYQEZTe\nRlG6E8JHBfksmvZbvM5FrSdkwACADsLBU1kzLYnS+PHjKSoqIi8vD6PRGDD3Ne0aZWVlYTabsVgs\n3eIGpjcHdQdN/3kdAGPhTMJOHaV8KSX199zTqUIGpaWldp//rjCDaH1q1XO0jIdBfCcorHVodldP\nSCnJzMz0OnObuwhKZb3BtavbunVKBKS3BWzduZLZBbnsGAnpDGdaetTFFwHOq5xs/Wwji2+e5bbP\nsrIyTCYTlZWV7bLwBQIhBCNGjKCmpiZgtnCPSBsNTz7VPccCbPVKkNWeazKwPPKY8mVEBC2ffob5\nsRleFzKYMGECBoOBuXPnUl5e7lOFG1/QXsYzZ860FyzQcuAE8Y3jXlj7Ey6r/SV6mdFOWzRyh5SS\ngoICli1b5rGKijcc2bDB5XG0fCFZWVnMmTPHK2HtSghrPsF9hyqa8eCzPftmO9PSI5KTXVY5qSz+\nr9uxCSFISEiw17wcO3asx/M53ml4/K/ETMlB9O3ruXEgiIwEICwxEdQXXYjRgOjTh+jLLiXugfs9\ndqHNciZMmEBlZSUTJ06016bsDK7uYSEEycnJDB8+nIqKCnvhiRkzZnTqOL2d415YB8Izw1uvDM3u\n6gopleRLRUVFSCnbeR90lublrst+zZ07l9raWjIyMigqKmLs2LEep5DOAmT0SZysLa0ALLn1r27z\nergL+NASFw1av9YuHDa+tgJrcyt9+hu8Ou/jFSmVjHIpKSlkZmZSVFTkfgd1sZW2Nob16dPlpq7Q\nQYMBsO3cAeqsLCQ+oVMV0ufMmcO1115LcnIyixcv7vQsx909LIRg5cqVjBkzxh6lG1xc7BxBbxAd\n+jBqVxQUFPDcc89x222uhbov2Nw8YDNmzKC+vp6cnBwsFotXmryzsHm9W932L7/j4M4DTCjI5sel\nnzsNO3dWvd0dWl3EkVecy841P3hsf7xTUFBAVVUVVVVVLFu2jMzMTJezMyklTR8uRzY385UpiYhx\nqfRfuKBdOtptX3znk8eNO8IGnkQLYNu9R/0ijNAhg7Fu2+ZThXTNZLR06VK/x+TuHtaOU1VV5fdx\nejvHvWZ9vKB3Q8rOzsZgMFBTU+P3yra2YOeIljekrKzMPm0sLi7GYHCvuToLm9f7BO/45n+A5PMn\n/+My7LxD9Xbcp0BdO28poeFhjL4p3ZO78XGNNnPSki4dOHCA4cOHe9zv4F+fpO/U+5BNTRz56mt2\npaSy95GZXq0L+ErIgAEQEkK/11+DkBAQAnno0DGtkO7qHg4SWIKatQ9oLmehocoDWFJSwrRp0/zq\nU1uwc4Y2LY2Pj8dgMLhN8K6h5f7Q420Sp8TExPYmo8IpR///+mOeTgVKHgIgUrWrdgWByKgHnsc4\nZ84cr01oYaefDmHh0NpK2Fmj2b/nEAO++5yo+c/TGjsSbDZ2jjuHvr+7mbj7/+TXuKMuvoiDRXM5\n7frr2bpvr/JlbbXy75VXOt3H1fUKlLueu3s4SADprB2YbgoLdXccm80mZ8yYIbOysjrdhy/tbTab\ntNls0mq1SpPJZP/s73E9neMnn3wiAb9Dgv0Zh6/9BOLPl5wSGgeLi+XO5LFy++Chct+NN8lD7yyS\n24cOl03vv29vU//nh1yeq9VqVXKS1NdLm80mJ0yYIPPz812e53dnnCO3Dx4qtyeOkNuHDJPD+vTp\n8nM/WFwckN/Klz48tQ3kvXOiQyfDzXu8Zr106VK/tVtv0WsomhbqraeJP8dMT0+3u/j1FJyN9+fl\na9j2+UYG7dlE+PJlCGll78lnsCPpbJelyHwlNjub2Oxse6ktR48VcD9tF0JgMBjsaxNms9ntb3wk\nqi+i1ULooJNp21LNtqamgPxW7mYPsdnZkJPj9zGC9Cx6rLCW8miF6s66HHUWIUSnq5H3Zg7trqN5\nXSUx6xazdcT5tEb04ZRNn9DUtz9jX/at4rqncHlNaDvD07Q9KyuLsrIypJQeq/eEtx7mSBtEWQNf\n1qw78KUogKftgcpjHsQ5PVJYSzUHxPTp01m0aBE5OTkIISguLj7WQwvihlFXn8/AH7+krTKEkMuu\n5MD3OxhZ+yUpow0uE1B1sKM7wwt7umafra/excq/LXPbNjc3l8rKSsrLyykuLnYbLNIaHk14qERE\nRtpd6XoSgZqxBau7dD09zhtE03a0xDjPP/88paWlTJgw4VgP7bhBS6C/87Qz2Hn6mV4l0neHlErB\n1wkTJmA0GklLS+tUyHB0QhyRbc0A7Pp2KwAhfWM5UlnpMuF/oDMgVr1URoi1zeUYNVeziooKKisr\nSU9Pd6sxJl79a2hpoe2HH4j4peuqQFJKVq5cidFoJDMzs8tCrqWU1NfXk5KSQraLmUWQnkmPE9aA\nPfItOTnZbgoJCmsFLYVp1BWXKy5dBw8SnXGtPZWpr+hfjrW1tfa0ph6DRXQ0lpSw88yz2DHMxKHX\n30DabIQLNWCn4SBtP/7UIeVqV7Bj9SYO764j/kBNwPo8/O57RJxzjuJO969/OW2jzQRzcnIwGAws\nXbrUp+vnLVJKioqKSEhIoKqqitLS0i45TpBjQ48U1mVlZVRXV7Ny5Upyc3MxmUxdvtDXlQQy0ZOW\nwlSEhyvhyNHRSIvFc57jXa6rsJeXl1NbW2u/7lrRV284smEDlkceQ1osRGdcy+AptQz5ww6uOn0W\nk07LJyzmYKfzMfvKd6+v4JS4ZoQMnH150Pq1hKsJlZr+MMxlu5KSEsxmM+Xl5axduzYgwSjO0DT2\n5ORkJk+eHCwfdgLR44S1EMLub6z9Py0tLaAJgbozSx54TvTk7V9NTY09mszW0KBcLzUM2WM4ckSs\ny02VlZWYTCZ7Uh5fEvHYQ5FDQogrKATA8pWBnW8MY9ubiTB4rPJy8SEfc2eJ6NuHgab4gPcbMmAA\nSInlC9cBS2lpaTQ0NJCYmMjYsWMxGAxdqvWWlZWRn59vzzETpOfT44Q1tE9eP2nSJJ8rgngikMKz\nu9Hc0kScEjavpTCVjY2E9OtP4TNlTJn6TybfM4/9dQeP7tjvVJd9pqWl2RNqAV4lqddsz/pQ5Nqv\nlVD0uHEHGThpL22X9Sdy4kSlnY/5mKWUZGVlkZiYSGZmplcCqe6nHaxY28T+k0Z5bOsLURdf5HFx\nsbKyEillO6WiK+zWKSkpGAwGewRsSkpK0HPpBKFHCuuegC9VsgOJ5pbWWlkJNhscPkzrTz8p4ciX\nXMzYMSZSxyQC7WciVjeuZ8nJyaxatcoewbl06VKPeUo027Pep7mpdif1nyewd9kAGjdEk2RcTdMX\nL4HNxp4LLuDAbbd7FTYt1bDwBQsWYDQaycjIYNKkSR6F34WXnUL6X29nwEnRnGzsR1RUlF8zJwDT\nwIHU/eFOCAvrcE31aGsqmjmkpqamS4S1dpzy8nJqampYtWpVcD3nBCEorLsAV3UKO4uj4Hf3IohI\nTib2rjs48uVXEBEBUVG0rq8k6qor6XPVlVxzWSonn9TRFc2VFUlLp3nNNdcwc+ZMcnJyyMrK8pj7\nWLM9R118kdK5lAxc8wGHv4vGWhfG3tZfARAXuZfw1BQIj6D5gw+JuvJKr/Ixa5SVlZGVlUVycrLH\nGVZY5WrikwZx4fMPsKt+Py0tLX7Pnrbu3UvMlByiLrnY9bVQzXVxcXHk5OSQkJBAbW0tubm5Xp+n\nN+hTn06bNo1JkyYhpQxYgYcgx5YuE9batNnfv56IP0VMHXEm+C2PPOb2RSAioyAkhEHr1zLk558Q\nffoQEu2+7FRIiPtbYc6cOZSXl1NVVeVV0QDN9hyRnIzh8ULFLLP2XWLHNhFmbGXkn88FIWg9EE7Y\ncBODv92gjLOPMk6r1cYL97uuvqJN7bX7zGg0elxk7ipbeMxNv6Xvza6FNSiCtKysjDFjxmAymZg/\nf36XLYpr8QZmszmgxXmDHFu6LChG84/1l554o7mqU+gMLSxatrYS87tbOiT6cSxQW5/3J2ht7VCw\nNvryyzt1fP0YXKH5HvuyUKW3PdujCQ/8SNvz6fRN2Y1Y9wJHWlNp+t8uIgaa242zsaSEhhdfJqUt\n3ONxhBB2s4gnv2JfUoj6goiJISJlLCLC9b0qhGDixIldnipUK/AQLJ114nHcRzBGRkb6LbC7O4RV\ns9M+/eSb/LC/iUcP1EPfjp4CmtYc9+gjhA48ifr7cgk/azTRl1/eMXIv3sGLQf+56EcocuJOFxGB\nyWTii/BIu6Daubueg42HAdiz14LY9D+a1TGw+mv/TlyHbGrqYHtufPcLGt40IA+GEHvXnQhjH2AO\noWraV9nYCKGh9mty6sqVsN7DcVQ/8K1bt3q0o3dVClHbwUZC+50KYd1TNDdI7+S4t1kHwq7Y3V4Z\n2iJfWt0mMuQuwq1thKRP7NDOnbkkkJF7+kW7hwrfYOVn/wPgqeffY+O8hUernQSQoTu30+fKK9uZ\ntPrm5DDkh/8xdOd2jDMe46wn1Hwg4eH2eo4iKso+nshzz3XZv+aNYjabycnJIS4uzqMd3RtbuBat\nmZaW5rUXhb4eZW8kUCbPrjILnSgc95p1T0SrUxj64kucdPAQK0/9FVde1NGm6au5orPos84tePHu\ndtvMDz3MId0YAoU3JjAhBJFXXsWRL7+iZdWn9J16H7YDB5RtMTEuEy4JIUhLS+Paa6+lX79+jB8/\nnpUrV/o9A9O09JycHCoqKpg4cSLr1q3zuEB3qLgE2dpK36n3wYMP+DUGdzjOtjp7voHKY63Rm02e\n3UpnNTb8zG9rs9nk4sWLpcFgkPX19Z3u53jn7aVfyxcuu01uH3eO3JmSKi3PzLZvs8x+Vm4fOly2\nHaiTUkq5Y+Spsm5anpQycOftqR/L7Gfl9iHD5M5x53R7TmJn7fTXZMeuui4dk+N3NptNPvfccxKQ\n8+fPlytWrJApKSke+9X/pt05Xn/6ppP5wl31dzz1c7xDT8xnXVpaGpCis8cTVquNJ55bSs22fbS1\nWZk0IoZrNn5I6P0PEJc4rJ1dWqv60fTmm4SedJLdXNEY4CAfd4SaTCAl4aPPZHjNloBoN/6sEcjD\nh+1+1x8PDmz185eu+gO//uEL4mMi6Hvr75220RbmNO1dSiUVb2Zmpst+DxbNJfys0YQOHmwvmusv\nXb3OIv3QhB3v8SDdwzGxWUspKSgosNsEj2V6xUDb24TAHnhy/pa1jH3xcQRwaOtOjky8rJ1dWjOX\nHCousSfJDx0yBMujM1yOV0rJW2+9xYgRI7jzzjupr6/36/ytNTWKC9133/PVMBPbTUnUTcvr8FZv\nqaxk+9DhNLw4j0PvLLKPxfHPZrPZs8ulpKT45EFyZMMGGl96magrLofwCC768TO/zs2Rq6s+5IsR\n5xB2/4McLHLuFqj5PhuNRoQQZGVlebRda79p8/KP+DKhP9b6eqSU7Bh5qtNr6favIARZGErNlG0w\nKwz2bAjoNQgE+nvcXSAQKPdIWVmZ/X4Ieqko3Hr3vLBb7573+a13zzt8693zbLfePc9jsc9u1ayl\nutg3adIkamtrmT9/Ptdff71XVbu7ikDb20JCQkjftYF9zz5LeIMZS1Rf4oCIt/7Dqoj+nONgl3ZM\nkt8w+1nXESoqDz30ENXV1dTV1dGvXz/efPNNbrjhBrf7WIqLeeaTrezok4A1JJTnnriV/gl9Fbu5\nEJz85eeI8HB2jR3n1G6uvVg0N0N3aMmDTCaTPZWtN2gLrvGznyHEaGTnqNO82s97BGuGJ3PdVVdj\nLZzZcavqrw1KeHhGRgZms9npC0dvPx6y+UfFI0fDG08dFaf240ufhTPV3zNmoFdn1p2EhIRwzWWp\nvLPsG7fttOc9Ly8Pi8VCVVWVV6kKegkSeBfYDvzGmx26XbMuLS3FbDazbt06kpKSgBNrYUFzx2sa\nPBwJGJsPEiIEIdHRXHhkp8fcFzY3C4xSStauXUt1dTWbN2/mzTffZOLEiaxdu9bjmBoem0nKyIEk\nD4oFKWkuV5IxaW6G3uTmiM3O5uRvvmLQeufHk1JJGlRaWsqcOXMoKytzW2XFEduHT4C0Iub0g1lh\niD6BL7x7JCwCUBZznSGEYMyYMZSUlJCUlERhYaFTL4VA59luR0Uh/Ps8+NS76jlSSnuRBKPReFwl\nbiopKfGq6HBvY+G8u60L5939N+BHj41VulVYCyHIz8+nurqaxMREzGYzBoPrTGWBwGq1uU5e1AVo\n2mGLsR8gQK0gIkJCOPLtt7Q1N/Ps3r7k3Pl3Jt/9YofxtO3YoeT0cEF9fT2pqamMGDECIQTjxo3z\nakwhAq7LvYmhqaMBaFm1CjjqZqh3P/PHH1mb5tbW1iKEIDc3122aTv1vI6JtIEKw3fYtTNuKbGph\neEJCQMxUw9UXUFRbC6D6dLtAq2xuNpt57rnnurcC0ZUvQFY5nHMPrHkZ1v3TbXPtBTllyhQMBgNG\no5EcP+ozBup5kVJSUlLC3LntzU3Hchbd0+l2zVofRt4d9itf7GuBQNOMG2OUrHe26D6IU0Yim5po\n2/wzwiZJOXVQBw0XFA24ZWW5WzNIfX09l1xyiU/X0NFFEMBWVwc4t5v7kpvDkfLyckwmk12jNpvN\nbs1M/T9+j0ffm83DH8zF2hgC0kbTny+l6Zm7kM3NbHr1VSyzn2X70OG0HahDSsn8S7O49a4X2Heg\nwa6hWp6Zzfahw+324vorTsI8/Vbkp39FFoRQVZQFSMbVVlH3nzdd+kRrkYa1tbWYzWby8vK6d+Z3\n9l0w8BdwnloE2gubdWVlJQaDgfLyctatW0d9fb29KIevePu86IOrXJGSkkJ+fj6TJ0+2f9fVEZwn\nMsc8KMZisXSp0Nbsa86SF3lCSqVEUmJiIomJiV5NL0MGDMBmk6xs6gOAzdLAfmsICEHUZZcSEiLs\nGu751Wvhz3+yJ2TStPK4Rx522X98fDxr1661j23NmjXEO0Y3OmDo8zRhCUfspg6AkIQE+//15o24\nB+73eI7u0Oy8mulAm5q74ppvl2O1SaqGjObwjzH0ybyCQ9/HYnl9DX1/cwHRV1zRQfunrQ1HQeL4\nQjq8ayite7ELvbUbv2HZWZdyQfUarHNm81PaNX6dZ5ewax18+Szs3wSrX1Ak50DPmmhNTY19QTQ+\nPp6MjIxOm0K8fV70wVXO0JJX5ebmtnu+e5pm3ZUz81vvnnc6oNkcR95697yT3bU/ZsJay0UtpfQY\neXYsycvLIzk5GaPR6JW2EnXxRYSECKZfNIKYG64jTFrpX79H8fRQz1PExBBT+zPXfPsRrYOH2RMy\nHVmvxFbH3n67y/5HjBjBmjVreOedd7jrrrsAePDBB92OqfXSD2irD2dL6RvUb/gegIbU8zFbmry6\nBt6iPaDaVLykpITrrrvOQ2SaoDk6hujWZoiKhvC+nLxmHYNu3UPcxcpLSK/9/zT7HxwZpySB2rPX\nwr55/2L3uefTtHgxg2/fBn+LhsIQTs5YT9Qgs13oXfSbW7jng39z1ubvOGPLJtIW/iOg565HsyEL\nIXzLtR4RC9+9Bf8+F76cDefcC+Pu8GrX2tpa+0JldzxPC168mwUv3sWCF+9y2UabReuFtadZSiC8\nswIZCdnFM/PvAe0H/gj4q7vGx1yz9gbtrTbcjwouN2Scx8J5dzOgX5xPP2ZKSgplZWVet9cLlpaK\nT+k7LZfB339L3AP3t1vMi9+wGhDYRp9lDzW37t1n3+4MLaHSk08+yZ///GcA1qxZ4/EBiKgrw1Aw\nk1k/SD7dfgiEYM5Xe3l7qfNcIJ60iR2rN7k8lhCC+fPnU19fz/Tp05kxY4bHRcZwaxspu76F5sO0\nfPm5U61S0/6fHXsDnzcqi4QLC/9FyxOziJmSQ+w99wDQ1PhrmhNn0VoXTkzcCp+FXqDQbLU+Jf7v\ndyrc/jXWB8wU2uYx5f3RTL73ZY/anBZhqQnrqqqq48pFLjs7G5PJ5FVe7UAs3AZyQdPbmYYvLxkA\nIYR89aV7xKsv3ROi/b1TMv1Kd8foEeHmqWMS+WbdFrZt3dqtYa3aAllRUZFP+Ycd3fG0rHa2piaQ\nki2lb2BTH6yGc36F2dKEiI1FqOlBm9580+2Y7rrrLrtW7RVrXib2yl+wIOcer5pr2kRCfAzfrNvS\nbpvNauO711e4Hd/EiROZOLFjLhRXxB6ysHdAPwbWHcC6bQeHFz5LdIZzAasPl2+Y/SwHPz2akVAW\n5BEd9hnyf19iHXg24uFVEBLq8rha+bZAIqUkLy/PbpvtjJuau+vvDE0I5uTkMHPmTL9zZTsm+woL\nDcVo6NOpvoQQ5OTk+LXo2RMIhAuwEMKtn2aPENadsTf7g5SSiooKysvL7TmcFy9e3KnpZYfMen+c\nyqwfJBe1RTAMePnzbYwL/ZqrGhsJHzGCPpMy3aYr7ez5tC5fyJFvo9unY2160F4YACHgjnUwcIxd\nm/h0egFpy9+iZfnjNJzRSNy5jVSfuYiIvp17cJ0hUG7w/SHxDLDWIcPCaI66kejLn+vYuDAUhKBx\nYzSNVbHY2mLAZrPbqRsqh2FLGEN8zi8J/eRRxZPi7Ls79qPiKhhLH6HX2molLCyEgQd28MdVJbx/\nxni22OoAACAASURBVEQaomJ54L0XOuyneWboPSA6MyX31o8ZjhYcWLRoEXl5eZjNZrKyssjPz/f5\nuBoPFb6BNuV/6vn3uPD80/jD5PRO9xckMPQIM8ixoLq6moKCAioqKnjuuec6XZS3Q2a9Pn2YG72Z\nm4seJiRE8My4Pvwupt7uMqdN9wOKCOHQJ5s6FDGQoARg5G2DaVthwGj7Lkc2bCDprVf4ImkcYVlX\nc3B9HIfPWsihxkjqftoesKHVJAwF4BTzTgzTphKekOA2mdWRUx7A8kU8MfdMJzI9DYCmJcsAaFwf\nyuFVP7Lrj2/TsLpvp6P/NM127C9MCOCsM4Zyxq6fkBLWDB9D1dDRLvd1fAF0R3SuEILrrrvO7sFS\nUlLicdHZHZo9uvjvdzAyaSBfrvmpW9xePSGltM+GjEaj2xQAgcRxphHotR5v6TJh3d0VwqWU3HDD\nDVxyySV+h2ALIcjOzubnn39m/PjxlJaWUlFR4fWDpy+71bxCMRk4ZtYLtMuc2/M5916afooDZLt0\nrEjpMgBDqUquRPyFhi5HhEmaX/s7o646n3NPjwrY2DYPMCER2M47j7gH7kc2NjJu6TvO74d8G5G/\n+xtDd2wjbtqD9H/lFYbu3E7sjb9BCMHQ2mqGbP6RwVXrMbxnwZTzVqfGpGm2gwbGgxAM6GcgtuUQ\nAC1qUI0zhBBkZmZitVqZOnUq4KPN+jijixfXfEKLhty6dSugeJF1l2OCY1phV2s9XU2XCetAVQj/\n4qv1Hv05NTe2d955h9TUVJ566im/xy+EICkpibKyMurr65k4caJX7lCOZbhaN2wEKZ1GCAbSZc4d\n5guehPAIkO1fGof2nesyAOPg1h2A5Eh4JHvSS6FPH6zbfiK65nVim+oCNrYfTh8BQLi53h6Us/Xg\n4YDcO1v31QWscHFjlBLxGG1tQUjXQUvai0UTJEuXLu3U8Ry1OVno2vbeVfjj9toVOCpLWVlZ3XJc\nvefLghfv8skkJKVk5cqVZGVlkZmZ6dfL+7i3WevtZ86QUvLOO+9gNBq54YYbePLJJznXTdJ6XxBC\nKZHkiyN/0wfLsQF/rmymMWQ3T0ZGwpGWDpn1POFs8SsyMpKWlhZfT4N4oy5XdUQEw2Ji+NIQz6Ef\nziR24C8gYSR88mg7s8HH3+5iooSotiM8tfA7Zh22Ej3QBns2EDJgVECyy50Ub+DUoSN496yLuXbb\nWvsMI5A5oV1V4tFwLKsWk5fXzl6tneOmgSO5+KfPebxxDS2ffc5CD8dNS0tjyZIlJCUlUVNT47Pt\n2tFuXOrWA9d39tcdpH9C34D0FaiFWpPJ5NaTQx8JO2HCBK8Xbx2zBD73+O8Ddu7u0KJg586da39p\nV1VVUV1d3an+jnthrV/9XzjP+WLRli1b7KYPIQS/+c1vePvttz0mN+oK5IEDCASjx47i66qt0Lcv\nYQnD2yWo98bcodci3ln2Dcs+WMfCeXcHzBsm/JQYIsO+obnsX0QNtHRwlbt5zkPsu/pa5g27X9mW\nrRxXrnmZvkDtA9DU7xHE4FTqp+WR8M+X2p3Xkaoq9l19LXF/eZjIsPUc+Me7fHftL5kYVsaChhvZ\n2xTOTd98z4ovv+arEWdz4+tzjz5AgUzgL0S7epUH//Eilhkzka2tRF18EU2vv0HfR/7CsjXbmDCn\niBc/38apk39LdHQ4G77bBkj2HWhgu3EQTffmwSvzFPORh+ubnp7uV7SeY5EICu/tdF9dTSDt8u6E\nvv7ez87O9sGryzfvmkBSWVnZbnblT+rb415Ye4PRaGTt2rW8qbq83XDDDTz99NPdKqw71Iq86yqA\njhpY5Tq3wsgxC5s3Yb2dIcQ0kijTOqi8DwsRfNU8nnFJN9vDqSKSkzE8dC/sf4iG1X0RUeH0PesA\nLTHXI4dfjvmRxyBqGYT91+kLSJ+lr7G1lejfTaflF79ErC5jaNgO3vzFhaw4ezAxOwzE7PZNK5NS\nkpSUhNFopLy83KPt0h5mHxlJ6/r1xD32qN0zB2BX0Quc3dqKTYRwxq6f+NWEs8h75FX1WFC5UdH2\nZm2NYsoFlzJq5TKfxhsQrnwB078fD4gGa4w/yeu2gXTj8xdf/acTHeuYqrhS+lwRyMo6/iyKnhDC\n+je/+Q1PP/00Qgj723fLFvdv0N3nnu8x1acvaLUi/cXxYfRkBuosUpzE3sUD+Oy8K9kz5jzWWZoY\nXfEpXHe1vU3svQ9C4cMYLgzF1tIGNohs+xix4yts5x7myEkTiZ9T5PIYsdnZxF42BmpWwqiruOrH\n95AIwnfb+PeKj+BIKz+PvZB/x8QfFQRNrgNuQBHUS5Ysoba2lq1bt2I2mz0Ka9vBRkIT4pEHD3KB\nuY5tdzv6qOu8W3ZshX5xLvtaCAzr0z3CypWw8RW9sNFmad5yPLnxJScnU1tbi8Fg8CnAxl8C6Yvv\nT7BSjxfWml35wQcf5NJLL+WBBx7gX//6l8f9YqbkEDrwJLjuum4Ype9odrawsFC7nc1XjcAdtqYm\nQpBcNiiMT1JHs+791UomPp2wBpQscMN+Rcvf7yMqfJXitxzVlz4NjyD3eeFiqIVQVxRAeB9qIy9h\nxMcbCb3/Qf6z8kdu/LiMM88N5annUQTBVUM8dpmXlwfA+PHjvZpWausFtLWxrakpEMELfu3vLceD\nsOlgjjmGFBcX29Mq++OaeCzxx4OlxwtrUG7GO+64g7Vr13LppZdiNBp5+eWX3e6j2TGHxcR028Pn\nC77a2bTFjISEBMrKyjxPt2xKOaaoyy9HjUuxZ+ID7AEoWsCMNe5eOLwK2bAbMeExWPEI4Yb25hmn\nCzlqCLXG7j9MZwjfEZp5HX/KG8rOUR9xZ6Igfo77iEzt/PLy8nwqGqsvmBBx3nlQFhgf8eEnnRSw\nRbXu4ngyafiKppT1tDKARqORuLg4GhoaAMU7aNq0aZ3q64QJihFC8M9//tPuxnfjjTe6b6/aMb8e\ndRr7fn8rUkreXvo1t949j9qXXmHXOeexMyUVyzOzO5Rc0v49+NR0e1rOQNO0YAHnPHYPVz37Jy7e\nVOF5B4769JaWlnpsa922DYDIX/3K/p0+Ex8A50+D8Y/C5E+IHqQEqrRu2cuR+XcpboCnjm/XXAgY\n3bqfM/dsBpuNxpfbz3B27q4H9YWw91CbPcze24ruNTU11NTUMHy4UgHJU9VxaO8each/zKvjeMMX\n4ZE0zHuJQ4sXs33IMJr++99OuRd2Z0m748VfuLegJTYrLi5m/PjxjB8/3q50dIYTQrPuDHY7ZofK\nKJKDs59j+J/uc+7upSu51PbEXML7HUF86z5BPCiaYVFREQUFBfaUlq7YMXQ4SEnk+AupPWUc6cVF\nWFd87PEYWpizN1Pn/m+/xb6rr1Uy8R1ScpJomfjs2tbaf0PbYfjsb4RGxmELjSO84b/IulCOxPyK\nyMntE8u3ffst5784i5bbHmbDXjg0fz6Hx55pv3YPFb7BRfVHGAL8vWgx48aP5SoPlXM0tBv/k08+\nYcmSJVx//fWUlpZSVOTaZu5IRCDTczp4mTR/uLydS+DxiCuTRiBs44FchOtqtHUP7XlJSUkhOzvb\nq5e/r2jRpdcFwNzaa4W13e/58GGaP17BrrHjaLkiC2QY4OZBrCiEL2bDadcQ0n8Esk3At64TL+kp\nLy+noaHBY3WcsNNOpW3TD7Ss+pTd517D8NBwwlZ+4rStlJK5c+eyZMkSKioUDdwb/1PNW+Phr5qA\no5n4PnxgJHv37lJb6aeceu3XBnwGjzoP1Bj48iwuvW4GREa1u3YLXrybI1W/ZN/Vn/PMuD6ExtRT\n70NlGs3soNkrvakypC0kx/zuFuLu/5PLdpqWO2KEEqSjVTNyOx5dgFHbvn0UPlPWLb68mnZWVFRE\nYWEhc+bM6fTUGgKWhMiv/bubyspK+/OyatWqbgtd94deK6wPFZcg1Sx4sffew77YBOr/sxxMY9kf\nE4/FKoiH9tN0dbGNn96DTx6lz5iHOGgJp1H+AfC8ELNs2TKvAggikpNp2/QDe+P6Y/65hpawCEJ2\n7XHZPjk5mXq1QsqqVau81hBis7NZkN3+OxEAX257GsiYPh1MHI6FdzsTZp+SksKYMWPYuHGjR28Q\nbSF5/9RpPFfvflHKF83Qnjv7jnXq7GxAt/ry1tT8P3vnHRbVmbbx35mhWpihiA1hsEaNUtQkpigY\nY7pYstl8iRE1MW13A7ibxGwRMMlmzW5UTK+CqRuzCoklahQwJppEKWpiRUFBRRCGXmfe74/hjANM\nAwfEyH1dXLRz3nPOzJznPO/z3s9957J06VLg0uqgVytMSxH+/v5XhCnCb6Zm3Vb0+2kPPedFgkJB\nzwd+T9wPxfwUYAhyH9zwe9au2w20MJBtYbnk5Fxi1PewBiGEUSLSngUSRT9Du9o7Ex/ih0YVro31\nZJ+tMrutJEmEhYURGxtrDNLtUXrrCBQLZ6q8W6s+GuvIM7PwqF1sWMx8wcku4SVZZS47Oxu9Xm9z\ndV3WQlG4uTOqvKDV/+U1jrS0NGOH3OTJk20u/J37dABVni9S/d0RRG0t7rff1imt2XJWHR4e3uxv\nvxU4QlPInkVb0wezRqPp0gYoMq6ozNpRba2tGljM0IA+Ah6X5ZRXHiXgf1+Q+98YGHY3HN1g7Pjr\ndXeTdrWF8xJCEB4ebpxy2cMPdZ04kcqEVfxj2ypcJkygXuiYFvtHuGuT2e3la+lKgvMIwfuhs5jo\n62F9zmGyBkBPq3K+7YJcqlD26skU7TGz2yQmJrJo0aLm+9n4nPWdW0NtxkrKMgd0qAiXOeTm5hIU\nFERWVpaRZdBV4ch6uMwRX/7iQ5dcYmp5r1wJZZwrKrNujzgU0OpvtbW1bR4n73RBmy2X5IWM9PR0\nPvjgA1QqlV0Btfqzzww/6AUNhw/bHQyioqKMN7EtdLTj+7I97/P6kBqe/OvD1je0oPrnKJgT0DKF\nJElER0ezb98+o7GrPcFFMfkv9NAU0f+9hR0qwtUS8kJramqqsfTRETMpIQSxsbFGUaq4uLh2jeMo\n5xdHy5Q6OpN2xIzAzc3Ncq2TKyyzvux4tG1UJ1kys6SkBJVKRVJSEjt37rS5X83XG5B69KDXY/YH\nAkmSCA0Ntdsota0c7nnz5pGXl4dGo7HLV7B/5j7bJ3HXG7DpKajVwt63Dcp/j2facfb2o5WA1soV\nrbaRJImQkBBj0LOL+3x9dCvxK9OAEhw0moL805d8/hqNplUtXc4C5fdanrU5CnKpRa6Jl5WV2aVw\n14xJ5GA4upMyKiqKr75ynGxAW9Y7mjqt25zKdwfrDoapXGZsbCyzZs0iLS3NKmPDL9+g2cuzzzhW\n1MgE9/50HV+W3wXidig6jHj9BoSZtnYhDO4na9asAQwr5/PmzWuXXVVL6EIe46U1x8nVD6ZRJ1g+\nKQufPpaF/dsDUwGt0pAbrG4rB+udO3faVsoz4xNpGlAK8k93WvehbDrgyGzRdAYYGRlpV/a+NuXH\nDmtFb0snpU6n58Xl1s2t8/LymDRpklG7/kpAd7DuBMg33JQpU+wqg1i6yR1aV5v2Kpy+FnYcNRi1\nAr8GxAD/abVpWloaKpWKHTt2kJKSQkxMDJmZ7c+A80oL+Xjzv+lblE2wmwJ1Yzl7a4Kg3NBd6EjJ\nTVPXnT8+9ZbV7cPCwpg0aRLZ2dm2g7WZUpg9CpGOhDzbiYyMtIvG2F7k5uba9X50FesvSYKQMZZn\nR1eqL+QVVbPuCAghiIqK6hBCfJdG+lLI/NAo9ykB1xZ+aHZTrVZLcHAwISEhxMbGUlpaatdDx5JL\neoOukQmBoTzsdoG7eqfhrTTQ+57NmsbcP7zL3owD5uuXZ/YhfvgPIl5CfBZh6Cb97l8IXWPz7Zo6\nTHMXnG7GMrGWnclmE+np6Wi1Wtszhz+fgzsSQLo8t5AkScycOZOsrCxiYmIcvkBmKpLv6IVrIQQr\nVqww1t+Tk61nwW2FQqFg+h3jHDpmV4BDPmmaNtiwW/vqbMqZ3AixatUq9u/f37XYFB2MM3dtosJ7\nAiAo/OFTtGGvG1xjrEB+n2THd1uQLNhCDfUZyLSJD+L6eAa75+zjf70mIFAw2vUoRqESc5BFoQCO\nfg1O7lCWa35bC96SlxsyVXDFihXMmzfP7jWGzkZERITx5464L2VJhEvR/L7a4JAySFdQB2svsrKy\nePrpp0lKSurQqaQj4MjywOI3MwA3QMeyzYJbJo5k4TDzkrHBwcHNBNSDg4MtLmrJN7a587RVGvi4\n6XvapmWcMsfIkEWh9r7drDmJvmNbu5ibdJpyx2tWj9vZkDsPwXDvpKamdtqxzYlttYTpOgs4ljkh\nL16aBumu0hfQ1XFVl0FktkZYWBhqtbrLcS2FEISFhREXF0dcXBzR0dFWKYoJu9Yz7f3nyCo4bpkK\ndWYfuZ/9iTVLw1kzp5I1A6JYc18+C4dlUHw6p9U5SJJEREQEWq3W2DRiTSjKEVSt002mqBbRojnJ\nyMiQm2sQBobJyFnNvCV1OsveiW2Fo+iOndnQIi+8ncgtpKFBh7VDS5LEq6++ioeHR7t9JK1BFuNS\nqVR2dw9aKquZw5lzpfTx7e+QGX9nKiNaw1W9wCgzHRYsWEBsbKzN7Wu++caiWI8js17Tc0tPTyc9\nPZ2IiAibddSQAUPZeHgP5XVWnGVa6Esz4Q8QNBeSI+mZb76DUC59pKSksH79etRq9eVbQT+bYTQz\nMG1OMsK0ycbFA3563RjMHf0srq6t5t8fv0pJeQkuzi6MHjyaOXc8iJPS+m1lSvOKiopy7ElZgSRB\n6FgN3p69+HFf6wdz820lFi1a1KpZyFE4ZfJAttepXC6r2UM5Xbz0c26f1VxlcdLEa7rMImi70N7s\nx7CrAaY/XwocNY6tMfV6vVi+fLkAhCRJIiIiQuj1epvjlETH2H3csv+8KvL9/EXjhRIhhBAFQ4eb\n3T/rTI7Fczxx4oQICAgQJSUlQq/XWz1HQDz2vxVi/hf/FnUN9ULEK4RYqrz4/Vy2Xed9qe+BI95D\nQJRqq8z/s/iIEO9dJ8TLvYX4T18hNj8thF5n+F+8QoiXPYT4l0qIeMnwu/z9XLZobNQJb59+AkNh\nvN1frq6uxp8H+g0URaVFYl3qevGHV/4kMo9kicZGncXXwfSzB4iSkhKbr8WlfgUEBDQbc23Kj2LO\nE2+KOU+8KYoulDvsPbP373q9Xvj7+xvPLzU1tU1jr035UTz85Fui6EJ5u8+3LQgICHDoe9B0PW2O\nuR2WWYumzqOAgADi4uIoKytrk5xlR0LOFNPS0oyGlgkJCYSFhVllhdiruwzgNvVWKlYm2HQ1D+o/\n2OIYpk0P9qiCVdRVs/yeJ3Bxcjb8wVIrdwtjAR7LMNR9uxAscnZbmBkAF68HoL4SevaBunJQusCY\nhyAsDnr1RwJWf7yRXXuOcuZcKR+//dQllyEkScJH7YOnhydOTk74evnazOBlaU6VSmXXbEw+xy+f\nmc5Xlbez3DcWHydtl3zf7EVsbCyPPPIIYPicO4K331HoKqqEHVoGmTlzJkuWLCEpKYl58+axcuXK\nLqMOplAoSE5ORghBTEwMcXFxxnO0BKWPD5WJiUbFOGuym45Sl9NqtcyaNYuoqChWrGjdfWeKxWEP\n4KRQUl1fRw+4uMhWUYCRjSFJgIBpyztUk6MlhBAEBwezf//FUktsbKzFNmY5UGvapS0hd+3WAh8A\nHxj1Je69PdRogOsoRK9YRKOukZGaa/BReaNQWF8KCggIIC8vr32uJ5IEj+wBzx7tft9MDZgLz18e\n55XAwED8/f3x9PS8rCJKZt2NOkja9lLRocFazhxSU1MJCAjosPpXeyEvICQkJBizHWtwGj6csr8v\nwePvfzNvTNACveY1iTy189w0Gg0lJSXk5uYydOhQoqKirK6cP7PJsJA2J2QqDzeTc/0bjJwNdzQ9\niFb4dSpbQjRRJPfv309QUJCx/m4Pt93RTKMz50qpr2+85PFM8XzkYjKPZLJh10Z+OLCbsFDrYl3r\n168nPj6erKws4uPjbT6EoamVXd8LhKDwo3k4jQxFPcP2fubG2fHdr8bf/5XwdZvHuFRIkkR4eHi7\nBJ4cbU3Wljr45UaHBmuZqykHmMjISJKTk68IoW9zEFVVdjuE2JuB28LOnTtJTU01BjxrwXrLI/8y\n+W0qOp2eZUm/8LyAxl+TUZz6AeXI6XDn6+B/y0Xqm++Y1tQ3B0NufEhNTb2smdTipZ9bZUG0B0qF\nAhdnZ5DAxdnF6rayl6A9yYEMnU7Pc/GfAzcDsCz/QW65sIeF/u+2+X1bvPTzZlPyW24YwXeb27Y4\n7urqSl1dXau/WxrD0t/b4y7jaI0QhULBvbeH8uVXP7V7jE5Dewrdwo4FRr1eLzIyMkRkZKTx9xMn\nThh/Nwdz41wqHDUmIN59IVrk+QcIfX29EEKIM8GhomjOw622rcvOFvkDB4nyt94WVevWifyBg0T1\n5s1tPke9Xi8iIiJEQECAiIqKsrnAGP/KOjH/T++Ih594UxRtfVXoCn8RB1dHC328Qqx/5k5RuSXW\nsNj285uGneqrDb9veMI4jiMWUyxdh0qlEmFhYWL9+vUWr6Pl6+HI908IIQrOlogPP0kTDz/5ltWx\n9Xq9mDRpkggKCrJ6voB4+tVo8fwbfxVffLtW6HQ6h5+3TqcTX32zT7z23hbDwlphYav3rTPh6Pek\ns45nDdYWLS19ptetW2dcPIyNjbU6vpnPdNdZYARDRm1Kfler1VeMT5s5PKJPQFoAvOwGkoTSyc+s\n7Gbt1m0gSfQ6/xRSkYT7Qj38eDeEZLZpQUiSpDa14l6czuXAsQ0o9sUx2rkHx3x+x/pzk7g5+D56\n7nkB9n8EmnAD9Q0B+94lL/tLXva8hzGxDzLe2Y3bh41n4fV3GQbe+7ZBHW/Y3Zw5tI8t6mdJPe7G\nc0/fw8DPrkXdU2mgAY64F+lu8/obc+fOJSUlhbS0NNLT08nIyLgsLf4XMzPb6bVarWbnzp2kpaVZ\nnQ0mLDKUI3Q6PS++aqh/OhJKpZKAQQNJWTKb00pP3Pe/15qy2I1OhzxDzMvL65TZYoc1xchdUEuW\nLCEkJISUlBTi4+M7vaXbETqzkiTRf2B/AAr296XK6yVqhr1NQ6HeLMNDb8oamfYq57+5ltKTMzu8\n7fmiU4kED6TA4nJ4cBPVLn3ppzx/8SavOHtRlxtg2n9omLONaRPu442IPzEpcCxfHtxJ9pkmLu74\nJwxjnvqexUV/I/WYgW2ybNUG1qpeNrSpT3jK0IBiBrKOhU6n44MPPkAIYfvDbcU5RghDy7ZarW6m\nYWEP1rz5JGvefII1L9xqcRthwnEH2y7qcoNG8/Z6x0EIg576IO124ryX4Z65yi499SsBXaEppb1a\n2aZCUJ0R1zo0s5Y7BNPS0pg5cyaAXYspjsSlZvLH83N4fe0brPlnEspYAZyDdc9f3OCuuyzvHAvE\nNrFf9hwgIG1I588sXHo13eQ5uGZ6GG7y21dcFCBaqoSdLzLUeTlDR9wLoXc0b66Rm1Am/QPqK1lT\n96eLY0sKeCjD0E3oNdRQ/7aC7OxsFi1aRFRUlH03oF5n8V9arZaysjLCw8ONN3RqaqrVmn5xScXF\nlf4mpcGWEOKiC73M1rDt7mOooXZ0/XPH8A8d5pRiC53FkhCd2MFpCe2tg3f2uXd4B2Nb2BZdEQH9\n/Hk+cjEJi1Z0Ca5lW3GmoQ9bBrxB6q5DhrJFfy/UpkpxLUyAq7xH83FBAwM9fLh+0AgoO2noeCw+\nZCh1yBj7MFz7IORsNfCZ5W5CK4iPj0etVhsV16xizENWZyJyRj19+nRj5uuI7EaSDM4xkZGReHt7\nA3b4ZgodJASCkxaEYDYSAd5uDu1oBRzKgrCF9rAkhDA4I8XExKDRaIiOjr4iyARt0co2RXBwsLET\nszNKeld1u7kt5J/Pp7KmCh+Vd4cdQ6fT4+3ldck3dkA/T5tOJXZpLMf+0fjjap4xrNgvaBqn3sS0\n98jXoG+AkuOwc+nF1nVWmR22rfV39n8EA6+z+G+5jCK7vJiqxNkDWzohpu9HVlaW9ZvRlPu8YhDZ\nA6OY/kAf4p6dhXfACIdlYKm7DgGOYUHYQntnCfHx8eTl5ZGXl0dwcPAVEazbC9NSXlZWVodfa3ew\ntoKK6ko+3fIZ5VUdZ0oqSbD6lRfJrfbmp1+LcaIBHU4s912Cj1s9BD1s4EErlNT9+CYuW/4IkhN1\nQiJbORhXXR3B5AJlzI3/tKm8ITnYqUQBHn5QcwGQoKEK6srg4MXpIw3VBh0OR+KX/1o8pxkzZjB9\n+nSjnrMsxmUvHDrJEQI+j4BrJyOAkWffJ85bCd8dsrC5gYY5Y8aMZk1CERERVh9oa958wu5TulzN\nHgEBAVeN7KnpTC4pKYkZM2Z0aIZ9VavutUReaSFPrE/g3sS/c9/HS9lVeIIXHo83rvZ3BBQKBfc+\n8iT9vFwNBgCuhwH4pGw2X5beiv7nd6j8zpCtul7/FBIgiUac0HGd7ggazhs409F5rHllJmtef6xN\nN7XdqK8EN0/DwwNg6jLD9zEPGb7L+tEOheWIKmfqy5cvJzc31y5fSFNY6zKUF8enT58OYHsRU1JQ\nOHge4ue3EULgrKtArdCiPv6RxV2ysrLYv38/c+fOZd26dezYsYPVq1e36RpaQVYdXKpEesmVkEDX\nVlrinYnfuvSpaSbdGWtR3cHaBA26RqYNC+WNGU+3ZkRYgBCC9evXo9FoCAsLa/ubdjYDdr+K1FgN\nCPooLwDQd9AgioYuAEB54RfjduclD5a53c83SoMThgfVcP4gfDCxwxzCuesNA+PjmhkXGR9psYbv\nZU1daLJLucMgwbUPWPyvEILExERmz54N2FczbMtKvyRJpKSkGI9jDULAsh2GrFUx6EYUi/JRPH0M\nhZX0Xc7KQkJCCAwMbPPMwCKaHpqKmDzunXV7EzuofWgPS8L0GuwtTbVF+rSrQLYGM+VBd3TdeY9R\nygAAIABJREFU2iHB2lH0uMutGzvUZyCzrr0Ff7UvIQOGAliVG5WZAwsWLCAyMpLc3Ny2B2tZsvTQ\n/wy/ew8DSWJqxL3cpNgOgM7nWsN2mavxFhU8UruZYeIcAGU9AppT55q0mx0KWT86dCEgDKUWZ3fw\nmwindsHo3188B0fhuj/CuMetbhIfH09AQAA7duywg7EBo/7rj2plL1iqgF+/dNSZMim0H2vmVBpK\nK2d+hndCYf3DVmstskhXTEwMoaGhJCUlOWYBWn5oOuDBvXjp583q5GtTfrSxx0XnF5VKZXdm3Zzy\n2LU05bsSHFKzvpIbXcyhqr6WjzO/vciIsAJPT08yMjLQarWkpKTYLaRuhPdwztzzDRU79sOuQ1R5\njUOcPgufT2e4oopt1ZMZN3o+vbxVFIdGo0p7Bm9dHWq9IViXeI3F05Q6Z4GbDIaHi+wvKC8AAbZX\n7bf+BUIfNTA/JIWhXX38E9BQAy/3AjeVkb4X4L30koOOq6sr0p2rgFW4urqa3UaSpDZ/7iQJuPNN\nGDDeoWp1Cy9Ewu4ehgXR+iooOQb5e0ATBqSa3WfevHmo1WomT55MQkICMTExl64R3oLZg+8YoP1e\nhO1hSZSWlgIXrf7swRXV8n0Z0b3A2AJV9bUs3vx+a7lRM5AkicjISKMy3ooVK9o1lTXlef6QXQgo\nKLzvB745kMfW1AOMa6LalfXwo1bfEx9dNY2SM2cVfRiiPw/Fh80L8ZuBXCtdsmQJYFA/szldPbXT\nkLE794BR90N9xcVjAlQVGX/PjWqAO99CmvCkQwWYHAEhQGx6Cr3XNTg96UDvw8UtFqDlh5j3CMwF\na0kyGMXKqopardYxjJHxTWsVTQ/uMzlHqNCNAjqH7geGB39iYiLZ2dnEx8fbZerRDfvQZYN1+6Qx\nW6MtYjHV9XU8t/k9zpaXEDv14Ytyoy7mszs5U50/fz6TJ08mLCysXcFFzmDmPvWWcf9lqzbQz9fg\nCSnfaEP6+EJ4jNElZdj2xVDlbOhGlKlzNrrasrKyCAoKaiZNavOcF+wGhdLw84Wjhin+zhcMxxzz\nEBz4GA6vu7i93w1tewE6CSVSXwpq1YwpPQwpkY4d3JaDjRmkpaURExNDdnY2H35o3lneLMzpkesb\nWx1/cdoIkDqP7idJEjExMcTExHTYMS4HHOEC5YgSb5cN1pfDhPfYhQKOFRcALeRGQ6e22lYIQXx8\nPEuXLmXs2LGsX7/ermMtePrdZlSq0pNnSf3bBwAkffw3JIVhjLlPvcW5Jq1h441290B0B9fy0n/P\nk1s/kEaxiuVPPYSPt/1Gv1qtltzcXEJCQsjLyyM2Nta2xniGibqbOfH/A5/A7Z2rj90eeFHIlrqJ\njHE7AoUHHHcTLm16kCFg2zPg7mXy4GxdSpCpe1OmTEGlUhEbG9v2EkhLY4nSnFZ2bWtuf/xip2oX\nh6OlTx2JrlLmldobECVJEh3ZbilJksOCdUeMI3drRUdHc+rUKWbMmMGKFSusLqpIksRr723hp4wT\nxpbhnUvXUJpTgK5Bx0yTYG0Jer2ejduyyDtd3GwcW+crn7NWqyU5ORm1Wk1WVpZRgtXqdW94AiwI\nNAGGYOWmNoo5ccdrSEons6+7rLsRGhpqk1ds6TraA0mS0MdLFDd64uNUijT6AZj9KeDAWZz/IHIP\n7jEEz6aZiMM/f0uVNBOhGvU7mPXpxZlPJ6Aj7qm5T72F6eJiR88CLiearrvNWYLZzNrd3f1cbW2t\nzfTIVlbSHr3aKwVyY0ZERARJSUkkJSUxc+ZMVq9ebZXCY0qlKvj5MDUXyhkw4RpO//CLXce1uBjT\ncmps4Zxls1shBAkJCfbV2E2n8y2PI/SABLWlBkfxve80LWyZhyRJxkXYlJQUEhMTbWaVDmnb9nYF\nUY+3shSd97U4zVxj/J9DZ3HfvdjhZg6MvM/AlDn5LXy/zLCQ2cF65B2N9rZ8X00wG6xra2v7drXF\noa4ImXI4f/78Zgpc1lBdYxBtFzo9v3y2nWsfvJWzmcf4w+YEZiv/YWPv1mjZQm7M7pYMtLhPbm4u\nM2fORKvVkpmZafMYS1N9yV1jKN8k9QPJdAq+wu9iCaSxBl4bbpWRAobXbezYsezfv98uPQ/x/Suw\n/fmLLJQmfPnVT3z1TQZD/Dz4R8PDpNbcRNCzG/GpO8aX63fx06ESXtKspqGqkH+73U+U5EGjTskI\nz5E8pK3Gx8vD5rHbDPlh1ZHB8+R2yN8NQ5tExGy83t34beDKKGiZQAhBVFQUQUFBbdeb6EI49f1B\nXHr3YMCEEaAXnNMWt9sIwvQr79RpqtPMc2zlEsSUKVMIDg4mMzPTrsw6ZKymOQe2JZd3+1+bc4vt\n0FmWy0Uy39gqdr/aevH0bAbk70EIQWVJIY+eW05i2QOUaqs4UyZRkbOHc419OFDSm38q/49CoaJR\np0Svc+JI/i+s/vIr28dtFwTkpnXQ2MBNz0HwfMPDMfN9oPN1rX8rfRVXGszWrB1Vj76U2palmqtc\n71yyZAmTJ0/mz3/+s9XssCvVviVJ4s2YeeyuGc/vpD1UaIfj1vS/2Z8tcdh5Vsc70yO2waHXLWex\nbz5QS68Rt17k8g6909DFWHIMdPWGKfnD3yIplBaPL4QgLCyMnTt3snr1aqtlkJavu6Pqy4MGDTIq\npjn0M7JUCXesMjYIOfzzJ7Nxin41aLT4XguPZ10xC4ndaH/N+op7h7OysoiMjCQ6Oprw8HAmT558\nRdXFd9eMB0liLTdScv0owl9YQP9Q89rK7YUblnWgLxW11z5iaIC5volBohoETx6AZ0sBiTyPkTyR\nbLlmKz9wd+7cCdijFd0ccn35Ur9On7atYyKEMM4+7JYS6DOqqdPTAIdmoWcz4OjXMCMJJscaAvT4\np7oD9VWCNlP3hBBMmzaNwYMH88475p1BOhKenp5ERkYap+8REREkJiY24w13BBxB81J7+rLcNxaf\nnsLAnBh2LwTOYOJffg/PWNbBaDs6Zq0gwOkU7pmvQdCsi1xik4YYJIkGr+FM8wrF2idDDnptaUm+\nXAgICKCsrIz09HTS0tJsU+zO/2IoTzTVrOVrrV7qzr/d7qdE6omLUsHo4ROYc+dDOClNbkEzrJpm\nLI8LR1vR834LbjHdsA/t4lkLIVi7du1lCdZZWVnG5pPOdGpoU/a+VEnWwGg+zDRoGtc6ebMl7SCp\nuw5ROE7gNOo61Oe22nQWl7PQ8PBwNBqNMcOLjo62WmtOU14L2JaptLekYPqQevE1gL+Z/Pd/BPhs\nInexwYVm6C1/YqiNTE+j0TB27Fjy8vIu7yL0C06GhhIrMJX7tHsWULjfwmu7ptlv85lnZueSpq+3\ngLeaM6rMcdy7cdWg3U0xnWEQaQ7m6ICd7etoCy01jRd/fwNG26BvJG7RlrMwtyngbfoDbP6TxbFk\n+yqtVmv0HJR1JSzhS5dbsCdYO5KytlT/Cbmbimj86h2WvzjH6rYhISFdQ/M4+pTNBh7T1zk9PZ3A\nwEDrYzYtsOblvd3l2u27cWWjXcF6+/bttj+0HQBZi2PcuHGEhYUZhc4v14PDEhLLfs+xhiEEux7g\nvuMf89goL27+44qLLcnbWpi1PvQNLGltvCtJkjGbW716NWFhYXYdXyEp8PTx7NQbPWRsAF5nNvJT\nQwg1CY6twT+xPoGCsiJcnVzM/l9uUFq5ciVardYo6H/y5EnrZRY7ONGmiUBkpB0t6lY6F7vRjUtB\nu4L15awzSpLE9OnTmTdvHlqtlry8PDIyrE9lOxvzXzV0xsmCPjcH1hp+l+VQZShdDIL+sjyqGUiS\nxKRJk0hJSSE83L6OLkmCh557mITq16hX9MC1pyeS52C4PQH9sc1s3JBOnk8Ef3rOcZKmSUUbGNHT\nHeokXh7yNPBXh409bVgo4/1GkPzLD1h6pZKSkti5cycqlYolS5YYH+ZWYaOBByAoKAgPDw/Ky8tJ\nSkqyXbO+48r0Gu1G10e7grUkSdx2W+tMsDMgSRLx8fGX5dh2oYWgj5AkNh4vYNvyGFycXRg9+A/M\nOfMISidXqnQSxTXgv8967X/GjBn8+c9/Zt68eXYJnOv0eu6YeDuHzghSThbzwMBeDD78ARTsRjEx\nmnvT/s6XTo4VW5oUOJa0nF/pKQQnK2wYzLYRs669BcCoMW4OQUFBRq9EuaZv18zCRkNJdnY25eUG\nVT1HJClCCOMaRFvdbWRU11bz749fpaS8BGcnZ/RCT2NjI64urowePJo5dzzYfOGyG78JtIvzM27c\nOKNubTdaQM6e378Odv8HXegT+N0Rzz8W/I0bx0xk7697OTjhJRrmplM+Zj4B+kKr3A1JkoiKisLf\n379NDUCuzq4UDp7B4rrPCTz8PiAM9fGXexp+Pmt5NiK73wQHB9u9sGoIpBKSpOCjoY4vS1XV1/Lx\nN69a3SYvL4+UlBRCQ0PtC9R2NvDIcBRFNDc3l6SkJBQKRbvKiUqFkohJ0/nHgr9xw7XXU1dfx++m\nzL74+cqxT7qgG1cW2vX49fT05OTJk44+l8sCh4n4yAufLVbsnQA5F/b08MTJyQlfzThcTqcx4IYF\nsP91rC1DCSFISUkxquXZA1cXV7J3JjJaMjwIhGYKUu4OUDgbHMn9b4GeTwNfWxxDrVaTnZ1tFKuy\nhTW7ttNb2ZOvPo/jo7fOGwwEHKHpERBwUWNccrO4XXR0NBqNBiEEjz76qH2D26gvS5JEeHi4w1lH\nKpVBJdHDw4PJkycbF4/thauLK8HDDQ8ZH7UPzk7ODBk0FJ3QGz5fXr5W93fEZ/63rPvTVdHmYC1J\nEu++2wHWUZcJnSHFejw/h9fXvkGjrpGR/Qfgc3ornPgG/fa/IQFHFQOB/Fb7yVKa8+fPp6yszG4h\n91PeHowcFMxdx16DapByU8G5J4ydA/ve4Uz+OSoqzTuHt4S9vnI1PzijALSl5x3asVddX8ezm981\naIxrN/GZhW1lgark5GQj5dHmuV+m+rJGo2H//v2UlZURHBxsDN5tgelnKqCfP8vWvIJOr2Ok5hp8\nVN5W93XEZ76bpdL56C5sdSDk2uKFsgs4Oznj6+nLoTMF/FCaS1jlSSQ3NTVDp3PSdyb8465W+0uS\nRGBgYJupiZMCx/Ll4T1cd9enBJ3Z1tzqafQDLP72FpDsu1ntzZ76qk/wl4DdWPbzbh+aaYz7PAC8\n0mobWfo1LS2N+fPnExQU1HZ7tU6CrGeTlpZGZmYmixYtArBr9mKKgH7+PB+5mMwjmWzYtZHbJ96O\ns9KJDbs28sOB3YSFtq0ztBtdH122T/W3IBajVCi5Oegm5tzxIOOuCaWgqACQcJn8V0R9FdQU477/\nA27/9l6HHreZ2a9sdiu3h7upWPPWk6xJsK4SKNfH09LS7DrmgcEKIp1uafc5W0JQ/8FseeRfhq9H\nl1ncLisryzgDsdcIoiMQ//4L6Jdav60kSSIsLIyQkBDj7KktyD+fT07BCZQKBdW11SCBq7MLLs7O\nIIGLs3mKYzeubHTZzPq3UA9zdXFlQJ8BfLrlM7SVWvQ6JbpqNW+/lcnEfrCp11T26AbRw9UdcJwG\nstHs17nGoFhnajVl2h5uBdHR0eTm5hrr5bZqqt+ceZXqsP/Q2gbBQZBZNmYg15bb2xzlCCkBeZyI\nSdORPo8DCysR8hqESqUysppsemC2QEV1JZ9u+YzyqnJcnF1wcXZhw66N9HLvyeSQSdww+vpLvJJu\ndEWYDdZubm6FkiRdsjfTlSqBKDdZzJ8/v1ndMy4uzu7GFBnOTs5UVFeg1ws8nUbQz28we4tzAZhS\n+R0TdS5kFFvn+rYVRrPf+uLmWhJjHoKiQ/D+deS59rc6Rnx8PLm5uWi1WjQaDeXl5Tz99NOsXLnS\n4j7KC+ZZCHL2GBwcbKTBBQQEkJaWZj8driVH3YGwlBjU1ddxKPcwfr4D8V7VF4GhD1WSDL6HVZ7D\nWbThbRp0jbw9M8qqubIpUlNTWbVqFWBotGnrZ2qk5hpeeNzx9FUhBImJiUYPxeTk5DafWzc6DmaD\ndU1NTT9bO3a0rdflRkpKSrNsUubxtvXD27K26E0fAMpueYUz7v407N/OlHPv4etlJy/YBlR9vVkc\n9oDB7Ld3AD0saEk0FBfAIj+L40RFRRnrqGVlZURERBAVFWX12KUuQyz+T6vVUl5ebsg+IyIoKytr\nW+epzLJZ2HnlDVPWhQCSXSdx4wPL6efVlypnlYGhIj8YmwL18fwcMlzDge1mx5QkiYSEBBISulbz\njByoFyxYYPzb/PnzfzOsr98CumwZ5HJDzgTXr1/f7jHyz+dTWVOFj8rbWE9UNqmoNQY9xiiv3jDu\nHsTL7/Hpo2Pot3A1o4e2DniHcg8bp7093XoQMiKE2WOvRZESieb5A+QV1xi3LSu8QNAAy0HTHsj6\nHenp6Xbv81XlNNZvssxqkGvf7TKHvYyQWRfLEdzV8DOu66ZTPexenmscydmKEmKnPmx4MNbX0cPF\nlYB+/vRe+Cksdpxp8L2Jf8fVyYXbh41n4fWtF6IdBbmM5O/vz6lTp9rFUulGx6HLGuZ2FiyZHKxf\nv54FCxYYfRXbM465IEvlIPZvT2FxRC+K+44na+NL3Fu7i6Ty+7mn17f0UZYYasuPZUDfse06rr1w\npDD+u0k7WPDQZJycWpsOyFS6GTNmUF5ezooVK+zyXbxn9d9aBanONpNoaGygpLyU4m9fNHSDBvai\n4sQWnvV5oMl/0oA5lbsJv+MFGmpK8dUepNetsQ47z7zSQpJ/+YGNh/fwyp0LHfIwtmRmnJmZSXp6\nOosWLeLDDz+0aFfX2aqXvyU41DD3aockScycORONRsP48eOJioqyi2/clmaDF1utJ34OtHDIvoKw\ncG44er3e7P8kSTLaiIWHh9unsQEEllQiJImdF7bi5+FDyuHdePh6NysXubq6UldX165zlsex1ODx\nU85+3tq7maLqChR44NbTiSnDp3PD4Q/Y0r+c6n2J/NvrSUrq9ex3mkjp/oPoio4wS2tZ66U98Ff7\nEjJgKBsP7zEwfDoI8oxKrVazaNGirqGM2A0jujNrKxmCXq/Hy8vLroUW+cZ3RLOB2Phka+F5a9t3\ngcxaHsfamEII4uLieOGFFywGdtMxi0qL+Cw9mUNHsxkVciMD+vkZBZ3kLDN44FDHvOZmxti6/3u+\n2LOJwIpcNNJZdrgPZmlgHwb+/G80b3uTd+b8JR3Xni5ASZKorKtptpDZ2Nhg1AYx6M20TQ/E1vsu\nhDAuKluSlejOrNuP7szagZCbLBITEykrc6wokV3oDIdsHEhZ83YzCPnbCAAxMTEkJCTYTVVz79GL\nX0oKUEgS9157MwH9BgF0SpYJMG3sTUwbexNcOErF5/cxs/h7nCp6w4Q/kLdklUMeErZef/+AgFYL\nmUKvJ2LSdPx8B/Jd1i62/7yD4GFBxsXQ9kIIQUJCAllZWZw6deqKZXP9VtEdrC0gKyuL+Ph4Jk+e\n3GafQIfAhhqcNQghKC0tZdmyZWzbts3oI7h27VqmTp1q3E7O6iRJ4qnPliFOn6ZPfTULdNvx1Rfi\n7N4b3cjf4Xrva1Z9/vL+2Ycn/B+joAHgn2bPJysri4SEBIKCguxaAwB4dtWzKIRg6KCh9Pc2lIWq\n6msv8sgHjbD7NbkUVPX25y/9F9Dga8hscXIGVjlk7LPlF+jX28vs/+RW+zPlF1otZHr26cffUz+h\n7Fw+ngh25h++5GANF2mrKpXK7vepG52D7mBtBpfaZOGAE2iTGpw5nDhxgoyMDG677TZuu+02tm3b\nxuLFi9m7d6/Z7Y9XXsBZ5U555kj+zjgMCnoSt/QfwUIbNl21OCNONqIuMS8gJNdC25qJFnmruWvg\nSPZm7yY96ztuDLrJLF2uI2EUkeqgY5oG4JZo1mq/yaDHMydkKg+HTiX3zEmcT5zEW+jpofZm04kM\nJl8z/pIWHyVJIiYmxsiz7kbXQnew7mDImUpCQgLJycn2cYsv0QhVkiTGjRvH1q1bAcjJyWHt2rU8\n/vjjFvdJuG0BPipv9ofuZ11aMg/e/n/cOGaiXccbNvUf3HMqgLxjx/i43WfdGlUNtWw6thcfBBln\nT5By5leDoJNJlmkJciPO4MGDCQoKIi0trc2OQtX1dTy3+b1WxzQXWNuLhz5/2RiAW0JutTeHG0eM\n45r+g8k8ksnXuzbg0cOlw8tC3bi86A7WnYD58+ejVqtJTEy0T7DHAWpw8gLQiRMnGD58OCEhISxc\nuNDi9p9886mRYtjWlmXFdU9y73Xw6bq0Sz7vZuPq9ZS5KKlxdmZA3gaOuY8EqXmWaQ1paWl4eHiQ\nnZ1t/2tvAnOZ7b0jb+BgYWvGjxCCtLQ0Iz1RRmpqqtXFaUvBmKVKwwxLiFZUTlP+vpAkBKBy791p\nZaFuXB50B+tOgEajYceOHcyePbvNAUOGqTuITq8DwM3Fsr4zGAL24MGD0Wg0PPfcc3h6elrc1lL7\ncl5pISGjxlB6rsjuc7W0aNZWDeR5VelMGP97kkV/Nua48ModjxA0cFizbeZa2T84OJgVK1bwyCOP\n2Cxpmb6+pgyLlsH0eHEBfdx7Y8nbp7y8HJVKRWRkJBqNpt3qfwLY0nsa3zcOxMXZhdeDb+P8Oevs\nk/cfsd2C3r1oeOWiO1h3IEw1MTw9PS9JnEp2B/H17MPXuzZw4PgBhg2ybHNliqlTp/L8889z//33\nt/m4/q8NoPSc3mEUP3vZJ54+XsyqykD68QQh7sPZ6H4L5XXVbTqWKTfelgaJ/Pq2ZFgM9x/GKx/9\nmyJtsXG7McNaa7nI5sYqlQq1Wk1cXNwlGznfUpnOeJzI1g9myTnH6YR348rEVR+sHam41rIhRpIk\nNBqN0TQgLy/PLgW7+PdfaJXh6fQ6UnZ+RUl5ieF8JQlfT8uOIEIIXnnlFUpLS1m7dm27KYiOvrXr\n6xt4Ju4zSkor0QvBvQ+MZkR/NQN8+rPmq/WcLDpITaEfPX0vUHadN85Dp/Jx6pcMrCzi+uJdMNi+\nTFWmX8r61racyU11QIyOPl6+KBVK7r7pbmrqasg/n8/3+38g60iW2TEkSeLDDz9k9uzZLF26lBUr\nVrTtxTFB0aSX+E6nQhz7mlnnv2n3OObgiM98d4be+bjqg7W92a6labJpI4K5G0AO2ImJiXh4eNil\nt3DjuEn87/Ae6gry+enXn9l3OIPg4UFMGDWeLXu20tDYAMC3P5sXC5Lxr3/9C61Wy9SpU3n77bfb\nd53OtwLb7NrXHiiVCm65cQQpO35GqpbYvPYQG/WC5S/OIcDfhxPnQVfvQuAADUyYw+Jv11Dh1Ivl\nJW/jUtSciVFdaz7TlkWJ4uPjycvLY8eOHTaD0zOvPYd/P39y8nMMjj5Njisuzi5MGDUegLSMdJQK\npcWxJElixowZ+Pv7k5CQcEnB2jdsMT6/7CEl8xdmtXsU8/gtyA9fjeiy5gNdDaYmpW0xJpWbQWQ1\ns5iYGOLi4qzeMIGDhnLryOsIGRaMQlJQ6iSRcTiDvp59+eu85xkVOBKAoX6WaVqSJFFaWooQgm3b\ntjFkiH2UrlbX6eTYRauaxnrSGn9C76QDJEYM7Y/StZoXE2NJz0hH7d4XoXNBe+on/vLFUs6UnWex\nj4QTgmqf5uUHpZUOz6ysLONMJyYmhvnz55OVZT4jBrhxzEQO5x5mxuQZ3HPT3Rw6eZgfDuwGDGJO\nUctjWLv9S3R6HY0ulul7csCWWUDtwtkM2P0qN3r3ZPGoXjh+ftONKxFXfWZtLyxNk+1BZGQkJ0+e\nJDg42Ci9GhYWZrmOWlvL96lf09DYgFLphEd9IwCbdm+mSFuETmdYYOzdo9clX5cMczMH/75+OFnh\nFQshWLlyJfHx8axcudIuvY9nkt+jsK6EYarhFJRrUXn0QFfnzn1hv+dk4XH2HNyDcy+JMmdXTjcq\noLGGZ3JroO9jzHEexqTSQl5O+5yCsiJcncw7okiSxMqVK4mIiCAhIYH09HSjzoWlRg9JklAqlPh6\n9uHchbPNHFcC+vnz7MN/YfeBPaTuS0PUtKbImTb+yA+FdtesXXpRn/UxytRY3ISCbe4hwD6zm8qz\niOjoaMrLy4mMjCQxMbF9x+1Gl0Z3sG4Dmhnf2mFMChcbQlJSUgCM7iDWIGtgb/xhE3sPGW7S3j16\nc+7COSSTLOvQycPtvJLWSNzwOffcfDcB/fz5+rsN/Pzrz+z99WcCe5vPXmWq2qJFi3j66aeJj48n\nODjYpuBVRUYVNX4N5JWcQeV3hl+KD9ErQOK7A9UUFhUhBAgh0VDnzUzP4Tw6c06zUtPx4gKmDQs1\naoRYkkySJIkpU6YwZcoUu65/20/f4uzkzKqUdznbw4V6z54sz97G3vN5hPr44ezkagzelvLc3Nxc\nkpKSAC6p8zVPoeKfve9DVBei1AuEQsJcsJYfEAsWLGD69OltkrTtxpWH7mDdBrQ0EugoY9KcghP0\n7NGbfUWGafw1mms4knsYhaTgydlPcO7CWdalJTP71tks51WHHHPvj4XcOFaJj9oH//7+ZB3L5qYR\no9j5q2XlNY1GQ0BAACtWrCA9Pd0uR3EP7wLczquorXalTviCQo+79znyz+eh1ylorPSioUJFQ4Wa\nn8rOUNPwBn+6/w8UlF9ollFfqKowek06AgpJQUNjA/19+jN13I2M7TuYN794g0Nnv+eIyXYuTi5M\nHHMDb/J6s/1lpUZHMDYadI2MGTyar2orEAirmitarZa5cw0ExrKyMpsLqd24cnFVBmt7FgtbIv98\nPhfKSvhf6jpKK0oRCH4+tJebg26yW+3MXny8+RNKK0rRSxIBAzQcO3UUMASEd9a/26xxxREr+14+\n3vTuf566hjqilsfQqGtkqN8Q1D4akA6Y3UdeOE1NTTUaE6elpdkshSgkBc69ytBVe9BYrUIIQa1C\nT2/fYp66709oBg7kUO5h8ovy2bL7CMfz9RzM+YVeXj7NMuq1B9LxdHNcGei266eyZc9LQxWnAAAf\nXklEQVRWzhefJcLNkwDPvlwfcjMf//odd/QdzpGj+3k04hFjKez3DjruM6891+rzN9RnIH/0Gcgt\ngWP5y8Z3LGbysvGuEIJbb70VlUpllUvfjSsbV2WwtsSptSaEU1FdydrtX1JWVUZP1x6oe6vJO5PH\nwZxfHCKgY4rfRcwjNuUtfKvqyDuTix5Qe3hSVa7lvltnN8vmzS1UpmWkk5yewrMPP8MAH4PXomnX\nW8bhTL767muuH30d6Yd+4lxPVw4pJV7Yk8y44SOpOnGc4/k55J8vYHLIJN6wYOYrB2ww0BJnzpxp\n89r0Qo8kQej1Pfnl2GEkJ0P9vb7eie1pR8k/8yOnC0pASASO6Ut5XTG+Xr4M8OnPUJ+BVNdWc3r/\nz7hIOsqrK9r2wlrBngN7GOo3lLxzefh6+dKIIO18Dn09fRk5YDA5J361e42iLbhxzESLn7+h3gMY\n5OZBrY028pCQEJ5++mlWrVpFeHg4mZmZ9ntbduOKwVUZrNuzWDhScw0vPrHU+LscEDviBg62IsZj\nKXCawtPHi7jX43g3+T1Ky0txcXZhkK8f50uLqKiuMGTmoZO44/rbOVtdRs3ZHHpUNVLq6sTuczn8\n35jr2LdvJ7OnzLJLHyQ5OdlormsLAqhxUrKxJJfRwzQ8OuFONv2wiZyCE3j0K+G6vsNxczvOseMl\nFJUVMaCvN9VCxxPrEygoK8IFJdXOjehx4u7+1+AoBnJ1XQ05BTmM1FyDu3tPFm9+n+pyLV6l5STn\nnULv7s4TX7+Oi7Mrtw8b76CjWv78yQJSjQ31aOotz5wkSUKtVrNy5UoiIyMZN26cXTOcblx5uCqD\nNbRvsdDWfo5qNrhUHqwkSRw5dZQbx0zk9humGWcPptP43Qf28Pd3l6DT6xjh6UthbSE9GvWUCcGP\nh/cxeeyNbN2zjf9us+wobqpRDQaKXHx8vEXBeoBaJwU9GnX0qZXYX3aW8/VV9GpitTg5KblmeG+0\nFb4cyzGMce5CIXuPZDBtWCij+2pYvPkDGjEs8m04ewgPX69Lfs1dXV1JWHSRE/1H/mB1e0f6wHy5\n43+tPkfNBKTuiGSAhzcvP/Wi2f3lRcakpKRLZ6F0o0vjqg3W1hYL22LPZe3Gbhl481rQzloaoEqS\n5LCGBUmSCBwYiI/ap1X2lldayDsH0yju4USvetCXFKIHqjx64ddLxapZ0Qi9nkO5h/HzHciqP6+0\neJygoCBUKhWxsbEEBwcbM2xLAaNHo0AAni7unBU63t+0ht4NOrw8PLlGcw1JG9dQlO8GwpuAvgHk\nl5zAX9WH4OGhPLv5XXR6He6NegKqGtDr9YyMf5xytYqzVVp0Qk+fnoamo+X3PNFMJ7quvo7M4wdI\nPLSLwooL+F4o592/tN9AQKPRXPJDwtvXGwmJX08eYsXnCfz5wRiclE5mBaSsITExkVWrDPrasbGx\ndps7dOPKwlUZrM25jsu0LDDUXztCh6GiupLeZwsJrK02eguOGzCU0EHDL/lYLTE5ZBJ9VD5Er1jU\nahZwpqiAm/sPJWjCXby9eQ06GinwcEPXWMdDA0cZNZtt1eIlSWL+/PkWTVXNQSBAUnCcelyFEg89\nODk5U1JeSklZCXPvepg3Er+mDjhdeBrJGdanJfPjkQyOavMRgKSUONHLmRu9/Tlz8iiN6Jk4ZDS7\n8g6irankX3c+2krOVAd8fGw3RdVlzAwM5Uftd+15WY0wfajKCofVtdW88tF/uFB+ASeFE6peKoq0\nBgGshRGPGl/Pn3L28+bPmzhfXU6uJOFeVQPnThnXP0ylUWUDgq0WzkOSJBISEoyzm278dnFVBuuK\n6spmruNtlQRtL4b18eOhqb/Hz3eg0VvwYM5Bvt7yX0rKSxx6rJ9+/ZmK6kqeffgv7D92oNnsQe3S\ng7wjB9i/bxduCgUnPNwQSiX9K2rQlpcag5xc8nEkHp/9BC+kfYa+sY7r3Tx58I7ZbP5hM0dPH6NI\nW8Q1fsGMG34dqcUH6dHThboGHfUN9Rw7eRi/QX401NVy/7U3szEnk4Pn8/AEqnUN7Mo7CECDXsef\nN74DQtCvUeJWfwPT4tiFAo4WFSCEno+O7oZejjURMA3ULk4uqHurOXfhHJ+98imlxSW8+ezrNsew\ntI2Hr30lum78tnHVG+aaQ0cYyZqiqr6WP3+yDMWFCyx6IIrK6kr8fAfSx7OP2e2FECxbtoznn3+e\nwMBA9u3bZ5WiJUkSM995DveaWh6fdB+NuvpWhgJtKfXI53CpkCSJe97+Cw0KiQGV9Tjr9Kxd9jGl\nxZZr3PbCw9ebXdk/M9xrgLF807JW39DYQEl5qbH09cazrznsumrrao3HXZ+WTNYxAz/9zWdfd4hX\nIzjuPfit3rdXCroNc7swTHndklJJfX0dCmDooKH49x3UrATTEkIInn/+eb744gveeustnnzySfbt\n29fMS9EcBvf0orw8n7U71tLLvSduLm58vvW/rE9LZvTg0W0q9ThSVrNWYRjrdG9XJKC0uNRhQchV\n6UxFQ51Zpo+50pclyO7esmlsXFycTXaFzDA6np9D9jGDf2Yvd8fxwLvRje7M2gzMZR9ye3V0dDT7\n9xtuxsmTJ7Ny5UqLXXvyOHX1dRzKPYy3Zx8Wb36P8qoKwnwCOZl7hIiwCAL7a3h97RskLFph9rgn\nTpxArVYzYcIEwGDTZS2ASpLE3JVRnOnpyl/DHuBG/1HNss1tP+/grTZklY6caZy7UGjMbGeGz2Dq\nhFsdNvbU954lqP9gngiaamTs+A0awklnPfnaYvS6RlT1OgZLroSMCOH+qb+zOJNZuXIlWVlZrFmz\nBkmSyMjIsPk+H8/PYdV/X0On19G7R28qqiu6M+tutEJ7M+tu1b02QK1WM2/ePLZv387YsWONLda2\nIGddx4pOU1lVQaMEPxaeQI9gf2GukZliDrLbi1ar5eTJkwwZMsQuPnODlzdujTq++eYLnn19MZ9s\n+ZQN329C1UtFg2vb6rUyJfFSv/z8/FAqFLg4OyMQ5J6xvwxjD7Y9uow/3TiD//z0NXm9Xano6c6x\nghyG9PDkrVlR3DlqIqVuTtS4OLEr+3uL40iSRHR0NDNmzAAMQdKWfnOjrhEnpZKwcWE4OzlTYaVh\nRwjByZMnUalUxtdGZtJYgqPeg24d6isX3WUQOyHfUMHBwcTFxZGXl8e6deuMN7QtHM/PIXnzZ/jp\ndUiSRO8evQkNncj1o68jp+CEVZ63HLCLi4uZNm2a0a3cWt26Tt/IgKp6AkeOYW91MUe0RRwoOIjH\nySwmt1FTw1oQkSSJ/Wdy+Ffaf9E1NtC3tIL6ulqEBFXOSs71cDG0RfuPpvLkcV748CV6uvVg7NAx\nnDxz0uyYssPOypUrSUpKQq1WM2PGDObNm2dTe+RMUQHjvfy4bvydrPtxC+dzjxHQ04ua2hr2ZnyH\n3k1JcVUZfmrbi3ayJVdsbKxN7vJfVj1LX6++VFSVG23XAgdoLG4vX5OsdS77RMbFxZnd3halU27S\nahw4kLM15fQtreDvc56hj9qnWZ3+vltnWx2nG10XV3VmXV1bTfz7LxC1PIZnXnuOxI1raNQ1Wtxe\nno6mp6cbMy9767kB/fz52/y/cu/N94CA22+Yxu9uvY+q2mo++eZTXvjwJav7S5KEl5cXW7duJTAw\nkGXLllnd3rO8ilEjgtldcY6C8mI8GwW9G3Ro3ZzZd/qo2X2EEJSWlhIZGWkMJvZk8e/8tJHaxnqe\nCfs9Y6+9njwPN/wGDaV3vY7ejXokSSL99K8MGBNKXaCGAz0kUrX5eGksPzRyc3NJT08nKirKKHVq\njz60zHT54Mu3qThXQJmrE/0Gaqiqr6Xaoxd9eqoZ5DOAsgvW/QwlSSIwMJBJkyaRkpJi02lniN8Q\n8s/nU1FTSQ8Xd4KGjmXuXeYdIuWuw8TERGJjYzl16hQqlYqoqCib19cSx/NziF6xiC93/I86ZyU5\npedQlZQxeICGsuoKcgpOGGczLSmq3biycFVn1pY0QmwhIiKCr776yu5AbY3XPVJzjdGs1lLzibzI\nOHXqVEpKSvj222/x8vIyu62MPHclp84dIcijL70uVAKgB9wadegkyzXL3NxcNBoNUVFRZGVlMXPm\nTFJTU60eS27g+OvW1eiFwKNHL24YPIYNZ/J4/Xd/NuqTHC8uYICHN+P9RvDJ919z+Je9ZseTBYoy\nMjJISkoiPj4elUplV7OH/HpW1deyaMPbuOoaCeoXyF+3rKa2oY4+JeVU6O2v2cbGxnLrrbfadEcf\nOnAIh3MPIyHRoGvkUN5hfln9T4vbt/zsREdHt6vzUC6hbdq7nZ+zf6AXLuT3duV0WT5FP27CpaS0\n0ymq3egYXNXBur2GArKJgD2If/8FVj2/kpLi5jzqN56xLI5kDj4+PnzxhaH1+/7777fLpuvh0NuY\nOfIGNn6/mdSDe6hEh0e9DoXC/ITKtNSTnJxMUlISZWVlNn0jtzzyL6OWhba0GK/iMpLTk1u1UQ/1\nGchQn4EA3DzqetLOHbM4pvw6yK+zPeJERv0QpTNOCiVKhYKXbp/P37clUlhZygxNMBkHfqRBr7M6\npRRCkJKSQlpamt1uLxu+34ifrx+Rd8/lx4M/GimD1ro/Zfj7+5OUlGSxBGIJpkmAytkNhSSxcMId\nOCmVrEtLJuKG6+3SdunGlYGrOliD/RohsgFrUlISycnJdmdBZ10VlBSXOIQRUFRU1KZ9Dp4+ygTv\nQYSMGs9HufvoWaNHqVNw3agJWGp1kdkCSUlJaLVaMjMzbfpGmmpZ/H3qg7hLSo6dPMyW3VvMan5X\n1dfycea3DPTwsXkNy5cvJzQ0lEWLFrF+/Xqr2+aVnsNV6UJdYwPlumqevOFeTpcVGTP/tXlZ6Hu7\noK5T4Ftdb3WsuLg4o7tMZGSkTZ1oSZLIP5/PR5s/JjDwGvI83Pjb95Z1VUwRHBzM119/bZeZsilM\nm7tcnF1wcXZhw66N9HLv2Z1F/wZx1VP3WjZK3HfrbMLHhZml0GVlZREeHk5wcDCrV68mMDDQ6tiS\nJPG/AzuZPWaSQ4J1W6h20xP/ATU1DNU5kSvq8a2pN3K7h2uu4Z6Jd1gcTwhBamoqs2bNIisry2pG\nK0kSWWdyeHbTu7g06lAKaFBITPYdwqnjvzRrxIGLanIXqstZfs8T9PfwtnpdMnMiNDSU5ORkwsLC\nLJ5HXmkhH/y8mR/yfjX8renvc0KmMrHvEFZs+whRVGSkWDuCVicfOzk9ha0/GoyFa5USHr4D+OMd\nDzO0j5/Zz1Jubi7h4eGo1WrjQyEzM9PsAmo33e63he6mmHbAlkaIKWR7LnsW3EwxVj3AEafaZjwQ\nFMbqfVsp8OhFRVUZtc7u9KpvRJw+TvbZE1b3lSSJ8PBwgoKCiI+Pt+hbKEPWsjiUe9iQ6VWWo208\nxeSQSYwdMob491+gpLwEZydnalycONfDhdjb5tLQYD67lWcx6enplJaWkpCQQFlZmU1GhL/al1s0\nY/gh71e8e3jw4rR5/Oe7L/lifxprScOlspo+QIMk4ezg4PfjwR8ZMnAIuWdzuWPszaRn7iTziHVa\np1arJS8vz+i2I7NPutENc7iqg3VnaIQE9PN36Hj24sO9W3B3cqGyXEsPDNmukAzZ5o2aa0mysJ8Q\nguzsbEpLS9FoNCQlJbFixQq7puemi6Uy6urriJg0HcnVlde/WYNzeQU+lfDWxyuwlltotVpWrrxY\n742IiLBZiiiq1LJy1zqUkoJ/37mQOl2D0V3mk71bSc09yNPT5nDubB7pP+2weT1tQVVtNeVnTjBk\n4BBUvT1Aggb0ZreVmSZtffB34+rGVR2szQUXR+OXXPOmtkII4uLimtEAbcFe9omHr4EpctvQEM4d\nyERbaQgKSoWS0JHjGdTXz+r+8+bNIzs7G5VKxdy5c23WrMGy/KuxDbu4gGsHDiWncj/qAYPIKj+H\nZ73O4nUGBgaSlpZm1/XKWPDlq9TrGvlr+P/h7uKKt7PKuKAZ6OHDDiFY/c3H9HdyJyx0cjMfxbZq\npbSEvJDo6ePJ/L8/wsSgm/i+KLfd43WjGy1xVQfrzsB7X3/Y6m9y/Xvp0qUsWbKEhIQEwsLCbGav\nr699g7tuvJO6hnp8VN6s2bmezYUyo8KQNnv38KBB10js1Ifxce/NaS9/hgzQkLzzKzKPZLLvSAZH\nT5nnWUNTDdqOrsyWaNA1NvNI/PLgTq4bNIKgAUNaLeJ6DxnB3n1buW7wKD5t85Eso7axHgmJf6Z9\nBkKgdu9NTUMtLkpnJElikLoPb8+PMkrA9hvQv9kD0GFiVZPv5X952ZytcKySoj1oj79oN64MdL+D\nHYzjHq6t/iZJEkFBQQQEBBAbG8uUKVPIzc212Z136ORhfNQ+HMz5hfKqctzc3OnTuxc4ObH8nico\nrNTybJNYvSxaPydkKterfRjqN4SDOQeNvowv/8EyB7gtcHV1tZjxb8V64862pu9yG/SlGi8oJAkh\nDEFXKUnUNNRxs/8Y9p45ira2kj/cMN0YqKvqa5n1xvNcqCpjvNqPZ+6xX5PbFjz7DODYvk02t2tL\nNm9tVmX62rXHX7QbVwauejaIOVzqlFiGfBNZEobKysoiODiY8PBwq4JQYLhZPX28KC2+tGzN2jm1\nB11lHKOYUnEB+8+dYICHD6+k/ZeK+otms9E3zSZ8iCFoRX/1OuerylgYegev//Q13zz6L4dfR3V9\nHT1d3SyO29GvnTnj5G5cfrSXDdIdrDsBlm4mOWDPmjWLEydO2FTSk/dxxLl0lSBrbRxHPTQ9fL24\n7qVHAXBSKHB1cqGqvtbg3mvwnuHbx15x+HVknz3BpODrKD9/weL2HfHatSw7LYx4tLvNvAuhm7p3\nhSI3N9chRru/BZh7DRxpr3b78HHcPzaM5F9+YOPhPbxy10KCmpzkpcdeMbuvEIJ58+axZs0aIiIi\n7O5oBAOlsaywGDAEbrlEJYRAEoKtj/37Ui7LIqz5i3bjysVVLeR0OSGEIDk5mdmzZxMZGUlaWlqb\n2Q+XAkdJbjoSRaVFbP95O3/4959IzUh36Nhe7r15dMJd+Kt9CWlSHSyvM5RIquvrzO4jhCA+Pp6U\nlBTmzp1Lenp6u+vqMhd93cNxjOgzCO9eHeNAnn8+v1u86TeK7mB9GRETE4MQggULFhAeHt4m49lL\nRW5uLkKIS/4yh5Z6zaZ8aWt44cOX+Pan7dwYdBNf5mU78nIprang60N7mrW6Xz9oBAD/397dxTZ1\nnnEA/5+QEr4SO5SPDoqcIESnMWE7venFGieBonZiO4btotuAOEzdWIVIwqbBBCIk6kpFJRKnV2VA\n47Vbp6oksbSANG1LHNgGlQjHGdVgNMFuIYVFJnaANAnkvLtwXtdJfD7s2E4Mz0/KBY7Ph23ynOPn\nfd7nvR64pbidJEkoKSlBTU0NgsFgQpUyHJ+W3zcYwP6SV2M+h793oihGuvPFc4G4N3Q/0sXxr5/8\njaadP0YoDTJDBEGYdvUD8PVsP4fDgVAoFFffEj2U6qe11NbWQhAE7NixA3v37o30blbj3FsfWc07\n2WVv4uLVeGmNFfvPnsC9kSEc27wLjx49xJGmt1QXK7bZbCgoKIDHE77T13oNaq4HbkX6lPBqnVgq\nKiogSRKKi4vR2dkZ6YSoRzrmDpAZMo07KkamMplMDOFRq4R/TCbTlP3y300myzI7dOgQA8BsNhur\nqqpSPb94P7fr/TfZ6X93Mv/AHeY838I2ndjHpFufqe5PlmW2Z88eJooia25uZgCYLMu6zkvq62Gb\nTuxjm07sU9x3b28vy8vLYwCYwWBgN27c0Nx3+bE9bPuJg2zr7w8zb18P678fZAP3Q+zyNYn1D/Qr\nvi+yLLNAIMAMBgMrLy/X/Tr0UHp9XV1drKuri506dYoB0PX6SOYY/7zijrlUDZJkyaiOiLUPpWoQ\nxsLrBfKObby1ZyrO79yNK3jj7x/gYNk2vFj4bdX98f9gZWVlMBqNmgNzgiBAuvVZZMBPad9sPI/c\n1NSE8vJyuFwuOBwO1faigiCgvKEagXnZGM3+OvO3zboR24s2ar6OiooKuFwu9Pb26mrepff9VTum\nz+eD1WqFxWJBe3u7ZqUQ/S1mDqoGeYLxlbclSUJjY6Pm83938YyuVEa06FzvN3LzI72j1bjdbnR2\ndqKnp0fXMfiAnxaj0RhpfFRXV6c5mQgAli804Hj5byKDbXym3572P0eW4VLCK3ZcLhd8Ph/sdrvu\n5dwSFQwGEQqF4PF4UFZWhpaWlqSmt0gGSuR2nFEaRFEy3pdY+4BCGoSx8FdnWZZZc3MzE0VRc9+T\nUxla7o98xXa3vsN+9Mffsi8HAxNSI7HOiX+VNxqNrKamRjN1wM9r5OHolMdikWWZjY2NMZvNxsxm\ns67UxOtHd7P2Sx2Rx4ZHhtnlaxLr6/+SvX/2D6rHKi4unpCmMhqNqsfSS+25PP1y8uRJZjAYmMPh\n0NyP7+5t9vPmBrb5vQPsB+/XsuMX2nSfC0kfJJgGoTvrWWry116TyaQ4QSR6wQC9A1F672KjFxao\n2bgd2VlzsCJvSaRBkpLW1lYwxmA2m+F2uyFJEux2u+pdMJ8KrkddXR28Xq/mZCJOBnBvdBi7Wpz4\nIvg/PJJl5Dwaw6LsufjmoqWK2wmCEBlcTBf+WTY1NcFms8FoNGJgYEBzO7X+LCTzUbBOMTZerVFS\nUoLu7m4AQEtLi+bXaBYjB6k0aSQYDMJqtUaCucvlgt1uV+xDHV22piVWBQPP9T4YHVbcThRFNDQ0\nYOvWrZHH/H6/am/sodERLJg7tZdKNP56GxoadK06zhkK1+C51d/Cw0ULsGyhER9fOYc+fw/yB0O4\nfVd9Mdx04q+Pl3F6PB6IooiamhrNbaOXTbOuWIO2qxd0X5TJ7EfBOg1cLhesVivq6+vhcrngdDqT\nlvPktbhGoxFmsxkWiwUejwcmk0lxmzdf3qn7LpZP5piMr/iidE5FRUWaK4JPdvrKuciAnxpJkhAK\nheD3+1FbGy5Tczgcqt8q3vpheOXwtctWAQAYBLxxx48X172Af3z6r7jOM5UEQUB+fv60Bgxj1ZKT\nzEfBOsUEQUBlZWXk342NjbpW6Y73GPFM1sjOmqPrLlZJdGokmfQEaiBc65yXlwen0xl5zO124/Ll\ny7q2fzA6jA8utGHlU4vQfecGcuctSuh8ZyN+EeW15PGklsjsRsE6DXhO2efzwePxoL6+fkbP5yd/\nOjKhbC1e0akRPfQ2ZIqV5ol+jHcMLCws1HXXHn1cPXntWM/JycnByEjs6eixqH2jSbVY4wvTuSiT\n2YXqrJNMrXa2tLQUoiiiqqoqobrZVNVwp3p/M9XhL1Xv18XPr+LgX8K59+hFebcXbYxrxmey35fo\nZlHcdC7KJDWoznoW46P7QDi3Sh32MhfPBy9daMCxzbvwTO7iCb+fyYoMpfEF8nigYJ0mO3fuxKVL\nlwCEy9oKCgp0TeaY7Z6E9q78bvnmeMnfnKwsHHn5pzHTDPFUZCTrvZvJ1AtJHwrWKcZLsXJzc1FU\nVAQgPAOvvb097n0l4497+crkrhjCm1FN7NcMMDBkZ2Vh4dz5OL19atkZY+GFF0pLSwEAHR0ds/bi\nxe+Wc3MW4KjnI4yNyfhl27sAgHXLTGj4/utTttFTkZGMRl7kyUHBOsV4KVa8ZWyxzOY/7uiv4Hxp\nLZ4KOK2wDe8QaDKZ4HQ6VWuwuelerPhFwuFwoLu7G5WVlZotXPndsn/gDhbPz8Xdr+5hXvZTWJm3\nFP/p/xzevp4JaQ6qyCCpQMGaJN3kVIASi8UCr9cLURRRV1ena998QI5fENYtL8D+sydxf3ytxazx\nYL7NuhE7nn8p5j6cTif8fj/Wr18Pl8uFqqoqXTM/B4cfQBCAZ3LzUbRiLc5euwhAmJDmoIoMkioU\nrEnK8FRALIIgwG63QxRFOJ3OuKsi1ixZiRV5S/Drs8cxJo9BgICq72xByWpLJDDuUNjW7XajpaUF\njDFs2LBB1yzIB6PDOP7JGWQJWXj7uz/Dlds+nLl2EU8vyJ2Q5lCb8UnIdFCwJikRnQpQwlMaXq83\noab+1wO38N/+m+BhvuF8MwJD91QDoyAIuHs3PJmHt23l7WWVTL5bDg0/QP3505gjZOHtV16bkOag\nigySKhSsSdJNDm4f4oDq81tbWyNtXuOx5ukVeG7pKgSGBmOW0akJBoOorq6G2WzWrKaIvlv+Vdu7\nkYvDgdIfY/7cHEpzkLSgYJ1kyajYyPRSLD3LV0U3LAqFQpAkCYcPH4bFYtHVN2W6ueHq6mr4/X7U\n19drfl78bnlodAS73e/gi1A/BAh4s/3DCVUvepc8IyQRNIORpJzSii+tra0TuvLx58qyrLkfPbP1\nlI7b0dGBsrIymM1m2O12+Hw+5Ofnx+zip3ZMxhief3YtfvHC99D66T/RdvUCjr7yGrUkJapoBiPJ\nKIIgYMuWLQlPt9bKDfsH7ij+jufHvV4vgsEgCgoKdA0yqh2TWpKSVKNgTR5LD8cexXxcEAQUFhYm\ntT8KtSQl6ZCl/RRCMo/WSjbJEl31Ek+fcELiRcGakATxQc6+wQD2l7waGeQkJBVogJGk3OPWIpWj\nlqQkEYkOMFKwJimnd/EBLXzxAT2GRkfwrGkVBm73p+2YhOhBwZqQKHTXS2arGQnWCW1ICCFPuLQG\na0IIIelD1SCEEJIBKFgTQkgGoGBNCCEZgII1IYRkAArWhBCSAShYE0JIBqBgTQghGeD/R6Cbch+1\nGoMAAAAASUVORK5CYII=\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAD+CAYAAADvTWTIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\njEwUIBtjGRkZmSjg/wOrCtnPOkcwpAAAAABJRU5ErkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAD+CAYAAADvTWTIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nKCgoBACKMVZQUFAIAP4Pkzn6lT4k6JoAAAAASUVORK5CYII=\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXkAAAD+CAYAAADfwXXpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWgAAAD+CAYAAAAXrZ8/AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRU5ErkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAD+CAYAAADvTWTIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXYAAAD+CAYAAAAuyi5kAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\no4FdKaV6GQ3sSinVy/x/qI9GyISZYI8AAAAASUVORK5CYII=\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWMAAAD+CAYAAADvTWTIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYd5oxxv9UfQs/h8+o8G1zbLLhQAAAABJRU5ErkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Authors: Fabian Pedregosa \n", "# Olivier Grisel \n", "# Mathieu Blondel \n", "# Gael Varoquaux\n", "# License: BSD 3 clause (C) INRIA 2011\n", "\n", "print(__doc__)\n", "from time import time\n", "\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "from matplotlib import offsetbox\n", "from sklearn import (manifold, datasets, decomposition, ensemble,\n", " discriminant_analysis, random_projection)\n", "\n", "digits = datasets.load_digits(n_class=6)\n", "X = digits.data\n", "y = digits.target\n", "n_samples, n_features = X.shape\n", "n_neighbors = 30\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Scale and visualize the embedding vectors\n", "def plot_embedding(X, title=None):\n", " x_min, x_max = np.min(X, 0), np.max(X, 0)\n", " X = (X - x_min) / (x_max - x_min)\n", "\n", " plt.figure()\n", " ax = plt.subplot(111)\n", " for i in range(X.shape[0]):\n", " plt.text(X[i, 0], X[i, 1], str(digits.target[i]),\n", " color=plt.cm.Set1(y[i] / 10.),\n", " fontdict={'weight': 'bold', 'size': 9})\n", "\n", " if hasattr(offsetbox, 'AnnotationBbox'):\n", " # only print thumbnails with matplotlib > 1.0\n", " shown_images = np.array([[1., 1.]]) # just something big\n", " for i in range(digits.data.shape[0]):\n", " dist = np.sum((X[i] - shown_images) ** 2, 1)\n", " if np.min(dist) < 4e-3:\n", " # don't show points that are too close\n", " continue\n", " shown_images = np.r_[shown_images, [X[i]]]\n", " imagebox = offsetbox.AnnotationBbox(\n", " offsetbox.OffsetImage(digits.images[i], cmap=plt.cm.gray_r),\n", " X[i])\n", " ax.add_artist(imagebox)\n", " plt.xticks([]), plt.yticks([])\n", " if title is not None:\n", " plt.title(title)\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Plot images of the digits\n", "n_img_per_row = 20\n", "img = np.zeros((10 * n_img_per_row, 10 * n_img_per_row))\n", "for i in range(n_img_per_row):\n", " ix = 10 * i + 1\n", " for j in range(n_img_per_row):\n", " iy = 10 * j + 1\n", " img[ix:ix + 8, iy:iy + 8] = X[i * n_img_per_row + j].reshape((8, 8))\n", "\n", "plt.imshow(img, cmap=plt.cm.binary)\n", "plt.xticks([])\n", "plt.yticks([])\n", "plt.title('A selection from the 64-dimensional digits dataset')\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Random 2D projection using a random unitary matrix\n", "print(\"Computing random projection\")\n", "rp = random_projection.SparseRandomProjection(n_components=2, random_state=42)\n", "X_projected = rp.fit_transform(X)\n", "plot_embedding(X_projected, \"Random Projection of the digits\")\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Projection on to the first 2 principal components\n", "# Using SVD\n", "\n", "print(\"Computing PCA projection\")\n", "t0 = time()\n", "X_pca = decomposition.TruncatedSVD(n_components=2).fit_transform(X)\n", "plot_embedding(X_pca,\n", " \"Principal Components projection of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "#----------------------------------------------------------------------\n", "# MDS embedding of the digits dataset\n", "# Using: The SMACOF (Scaling by MAjorizing a COmplicated Function) algorithm is a\n", "# multidimensional scaling algorithm which minimizes an objective function\n", "# (the *stress*) using a majorization technique. Stress majorization, also\n", "# known as the Guttman Transform, guarantees a monotone convergence of\n", "# stress, and is more powerful than traditional techniques such as gradient\n", "# descent.\n", "# The SMACOF algorithm for metric MDS can summarized by the following steps:\n", "# 1. Set an initial start configuration, randomly or not.\n", "# 2. Compute the stress\n", "# 3. Compute the Guttman Transform\n", "# 4. Iterate 2 and 3 until convergence.\n", "# The nonmetric algorithm adds a monotonic regression step before computing\n", "# the stress.\n", "# Therefore it is quite slow. In fact, the classical MDS using spectral decomposition\n", "# is much faster and equivalent to PCA above.\n", "#\n", "#print(\"Computing MDS embedding\")\n", "#clf = manifold.MDS(n_components=2, n_init=1, max_iter=1000)\n", "#t0 = time()\n", "#X_mds = clf.fit_transform(X)\n", "#print(\"Done. Stress: %f\" % clf.stress_)\n", "#plot_embedding(X_mds,\n", "# \"MDS embedding of the digits (time %.2fs)\" %\n", "# (time() - t0))\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Projection on to the first 2 linear discriminant components\n", "#\n", "#print(\"Computing Linear Discriminant Analysis projection\")\n", "#X2 = X.copy()\n", "#X2.flat[::X.shape[1] + 1] += 0.01 # Make X invertible\n", "#t0 = time()\n", "#X_lda = discriminant_analysis.LinearDiscriminantAnalysis(n_components=2).fit_transform(X2, y)\n", "#plot_embedding(X_lda,\n", "# \"Linear Discriminant projection of the digits (time %.2fs)\" %\n", "# (time() - t0))\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Isomap projection of the digits dataset\n", "print(\"Computing Isomap embedding\")\n", "t0 = time()\n", "X_iso = manifold.Isomap(n_neighbors, n_components=2).fit_transform(X)\n", "print(\"Done.\")\n", "plot_embedding(X_iso,\n", " \"Isomap projection of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Locally linear embedding of the digits dataset\n", "print(\"Computing LLE embedding\")\n", "clf = manifold.LocallyLinearEmbedding(n_neighbors, n_components=2,\n", " method='standard')\n", "t0 = time()\n", "X_lle = clf.fit_transform(X)\n", "print(\"Done. Reconstruction error: %g\" % clf.reconstruction_error_)\n", "plot_embedding(X_lle,\n", " \"Locally Linear Embedding of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Modified Locally linear embedding of the digits dataset\n", "print(\"Computing modified LLE embedding\")\n", "clf = manifold.LocallyLinearEmbedding(n_neighbors, n_components=2,\n", " method='modified')\n", "t0 = time()\n", "X_mlle = clf.fit_transform(X)\n", "print(\"Done. Reconstruction error: %g\" % clf.reconstruction_error_)\n", "plot_embedding(X_mlle,\n", " \"Modified Locally Linear Embedding of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "#----------------------------------------------------------------------\n", "# LTSA embedding of the digits dataset\n", "print(\"Computing LTSA embedding\")\n", "clf = manifold.LocallyLinearEmbedding(n_neighbors, n_components=2,\n", " method='ltsa')\n", "t0 = time()\n", "X_ltsa = clf.fit_transform(X)\n", "print(\"Done. Reconstruction error: %g\" % clf.reconstruction_error_)\n", "plot_embedding(X_ltsa,\n", " \"Local Tangent Space Alignment of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "#----------------------------------------------------------------------\n", "# Spectral embedding of the digits dataset\n", "print(\"Computing Spectral embedding\")\n", "embedder = manifold.SpectralEmbedding(n_components=2, random_state=0,\n", " eigen_solver=\"arpack\")\n", "t0 = time()\n", "X_se = embedder.fit_transform(X)\n", "\n", "plot_embedding(X_se,\n", " \"Spectral embedding of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# HLLE embedding of the digits dataset\n", "print(\"Computing Hessian LLE embedding\")\n", "clf = manifold.LocallyLinearEmbedding(n_neighbors, n_components=2,\n", " method='hessian')\n", "t0 = time()\n", "X_hlle = clf.fit_transform(X)\n", "print(\"Done. Reconstruction error: %g\" % clf.reconstruction_error_)\n", "plot_embedding(X_hlle,\n", " \"Hessian Locally Linear Embedding of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# Random Trees embedding of the digits dataset\n", "#print(\"Computing Totally Random Trees embedding\")\n", "#hasher = ensemble.RandomTreesEmbedding(n_estimators=200, random_state=0,\n", "# max_depth=5)\n", "#t0 = time()\n", "#X_transformed = hasher.fit_transform(X)\n", "#pca = decomposition.TruncatedSVD(n_components=2)\n", "#X_reduced = pca.fit_transform(X_transformed)\n", "#\n", "#plot_embedding(X_reduced,\n", "# \"Random forest embedding of the digits (time %.2fs)\" %\n", "# (time() - t0))\n", "\n", "\n", "#----------------------------------------------------------------------\n", "# t-SNE embedding of the digits dataset\n", "print(\"Computing t-SNE embedding\")\n", "tsne = manifold.TSNE(n_components=2, init='pca', random_state=0)\n", "t0 = time()\n", "X_tsne = tsne.fit_transform(X)\n", "\n", "plot_embedding(X_tsne,\n", " \"t-SNE embedding of the digits (time %.2fs)\" %\n", " (time() - t0))\n", "\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 0 }