{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1594706348008 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 15.0.0 Build 145 04/22/2015 SJ Full Version " "Version 15.0.0 Build 145 04/22/2015 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1594706348018 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 14 13:59:07 2020 " "Processing started: Tue Jul 14 13:59:07 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1594706348018 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1594706348018 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off add -c add " "Command: quartus_map --read_settings_files=on --write_settings_files=off add -c add" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1594706348018 ""} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1594706348397 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "control.vhd 2 1 " "Found 2 design units, including 1 entities, in source file control.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 CONTROL-one " "Found design unit 1: CONTROL-one" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 13 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_ENTITY_NAME" "1 CONTROL " "Found entity 1: CONTROL" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "chose.vhd 2 1 " "Found 2 design units, including 1 entities, in source file chose.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 chose-one " "Found design unit 1: chose-one" { } { { "chose.vhd" "" { Text "E:/altera/15.0/Project/add/chose.vhd" 14 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_ENTITY_NAME" "1 chose " "Found entity 1: chose" { } { { "chose.vhd" "" { Text "E:/altera/15.0/Project/add/chose.vhd" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "phase_acc.vhd 2 1 " "Found 2 design units, including 1 entities, in source file phase_acc.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 PHASE_ACC-one " "Found design unit 1: PHASE_ACC-one" { } { { "phase_acc.vhd" "" { Text "E:/altera/15.0/Project/add/phase_acc.vhd" 13 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_ENTITY_NAME" "1 PHASE_ACC " "Found entity 1: PHASE_ACC" { } { { "phase_acc.vhd" "" { Text "E:/altera/15.0/Project/add/phase_acc.vhd" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "sin.vhd 2 1 " "Found 2 design units, including 1 entities, in source file sin.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 sin-SYN " "Found design unit 1: sin-SYN" { } { { "sin.vhd" "" { Text "E:/altera/15.0/Project/add/sin.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_ENTITY_NAME" "1 sin " "Found entity 1: sin" { } { { "sin.vhd" "" { Text "E:/altera/15.0/Project/add/sin.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357659 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "afg.vhd 2 1 " "Found 2 design units, including 1 entities, in source file afg.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 afg-SYN " "Found design unit 1: afg-SYN" { } { { "afg.vhd" "" { Text "E:/altera/15.0/Project/add/afg.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} { "Info" "ISGN_ENTITY_NAME" "1 afg " "Found entity 1: afg" { } { { "afg.vhd" "" { Text "E:/altera/15.0/Project/add/afg.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tribo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file tribo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 tribo-SYN " "Found design unit 1: tribo-SYN" { } { { "tribo.vhd" "" { Text "E:/altera/15.0/Project/add/tribo.vhd" 53 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} { "Info" "ISGN_ENTITY_NAME" "1 tribo " "Found entity 1: tribo" { } { { "tribo.vhd" "" { Text "E:/altera/15.0/Project/add/tribo.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "add.bdf 1 1 " "Found 1 design units, including 1 entities, in source file add.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 add " "Found entity 1: add" { } { { "add.bdf" "" { Schematic "E:/altera/15.0/Project/add/add.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357669 ""} { "Info" "ISGN_START_ELABORATION_TOP" "add " "Elaborating entity \"add\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1594706357699 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "CONTROL CONTROL:inst2 " "Elaborating entity \"CONTROL\" for hierarchy \"CONTROL:inst2\"" { } { { "add.bdf" "inst2" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { -16 1488 1656 128 "inst2" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357719 ""} { "Warning" "WVRFX_VHDL_SHOULD_BE_ON_THE_PROCESSES_SENSITIVITY_LIST" "IN1 control.vhd(19) " "VHDL Process Statement warning at control.vhd(19): signal \"IN1\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 19 0 0 } } } 0 10492 "VHDL Process Statement warning at %2!s!: signal \"%1!s!\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" 0 0 "Quartus II" 0 -1 1594706357729 "|control"} { "Warning" "WVRFX_VHDL_SHOULD_BE_ON_THE_PROCESSES_SENSITIVITY_LIST" "IN1 control.vhd(21) " "VHDL Process Statement warning at control.vhd(21): signal \"IN1\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 21 0 0 } } } 0 10492 "VHDL Process Statement warning at %2!s!: signal \"%1!s!\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" 0 0 "Quartus II" 0 -1 1594706357729 "|control"} { "Warning" "WVRFX_VHDL_SHOULD_BE_ON_THE_PROCESSES_SENSITIVITY_LIST" "IN2 control.vhd(26) " "VHDL Process Statement warning at control.vhd(26): signal \"IN2\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 26 0 0 } } } 0 10492 "VHDL Process Statement warning at %2!s!: signal \"%1!s!\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" 0 0 "Quartus II" 0 -1 1594706357729 "|control"} { "Warning" "WVRFX_VHDL_SHOULD_BE_ON_THE_PROCESSES_SENSITIVITY_LIST" "IN3 control.vhd(28) " "VHDL Process Statement warning at control.vhd(28): signal \"IN3\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 28 0 0 } } } 0 10492 "VHDL Process Statement warning at %2!s!: signal \"%1!s!\" is read inside the Process Statement but isn't in the Process Statement's sensitivity list" 0 0 "Quartus II" 0 -1 1594706357729 "|control"} { "Warning" "WVRFX_L2_VHDL_ID_IN_COMB_PROCESS_HOLDS_VALUE" "OU control.vhd(15) " "VHDL Process Statement warning at control.vhd(15): inferring latch(es) for signal or variable \"OU\", which holds its previous value in one or more paths through the process" { } { { "control.vhd" "" { Text "E:/altera/15.0/Project/add/control.vhd" 15 0 0 } } } 0 10631 "VHDL Process Statement warning at %2!s!: inferring latch(es) for signal or variable \"%1!s!\", which holds its previous value in one or more paths through the process" 0 0 "Quartus II" 0 -1 1594706357729 "|control"} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "afg afg:inst4 " "Elaborating entity \"afg\" for hierarchy \"afg:inst4\"" { } { { "add.bdf" "inst4" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { -24 1072 1288 104 "inst4" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357729 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram afg:inst4\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"afg:inst4\|altsyncram:altsyncram_component\"" { } { { "afg.vhd" "altsyncram_component" { Text "E:/altera/15.0/Project/add/afg.vhd" 60 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357819 ""} { "Info" "ISGN_ELABORATION_HEADER" "afg:inst4\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"afg:inst4\|altsyncram:altsyncram_component\"" { } { { "afg.vhd" "" { Text "E:/altera/15.0/Project/add/afg.vhd" 60 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1594706357839 ""} { "Info" "ISGN_MEGAFN_PARAM_TOP" "afg:inst4\|altsyncram:altsyncram_component " "Instantiated megafunction \"afg:inst4\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK1 " "Parameter \"address_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK1 " "Parameter \"byteena_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a BYPASS " "Parameter \"clock_enable_input_a\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a BYPASS " "Parameter \"clock_enable_output_a\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK1 " "Parameter \"indata_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file afgdata.hex " "Parameter \"init_file\" = \"afgdata.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 256 " "Parameter \"numwords_a\" = \"256\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 0 " "Parameter \"numwords_b\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b UNREGISTERED " "Parameter \"outdata_reg_b\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK1 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 8 " "Parameter \"width_a\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 1 " "Parameter \"width_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 8 " "Parameter \"widthad_a\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 1 " "Parameter \"widthad_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK1 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ENABLE_RUNTIME_MOD=NO " "Parameter \"lpm_hint\" = \"ENABLE_RUNTIME_MOD=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357849 ""} } { { "afg.vhd" "" { Text "E:/altera/15.0/Project/add/afg.vhd" 60 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1594706357849 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_49r3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_49r3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_49r3 " "Found entity 1: altsyncram_49r3" { } { { "db/altsyncram_49r3.tdf" "" { Text "E:/altera/15.0/Project/add/db/altsyncram_49r3.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706357899 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706357899 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_49r3 afg:inst4\|altsyncram:altsyncram_component\|altsyncram_49r3:auto_generated " "Elaborating entity \"altsyncram_49r3\" for hierarchy \"afg:inst4\|altsyncram:altsyncram_component\|altsyncram_49r3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "e:/altera/15.0/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357899 ""} { "Warning" "WMIO_MIO_BYTE_HEX_WORD_READ" "afgdata.hex " "Byte addressed memory initialization file \"afgdata.hex\" was read in the word-addressed format" { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 1 -1 0 } } } 0 113007 "Byte addressed memory initialization file \"%1!s!\" was read in the word-addressed format" 0 0 "Quartus II" 0 -1 1594706357919 ""} { "Warning" "WMIO_MIO_HEX_DATA_WRAPPING_HEAD" "afgdata.hex 64 10 " "Width of data items in \"afgdata.hex\" is greater than the memory width. Wrapping data items to subsequent addresses. Found 64 warnings, reporting 10" { { "Warning" "WMIO_MIO_DATA_WRAPPING" "1 afgdata.hex " "Data at line (1) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 1 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "2 afgdata.hex " "Data at line (2) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 2 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "3 afgdata.hex " "Data at line (3) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 3 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "4 afgdata.hex " "Data at line (4) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 4 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "5 afgdata.hex " "Data at line (5) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 5 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "6 afgdata.hex " "Data at line (6) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 6 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "7 afgdata.hex " "Data at line (7) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 7 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "8 afgdata.hex " "Data at line (8) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 8 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "9 afgdata.hex " "Data at line (9) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 9 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "10 afgdata.hex " "Data at line (10) of memory initialization file \"afgdata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 10 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706357939 ""} } { { "E:/altera/15.0/Project/add/afgdata.hex" "" { Text "E:/altera/15.0/Project/add/afgdata.hex" 1 -1 0 } } } 0 113015 "Width of data items in \"%1!s!\" is greater than the memory width. Wrapping data items to subsequent addresses. Found %2!u! warnings, reporting %3!u!" 0 0 "Quartus II" 0 -1 1594706357939 ""} { "Critical Warning" "WCDB_CDB_MORE_INI_CONTENT" "256 1024 E:/altera/15.0/Project/add/afgdata.hex " "Memory depth (256) in the design file differs from memory depth (1024) in the Memory Initialization File \"E:/altera/15.0/Project/add/afgdata.hex\" -- truncated remaining initial content value to fit RAM" { } { { "afg.vhd" "" { Text "E:/altera/15.0/Project/add/afg.vhd" 60 0 0 } } } 1 127004 "Memory depth (%1!d!) in the design file differs from memory depth (%2!d!) in the Memory Initialization File \"%3!s!\" -- truncated remaining initial content value to fit RAM" 0 0 "Quartus II" 0 -1 1594706357960 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "PHASE_ACC PHASE_ACC:inst3 " "Elaborating entity \"PHASE_ACC\" for hierarchy \"PHASE_ACC:inst3\"" { } { { "add.bdf" "inst3" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 80 816 1016 160 "inst3" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357979 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "chose chose:inst5 " "Elaborating entity \"chose\" for hierarchy \"chose:inst5\"" { } { { "add.bdf" "inst5" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 96 464 640 208 "inst5" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357979 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "sin sin:inst6 " "Elaborating entity \"sin\" for hierarchy \"sin:inst6\"" { } { { "add.bdf" "inst6" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 160 1072 1288 288 "inst6" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706357989 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram sin:inst6\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"sin:inst6\|altsyncram:altsyncram_component\"" { } { { "sin.vhd" "altsyncram_component" { Text "E:/altera/15.0/Project/add/sin.vhd" 60 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358039 ""} { "Info" "ISGN_ELABORATION_HEADER" "sin:inst6\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"sin:inst6\|altsyncram:altsyncram_component\"" { } { { "sin.vhd" "" { Text "E:/altera/15.0/Project/add/sin.vhd" 60 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1594706358059 ""} { "Info" "ISGN_MEGAFN_PARAM_TOP" "sin:inst6\|altsyncram:altsyncram_component " "Instantiated megafunction \"sin:inst6\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK1 " "Parameter \"address_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK1 " "Parameter \"byteena_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a BYPASS " "Parameter \"clock_enable_input_a\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a BYPASS " "Parameter \"clock_enable_output_a\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK1 " "Parameter \"indata_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file sindat.hex " "Parameter \"init_file\" = \"sindat.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 256 " "Parameter \"numwords_a\" = \"256\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 0 " "Parameter \"numwords_b\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b UNREGISTERED " "Parameter \"outdata_reg_b\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK1 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 8 " "Parameter \"width_a\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 1 " "Parameter \"width_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 8 " "Parameter \"widthad_a\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 1 " "Parameter \"widthad_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK1 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ENABLE_RUNTIME_MOD=NO " "Parameter \"lpm_hint\" = \"ENABLE_RUNTIME_MOD=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358069 ""} } { { "sin.vhd" "" { Text "E:/altera/15.0/Project/add/sin.vhd" 60 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1594706358069 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_v6r3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_v6r3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_v6r3 " "Found entity 1: altsyncram_v6r3" { } { { "db/altsyncram_v6r3.tdf" "" { Text "E:/altera/15.0/Project/add/db/altsyncram_v6r3.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706358119 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706358119 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_v6r3 sin:inst6\|altsyncram:altsyncram_component\|altsyncram_v6r3:auto_generated " "Elaborating entity \"altsyncram_v6r3\" for hierarchy \"sin:inst6\|altsyncram:altsyncram_component\|altsyncram_v6r3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "e:/altera/15.0/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358119 ""} { "Warning" "WMIO_MIO_BYTE_HEX_WORD_READ" "sindat.hex " "Byte addressed memory initialization file \"sindat.hex\" was read in the word-addressed format" { } { { "E:/altera/15.0/Project/add/sindat.hex" "" { Text "E:/altera/15.0/Project/add/sindat.hex" 1 -1 0 } } } 0 113007 "Byte addressed memory initialization file \"%1!s!\" was read in the word-addressed format" 0 0 "Quartus II" 0 -1 1594706358139 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "tribo tribo:inst7 " "Elaborating entity \"tribo\" for hierarchy \"tribo:inst7\"" { } { { "add.bdf" "inst7" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 336 1072 1288 464 "inst7" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358179 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram tribo:inst7\|altsyncram:altsyncram_component " "Elaborating entity \"altsyncram\" for hierarchy \"tribo:inst7\|altsyncram:altsyncram_component\"" { } { { "tribo.vhd" "altsyncram_component" { Text "E:/altera/15.0/Project/add/tribo.vhd" 60 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358229 ""} { "Info" "ISGN_ELABORATION_HEADER" "tribo:inst7\|altsyncram:altsyncram_component " "Elaborated megafunction instantiation \"tribo:inst7\|altsyncram:altsyncram_component\"" { } { { "tribo.vhd" "" { Text "E:/altera/15.0/Project/add/tribo.vhd" 60 0 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1594706358279 ""} { "Info" "ISGN_MEGAFN_PARAM_TOP" "tribo:inst7\|altsyncram:altsyncram_component " "Instantiated megafunction \"tribo:inst7\|altsyncram:altsyncram_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_a NONE " "Parameter \"address_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_aclr_b NONE " "Parameter \"address_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "address_reg_b CLOCK1 " "Parameter \"address_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byte_size 8 " "Parameter \"byte_size\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_a UNUSED " "Parameter \"byteena_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_aclr_b NONE " "Parameter \"byteena_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "byteena_reg_b CLOCK1 " "Parameter \"byteena_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_a USE_INPUT_CLKEN " "Parameter \"clock_enable_core_a\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_core_b USE_INPUT_CLKEN " "Parameter \"clock_enable_core_b\" = \"USE_INPUT_CLKEN\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_a BYPASS " "Parameter \"clock_enable_input_a\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_input_b NORMAL " "Parameter \"clock_enable_input_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_a BYPASS " "Parameter \"clock_enable_output_a\" = \"BYPASS\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clock_enable_output_b NORMAL " "Parameter \"clock_enable_output_b\" = \"NORMAL\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Cyclone IV E " "Parameter \"intended_device_family\" = \"Cyclone IV E\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ecc_pipeline_stage_enabled FALSE " "Parameter \"ecc_pipeline_stage_enabled\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "enable_ecc FALSE " "Parameter \"enable_ecc\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "implement_in_les OFF " "Parameter \"implement_in_les\" = \"OFF\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_a UNUSED " "Parameter \"indata_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_aclr_b NONE " "Parameter \"indata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "indata_reg_b CLOCK1 " "Parameter \"indata_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file tridata.hex " "Parameter \"init_file\" = \"tridata.hex\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "init_file_layout PORT_A " "Parameter \"init_file_layout\" = \"PORT_A\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "maximum_depth 0 " "Parameter \"maximum_depth\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_a 256 " "Parameter \"numwords_a\" = \"256\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "numwords_b 0 " "Parameter \"numwords_b\" = \"0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode ROM " "Parameter \"operation_mode\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_a NONE " "Parameter \"outdata_aclr_a\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_aclr_b NONE " "Parameter \"outdata_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_a CLOCK0 " "Parameter \"outdata_reg_a\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "outdata_reg_b UNREGISTERED " "Parameter \"outdata_reg_b\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "power_up_uninitialized FALSE " "Parameter \"power_up_uninitialized\" = \"FALSE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ram_block_type AUTO " "Parameter \"ram_block_type\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_aclr_b NONE " "Parameter \"rdcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "rdcontrol_reg_b CLOCK1 " "Parameter \"rdcontrol_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_mixed_ports DONT_CARE " "Parameter \"read_during_write_mode_mixed_ports\" = \"DONT_CARE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_a NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_a\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "read_during_write_mode_port_b NEW_DATA_NO_NBE_READ " "Parameter \"read_during_write_mode_port_b\" = \"NEW_DATA_NO_NBE_READ\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "stratixiv_m144k_allow_dual_clocks ON " "Parameter \"stratixiv_m144k_allow_dual_clocks\" = \"ON\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_a 8 " "Parameter \"width_a\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_b 1 " "Parameter \"width_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_a 1 " "Parameter \"width_byteena_a\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_byteena_b 1 " "Parameter \"width_byteena_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "width_eccstatus 3 " "Parameter \"width_eccstatus\" = \"3\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_a 8 " "Parameter \"widthad_a\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "widthad_b 1 " "Parameter \"widthad_b\" = \"1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_a UNUSED " "Parameter \"wrcontrol_aclr_a\" = \"UNUSED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_aclr_b NONE " "Parameter \"wrcontrol_aclr_b\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "wrcontrol_wraddress_reg_b CLOCK1 " "Parameter \"wrcontrol_wraddress_reg_b\" = \"CLOCK1\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_hint ENABLE_RUNTIME_MOD=NO " "Parameter \"lpm_hint\" = \"ENABLE_RUNTIME_MOD=NO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altsyncram " "Parameter \"lpm_type\" = \"altsyncram\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358289 ""} } { { "tribo.vhd" "" { Text "E:/altera/15.0/Project/add/tribo.vhd" 60 0 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1594706358289 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_5ar3.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_5ar3.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_5ar3 " "Found entity 1: altsyncram_5ar3" { } { { "db/altsyncram_5ar3.tdf" "" { Text "E:/altera/15.0/Project/add/db/altsyncram_5ar3.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1594706358349 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1594706358349 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_5ar3 tribo:inst7\|altsyncram:altsyncram_component\|altsyncram_5ar3:auto_generated " "Elaborating entity \"altsyncram_5ar3\" for hierarchy \"tribo:inst7\|altsyncram:altsyncram_component\|altsyncram_5ar3:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "e:/altera/15.0/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1594706358349 ""} { "Warning" "WMIO_MIO_BYTE_HEX_WORD_READ" "tridata.hex " "Byte addressed memory initialization file \"tridata.hex\" was read in the word-addressed format" { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 1 -1 0 } } } 0 113007 "Byte addressed memory initialization file \"%1!s!\" was read in the word-addressed format" 0 0 "Quartus II" 0 -1 1594706358359 ""} { "Warning" "WMIO_MIO_HEX_DATA_WRAPPING_HEAD" "tridata.hex 16 10 " "Width of data items in \"tridata.hex\" is greater than the memory width. Wrapping data items to subsequent addresses. Found 16 warnings, reporting 10" { { "Warning" "WMIO_MIO_DATA_WRAPPING" "1 tridata.hex " "Data at line (1) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 1 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "2 tridata.hex " "Data at line (2) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 2 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "3 tridata.hex " "Data at line (3) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 3 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "4 tridata.hex " "Data at line (4) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 4 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "5 tridata.hex " "Data at line (5) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 5 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "6 tridata.hex " "Data at line (6) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 6 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "7 tridata.hex " "Data at line (7) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 7 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "8 tridata.hex " "Data at line (8) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 8 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "9 tridata.hex " "Data at line (9) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 9 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMIO_MIO_DATA_WRAPPING" "10 tridata.hex " "Data at line (10) of memory initialization file \"tridata.hex\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." { } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 10 -1 0 } } } 0 113009 "Data at line (%1!d!) of memory initialization file \"%2!s!\" is too wide to fit in one memory word. Wrapping data to subsequent addresses." 0 0 "Quartus II" 0 -1 1594706358369 ""} } { { "E:/altera/15.0/Project/add/tridata.hex" "" { Text "E:/altera/15.0/Project/add/tridata.hex" 1 -1 0 } } } 0 113015 "Width of data items in \"%1!s!\" is greater than the memory width. Wrapping data items to subsequent addresses. Found %2!u! warnings, reporting %3!u!" 0 0 "Quartus II" 0 -1 1594706358369 ""} { "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "LEDB\[1\] VCC " "Pin \"LEDB\[1\]\" is stuck at VCC" { } { { "add.bdf" "" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 208 696 872 224 "LEDB\[6..0\]" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1594706359059 "|add|LEDB[1]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1594706359059 ""} { "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1594706359139 ""} { "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1594706360018 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1594706360018 ""} { "Info" "ICUT_CUT_TM_SUMMARY" "162 " "Implemented 162 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1594706360108 ""} { "Info" "ICUT_CUT_TM_OPINS" "23 " "Implemented 23 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1594706360108 ""} { "Info" "ICUT_CUT_TM_LCELLS" "111 " "Implemented 111 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1594706360108 ""} { "Info" "ICUT_CUT_TM_RAMS" "24 " "Implemented 24 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Quartus II" 0 -1 1594706360108 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1594706360108 ""} { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 33 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 33 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4859 " "Peak virtual memory: 4859 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1594706360228 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 14 13:59:20 2020 " "Processing ended: Tue Jul 14 13:59:20 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1594706360228 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:13 " "Elapsed time: 00:00:13" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1594706360228 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:23 " "Total CPU time (on all processors): 00:00:23" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1594706360228 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1594706360228 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1594706362721 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 15.0.0 Build 145 04/22/2015 SJ Full Version " "Version 15.0.0 Build 145 04/22/2015 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1594706362731 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 14 13:59:22 2020 " "Processing started: Tue Jul 14 13:59:22 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1594706362731 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1594706362731 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off add -c add " "Command: quartus_fit --read_settings_files=off --write_settings_files=off add -c add" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1594706362731 ""} { "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1594706362871 ""} { "Info" "0" "" "Project = add" { } { } 0 0 "Project = add" 0 0 "Fitter" 0 0 1594706362871 ""} { "Info" "0" "" "Revision = add" { } { } 0 0 "Revision = add" 0 0 "Fitter" 0 0 1594706362871 ""} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1594706362951 ""} { "Info" "IMPP_MPP_USER_DEVICE" "add EP4CE6E22C8 " "Selected device EP4CE6E22C8 for design \"add\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1594706363001 ""} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1594706363061 ""} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1594706363061 ""} { "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1594706363191 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C8 " "Device EP4CE10E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1594706363271 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C8 " "Device EP4CE15E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1594706363271 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C8 " "Device EP4CE22E22C8 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1594706363271 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1594706363271 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "e:/altera/15.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "e:/altera/15.0/quartus/bin64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 610 9698 10655 0 0 ""} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1594706363281 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "e:/altera/15.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "e:/altera/15.0/quartus/bin64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 612 9698 10655 0 0 ""} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1594706363281 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "e:/altera/15.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "e:/altera/15.0/quartus/bin64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 614 9698 10655 0 0 ""} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1594706363281 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "e:/altera/15.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "e:/altera/15.0/quartus/bin64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 616 9698 10655 0 0 ""} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1594706363281 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "e:/altera/15.0/quartus/bin64/pin_planner.ppl" "" { PinPlanner "e:/altera/15.0/quartus/bin64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 618 9698 10655 0 0 ""} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1594706363281 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1594706363281 ""} { "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1594706363281 ""} { "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1594706363281 ""} { "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "27 27 " "No exact pin location assignment(s) for 27 pins of 27 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1594706363600 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "add.sdc " "Synopsys Design Constraints File file not found: 'add.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1594706363830 ""} { "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1594706363830 ""} { "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1594706363840 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1594706363840 ""} { "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1594706363840 ""} { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "CLK~input (placed in PIN 23 (CLK1, DIFFCLK_0n)) " "Automatically promoted node CLK~input (placed in PIN 23 (CLK1, DIFFCLK_0n))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1594706363860 ""} { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS" "" "Following destination nodes may be non-global or may not use global or regional clocks" { { "Info" "IFSAC_FSAC_GLOBAL_UNASSIGNED_FANOUTS_SUB" "DACLK~output " "Destination node DACLK~output" { } { { "add.bdf" "" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 280 648 824 296 "DACLK" "" } } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 579 9698 10655 0 0 ""} } } } } 0 176357 "Destination node %1!s!" 0 0 "Quartus II" 0 -1 1594706363860 ""} } { } 0 176356 "Following destination nodes may be non-global or may not use global or regional clocks" 0 0 "Quartus II" 0 -1 1594706363860 ""} } { { "add.bdf" "" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 280 32 200 296 "CLK" "" } } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 602 9698 10655 0 0 ""} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1594706363860 ""} { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "inst " "Automatically promoted node inst " { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock " "Automatically promoted destinations to use location or clock signal Global Clock" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1594706363860 ""} } { { "add.bdf" "" { Schematic "E:/altera/15.0/Project/add/add.bdf" { { 104 296 360 184 "inst" "" } } } } { "temporary_test_loc" "" { Generic "E:/altera/15.0/Project/add/" { { 0 { 0 ""} 0 162 9698 10655 0 0 ""} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1594706363860 ""} { "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1594706364180 ""} { "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1594706364180 ""} { "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1594706364190 ""} { "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1594706364190 ""} { "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1594706364190 ""} { "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1594706364190 ""} { "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1594706364190 ""} { "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1594706364190 ""} { "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1594706364200 ""} { "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1594706364200 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1594706364200 ""} { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 2.5V 3 23 0 " "Number of I/O pins in group: 26 (unused VREF, 2.5V VCCIO, 3 input, 23 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1594706364210 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1594706364210 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 5 6 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 5 total pin(s) used -- 6 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1594706364210 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1594706364210 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1594706364210 ""} { "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1594706364230 ""} { "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1594706364250 ""} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1594706364850 ""} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1594706364910 ""} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1594706364920 ""} { "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1594706365729 ""} { "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1594706365729 ""} { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1594706366039 ""} { "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "1 X11_Y0 X22_Y11 " "Router estimated peak interconnect usage is 1% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11" { } { { "loc" "" { Generic "E:/altera/15.0/Project/add/" { { 1 { 0 "Router estimated peak interconnect usage is 1% of the available device resources in the region that extends from location X11_Y0 to location X22_Y11"} { { 12 { 0 ""} 11 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1594706366439 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1594706366439 ""} { "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1594706366749 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1594706366749 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1594706366749 ""} { "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.26 " "Total time spent on timing analysis during the Fitter is 0.26 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1594706366759 ""} { "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1594706366829 ""} { "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1594706366979 ""} { "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1594706367049 ""} { "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1594706367219 ""} { "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1594706367628 ""} { "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "E:/altera/15.0/Project/add/output_files/add.fit.smsg " "Generated suppressed messages file E:/altera/15.0/Project/add/output_files/add.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1594706367938 ""} { "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5614 " "Peak virtual memory: 5614 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1594706368468 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 14 13:59:28 2020 " "Processing ended: Tue Jul 14 13:59:28 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1594706368468 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1594706368468 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1594706368468 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1594706368468 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1594706370688 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 15.0.0 Build 145 04/22/2015 SJ Full Version " "Version 15.0.0 Build 145 04/22/2015 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1594706370698 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 14 13:59:30 2020 " "Processing started: Tue Jul 14 13:59:30 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1594706370698 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1594706370698 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off add -c add " "Command: quartus_asm --read_settings_files=off --write_settings_files=off add -c add" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1594706370698 ""} { "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1594706371343 ""} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1594706371373 ""} { "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4739 " "Peak virtual memory: 4739 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1594706371633 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 14 13:59:31 2020 " "Processing ended: Tue Jul 14 13:59:31 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1594706371633 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1594706371633 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1594706371633 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1594706371633 ""} { "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1594706372296 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1594706374132 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 15.0.0 Build 145 04/22/2015 SJ Full Version " "Version 15.0.0 Build 145 04/22/2015 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374143 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 14 13:59:33 2020 " "Processing started: Tue Jul 14 13:59:33 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1594706374143 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1594706374143 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta add -c add " "Command: quartus_sta add -c add" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1594706374143 ""} { "Info" "0" "" "qsta_default_script.tcl version: #11" { } { } 0 0 "qsta_default_script.tcl version: #11" 0 0 "Quartus II" 0 0 1594706374309 ""} { "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1594706374459 ""} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1594706374515 ""} { "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1594706374515 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "add.sdc " "Synopsys Design Constraints File file not found: 'add.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1594706374808 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1594706374809 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name CLK CLK " "create_clock -period 1.000 -name CLK CLK" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374810 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name inst inst " "create_clock -period 1.000 -name inst inst" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374810 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374810 ""} { "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1594706374859 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374859 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1594706374869 ""} { "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1594706374896 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1594706374919 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1594706374919 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -4.755 " "Worst-case setup slack is -4.755" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374939 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374939 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.755 -186.003 CLK " " -4.755 -186.003 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374939 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.294 -5.859 inst " " -1.294 -5.859 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374939 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706374939 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.454 " "Worst-case hold slack is 0.454" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374949 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374949 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.454 0.000 inst " " 0.454 0.000 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374949 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.609 0.000 CLK " " 0.609 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374949 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706374949 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1594706374959 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1594706374969 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.201 " "Worst-case minimum pulse width slack is -3.201" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374979 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374979 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.201 -132.096 CLK " " -3.201 -132.096 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374979 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.487 -7.435 inst " " -1.487 -7.435 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706374979 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706374979 ""} { "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1594706375130 ""} { "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1594706375150 ""} { "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1594706375551 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375641 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1594706375681 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1594706375681 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -4.219 " "Worst-case setup slack is -4.219" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375691 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375691 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.219 -164.723 CLK " " -4.219 -164.723 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375691 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.048 -4.792 inst " " -1.048 -4.792 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375691 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706375691 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.404 " "Worst-case hold slack is 0.404" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375701 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375701 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.404 0.000 inst " " 0.404 0.000 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375701 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.540 0.000 CLK " " 0.540 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375701 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706375701 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1594706375711 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1594706375731 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.201 " "Worst-case minimum pulse width slack is -3.201" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375741 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375741 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.201 -132.096 CLK " " -3.201 -132.096 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375741 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.487 -7.435 inst " " -1.487 -7.435 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706375741 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706375741 ""} { "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1594706375891 ""} { "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376101 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer." 0 0 "Quartus II" 0 -1 1594706376101 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1594706376101 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -1.858 " "Worst-case setup slack is -1.858" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376121 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376121 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.858 -53.306 CLK " " -1.858 -53.306 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376121 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.002 -0.003 inst " " -0.002 -0.003 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376121 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706376121 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 0.185 " "Worst-case hold slack is 0.185" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376131 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376131 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.185 0.000 inst " " 0.185 0.000 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376131 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.254 0.000 CLK " " 0.254 0.000 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376131 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706376131 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1594706376141 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1594706376161 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376171 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376171 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -66.607 CLK " " -3.000 -66.607 CLK " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376171 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.000 -5.000 inst " " -1.000 -5.000 inst " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1594706376171 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1594706376171 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1594706376731 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1594706376731 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4850 " "Peak virtual memory: 4850 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1594706376911 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 14 13:59:36 2020 " "Processing ended: Tue Jul 14 13:59:36 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1594706376911 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1594706376911 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1594706376911 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1594706376911 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1594706379327 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 15.0.0 Build 145 04/22/2015 SJ Full Version " "Version 15.0.0 Build 145 04/22/2015 SJ Full Version" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1594706379347 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jul 14 13:59:39 2020 " "Processing started: Tue Jul 14 13:59:39 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1594706379347 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1594706379347 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off add -c add " "Command: quartus_eda --read_settings_files=off --write_settings_files=off add -c add" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1594706379347 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_8_1200mv_85c_slow.vho E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_8_1200mv_85c_slow.vho in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706379997 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_8_1200mv_0c_slow.vho E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_8_1200mv_0c_slow.vho in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380057 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_min_1200mv_0c_fast.vho E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_min_1200mv_0c_fast.vho in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380107 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add.vho E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add.vho in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380157 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_8_1200mv_85c_vhd_slow.sdo E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_8_1200mv_85c_vhd_slow.sdo in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380216 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_8_1200mv_0c_vhd_slow.sdo E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_8_1200mv_0c_vhd_slow.sdo in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380286 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_min_1200mv_0c_vhd_fast.sdo E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_min_1200mv_0c_vhd_fast.sdo in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380346 ""} { "Info" "IWSC_DONE_HDL_GENERATION" "add_vhd.sdo E:/altera/15.0/Project/add/simulation/modelsim/ simulation " "Generated file add_vhd.sdo in folder \"E:/altera/15.0/Project/add/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1594706380406 ""} { "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4716 " "Peak virtual memory: 4716 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1594706380516 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jul 14 13:59:40 2020 " "Processing ended: Tue Jul 14 13:59:40 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1594706380516 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1594706380516 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1594706380516 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1594706380516 ""} { "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 40 s " "Quartus II Full Compilation was successful. 0 errors, 40 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1594706381176 ""}